From c693c7fac1ac496628aadf97ece94da09dc321c9 Mon Sep 17 00:00:00 2001 From: Michael McMaster Date: Sun, 27 Oct 2013 00:21:42 +1000 Subject: [PATCH] Updated firmware for PCB Revision 3.0 - A USB Bootloader is now used. Use either the bootloader host supplied with PSoC Creator 3.0, or else the standalone app included with Cypress AN73503. You have 2 seconds between connecting the USB cable and hitting the "program" button. - The bootloaderhost program included in this repository doesn't quite work yet. When functional it should simplify firmware updates on Linux and Mac OSX - Fixed an error in the parts spreadsheet which had a 22k resistor for USB termination instead of a 22 Ohm resistor. - Updated parts spreadsheet with part number of PSoC actually used (CY8C5267AXI-LP051). --- .gitmodules | 3 + STATUS | 9 +- parts.ods | Bin 20174 -> 19947 bytes .../Generated_Source/PSoC5/Bootloadable_1.c | 84 + .../Generated_Source/PSoC5/Bootloadable_1.h | 155 + .../Generated_Source/PSoC5/Cm3Iar.icf | 14 +- .../Generated_Source/PSoC5/Cm3RealView.scat | 10 +- .../Generated_Source/PSoC5/USBFS_1.c | 1335 ++ .../Generated_Source/PSoC5/USBFS_1.h | 1178 ++ .../Generated_Source/PSoC5/USBFS_1_Dm.c | 137 + .../Generated_Source/PSoC5/USBFS_1_Dm.h | 130 + .../PSoC5/USBFS_1_Dm_aliases.h | 32 + .../Generated_Source/PSoC5/USBFS_1_Dp.c | 137 + .../Generated_Source/PSoC5/USBFS_1_Dp.h | 130 + .../PSoC5/USBFS_1_Dp_aliases.h | 32 + .../Generated_Source/PSoC5/USBFS_1_audio.c | 318 + .../Generated_Source/PSoC5/USBFS_1_audio.h | 95 + .../Generated_Source/PSoC5/USBFS_1_boot.c | 262 + .../Generated_Source/PSoC5/USBFS_1_cdc.c | 706 + .../Generated_Source/PSoC5/USBFS_1_cdc.h | 92 + .../Generated_Source/PSoC5/USBFS_1_cdc.inf | 122 + .../Generated_Source/PSoC5/USBFS_1_cls.c | 107 + .../Generated_Source/PSoC5/USBFS_1_descr.c | 180 + .../Generated_Source/PSoC5/USBFS_1_drv.c | 781 + .../Generated_Source/PSoC5/USBFS_1_episr.c | 658 + .../Generated_Source/PSoC5/USBFS_1_hid.c | 422 + .../Generated_Source/PSoC5/USBFS_1_hid.h | 64 + .../Generated_Source/PSoC5/USBFS_1_midi.c | 1341 ++ .../Generated_Source/PSoC5/USBFS_1_midi.h | 200 + .../Generated_Source/PSoC5/USBFS_1_pm.c | 277 + .../Generated_Source/PSoC5/USBFS_1_pvt.h | 190 + .../Generated_Source/PSoC5/USBFS_1_std.c | 1134 ++ .../Generated_Source/PSoC5/USBFS_1_vnd.c | 96 + .../Generated_Source/PSoC5/cm3gcc.ld | 8 +- .../Generated_Source/PSoC5/config.hex | 137 +- .../Generated_Source/PSoC5/cybootloader.c | 1206 ++ .../Generated_Source/PSoC5/cybootloader.icf | 3 + .../Generated_Source/PSoC5/cydevice.h | 15 +- .../Generated_Source/PSoC5/cydevice_trm.h | 15 +- .../Generated_Source/PSoC5/cydevicegnu.inc | 15 +- .../PSoC5/cydevicegnu_trm.inc | 15 +- .../Generated_Source/PSoC5/cydeviceiar.inc | 15 +- .../PSoC5/cydeviceiar_trm.inc | 15 +- .../Generated_Source/PSoC5/cydevicerv.inc | 17 +- .../Generated_Source/PSoC5/cydevicerv_trm.inc | 17 +- .../Generated_Source/PSoC5/cyfitter.h | 2593 ++- .../Generated_Source/PSoC5/cyfitter_cfg.c | 1091 +- .../Generated_Source/PSoC5/cyfittergnu.inc | 2593 ++- .../Generated_Source/PSoC5/cyfitteriar.inc | 2593 ++- .../Generated_Source/PSoC5/cyfitterrv.inc | 2593 ++- .../Generated_Source/PSoC5/cymetadata.c | 611 +- .../Generated_Source/PSoC5/eeprom.hex | 33 - .../Generated_Source/PSoC5/project.h | 15 +- .../Generated_Source/PSoC5/protect.hex | 2 - software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx | 118 +- software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr | Bin 130077 -> 134696 bytes software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyfit | Bin 215113 -> 226200 bytes software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyprj | 340 +- software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd | 490 +- .../SCSI2SD.cydsn/TopDesign/TopDesign.cysch | Bin 123320 -> 132910 bytes software/SCSI2SD/SCSI2SD.cydsn/config.c | 1 - software/SCSI2SD/SCSI2SD.cydsn/config.h | 1 - software/SCSI2SD/SCSI2SD.cydsn/disk.c | 6 +- software/SCSI2SD/SCSI2SD.cydsn/loopback.c | 7 +- software/SCSI2SD/SCSI2SD.cydsn/main.c | 6 +- software/SCSI2SD/SCSI2SD.cydsn/scsi.c | 7 +- software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c | 13 + software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h | 2 + .../SCSI2SD.cydsn/scsiTarget/scsiTarget.v | 28 +- software/SCSI2SD/SCSI2SD.cydsn/sd.c | 63 +- .../ARM_GCC_473/Release/.deps/ARM_C_FILE.P | 162 + .../ARM_GCC_473/Release/.deps/C_FILE.P | 66 + .../Release/.deps/GNU_ARM_ASM_FILE.P | 10 + .../CortexM3/ARM_GCC_473/Release/BL.lst | 8146 ++++++++ .../CortexM3/ARM_GCC_473/Release/BL.o | Bin 0 -> 21988 bytes .../CortexM3/ARM_GCC_473/Release/Cm3Start.lst | 2081 ++ .../CortexM3/ARM_GCC_473/Release/Cm3Start.o | Bin 0 -> 7940 bytes .../ARM_GCC_473/Release/CyBootAsmGnu.lst | 12885 +++++++++++++ .../ARM_GCC_473/Release/CyBootAsmGnu.o | Bin 0 -> 433988 bytes .../CortexM3/ARM_GCC_473/Release/CyDmac.lst | 6088 ++++++ .../CortexM3/ARM_GCC_473/Release/CyDmac.o | Bin 0 -> 22020 bytes .../CortexM3/ARM_GCC_473/Release/CyFlash.lst | 4248 ++++ .../CortexM3/ARM_GCC_473/Release/CyFlash.o | Bin 0 -> 14360 bytes .../CortexM3/ARM_GCC_473/Release/CyLib.lst | 11191 +++++++++++ .../CortexM3/ARM_GCC_473/Release/CyLib.o | Bin 0 -> 38320 bytes .../CortexM3/ARM_GCC_473/Release/CySpc.lst | 3234 ++++ .../CortexM3/ARM_GCC_473/Release/CySpc.o | Bin 0 -> 11424 bytes .../CortexM3/ARM_GCC_473/Release/USBFS.lst | 5707 ++++++ .../CortexM3/ARM_GCC_473/Release/USBFS.o | Bin 0 -> 18372 bytes .../CortexM3/ARM_GCC_473/Release/USBFS_Dm.lst | 775 + .../CortexM3/ARM_GCC_473/Release/USBFS_Dm.o | Bin 0 -> 4116 bytes .../CortexM3/ARM_GCC_473/Release/USBFS_Dp.lst | 860 + .../CortexM3/ARM_GCC_473/Release/USBFS_Dp.o | Bin 0 -> 4476 bytes .../ARM_GCC_473/Release/USBFS_audio.lst | 238 + .../ARM_GCC_473/Release/USBFS_audio.o | Bin 0 -> 1880 bytes .../ARM_GCC_473/Release/USBFS_boot.lst | 2210 +++ .../CortexM3/ARM_GCC_473/Release/USBFS_boot.o | Bin 0 -> 8540 bytes .../ARM_GCC_473/Release/USBFS_cdc.lst | 238 + .../CortexM3/ARM_GCC_473/Release/USBFS_cdc.o | Bin 0 -> 1880 bytes .../ARM_GCC_473/Release/USBFS_cls.lst | 942 + .../CortexM3/ARM_GCC_473/Release/USBFS_cls.o | Bin 0 -> 4072 bytes .../ARM_GCC_473/Release/USBFS_descr.lst | 1408 ++ .../ARM_GCC_473/Release/USBFS_descr.o | Bin 0 -> 6088 bytes .../ARM_GCC_473/Release/USBFS_drv.lst | 4239 ++++ .../CortexM3/ARM_GCC_473/Release/USBFS_drv.o | Bin 0 -> 15588 bytes .../ARM_GCC_473/Release/USBFS_episr.lst | 1471 ++ .../ARM_GCC_473/Release/USBFS_episr.o | Bin 0 -> 4528 bytes .../ARM_GCC_473/Release/USBFS_hid.lst | 2705 +++ .../CortexM3/ARM_GCC_473/Release/USBFS_hid.o | Bin 0 -> 9672 bytes .../ARM_GCC_473/Release/USBFS_midi.lst | 238 + .../CortexM3/ARM_GCC_473/Release/USBFS_midi.o | Bin 0 -> 1880 bytes .../CortexM3/ARM_GCC_473/Release/USBFS_pm.lst | 1949 ++ .../CortexM3/ARM_GCC_473/Release/USBFS_pm.o | Bin 0 -> 7184 bytes .../ARM_GCC_473/Release/USBFS_std.lst | 5913 ++++++ .../CortexM3/ARM_GCC_473/Release/USBFS_std.o | Bin 0 -> 17944 bytes .../ARM_GCC_473/Release/USBFS_vnd.lst | 496 + .../CortexM3/ARM_GCC_473/Release/USBFS_vnd.o | Bin 0 -> 2780 bytes ...B_Bootloader-ARM_GCC_473-Release-BUILD.log | 8 + ...Bootloader-ARM_GCC_473-Release-REBUILD.log | 89 + .../ARM_GCC_473/Release/USB_Bootloader.a | Bin 0 -> 687832 bytes .../ARM_GCC_473/Release/USB_Bootloader.elf | Bin 0 -> 719329 bytes .../ARM_GCC_473/Release/USB_Bootloader.hex | 2318 +++ .../ARM_GCC_473/Release/USB_Bootloader.map | 1282 ++ .../CortexM3/ARM_GCC_473/Release/cyPm.lst | 7148 +++++++ .../CortexM3/ARM_GCC_473/Release/cyPm.o | Bin 0 -> 17976 bytes .../ARM_GCC_473/Release/cyfitter_cfg.lst | 2829 +++ .../ARM_GCC_473/Release/cyfitter_cfg.o | Bin 0 -> 8200 bytes .../ARM_GCC_473/Release/cymetadata.lst | 574 + .../CortexM3/ARM_GCC_473/Release/cymetadata.o | Bin 0 -> 3272 bytes .../CortexM3/ARM_GCC_473/Release/cyutils.lst | 531 + .../CortexM3/ARM_GCC_473/Release/cyutils.o | Bin 0 -> 2980 bytes .../CortexM3/ARM_GCC_473/Release/library.deps | 1 + .../CortexM3/ARM_GCC_473/Release/main.lst | 668 + .../CortexM3/ARM_GCC_473/Release/main.o | Bin 0 -> 3560 bytes .../Generated_Source/PSoC5/BL.c | 1462 ++ .../Generated_Source/PSoC5/BL.h | 318 + .../Generated_Source/PSoC5/BL_PVT.h | 315 + .../Generated_Source/PSoC5/Cm3Iar.icf | 113 + .../Generated_Source/PSoC5/Cm3RealView.scat | 190 + .../Generated_Source/PSoC5/Cm3Start.c | 461 + .../Generated_Source/PSoC5/CyBootAsmGnu.s | 174 + .../Generated_Source/PSoC5/CyBootAsmIar.s | 156 + .../Generated_Source/PSoC5/CyBootAsmRv.s | 161 + .../Generated_Source/PSoC5/CyDmac.c | 1131 ++ .../Generated_Source/PSoC5/CyDmac.h | 218 + .../Generated_Source/PSoC5/CyFlash.c | 694 + .../Generated_Source/PSoC5/CyFlash.h | 239 + .../Generated_Source/PSoC5/CyLib.c | 2710 +++ .../Generated_Source/PSoC5/CyLib.h | 1281 ++ .../Generated_Source/PSoC5/CySpc.c | 554 + .../Generated_Source/PSoC5/CySpc.h | 154 + .../PSoC5/SCSI_Out_DBx_aliases.h | 48 + .../Generated_Source/PSoC5/SCSI_Out_aliases.h | 52 + .../Generated_Source/PSoC5/USBFS.c | 1335 ++ .../Generated_Source/PSoC5/USBFS.h | 1189 ++ .../Generated_Source/PSoC5/USBFS_Dm.c | 137 + .../Generated_Source/PSoC5/USBFS_Dm.h | 130 + .../Generated_Source/PSoC5/USBFS_Dm_aliases.h | 32 + .../Generated_Source/PSoC5/USBFS_Dp.c | 137 + .../Generated_Source/PSoC5/USBFS_Dp.h | 130 + .../Generated_Source/PSoC5/USBFS_Dp_aliases.h | 32 + .../Generated_Source/PSoC5/USBFS_audio.c | 318 + .../Generated_Source/PSoC5/USBFS_audio.h | 95 + .../Generated_Source/PSoC5/USBFS_boot.c | 262 + .../Generated_Source/PSoC5/USBFS_cdc.c | 706 + .../Generated_Source/PSoC5/USBFS_cdc.h | 92 + .../Generated_Source/PSoC5/USBFS_cdc.inf | 122 + .../Generated_Source/PSoC5/USBFS_cls.c | 107 + .../Generated_Source/PSoC5/USBFS_descr.c | 323 + .../Generated_Source/PSoC5/USBFS_drv.c | 781 + .../Generated_Source/PSoC5/USBFS_episr.c | 658 + .../Generated_Source/PSoC5/USBFS_hid.c | 422 + .../Generated_Source/PSoC5/USBFS_hid.h | 64 + .../Generated_Source/PSoC5/USBFS_midi.c | 1341 ++ .../Generated_Source/PSoC5/USBFS_midi.h | 200 + .../Generated_Source/PSoC5/USBFS_pm.c | 277 + .../Generated_Source/PSoC5/USBFS_pvt.h | 203 + .../Generated_Source/PSoC5/USBFS_std.c | 1134 ++ .../Generated_Source/PSoC5/USBFS_vnd.c | 96 + .../Generated_Source/PSoC5/cm3gcc.ld | 295 + .../Generated_Source/PSoC5/core_cm3.h | 1627 ++ .../Generated_Source/PSoC5/core_cm3_psoc5.h | 54 + .../Generated_Source/PSoC5/core_cmFunc.h | 636 + .../Generated_Source/PSoC5/core_cmInstr.h | 688 + .../Generated_Source/PSoC5/cyPm.c | 1819 ++ .../Generated_Source/PSoC5/cyPm.h | 635 + .../Generated_Source/PSoC5/cydevice.h | 5360 ++++++ .../Generated_Source/PSoC5/cydevice_trm.h | 5360 ++++++ .../Generated_Source/PSoC5/cydevicegnu.inc | 5357 ++++++ .../PSoC5/cydevicegnu_trm.inc | 5357 ++++++ .../Generated_Source/PSoC5/cydeviceiar.inc | 5356 ++++++ .../PSoC5/cydeviceiar_trm.inc | 5356 ++++++ .../Generated_Source/PSoC5/cydevicerv.inc | 16039 ++++++++++++++++ .../Generated_Source/PSoC5/cydevicerv_trm.inc | 16039 ++++++++++++++++ .../Generated_Source/PSoC5/cydisabledsheets.h | 5 + .../Generated_Source/PSoC5/cyfitter.h | 1361 ++ .../Generated_Source/PSoC5/cyfitter_cfg.c | 429 + .../Generated_Source/PSoC5/cyfitter_cfg.h | 28 + .../Generated_Source/PSoC5/cyfittergnu.inc | 1354 ++ .../Generated_Source/PSoC5/cyfitteriar.inc | 1355 ++ .../Generated_Source/PSoC5/cyfitterrv.inc | 1355 ++ .../Generated_Source/PSoC5/cymetadata.c | 108 + .../Generated_Source/PSoC5/cypins.h | 295 + .../Generated_Source/PSoC5/cytypes.h | 438 + .../Generated_Source/PSoC5/cyutils.c | 87 + .../Generated_Source/PSoC5/eeprom.hex | 0 .../Generated_Source/PSoC5/project.h | 49 + .../Generated_Source/PSoC5/protect.hex | 3 + .../Generated_Source/PSoCCreatorExportIDE.xml | 149 + .../TopDesign/TopDesign.cysch | Bin 0 -> 104999 bytes .../USB_Bootloader.cydsn/USB_Bootloader.cycdx | 84 + .../USB_Bootloader.cydsn/USB_Bootloader.cydwr | Bin 0 -> 74823 bytes .../USB_Bootloader.cydsn/USB_Bootloader.cyfit | Bin 0 -> 157426 bytes .../USB_Bootloader.cydsn/USB_Bootloader.cyprj | 1061 + .../USB_Bootloader.cyprj.Micha_000 | 1083 ++ .../USB_Bootloader.cyversion | 1 + .../USB_Bootloader.cydsn/USB_Bootloader.rpt | 2289 +++ .../USB_Bootloader.cydsn/USB_Bootloader.svd | 494 + .../USB_Bootloader_timing.html | 642 + .../USB_Bootloader.cydsn/codegentemp/BL.c | 1462 ++ .../USB_Bootloader.cydsn/codegentemp/BL.h | 318 + .../USB_Bootloader.cydsn/codegentemp/BL_PVT.h | 315 + .../codegentemp/Cm3Iar.icf | 113 + .../codegentemp/Cm3RealView.scat | 190 + .../codegentemp/Cm3Start.c | 461 + .../codegentemp/CyBootAsmGnu.s | 174 + .../codegentemp/CyBootAsmIar.s | 156 + .../codegentemp/CyBootAsmRv.s | 161 + .../USB_Bootloader.cydsn/codegentemp/CyDmac.c | 1131 ++ .../USB_Bootloader.cydsn/codegentemp/CyDmac.h | 218 + .../codegentemp/CyFlash.c | 694 + .../codegentemp/CyFlash.h | 239 + .../USB_Bootloader.cydsn/codegentemp/CyLib.c | 2710 +++ .../USB_Bootloader.cydsn/codegentemp/CyLib.h | 1281 ++ .../USB_Bootloader.cydsn/codegentemp/CySpc.c | 554 + .../USB_Bootloader.cydsn/codegentemp/CySpc.h | 154 + .../codegentemp/PSoC5_PSoC5LP_100-TQFP.xml | 250 + .../codegentemp/SCSI_Out_DBx_aliases.h | 48 + .../codegentemp/SCSI_Out_aliases.h | 52 + .../USB_Bootloader.cydsn/codegentemp/USBFS.c | 1335 ++ .../USB_Bootloader.cydsn/codegentemp/USBFS.h | 1189 ++ .../codegentemp/USBFS_Dm.c | 137 + .../codegentemp/USBFS_Dm.h | 130 + .../codegentemp/USBFS_Dm_aliases.h | 32 + .../codegentemp/USBFS_Dp.c | 137 + .../codegentemp/USBFS_Dp.h | 130 + .../codegentemp/USBFS_Dp_aliases.h | 32 + .../codegentemp/USBFS_audio.c | 318 + .../codegentemp/USBFS_audio.h | 95 + .../codegentemp/USBFS_boot.c | 262 + .../codegentemp/USBFS_cdc.c | 706 + .../codegentemp/USBFS_cdc.h | 92 + .../codegentemp/USBFS_cdc.inf | 122 + .../codegentemp/USBFS_cls.c | 107 + .../codegentemp/USBFS_descr.c | 323 + .../codegentemp/USBFS_drv.c | 781 + .../codegentemp/USBFS_episr.c | 658 + .../codegentemp/USBFS_hid.c | 422 + .../codegentemp/USBFS_hid.h | 64 + .../codegentemp/USBFS_midi.c | 1341 ++ .../codegentemp/USBFS_midi.h | 200 + .../codegentemp/USBFS_pm.c | 277 + .../codegentemp/USBFS_pvt.h | 203 + .../codegentemp/USBFS_std.c | 1134 ++ .../codegentemp/USBFS_vnd.c | 96 + .../codegentemp/USB_Bootloader.bvf | 25 + .../codegentemp/USB_Bootloader.ctl | 9 + .../codegentemp/USB_Bootloader.cycdx | 84 + .../codegentemp/USB_Bootloader.cyfit | Bin 0 -> 157426 bytes .../codegentemp/USB_Bootloader.dsf | 0 .../codegentemp/USB_Bootloader.pci | 44 + .../codegentemp/USB_Bootloader.pco | 53 + .../codegentemp/USB_Bootloader.plc_log | 3 + .../codegentemp/USB_Bootloader.route | 92 + .../codegentemp/USB_Bootloader.rpt | 2289 +++ .../codegentemp/USB_Bootloader.rt_log | 22 + .../codegentemp/USB_Bootloader.sdc | 14 + .../codegentemp/USB_Bootloader.sdf | 51 + .../codegentemp/USB_Bootloader.svd | 494 + .../codegentemp/USB_Bootloader.tr | 98 + .../codegentemp/USB_Bootloader.v | 466 + .../codegentemp/USB_Bootloader.vh2 | 458 + .../codegentemp/USB_Bootloader.wde | 5 + .../codegentemp/USB_Bootloader_p.lib | 1364 ++ .../codegentemp/USB_Bootloader_p.pco | 49 + .../codegentemp/USB_Bootloader_p.vh2 | 1808 ++ .../codegentemp/USB_Bootloader_r.lib | 1364 ++ .../codegentemp/USB_Bootloader_r.vh2 | 1790 ++ .../codegentemp/USB_Bootloader_t.lib | 1364 ++ .../codegentemp/USB_Bootloader_t.vh2 | 1790 ++ .../codegentemp/USB_Bootloader_timing.html | 642 + .../codegentemp/USB_Bootloader_u.sdc | 3 + .../codegentemp/bitstream.txt | 824 + .../codegentemp/cm3gcc.ld | 295 + .../codegentemp/core_cm3.h | 1627 ++ .../codegentemp/core_cm3_psoc5.h | 54 + .../codegentemp/core_cmFunc.h | 636 + .../codegentemp/core_cmInstr.h | 688 + .../USB_Bootloader.cydsn/codegentemp/cyPm.c | 1819 ++ .../USB_Bootloader.cydsn/codegentemp/cyPm.h | 635 + .../codegentemp/cydevice.h | 5360 ++++++ .../codegentemp/cydevice_trm.h | 5360 ++++++ .../codegentemp/cydevicegnu.inc | 5357 ++++++ .../codegentemp/cydevicegnu_trm.inc | 5357 ++++++ .../codegentemp/cydeviceiar.inc | 5356 ++++++ .../codegentemp/cydeviceiar_trm.inc | 5356 ++++++ .../codegentemp/cydevicerv.inc | 16039 ++++++++++++++++ .../codegentemp/cydevicerv_trm.inc | 16039 ++++++++++++++++ .../codegentemp/cydisabledsheets.h | 5 + .../codegentemp/cyfitter.h | 1361 ++ .../codegentemp/cyfitter_cfg.c | 429 + .../codegentemp/cyfitter_cfg.h | 28 + .../codegentemp/cyfittergnu.inc | 1354 ++ .../codegentemp/cyfitteriar.inc | 1355 ++ .../codegentemp/cyfitterrv.inc | 1355 ++ .../codegentemp/cymetadata.c | 108 + .../USB_Bootloader.cydsn/codegentemp/cypins.h | 295 + .../codegentemp/cytypes.h | 438 + .../codegentemp/cyutils.c | 87 + .../codegentemp/device.lib | 3094 +++ .../codegentemp/eeprom.hex | 0 .../codegentemp/elab_dependencies.txt | 359 + .../codegentemp/generated_files.txt | 78 + .../codegentemp/lcpsoc3/index | Bin 0 -> 1792 bytes .../codegentemp/liberty_reader.log | 10 + .../codegentemp/placer.log | 76 + .../codegentemp/project.h | 49 + .../codegentemp/protect.hex | 3 + .../codegentemp/warp_dependencies.txt | 6 + software/SCSI2SD/USB_Bootloader.cydsn/main.c | 54 + software/bootloaderhost/Makefile | 4 + .../cybootloaderutils/cybtldr_api.c | 303 + .../cybootloaderutils/cybtldr_api.h | 258 + .../cybootloaderutils/cybtldr_api2.c | 177 + .../cybootloaderutils/cybtldr_api2.h | 162 + .../cybootloaderutils/cybtldr_command.c | 435 + .../cybootloaderutils/cybtldr_command.h | 520 + .../cybootloaderutils/cybtldr_parse.c | 151 + .../cybootloaderutils/cybtldr_parse.h | 156 + .../cybootloaderutils/cybtldr_utils.h | 131 + software/bootloaderhost/hidapi | 1 + software/bootloaderhost/main.c | 94 + 342 files changed, 336287 insertions(+), 4301 deletions(-) create mode 100644 .gitmodules create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Bootloadable_1.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Bootloadable_1.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_boot.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.inf create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cls.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_descr.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_drv.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_episr.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pm.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pvt.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_std.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_vnd.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.icf mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/eeprom.hex mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyfit mode change 100644 => 100755 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/ARM_C_FILE.P create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/C_FILE.P create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/GNU_ARM_ASM_FILE.P create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/Cm3Start.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/Cm3Start.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyBootAsmGnu.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyBootAsmGnu.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyDmac.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyDmac.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dm.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dm.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dp.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dp.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cls.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cls.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_drv.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_drv.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_episr.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_episr.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-BUILD.log create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-REBUILD.log create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.a create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.elf create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.hex create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.map create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.o create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/library.deps create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.lst create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.o create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Iar.icf create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3RealView.scat create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Start.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmIar.s create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmRv.s create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_boot.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.inf create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cls.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_descr.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_drv.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_episr.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pm.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_std.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_vnd.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cm3gcc.ld create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfittergnu.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitteriar.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitterrv.inc create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cymetadata.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyutils.c create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/eeprom.hex create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/protect.hex create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoCCreatorExportIDE.xml create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/TopDesign/TopDesign.cysch create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cycdx create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cydwr create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyfit create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj.Micha_000 create mode 100644 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyversion create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.rpt create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.svd create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader_timing.html create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL_PVT.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Iar.icf create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3RealView.scat create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Start.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmGnu.s create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmIar.s create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmRv.s create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/PSoC5_PSoC5LP_100-TQFP.xml create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_DBx_aliases.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_aliases.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm_aliases.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp_aliases.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_boot.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.inf create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cls.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_descr.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_drv.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_episr.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pm.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pvt.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_std.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_vnd.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.bvf create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.ctl create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cycdx create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cyfit create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.dsf create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pci create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pco create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.plc_log create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.route create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rpt create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rt_log create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdf create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.svd create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.tr create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.v create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.vh2 create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.wde create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.lib create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.pco create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.vh2 create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.lib create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.vh2 create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.lib create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.vh2 create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_timing.html create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_u.sdc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/bitstream.txt create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cm3gcc.ld create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3_psoc5.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmFunc.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmInstr.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice_trm.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu_trm.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar_trm.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv_trm.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydisabledsheets.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfittergnu.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitteriar.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitterrv.inc create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cymetadata.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cypins.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cytypes.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyutils.c create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/device.lib create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/eeprom.hex create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/elab_dependencies.txt create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/generated_files.txt create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/lcpsoc3/index create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/liberty_reader.log create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/placer.log create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/project.h create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/protect.hex create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/warp_dependencies.txt create mode 100755 software/SCSI2SD/USB_Bootloader.cydsn/main.c create mode 100644 software/bootloaderhost/Makefile create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_api.c create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_api.h create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_api2.c create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_api2.h create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_command.c create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_command.h create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_parse.c create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_parse.h create mode 100644 software/bootloaderhost/cybootloaderutils/cybtldr_utils.h create mode 160000 software/bootloaderhost/hidapi create mode 100644 software/bootloaderhost/main.c diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..2468f79 --- /dev/null +++ b/.gitmodules @@ -0,0 +1,3 @@ +[submodule "software/bootloaderhost/hidapi"] + path = software/bootloaderhost/hidapi + url = git://github.com/signal11/hidapi.git diff --git a/STATUS b/STATUS index ab682ae..03bc964 100644 --- a/STATUS +++ b/STATUS @@ -1,13 +1,8 @@ -- Software has not been updated for PCB rev 3.0. In particular, most of the pin -assignments are incorrect. - -- USB bootloader is not implemented yet. - -- Configuration options cannot be set via USB. +- Configuration options cannot be set via USB. Must use the USB bootloader to + load a new firmware instead. - SCSI ID hardcoded to 0 - Partity checking is on - Unit Attention Condition is off - - SPI overclock to 32MHz off. - DMA is not used for SPI transfers - Parity checking not implemented for the PSoC Datapath implementation diff --git a/parts.ods b/parts.ods index bdd78dcb78a46c4f5d2e97c7c9b004da44719940..ec09c764fa02fa17d83be365dc74f01e0b2a02a8 100644 GIT binary patch delta 8765 zcmZvCbx<5kllS88?(QBWSg;_AySux?LXa#8Zovtz!QDe}cZVR0ySwWp_r1^6b64N| z)7`(GuIlconVy>I@l2?rbSN|xc^Ft+000pHV0P1sLsNrx)2lIkrujn>jA<#r`r4a7 z%+G7a8$(Cr2#ANQq?SqnfC%bl%-ANSX^EK3Qw6VqfR4{X23DCs>7ZV2jH5uHar>j1 zp{Akc#U+HglVKv(RdOgv?LkdTiSnX2X7E^!XfbmJoah|g!G5qsb7`_tWP~e5tt5ae z!M?%ZCbV&LbDf0ErB)O`W5fWa3}|I<6-1+2bSmpGGBifgk74DcR@NRi2&*xV)i#WiTY9r?h%Ul)0$cVlx;E?M`WbZDnpajN*n1K41q7BLVLWkcz2 zQMPT6St8Is?IG-+{~SS2ppX_Y4Mfemq$)(Ri6}z*A-ziTc!Y0{o?Cou`lTYwbvPuE zhKkIjRvi+Wxm`7gAp}0z=G-Q?+z-Zvs>U;;JuSwVIm}iE1%-d4kJZNYiFnZx|MY9$ z{uOTBK1*!ECD;l2-H6J>7puCRboYg&?lfJl9pJKG&mDe)Y;cbFw@!6A82!9$yD6k% z7?(t8L#&EKj5(;3F51Rd{FhBJ7ki~G_KyeECu$K?lyV=d5l_L=t6cYwje}`M!8iVf z_IT&qPKlltqJr1nQD(=`$)7^YjNjhZfvA(`9JdII)pFr4w8Dd+Wp;g8NU4orR<; zZ2=!^zyqcqFu7t$&t>#5nsDz6Q8^OVEwSdYy+g)-mANT{^-?aWpZC(5-bYi~qwCy0 zkkRE~5KZwzTM%L?OPa`VC52M5p=sQ;xR7-8FGVHj+?XYCWU)m9lEYVy9ksLMxE-1&1fnBn;HAw?()XMhS2eugjQ6Rg%n zPZ>R#9{(lI*4EL1WE}>q8}VNHfa$UM26~gO;q50U*Y)ek-T$V*<^MyXZ1U^K)mIX) zfooFkveoJI_h$v=Z?ZPeC@S&@i0CXYS5$BSz#Jt2@c+(LL`1~D=W4FUL+dG-7P2<)eCT;uvXhPv^xH<51jUT zy}00@c)nQR8>-w%=l2fu+n^w3Q?Ih;O1UEn*P zu*;v(TNWti-H$W9_)xb#gA|;$YB{(Ulf0rwF6kJ$N1XL@UDaE)AtJwGmRJY70& z1I@GTR?wmrj6S*^Ev(M1j`MsAT+uq(-IZ=vwGhpyS80Mc(v=XIaDx+2ta1~8x>k|= zL3sELu~B*`y5D=Hb^R1TMDg$4Ib?P8c%>a2>g_P&!y+aRYrpBT=kIuFJPIT^7EgxANsrip9+U^pLE1b0%_q-1M$xplqWZXE2iSetSjQ93$_E~m|% zWb}FM?gf?Emx}Bd$pDO+yCnB!9N+#)<(a=;ua+?&+6IWecJkR165=hTUFxRK%HNWLu1C;KX1Sruak~+5+i<(>nMng zDGGeFw(e^QVE&=wnT706T5>QY?*<*kB+}`KlrEP}<n#Ry@$OGAaE5~|ux7$VJ_C^id{L+0h)&xwvHD2AaBRb)`put%0G4CH#r8s8zCvJb zXwkKaRZ|gFw@odKe!eMP^FqIek}~dHEzl z5r??`CIhOZ*gbFrv^<@Y=Xnxi+k?rIN*)A#y%m8q%CBHfb}I~X{dlZErfbI|EMRIF zrlbrN6`Xf+{2{ds9$MRjyE*>M6egrFaXTS;XnPOYXlA~ydzT-_s30qf+r2HbFMR}~ zAV3Wj$vpkrWKD;!tk71Hhb$vx5>%ZDMT2yZicm=@0Xk}DcrTi2NT;tnsk}&*9|afVEkLMBVr5B$(H8}~9;W3ZYt`6uV{nA0 zW~&!!cix52ez_&Wrnk{n5m7L*cP{J?a;#cd9nF?1ll#R^(~wt1J*2=ANuGL0uV_$g z9e2BTy&5NfT@T#%*B#?HP>WG>sS$%_JbG)6t(`4tLuZy391m`$MW+L?OlhJce(vKDed$#SdNKf4s5s4q6)%Zv)#zVU$r$QvCXwnbr}&Z7+Znu z5>cP(Mz97yEFOiMR78x^#YeaATyr3n>WjF4yrGBe*sb2TqR*upi0VZC4uqRee{ehC zHzRl_olX|AX>a1x`lVR&=M%T`&uef+%ai{yC2a_vB2u{MXW)EkeD;-R8SZE--3)TU zM6!MWTS`Uid*g*MEX7;b-7-_#mO}pzRbBc8R~p2F>W-Ak6<>rQXxodnloO|Q+o_X= z52!a%AqP`+%{G$$)d7TDH7Ss=tn!P=jv=NbwzDdz9}hcS=8L1jetEX`=U&dK|ih$s)27F_QJcX-Am3T}n8mG$&HpZ&J5b4ItHrU?4S%|+D@HT};|aVMAX9>7vpo>fBgGw) zP?LNwV$V8~3VP25tg$%hKL(S{&Xa!DaJL&{jwV|R(^j`}-`3_z8nX-IzeY_#8O{jy zxHVa|439)`*s19FhCj06*Q(1$v`taWx(yJrCjb$&`ZaI}gPwwj6a`FK+ZA4$A(T=Q#yiw>F(3Qq#JLEQB8 zPX($ayh6+q=@)#qTncdX#3<0CfxjBVUX2sopTO_ksRE6k6s|fr_aLK3r8H)f_0m^N zNB{!Q+O~F`719JXw4W_wJ-e~X8NqL}^R4e%hH_4+rS9!SM^GSeNy{TUaFML~I5x#q zaD2~1fYp7^+}CO(sbkeJdPvBvNIh*hm6Kxm0lzd;rk=jiv2zserT9wbb)18lg^;w1~-uzKwm1^9c z`Gte*2C*?1zpTL%$rCd%_PW5hW!pfSRcA-fT(SVPp;XCvBhk~KILQs& zQ_lqBWO9r%lMSb|^AeKQSv-G0<`^J;5}Dlzef8cE@Qmqqgc?Lml64EEVJd~tW|ezz z#>gog``R!Ima*B}8mvC^JBN%8YZ;{+51_$`Bu@+-Sc7330+kU*Lxx^;p(;(mIwB;T|ZMce(y%Qc6LFDUN!<1Hcp0vI|%@UwoAK_mXpu^1>t`TSirP z{bKs>;K38l01ZXQNdT^cbHxStg=uM|;LSV4gBr=Ri7-LS5&lwk&dBj@G!pPKx zfgMmU)z_$+D9@dNh^QloK^z%_OCtAuUJG}h0*t2dHnYW^B!1>cxy+gCdfJX>2OD*_ zURsrr${L3h$p8c67vNrYzLHq1NskAepSz79P;zcaFTO`xmN1}h3YtBptEpO0)f{Z! z>w$6MjO--ZGOigQrSw>1ytwrPu_4d+g13oRcbWSZZNfMmnmBUk@dftO3ytSfMxN^TE{C|VQ+QmQnIkg!xTkQl+&5Fdn&0!6s9zG5N21L54hV@ zs7js0mIOps5ZIDr`_nJ7{cHZT%I^`GHcnHKpC~p$X!Md9c}T(Y<*z^2yy9^%xfbC* z_CycueqA+(aLD9uaA1E;gN^f`zTx;W;rHG|jtsF1i=1}a!cmHHwEDd;{BB|Du%BP& zaf)@2hB?Pbm@NuTND3OtOeAhwcn^33zv6M7oJ7X>182q~6%>t`(ucJR2CoO05{u8s zz0+cWVP`h8_D37l%0m);XIhtW2|+u10EM5bEUEpi)a>F_6DoCuA0yIq>>p`C9C!@w z-$kvQD7~eSP6F#!Sd0#}o7&|=By75jS0<}I_wGO@9)VwVHWYSmCK@d|SC_#{IHlNk zLCo;o+n4Sao9e_D;Xi}?kV_U(s5h@F&KGOS?FrU3~Cn3pY0ev(l#G@*v~UYnMS?XIl6Wt zrXhOooG(=#LGc2K4a}j_4OSQhMO{T<^4BG?X>FA6L`Adzh2qWkkn+)4kvPQhG`>skjmmqOEa{yTN>u> zk8E4Yj+~=J;-W@mo;Ww?4{(Z9lTze03jTWbNJ@zak2r+^AIL}O(J6)iQ{!ca2DFgr z?(tpUdwZcchxS`)1$T9C5GVBXF{wTI?69=qAKIG3)jmA&xtxo9bu2DH3wgm^?roCp zx#5WOZuUx9=h?+}4{r{_s}u>UdOPg-vLH3Sw*lb`;s|s(3eh0S!m62D9#%TvSWlRJ zeK>DYPV^&~Qqtc-$fjz3`SgY+ZRCUnH_^crqrPr=h36}a3sx{RsmcA$Ivk^8qJ&&w zZDuJctINtjk*#^6;EDGl#^16eeV#7aLi417c2UyuAvrlSd6}>Rf7!7{y6mRqv*vA= zrWTiL{!tx2T6u2x!ZSozfHrm zf+2o1&mIGb6~+Z-gxYm`(byYVR-Ei5t9=-d?lg|@ICx+Jz_XsPyW%OHj&)ERnb5)x zJMncf8sCGyyp=-LXPickAMLC=qq}7_hOxpWe&H-!Uchz%%$0b3#2QtUu0B@@EmY5y zJHAmCSlW5OsH4V6)-E93t$C_8fVNifZHAC`^q}k(c2;5gd$@?2S=j(yB9rIRs(abaO-lRzcyI1`*TQaaCie7()A(X#zo4ui(%?eTv)4q$HGlcW!eNHTgEy-kdFOp1)l(TOMl53A-O;8I}m)I;1PQK`c*BeMk%$l$A^N$NmI%TI_D8PoGYkH_Qr46%` z(U0`T4?1GTGuHZZm^qIt`bts|YxS1;znxDvou0nc`MK|6$7prmnLR#jy}_u+lOP~= z=g8*iV*>!Iv;X&fisJ(lIOs>iba@cwrYTEoBd}Gogd4)k58B~RzdRdi_%WGuJH@>= zDj-r@_vBs>NZ`^?YZa&iR0T*csikhg`>*-;vv_28j2zD-#8b+#q$5WS;N4U2ODnSV z|AyxsN7SD!#V;(*!8bzoh31Y4qho{5t#SK3{JfN7eWv_1w$ZT%%!gbdgxzRVH8hzy zrDpU~ed}5*DLx>?D3_kq!otco)R}j#PG0`^Qlv^uOd*1RlC47jl>&vK?x8-x{z8}s zI*Rc+0o;i%uEM-A?6|5(5d<<=*A?|{xJ<(QAm{w|jy_#B%4C<8Q{+$ z&oa};ai*=5LjF7<@HnhIoT1{({4&2UER55_O%6JGJNh_~6f_m`d@7#0+xk``cPmTE z8t^i-m`(X1oTy@dWkC7>`$~5AY`8qp>=xHlnoHR$@ivPeCEC+{D>O)L3c~VC~h~S>E+Dm9QF^&HNe8XAttkIB3 z@zZ7wlsts6LmZ1Z61zUjUf4=~j{LE8S5S&}VsMU|vZ>wR72)r*V@oo}em4{VP!02c zmz7bJ1xyFAC26S%bd;KcvRARN?cm0yb1#tm^5c2hxPyjn+NWX8>gX4pGifOL{zdM)n8RA8_;jc@qlYsSwKwm(C$36wK@eN8 zqY9o|e9WpqjsnQ>logTv<+3?sH8bdpKQ3i{eM8ne%*KYu+LppLApJ4m z{9G%zRouPdKzwY%|C@V%0WU4N@o_+ADp+wYTH(N@v{V;H(Hr+hqAQ~r!xlw$%MoeS zkjHM(_LZO=e(q>q_KWR0OOzs1&Yh0KC$pHq6g*vxLPJ^j)x8uP-r!<8HX^+;@*#71u4bVo#70H;dtr`x0_aVg%aybF9w@Z@$ojVYb{2{BRUQH6p9g=Zg#W)(3;#N7$MGjn4O8rZh1QN3fu z4&z3pqn+Kiu2jnYK7V_c&qbfI$VX0gH%?&_cAGjLfF#ytR4h|8hlQWf6TLavG|ThT zk0Qm;fhd?pvSBNpVBtj71xP{2`K?C&|WApouFduD8G^h=1k<4+vDNK3(-*%S+NK=l1*L7 z;g(MPDQHpuTiUoPEk19RteFVqDl7wrR#iEW|M@Qk!aKiIL3JC2%J<*Gaxr=n672Xb?@-}%>PuGXfjb(_D$VlWX2j*_{ke((ln84=K5`zPdfj=rai(?%C zs(lSc8gD0hB%l;pl6_37<2(*J>s!rZp76O$kWlS6t|h}qHtEBgp|veSmRWafX5=m| z*%OoEJ-eH%YOx2^gJCprOef>Oz0zpxOWn+F4z~kz zsqw80T`s{FX1Qpgez3~0?!#?o;B@h*F{~lYi&miehtlkLh_ZEEeX< zXO|v_w*kMTOXN8nI^}g?-4cB-H}(_Ij!(UJ`TEWJJN?;0OC(xLGB6d4O50^IXtFv{ z`)Y`t(Jzr#Nc~OJN?AZA=^WsNyx~M=XF8sU5A=Lv7eXS08{n43w?Qwv-7goww%T+> zb^?K8Elu}5>Pchb*!*HYCQ^m32T5@f8w{S_)^R241g1IdY(l)S_3%iiy|UItYhX(M zBEQTSJ8-p@CJqy}Rxm%v)JMfuaE@W_DyOJ7X}7BChULEXk&Tb=`~J5XBWdL5M2jb2r0{F(8m9!+PSJj$BIrO{wNr%SN4%47(s}6e};8%22I35 zi!;-ArZc_GV_tmyNEuT@i*fytVSq3rOS?i$x zsY{pQheG&I&p-kukSYNJ#Qa}!J`nG32LUFK?=JxY`Tr6w8LEUn8JvIEr+-)ugnz!t zmZUZRYOQ7X{(N`Xza&~t64g!bEpkXfc!5T06;(l0C4yCNucAU69yDn{_05oNTbpT6hQn0Bt@+MAW+Z={ECeK2>c1g3N-)ZGvQR8 z1lma^0Y%}zS0-G61gbBgK!KU&pLit}Exu9y@mmTO0KogV*8u2*93@70OWD8Re*v6C Bq*?#~ delta 9012 zcmZvCWmH_vvi9Ka?(QBWxVt+9f(3VnU>V$fAP^uB+#x`4cXxMp2(AOemz;afS?|5; zt3P{p$Pj%MxBvhm0Kkl=kc6fQji*p!_CoXL0WzVb0GfE` z31R{}bMhh<{S1N{exKN`hrAgYmPgtIpd{KgP;Jxa+K9cP<@vR;J5)+eihiV!P9Ad( zA0D%?x;$B(8D!u{bd?^i&--3Ff<()HhxyHf#k@S=S?5U?d>Sn(LPek}auO~|yOSbC zwTJzMdKjsies)oDNIn%h{&OmT0(f2KQqB`-p`;to+R|e6HI|i&dUA0@GV+H3vy6rZ z{`VXWJ`USd#lm0N>+GIPK9V;9ALzvTl32?*5M{-F6%|asDx1^9lcJ)*2g$%#3IUmPgR-Qh z8F}x@ZdryR0xz~ejPO3e!Zq?C9gHTr6A9rLk~}5_(N$@l=cgTy#iEF+SXW!vzO8Mh zDIH4o#I`05^$C5PEmvNBd%+4g>UK2}HWbM`@asvl(3&(CNYC8EHc+`!_dmO2hxjdF zMv@ZIIaOxwH!>h(*XG36Pr!|`C!!W5BHu!3fG{#A_QPCA>$8cvS7@9ReWD@mbNsC# z+Wv9A^F9Y)(*@2q$le1d(I?#ZwzsSC+N!@2#?cDJ-U==i#{QVbajZRe>DlivUN<1aQluB7n zmB`=E*g~Zm2Heq6kN!ktt%$vv5HG@>Pbd^ z49zZs5%6iI1SN)a(8nH`ndZik%WyNrBoP#-hYiu z(CstgRGeaYX>%~uN-W~oQa{^tmxq^x-$8@O-)zT2Po`{#VFTNkcl4n-rc|GqF$#j1 zIC_9ipWSDXiP-?78=d+3x0E#Sa)GFlJJFa0+FCxG?}7o<1EjU|n3Qie%ZbR)RllC# z?_l74+PIpnZDGFU4H%=yG#R1`1omFbkYRP{YO3}A-lUs%h&Q}_*{CfZpnwqB-MhRH z{|Yx~Uj#bZdI3|KJw6dWz&|;6SQ5r)_}}d<4pmdu&FWIf4b)1Uuy8hOThC@jFP~t@ zZLV8xdihN}IdXz_6STNpZevE8v_AN(ufnCH`z83&LF0fuP3wIp3feP{`LjG%-agNE zoG717*_TZl&2Bx!G1@)863ER=2zu>#9;AXVdb3y0Z-C1l;bhIK*TC#2`g{ME0ISl` z_otqm$Gbt6w*%+C_9c3f)#fG@`{eMwgHaKKg6xwOKQ$NV{NaSr_o z7~OmV{{UhkuKr^!bAGBD@b1c6eHc#TfCd|Xh zf~|sIOC!7JNr!!@btH`l9hpNMw*K$EN7{SFzM#w$jY(u93@5;DKYCMp2aZWy+M9pI zDWMYJ49hhe6N8y2q*!7@P(JW#ooJC@YgaRgaMzM9Shf^CCr4!4dHo7mA~wfJVC~pe z_mC?}7uC$wvFYCT>5gkP_l^Ii<9wXveNk8eq??1lsVgBMrjxQj*x>+xMM?nRe->Fp zM8v;~EU1Nv9BAma%m4K?M~8Ba7iXSZ1{t6bb!}l4!B$wFWoz9+C&0qOd;&vn7UkFx zT<}s-y&=zG%Euj(o{B22SW8}@l0nJ33`wNegL83#B3<*lnriJ__hoM?(l~>KfJF*= zM8pGFev$!SzFhcw6r}qB!I#b#!J|rpd0;P76_FDLU^Lz%5*SV2PHbaxqhm8u7V<^> z6T`+sl-%xX-wKB0$)wgfhtfr{rKQ@=-UQosVLW5i?^CM<4xiXtlfQmU;Sl)4aFp9C z`okDaX~}wd$C&xZ=ao7dXuFQ0uv3xSR9MZ z5LgKe`^X=+rajVNFjv_2vnfn_E@RY}hsyHGb=guLGS~V;!*)I~)Zv#M;dFY`&y(x; z5A6B-JS?xLz8_n>jS^7i98~1BTXG6}%8g{|M!oUIja5&REHtM&tWHzfm4R8=YY*i^ zEQa`LMjEMj0%pf{Rx_i=A(Z;!`$)Cj***P0%#+HqH@f3YgnnmghTQ4orn?_aG9T@8 zuewIHh(S_p^tn^^8~X$yku22g@_~lSr(rG*1Xi!Fw-z=kC33&Rz7K}s4JP31Y}(WB z#Hs6R<>O6rMka^-@dk*)o~^BmPeHgbUGQ-ksHu{VaM_WF?!LGjRn!zTow3 zxT}nV(T61-ol_f~pE5GLJjhN9fi(=HCAE4l+o6KHNOhS%%1F}jAe>va%BhsfqzO$U zZYQ)EGt5mWO&7LJu5!e`p?~{eJ88)!yD-4+?_`VJB4(!1(9xQgjh*KLmEL)R>J`~^ z7-OE6R7qR*oWiZYl02x80V_TSjk~$g&^4EEuGxrc_viiYQAJbe3voOalL`D*)5(Xb z<+o#1)D_cJuZNm+7S=;5vqR8%K!usMF#*dwS7uX7V91j5ByE52V%HuGhtHcCrCnl} zRHI(w6eE3lt58wy+9#q1RIQfi^CPL_sbekQVtq$?Qs14-tY=g?e|UqhUvsi3jqmvL zXA#R>)zEF?Jo0Mgcj{faL@y_5>>}xL8swLpL7twd*MTd?fn!@V0>SpfX&y69m5+ng z>g-V@)g3#NsTMse7Q(~oH{GYRXyf~^^ap)dLcrQZ#Bhb@F*?X+;D}YwgC7r0t$qjt zRsv2I2VF*5sww(E zUCApvcR0A-v)ZTn0=Gjg)@|}{Wad1NEL9WqdGu8qjquXrV!&LQmQw^ic$$n?arhfH z+i~~}N%+yh!G=~$j#c#X4d0@nDT2@Ut*aS=>zM^X@&wOcH-QrBn{Jb6^X?6!^KGu3 z==1JX6csY3-NC0m9g>3aYvKbob-kSaXpaLVkp^MqVs!)rz@Px{&Ug~pl%=cCnZ4dt zR^3!cR)6I?PAN!+4x?Yg8nm!!L$VY8(m0mNqN~;P&kCcuj_k#)${8O!w7t^ziN(|6 z+2`=rXFQ444`HHg+B`~ z32i1wtTEN~0xu-*g;l8sDK3JZ*i*8+S%DDx7Z=$)SbwBB@5<#6@5;O6LbKyphc`s` zhX$yL_YfzNKvFmf>{_{DzQAoVD-xI6?=|i+7Y&6MkO0N>*~~RZn6Gt_h45k!7tymf z9RPVH-w%yMOua}eat3pn`hW#}UCwi-3CjNDdXhSCpuUOwqsI6#Z@I@YJne^o-VB<~ z=x=9v;qu~>fz3ZEZ2Q^}PMxmYAc1ll&!;vuE8~N$Lsn2v2=pj$UB%@FUGZ_%I=9 ztnMuVP?qK9*u84~eSA_6m%(vvN$F3G@M+EPX=%>bGsXFfS1}t*&M*Ki{P<~pf?1Ej z0+#7)dyQ-q6ugcRhsZ#a3#c!*xGy(`GTyo*4e)!)(<-aNkb&|Gw`yOF($)$OG}Wda zk|Z-F@h!0py>%0Hr6r;()e7FnNUwaan53BmAk_eOvJo59S#KupN7*0*(IlZ5X^zNG zCkPo6aHxgPj1xOQ5Mn`fj$Je0@iU?oK1!n3*P~dXHE7u+K;_yM_bZoteaPxT_k;QV z9_q<=M}RkfJ4^$P2Fvik6KX{ur?+T>b$0Xh?)rRsTfUcIVQCghO!s#&srp%>GPY0Q zK;Lj*+es{oY9%l+|6+HuM&&&(%K6bxv@f}hV_1Lkh@P`~iYEpCD$caHb;`1a`(*2Y z1>XEl@&`cK5zjp9&FBO7Wnn%=cf;JLxQ5an=)uUE9k=#rS)-9~8dbcq75wwaF1e#} z4`#hF_}^0=1wSd@T(=EcJa{;*zjbMA%+9Xf4|k zewZZB_Z4$;pwG2>5j@1zB3cPU{MF3;BS-^^pI&=h!;- zlB)Rr{xBzXns4Q)$(|T(rtL%Y!D*(c&XYO|;Hy%kfsFtBXY%fpqh$^(pwNW2e)dW8 zB}(zLD0Beo^Ib?nYUD@;tb&^-!tVw^YA5vEOmVMyOw68V;#au2`{g)Tdp-BBSqVIXogh z-S2in0<=omao~|AY*^j= zK}A5w$15Xc&^T<_=Sa7~d^Tr;OJq5?oS1my@Q#@tq*di+7~_@(7l6}F{TPV!_CM#5@h5KuPt*a?K7C^OcSPq6uPU8@1LGd%nTGtJx( zxg-ghFePE-o}&Wyfo-ae1&&KPztDf{7Hwa%JwrDrO3kwZPs098QzP-!Wiv_IBc9yb zjCqO&1g91l>Rhm?d|Rj!{4KfR7Xe~4pav!nZe$8h;hV}6s~1$!T=*sNV2Fk42WT&a zIXSK~w1l8~0Tng}|E@!>9BF0qR^BM08wJ!W0oDO6`xphuPqvsWFfi0L`O_7Z5eWgZ_<~#xv%^B-Z=&E4`j9c-!VB8eHmeAeTA2K0)dPG$|Kg zK|ZhTY$&GHK=%94vMfJIJdB>bYj@X6CZVgSP|~Lq%{nsbotw&^%Qbeyn_W@6TG;!J zx+Z+*ay-|hIi0`}WC?P}Gl{I~Gh#0K)_h{C`@z{(-5hiT&1DirrzPu!zN=;4DFQ+*1v@(eZ`A3IliT*JqqrL$p1T?SG zeF$<3A>8Oz1Gr9ZX+A?pju}GXyZg$OyL)GG;AlKViP>~l8^VLoLJ48KuUITh+eZXT z**^i{n$m;nZgS-3pr#J&8>CQQ6xiRaT4&COnv3e%j|IxJpmB}f@W*jWKY5K?tE*dL z%Y+Ae$}DaONhuNG5o<8u zL-+_iy1HOtn!WANY|i>-5+1rH-@sy~2oAuNwr=VzVo<`3QNhIBh@S8G{Okbn9t6zi zatRgeXmf-{4rXeKa*hi>HKDF)^-kY98>7Q_JHXa$ddBQ{DaSx?3(1=7ZFEHR4skgp zFQpcZ^5JQ$#d>kQRIq(}xoT94^*=2B-Y|eJDrE=0>1Gl3X_mni1`h~JAaG<>F9F## zIJtxN$=mmeUrNxd3Z{JNb!B5y_T8?pKFm*O>rG>jS}(35e$mtS-peVI^FLuvu(Y(M zdoNoK=WSRqZTDJbk{aNW%1H0TBN|f|lBz$|t(gDfN&WFLPQRZUl@NXbud-piF^YpB zal$j9`OeYE{{Upd?R7Ejq8|h2lTlcZ62)3JFaJ52tBo8@tk|gK^L!r3?Pw#& z*erFGYa7RTkX5C6d7GDY?~)kC&@u+k|JblXbeQb1I35o!&;)o3OLBds^9qEo7;9T) z(dVYd@pSd|3$y6_x~b9aZ+PxFJMHcy*s~nO#(LDXuv(Olr^f7NW^qDa$RRCZ;N*@rsRjhT7>Nvk&t{)?tD%XNx##0GTKYAQ*KKNf1CaT@rEbJ8 zc<-=G(Qv`FccH-KJS(v3Q|aTBC&JTXS7J!sheE*oh7mO`aKle z!EM-Q*pIaCwlMFI%)~Gdv#oNLAuV&R_}9~F>cX_YYPW`!ZTp}~F#;DE7rNNQr^@+< zvU^D(pCx`9Sk96nDx@XV98oogab&g?f2(XP??)F}^V>!f=!DwnL^rWGvFI)$grX7*_Jj zYBd`};_Y;JxZ9M`EE@R5pxTrMXOxH`0l;*+sdt(!bF))Z^fvu$Br+XZp--fK?jdA_ zU@tgVqhXd2TE^r(pOTC4+T|G)NK&r;%AG;sT#0lwh>QAoJ~W;lseb+y9B$0c7!pN7 z;vPnqp(8tnGxi<+;Gt!efL@ibmVt8H{+nB;!et6SJI6C;6C5z2(T(P{ZtL55pB@-+ z>^RwKS%e9WLer@}V&>aIBv)R>*%5@zf^(%=#ql0elGceOrX46^^1`j_M^c$ewZ^t< zST)PWWQYWjNv$#GecswA%|IVoJklS-+YOr`%>Ye!Kycg?8xZbuO<;1t&w zrJu8Te#~<=u;_L^?5PN-jV7vvfT$WLw5`bN$U4 zVPP&`v|M_N;p`#e4dL&h+YPx46bB9fptSRU4&9^x{~$yF$ViwNSg<^xSc@$g8Br;o zC*P)1%ALvEmN7N$!fn|*B2g{uhNyi4CTjRDc z@-i}A_aG-RbnJl)RMW6AQqj*SvN)Qsq2pMq@$~({tROB`J%x$??pkmkP9p;$qeChVq~xmWzH3ZU-Audb8@rKCKmnXH^OG_8oou4s$d)^5nzYf8v!<{Rh&5%kg73eCMz{8 zE8JO+(WXctLtDa1J=^38T~}GFio;D+(`nLnsrf=PyN~rwO?p?nPfh!`+?y3gjl*Ls z2fo^nuf!R_TJ8`GF<#l{Yc%3bHrux3ZuN(KW0YX!nTteo6fyZ zI;h}f#-x*mZYG&dz^=}79h)X<=rDfp(D<`3ys`MyPvp34PiZrfayt;D^_uB-29GCcwhY~uQl__?>dO0oub zrS^rLMy&KJ5nlX=Izkj~cGoW=ny{Cb>UkPubZBk3d^7P{m?j0hi|m4!m*bkbL)m*y znu9j7L!IGtq*R3CAH?B(IbP)5R<(_;CRO(idDcwrWJ#fLZWTI)-;(Y>TWr-73dHr+7hHq zFEFx3C9HgZ(kvzUmCD}}l9+(Rt_H7vIiig<)+o3Ti^Nq|EP*TS};TxLZHW;%RwXVyqYnW7!V$JzJ^f z#^oo?TX#)eVnKN;ed0>y1`=b4H}EXz5q@MA4J_GRVYP$Ye@8QvoaOb1#PqsKOXdbM zd#&_ksDk-x(YaQPp4qye?}j&b2^r?xvF(t%xopYhjgbSe={>Add*i}PRp>2@&~NF| zhh^>VvVV#{NgU54h+{gLgdCQ|U_XDz>ES@$$B>!W&HBJC_{ywU2^1PoXa0P5PZ>B< zGMXV#rULS7}Y$f2bCs@+!-U9c%8icQ1O_B&uv_f-$BE1 zq_Gj3xSt$n^&pgt0vLO_eg@nV5^H@1D zG{tP{%F$hU>rZ(H1nKp4*k;r+FugweN3N_sd+s7xS(90vH|LzdD3jcVS@@~3WaUNk zQqAAgp<`918c5NH#p_1!MWcFYPZbNOn-cpEvGRyu6fugs1H;s9L=oq8ZMIGrCW*5| zDhxs@>?#>KwR40*@t@tfBsh#a(oOPE3iPuOnL7y z!d#b3_Rqc4JOJQK`hPZ-x+ZCUD1`sq;Q7hv{4J2ckfQ=w$)O|s zyLtjNBggmO_rJ1_%E&1HsIK^<&-2%eLzW6OqJ;XtL^-nj|0Cl2kA~pCHU8aa9frI) z)L)s0!oNVu6r@q{6r}!p%LsZ_VAub{_-6)$@{gPc0BB(X0L-18JS?3&*grcus4GE1 z;{tF2|Hha4=brkf8sr~DEdmNuBtZNF{xA1{auo5%NW=gDcMl&2OZWc|7HC?L1Ug;@ z^ji`Czb<=ICV?K81(B-!>jT-8NuX#!^U5?d|MuPg%FihN(K!HM0CG`b{;yyESVE`C zffSV){yO6?&NwJRnelG}MTrC&Mjq6p#6 0u) \ + ? (cyBtldrRunType) \ + : 0u) +#else + #define Bootloadable_1_GET_RUN_TYPE (CY_GET_REG8(CYREG_RESET_SR0) & \ + (Bootloadable_1_START_BTLDR | Bootloadable_1_START_APP)) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Schedule Bootloader/Bootloadable to be run after software reset +*******************************************************************************/ +#if(CY_PSOC4) + #define Bootloadable_1_SET_RUN_TYPE(x) (cyBtldrRunType = (x)) +#else + #define Bootloadable_1_SET_RUN_TYPE(x) CY_SET_REG8(CYREG_RESET_SR0, (x)) +#endif /* (CY_PSOC4) */ + + + +/*************************************** +* Function Prototypes +***************************************/ +extern void Bootloadable_1_Load(void) ; + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from version 1.10 +*******************************************************************************/ +#define CYBTDLR_SET_RUN_TYPE(x) Bootloadable_1_SET_RUN_TYPE(x) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from version 1.20 +*******************************************************************************/ +#define Bootloadable_1_START_APP (0x80u) +#define Bootloadable_1_START_BTLDR (0x40u) +#define Bootloadable_1_META_DATA_SIZE (64u) +#define Bootloadable_1_META_APP_CHECKSUM_OFFSET (0u) + +#if(CY_PSOC3) + + #define Bootloadable_1_APP_ADDRESS uint16 + #define Bootloadable_1_GET_CODE_WORD(idx) (*((uint32 CYCODE *) (idx))) + + /* Offset by 2 from 32 bit start because only need 16 bits */ + #define Bootloadable_1_META_APP_ADDR_OFFSET (3u) + #define Bootloadable_1_META_APP_BL_LAST_ROW_OFFSET (7u) + #define Bootloadable_1_META_APP_BYTE_LEN_OFFSET (11u) + #define Bootloadable_1_META_APP_RUN_TYPE_OFFSET (15u) + +#else + + #define Bootloadable_1_APP_ADDRESS uint32 + #define Bootloadable_1_GET_CODE_WORD(idx) (*((uint32 *)(CYDEV_FLASH_BASE + (idx)))) + + #define Bootloadable_1_META_APP_ADDR_OFFSET (1u) + #define Bootloadable_1_META_APP_BL_LAST_ROW_OFFSET (5u) + #define Bootloadable_1_META_APP_BYTE_LEN_OFFSET (9u) + #define Bootloadable_1_META_APP_RUN_TYPE_OFFSET (13u) + +#endif /* (CY_PSOC3) */ + +#define Bootloadable_1_META_APP_ACTIVE_OFFSET (16u) +#define Bootloadable_1_META_APP_VERIFIED_OFFSET (17u) + +#define Bootloadable_1_META_APP_BL_BUILD_VER_OFFSET (18u) +#define Bootloadable_1_META_APP_ID_OFFSET (20u) +#define Bootloadable_1_META_APP_VER_OFFSET (22u) +#define Bootloadable_1_META_APP_CUST_ID_OFFSET (24u) + +#define Bootloadable_1_SetFlashRunType(runType) \ + Bootloadable_1_SetFlashByte(Bootloadable_1_MD_APP_RUN_ADDR(0), (runType)) + +void Bootloadable_1_SetFlashByte(uint32 address, uint8 runType) ; + +#if(CY_PSOC4) + #define Bootloadable_1_SOFTWARE_RESET CY_SET_REG32(CYREG_CM0_AIRCR, 0x05FA0004u) +#else + #define Bootloadable_1_SOFTWARE_RESET CY_SET_REG8(CYREG_RESET_CR2, 0x01u) +#endif /* (CY_PSOC4) */ + +#if(CY_PSOC4) + extern uint8 appRunType; +#endif /* (CY_PSOC4) */ + + +#endif /* CY_BOOTLOADABLE_Bootloadable_1_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3Iar.icf b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3Iar.icf index 0cda8fb..7d0b80b 100644 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3Iar.icf +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3Iar.icf @@ -5,9 +5,9 @@ define symbol __ICFEDIT_intvec_start__ = 0x00000000; /*-Memory Regions-*/ define symbol __ICFEDIT_region_ROM_start__ = 0x0; -define symbol __ICFEDIT_region_ROM_end__ = 262144 - 1; -define symbol __ICFEDIT_region_RAM_start__ = 0x20000000 - (65536 / 2); -define symbol __ICFEDIT_region_RAM_end__ = 0x20000000 + (65536 / 2) - 1; +define symbol __ICFEDIT_region_ROM_end__ = 131072 - 1; +define symbol __ICFEDIT_region_RAM_start__ = 0x20000000 - (32768 / 2); +define symbol __ICFEDIT_region_RAM_end__ = 0x20000000 + (32768 / 2) - 1; /*-Sizes-*/ define symbol __ICFEDIT_size_cstack__ = 0x4000; define symbol __ICFEDIT_size_heap__ = 0x1000; @@ -15,19 +15,19 @@ define symbol __ICFEDIT_size_heap__ = 0x1000; /******** Definitions ********/ -define symbol CY_APPL_LOADABLE = 0; +define symbol CY_APPL_LOADABLE = 1; define symbol CY_APPL_LOADER = 0; define symbol CY_APPL_NUM = 1; define symbol CY_APPL_MAX = 1; define symbol CY_METADATA_SIZE = 64; -define symbol CY_EE_IN_BTLDR = 0x0; +define symbol CY_EE_IN_BTLDR = 0x00; define symbol CY_EE_SIZE = 2048; - +include "cybootloader.icf"; if (!CY_APPL_LOADABLE) { define symbol CYDEV_BTLDR_SIZE = 0; } -define symbol CY_FLASH_SIZE = 262144; +define symbol CY_FLASH_SIZE = 131072; define symbol CY_APPL_ORIGIN = 0; define symbol CY_FLASH_ROW_SIZE = 256; define symbol CY_ECC_ROW_SIZE = 32; diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3RealView.scat b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3RealView.scat index 3f58d9b..db96f5f 100644 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3RealView.scat +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3RealView.scat @@ -32,7 +32,7 @@ ;********************************************************************************/ #include "cyfitter.h" -#define CY_FLASH_SIZE 262144 +#define CY_FLASH_SIZE 131072 #define CY_APPL_ORIGIN 0 #define CY_FLASH_ROW_SIZE 256 #define CY_ECC_ROW_SIZE 32 @@ -44,7 +44,7 @@ #if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) #define CY_APPL_NUM 1 #define CY_APPL_MAX 1 - #define CY_EE_IN_BTLDR + #define CY_EE_IN_BTLDR 0 #if CY_APPL_ORIGIN #define APPL1_START CY_APPL_ORIGIN @@ -97,7 +97,7 @@ APPLICATION APPL_START (CY_FLASH_SIZE - APPL_START) * (+RO) } - ISRVECTORS (0x20000000 - (65536 / 2)) UNINIT + ISRVECTORS (0x20000000 - (32768 / 2)) UNINIT { * (.ramvectors) } @@ -112,11 +112,11 @@ APPLICATION APPL_START (CY_FLASH_SIZE - APPL_START) .ANY (+RW, +ZI) } - ARM_LIB_HEAP (0x20000000 + (65536 / 2) - 0x1000 - 0x4000) EMPTY 0x1000 + ARM_LIB_HEAP (0x20000000 + (32768 / 2) - 0x1000 - 0x4000) EMPTY 0x1000 { } - ARM_LIB_STACK (0x20000000 + (65536 / 2)) EMPTY -0x4000 + ARM_LIB_STACK (0x20000000 + (32768 / 2)) EMPTY -0x4000 { } } diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.c new file mode 100644 index 0000000..61d6b01 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.c @@ -0,0 +1,1335 @@ +/******************************************************************************* +* File Name: USBFS_1.c +* Version 2.60 +* +* Description: +* API for USBFS Component. +* +* Note: +* Many of the functions use endpoint number. RAM arrays are sized with 9 +* elements so they are indexed directly by epNumber. The SIE and ARB +* registers are indexed by variations of epNumber - 1. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "USBFS_1.h" +#include "USBFS_1_pvt.h" +#include "USBFS_1_hid.h" +#if(USBFS_1_DMA1_REMOVE == 0u) + #include "USBFS_1_ep1_dma.h" +#endif /* End USBFS_1_DMA1_REMOVE */ +#if(USBFS_1_DMA2_REMOVE == 0u) + #include "USBFS_1_ep2_dma.h" +#endif /* End USBFS_1_DMA2_REMOVE */ +#if(USBFS_1_DMA3_REMOVE == 0u) + #include "USBFS_1_ep3_dma.h" +#endif /* End USBFS_1_DMA3_REMOVE */ +#if(USBFS_1_DMA4_REMOVE == 0u) + #include "USBFS_1_ep4_dma.h" +#endif /* End USBFS_1_DMA4_REMOVE */ +#if(USBFS_1_DMA5_REMOVE == 0u) + #include "USBFS_1_ep5_dma.h" +#endif /* End USBFS_1_DMA5_REMOVE */ +#if(USBFS_1_DMA6_REMOVE == 0u) + #include "USBFS_1_ep6_dma.h" +#endif /* End USBFS_1_DMA6_REMOVE */ +#if(USBFS_1_DMA7_REMOVE == 0u) + #include "USBFS_1_ep7_dma.h" +#endif /* End USBFS_1_DMA7_REMOVE */ +#if(USBFS_1_DMA8_REMOVE == 0u) + #include "USBFS_1_ep8_dma.h" +#endif /* End USBFS_1_DMA8_REMOVE */ + + +/*************************************** +* Global data allocation +***************************************/ + +uint8 USBFS_1_initVar = 0u; +#if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + uint8 USBFS_1_DmaChan[USBFS_1_MAX_EP]; + uint8 USBFS_1_DmaTd[USBFS_1_MAX_EP]; +#endif /* End USBFS_1_EP_MM */ + + +/******************************************************************************* +* Function Name: USBFS_1_Start +******************************************************************************** +* +* Summary: +* This function initialize the USB SIE, arbiter and the +* endpoint APIs, including setting the D+ Pullup +* +* Parameters: +* device: Contains the device number of the desired device descriptor. +* The device number can be found in the Device Descriptor Tab of +* "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* mode: The operating voltage. This determines whether the voltage regulator +* is enabled for 5V operation or if pass through mode is used for 3.3V +* operation. Symbolic names and their associated values are given in the +* following table. +* USBFS_1_3V_OPERATION - Disable voltage regulator and pass-thru +* Vcc for pull-up +* USBFS_1_5V_OPERATION - Enable voltage regulator and use +* regulator for pull-up +* USBFS_1_DWR_VDDD_OPERATION - Enable or Disable voltage +* regulator depend on Vddd Voltage configuration in DWR. +* +* Return: +* None. +* +* Global variables: +* The USBFS_1_intiVar variable is used to indicate initial +* configuration of this component. The variable is initialized to zero (0u) +* and set to one (1u) the first time USBFS_1_Start() is called. +* This allows for component Re-Start without unnecessary re-initialization +* in all subsequent calls to the USBFS_1_Start() routine. +* If re-initialization of the component is required the variable should be set +* to zero before call of UART_Start() routine, or the user may call +* USBFS_1_Init() and USBFS_1_InitComponent() as done +* in the USBFS_1_Start() routine. +* +* Side Effects: +* This function will reset all communication states to default. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_Start(uint8 device, uint8 mode) +{ + /* If not Initialized then initialize all required hardware and software */ + if(USBFS_1_initVar == 0u) + { + USBFS_1_Init(); + USBFS_1_initVar = 1u; + } + USBFS_1_InitComponent(device, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_1_Init +******************************************************************************** +* +* Summary: +* Initialize component's hardware. Usually called in USBFS_1_Start(). +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_Init(void) +{ + uint8 enableInterrupts; + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + uint16 i; + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + enableInterrupts = CyEnterCriticalSection(); + + /* Enable USB block */ + USBFS_1_PM_ACT_CFG_REG |= USBFS_1_PM_ACT_EN_FSUSB; + /* Enable USB block for Standby Power Mode */ + USBFS_1_PM_STBY_CFG_REG |= USBFS_1_PM_STBY_EN_FSUSB; + + /* Enable core clock */ + USBFS_1_USB_CLK_EN_REG = USBFS_1_USB_CLK_ENABLE; + + USBFS_1_CR1_REG = USBFS_1_CR1_ENABLE_LOCK; + + /* ENABLING USBIO PADS IN USB MODE FROM I/O MODE */ + /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + USBFS_1_USBIO_CR0_REG &= ((uint8)(~USBFS_1_USBIO_CR0_TEN)); + CyDelayUs(0u); /*~50ns delay */ + /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) + * high. This will have been set low by the power manger out of reset. + * Also confirm USBIO pull-up disabled + */ + USBFS_1_PM_USB_CR0_REG &= ((uint8)(~(USBFS_1_PM_USB_CR0_PD_N | + USBFS_1_PM_USB_CR0_PD_PULLUP_N))); + + /* Select iomode to USB mode*/ + USBFS_1_USBIO_CR1_REG &= ((uint8)(~USBFS_1_USBIO_CR1_IOMODE)); + + /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_1_PM_USB_CR0_REG |= USBFS_1_PM_USB_CR0_REF_EN; + /* The reference will be available 1 us after the regulator is enabled */ + CyDelayUs(1u); + /* OR 40us after power restored */ + CyDelayUs(40u); + /* Ensure the single ended disable bits are low (PRT15.INP_DIS[7:6])(input receiver enabled). */ + USBFS_1_DM_INP_DIS_REG &= ((uint8)(~USBFS_1_DM_MASK)); + USBFS_1_DP_INP_DIS_REG &= ((uint8)(~USBFS_1_DP_MASK)); + + /* Enable USBIO */ + USBFS_1_PM_USB_CR0_REG |= USBFS_1_PM_USB_CR0_PD_N; + CyDelayUs(2u); + /* Set the USBIO pull-up enable */ + USBFS_1_PM_USB_CR0_REG |= USBFS_1_PM_USB_CR0_PD_PULLUP_N; + + /* Write WAx */ + CY_SET_REG8(USBFS_1_ARB_RW1_WA_PTR, 0u); + CY_SET_REG8(USBFS_1_ARB_RW1_WA_MSB_PTR, 0u); + + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + /* Init transfer descriptor. This will be used to detect the DMA state - initialized or not. */ + for (i = 0u; i < USBFS_1_MAX_EP; i++) + { + USBFS_1_DmaTd[i] = DMA_INVALID_TD; + } + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + CyExitCriticalSection(enableInterrupts); + + + /* Set the bus reset Interrupt. */ + (void) CyIntSetVector(USBFS_1_BUS_RESET_VECT_NUM, &USBFS_1_BUS_RESET_ISR); + CyIntSetPriority(USBFS_1_BUS_RESET_VECT_NUM, USBFS_1_BUS_RESET_PRIOR); + + /* Set the SOF Interrupt. */ + #if(USBFS_1_SOF_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_SOF_VECT_NUM, &USBFS_1_SOF_ISR); + CyIntSetPriority(USBFS_1_SOF_VECT_NUM, USBFS_1_SOF_PRIOR); + #endif /* End USBFS_1_SOF_ISR_REMOVE */ + + /* Set the Control Endpoint Interrupt. */ + (void) CyIntSetVector(USBFS_1_EP_0_VECT_NUM, &USBFS_1_EP_0_ISR); + CyIntSetPriority(USBFS_1_EP_0_VECT_NUM, USBFS_1_EP_0_PRIOR); + + /* Set the Data Endpoint 1 Interrupt. */ + #if(USBFS_1_EP1_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_1_VECT_NUM, &USBFS_1_EP_1_ISR); + CyIntSetPriority(USBFS_1_EP_1_VECT_NUM, USBFS_1_EP_1_PRIOR); + #endif /* End USBFS_1_EP1_ISR_REMOVE */ + + /* Set the Data Endpoint 2 Interrupt. */ + #if(USBFS_1_EP2_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_2_VECT_NUM, &USBFS_1_EP_2_ISR); + CyIntSetPriority(USBFS_1_EP_2_VECT_NUM, USBFS_1_EP_2_PRIOR); + #endif /* End USBFS_1_EP2_ISR_REMOVE */ + + /* Set the Data Endpoint 3 Interrupt. */ + #if(USBFS_1_EP3_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_3_VECT_NUM, &USBFS_1_EP_3_ISR); + CyIntSetPriority(USBFS_1_EP_3_VECT_NUM, USBFS_1_EP_3_PRIOR); + #endif /* End USBFS_1_EP3_ISR_REMOVE */ + + /* Set the Data Endpoint 4 Interrupt. */ + #if(USBFS_1_EP4_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_4_VECT_NUM, &USBFS_1_EP_4_ISR); + CyIntSetPriority(USBFS_1_EP_4_VECT_NUM, USBFS_1_EP_4_PRIOR); + #endif /* End USBFS_1_EP4_ISR_REMOVE */ + + /* Set the Data Endpoint 5 Interrupt. */ + #if(USBFS_1_EP5_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_5_VECT_NUM, &USBFS_1_EP_5_ISR); + CyIntSetPriority(USBFS_1_EP_5_VECT_NUM, USBFS_1_EP_5_PRIOR); + #endif /* End USBFS_1_EP5_ISR_REMOVE */ + + /* Set the Data Endpoint 6 Interrupt. */ + #if(USBFS_1_EP6_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_6_VECT_NUM, &USBFS_1_EP_6_ISR); + CyIntSetPriority(USBFS_1_EP_6_VECT_NUM, USBFS_1_EP_6_PRIOR); + #endif /* End USBFS_1_EP6_ISR_REMOVE */ + + /* Set the Data Endpoint 7 Interrupt. */ + #if(USBFS_1_EP7_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_7_VECT_NUM, &USBFS_1_EP_7_ISR); + CyIntSetPriority(USBFS_1_EP_7_VECT_NUM, USBFS_1_EP_7_PRIOR); + #endif /* End USBFS_1_EP7_ISR_REMOVE */ + + /* Set the Data Endpoint 8 Interrupt. */ + #if(USBFS_1_EP8_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_EP_8_VECT_NUM, &USBFS_1_EP_8_ISR); + CyIntSetPriority(USBFS_1_EP_8_VECT_NUM, USBFS_1_EP_8_PRIOR); + #endif /* End USBFS_1_EP8_ISR_REMOVE */ + + #if((USBFS_1_EP_MM != USBFS_1__EP_MANUAL) && (USBFS_1_ARB_ISR_REMOVE == 0u)) + /* Set the ARB Interrupt. */ + (void) CyIntSetVector(USBFS_1_ARB_VECT_NUM, &USBFS_1_ARB_ISR); + CyIntSetPriority(USBFS_1_ARB_VECT_NUM, USBFS_1_ARB_PRIOR); + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + +} + + +/******************************************************************************* +* Function Name: USBFS_1_InitComponent +******************************************************************************** +* +* Summary: +* Initialize the component, except for the HW which is done one time in +* the Start function. This function pulls up D+. +* +* Parameters: +* device: Contains the device number of the desired device descriptor. +* The device number can be found in the Device Descriptor Tab of +* "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* mode: The operating voltage. This determines whether the voltage regulator +* is enabled for 5V operation or if pass through mode is used for 3.3V +* operation. Symbolic names and their associated values are given in the +* following table. +* USBFS_1_3V_OPERATION - Disable voltage regulator and pass-thru +* Vcc for pull-up +* USBFS_1_5V_OPERATION - Enable voltage regulator and use +* regulator for pull-up +* USBFS_1_DWR_VDDD_OPERATION - Enable or Disable voltage +* regulator depend on Vddd Voltage configuration in DWR. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_device: Contains the device number of the desired device +* descriptor. The device number can be found in the Device Descriptor Tab +* of "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* USBFS_1_transferState: This variable used by the communication +* functions to handle current transfer state. Initialized to +* TRANS_STATE_IDLE in this API. +* USBFS_1_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_1_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_1_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_1_lastPacketSize initialized to 0; +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_InitComponent(uint8 device, uint8 mode) +{ + /* Initialize _hidProtocol variable to comply with + * HID 7.2.6 Set_Protocol Request: + * "When initialized, all devices default to report protocol." + */ + #if defined(USBFS_1_ENABLE_HID_CLASS) + uint8 i; + + for (i = 0u; i < USBFS_1_MAX_INTERFACES_NUMBER; i++) + { + USBFS_1_hidProtocol[i] = USBFS_1_PROTOCOL_REPORT; + } + #endif /* USBFS_1_ENABLE_HID_CLASS */ + + /* Enable Interrupts. */ + CyIntEnable(USBFS_1_BUS_RESET_VECT_NUM); + CyIntEnable(USBFS_1_EP_0_VECT_NUM); + #if(USBFS_1_EP1_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_1_VECT_NUM); + #endif /* End USBFS_1_EP1_ISR_REMOVE */ + #if(USBFS_1_EP2_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_2_VECT_NUM); + #endif /* End USBFS_1_EP2_ISR_REMOVE */ + #if(USBFS_1_EP3_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_3_VECT_NUM); + #endif /* End USBFS_1_EP3_ISR_REMOVE */ + #if(USBFS_1_EP4_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_4_VECT_NUM); + #endif /* End USBFS_1_EP4_ISR_REMOVE */ + #if(USBFS_1_EP5_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_5_VECT_NUM); + #endif /* End USBFS_1_EP5_ISR_REMOVE */ + #if(USBFS_1_EP6_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_6_VECT_NUM); + #endif /* End USBFS_1_EP6_ISR_REMOVE */ + #if(USBFS_1_EP7_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_7_VECT_NUM); + #endif /* End USBFS_1_EP7_ISR_REMOVE */ + #if(USBFS_1_EP8_ISR_REMOVE == 0u) + CyIntEnable(USBFS_1_EP_8_VECT_NUM); + #endif /* End USBFS_1_EP8_ISR_REMOVE */ + #if((USBFS_1_EP_MM != USBFS_1__EP_MANUAL) && (USBFS_1_ARB_ISR_REMOVE == 0u)) + /* usb arb interrupt enable */ + USBFS_1_ARB_INT_EN_REG = USBFS_1_ARB_INT_MASK; + CyIntEnable(USBFS_1_ARB_VECT_NUM); + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + /* Arbiter configuration for DMA transfers */ + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL) + USBFS_1_ARB_CFG_REG = USBFS_1_ARB_CFG_MANUAL_DMA; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL */ + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /*Set cfg cmplt this rises DMA request when the full configuration is done */ + USBFS_1_ARB_CFG_REG = USBFS_1_ARB_CFG_AUTO_DMA | USBFS_1_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + USBFS_1_transferState = USBFS_1_TRANS_STATE_IDLE; + + /* USB Locking: Enabled, VRegulator: depend on mode or DWR Voltage configuration*/ + switch(mode) + { + case USBFS_1_3V_OPERATION: + USBFS_1_CR1_REG = USBFS_1_CR1_ENABLE_LOCK; + break; + case USBFS_1_5V_OPERATION: + USBFS_1_CR1_REG = USBFS_1_CR1_ENABLE_LOCK | USBFS_1_CR1_REG_ENABLE; + break; + default: /*USBFS_1_DWR_VDDD_OPERATION */ + #if(USBFS_1_VDDD_MV < USBFS_1_3500MV) + USBFS_1_CR1_REG = USBFS_1_CR1_ENABLE_LOCK; + #else + USBFS_1_CR1_REG = USBFS_1_CR1_ENABLE_LOCK | USBFS_1_CR1_REG_ENABLE; + #endif /* End USBFS_1_VDDD_MV < USBFS_1_3500MV */ + break; + } + + /* Record the descriptor selection */ + USBFS_1_device = device; + + /* Clear all of the component data */ + USBFS_1_configuration = 0u; + USBFS_1_interfaceNumber = 0u; + USBFS_1_configurationChanged = 0u; + USBFS_1_deviceAddress = 0u; + USBFS_1_deviceStatus = 0u; + + USBFS_1_lastPacketSize = 0u; + + /* ACK Setup, Stall IN/OUT */ + CY_SET_REG8(USBFS_1_EP0_CR_PTR, USBFS_1_MODE_STALL_IN_OUT); + + /* Enable the SIE with an address 0 */ + CY_SET_REG8(USBFS_1_CR0_PTR, USBFS_1_CR0_ENABLE); + + /* Workaround for PSOC5LP */ + CyDelayCycles(1u); + + /* Finally, Enable d+ pullup and select iomode to USB mode*/ + CY_SET_REG8(USBFS_1_USBIO_CR1_PTR, USBFS_1_USBIO_CR1_USBPUEN); +} + + +/******************************************************************************* +* Function Name: USBFS_1_ReInitComponent +******************************************************************************** +* +* Summary: +* This function reinitialize the component configuration and is +* intend to be called from the Reset interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_device: Contains the device number of the desired device +* descriptor. The device number can be found in the Device Descriptor Tab +* of "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* USBFS_1_transferState: This variable used by the communication +* functions to handle current transfer state. Initialized to +* TRANS_STATE_IDLE in this API. +* USBFS_1_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_1_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_1_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_1_lastPacketSize initialized to 0; +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_ReInitComponent(void) +{ + /* Initialize _hidProtocol variable to comply with HID 7.2.6 Set_Protocol + * Request: "When initialized, all devices default to report protocol." + */ + #if defined(USBFS_1_ENABLE_HID_CLASS) + uint8 i; + + for (i = 0u; i < USBFS_1_MAX_INTERFACES_NUMBER; i++) + { + USBFS_1_hidProtocol[i] = USBFS_1_PROTOCOL_REPORT; + } + #endif /* USBFS_1_ENABLE_HID_CLASS */ + + USBFS_1_transferState = USBFS_1_TRANS_STATE_IDLE; + + /* Clear all of the component data */ + USBFS_1_configuration = 0u; + USBFS_1_interfaceNumber = 0u; + USBFS_1_configurationChanged = 0u; + USBFS_1_deviceAddress = 0u; + USBFS_1_deviceStatus = 0u; + + USBFS_1_lastPacketSize = 0u; + + + /* ACK Setup, Stall IN/OUT */ + CY_SET_REG8(USBFS_1_EP0_CR_PTR, USBFS_1_MODE_STALL_IN_OUT); + + /* Enable the SIE with an address 0 */ + CY_SET_REG8(USBFS_1_CR0_PTR, USBFS_1_CR0_ENABLE); + +} + + +/******************************************************************************* +* Function Name: USBFS_1_Stop +******************************************************************************** +* +* Summary: +* This function shuts down the USB function including to release +* the D+ Pullup and disabling the SIE. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_1_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_1_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_1_configurationChanged: This variable is set to one after +* SET_CONFIGURATION request and cleared in this function. +* USBFS_1_intiVar variable is set to zero +* +*******************************************************************************/ +void USBFS_1_Stop(void) +{ + + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + USBFS_1_Stop_DMA(USBFS_1_MAX_EP); /* Stop all DMAs */ + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + /* Disable the SIE */ + USBFS_1_CR0_REG &= (uint8)(~USBFS_1_CR0_ENABLE); + /* Disable the d+ pullup */ + USBFS_1_USBIO_CR1_REG &= (uint8)(~USBFS_1_USBIO_CR1_USBPUEN); + /* Disable USB in ACT PM */ + USBFS_1_PM_ACT_CFG_REG &= (uint8)(~USBFS_1_PM_ACT_EN_FSUSB); + /* Disable USB block for Standby Power Mode */ + USBFS_1_PM_STBY_CFG_REG &= (uint8)(~USBFS_1_PM_STBY_EN_FSUSB); + + /* Disable the reset and EP interrupts */ + CyIntDisable(USBFS_1_BUS_RESET_VECT_NUM); + CyIntDisable(USBFS_1_EP_0_VECT_NUM); + #if(USBFS_1_EP1_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_1_VECT_NUM); + #endif /* End USBFS_1_EP1_ISR_REMOVE */ + #if(USBFS_1_EP2_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_2_VECT_NUM); + #endif /* End USBFS_1_EP2_ISR_REMOVE */ + #if(USBFS_1_EP3_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_3_VECT_NUM); + #endif /* End USBFS_1_EP3_ISR_REMOVE */ + #if(USBFS_1_EP4_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_4_VECT_NUM); + #endif /* End USBFS_1_EP4_ISR_REMOVE */ + #if(USBFS_1_EP5_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_5_VECT_NUM); + #endif /* End USBFS_1_EP5_ISR_REMOVE */ + #if(USBFS_1_EP6_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_6_VECT_NUM); + #endif /* End USBFS_1_EP6_ISR_REMOVE */ + #if(USBFS_1_EP7_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_7_VECT_NUM); + #endif /* End USBFS_1_EP7_ISR_REMOVE */ + #if(USBFS_1_EP8_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_EP_8_VECT_NUM); + #endif /* End USBFS_1_EP8_ISR_REMOVE */ + + /* Clear all of the component data */ + USBFS_1_configuration = 0u; + USBFS_1_interfaceNumber = 0u; + USBFS_1_configurationChanged = 0u; + USBFS_1_deviceAddress = 0u; + USBFS_1_deviceStatus = 0u; + USBFS_1_initVar = 0u; + +} + + +/******************************************************************************* +* Function Name: USBFS_1_CheckActivity +******************************************************************************** +* +* Summary: +* Returns the activity status of the bus. Clears the status hardware to +* provide fresh activity status on the next call of this routine. +* +* Parameters: +* None. +* +* Return: +* 1 - If bus activity was detected since the last call to this function +* 0 - If bus activity not was detected since the last call to this function +* +*******************************************************************************/ +uint8 USBFS_1_CheckActivity(void) +{ + uint8 r; + + r = CY_GET_REG8(USBFS_1_CR1_PTR); + CY_SET_REG8(USBFS_1_CR1_PTR, (r & ((uint8)(~USBFS_1_CR1_BUS_ACTIVITY)))); + + return((r & USBFS_1_CR1_BUS_ACTIVITY) >> USBFS_1_CR1_BUS_ACTIVITY_SHIFT); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetConfiguration +******************************************************************************** +* +* Summary: +* Returns the current configuration setting +* +* Parameters: +* None. +* +* Return: +* configuration. +* +*******************************************************************************/ +uint8 USBFS_1_GetConfiguration(void) +{ + return(USBFS_1_configuration); +} + + +/******************************************************************************* +* Function Name: USBFS_1_IsConfigurationChanged +******************************************************************************** +* +* Summary: +* Returns the clear on read configuration state. It is usefull when PC send +* double SET_CONFIGURATION request with same configuration number. +* +* Parameters: +* None. +* +* Return: +* Not zero value when new configuration has been changed, otherwise zero is +* returned. +* +* Global variables: +* USBFS_1_configurationChanged: This variable is set to one after +* SET_CONFIGURATION request and cleared in this function. +* +*******************************************************************************/ +uint8 USBFS_1_IsConfigurationChanged(void) +{ + uint8 res = 0u; + + if(USBFS_1_configurationChanged != 0u) + { + res = USBFS_1_configurationChanged; + USBFS_1_configurationChanged = 0u; + } + + return(res); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetInterfaceSetting +******************************************************************************** +* +* Summary: +* Returns the alternate setting from current interface +* +* Parameters: +* uint8 interfaceNumber, interface number +* +* Return: +* Alternate setting. +* +*******************************************************************************/ +uint8 USBFS_1_GetInterfaceSetting(uint8 interfaceNumber) + +{ + return(USBFS_1_interfaceSetting[interfaceNumber]); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetEPState +******************************************************************************** +* +* Summary: +* Returned the state of the requested endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* +* Return: +* State of the requested endpoint. +* +*******************************************************************************/ +uint8 USBFS_1_GetEPState(uint8 epNumber) +{ + return(USBFS_1_EP[epNumber].apiEpState); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetEPCount +******************************************************************************** +* +* Summary: +* This function supports Data Endpoints only(EP1-EP8). +* Returns the transfer count for the requested endpoint. The value from +* the count registers includes 2 counts for the two byte checksum of the +* packet. This function subtracts the two counts. +* +* Parameters: +* epNumber: Data Endpoint Number. +* Valid values are between 1 and 8. +* +* Return: +* Returns the current byte count from the specified endpoint or 0 for an +* invalid endpoint. +* +*******************************************************************************/ +uint16 USBFS_1_GetEPCount(uint8 epNumber) +{ + uint8 ri; + uint16 result = 0u; + + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP)) + { + ri = ((epNumber - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + + result = (uint8)(CY_GET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT0_IND + ri)) & + USBFS_1_EPX_CNT0_MASK); + result = (result << 8u) | CY_GET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT1_IND + ri)); + result -= USBFS_1_EPX_CNTX_CRC_COUNT; + } + return(result); +} + + +#if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + + + /******************************************************************************* + * Function Name: USBFS_1_InitEP_DMA + ******************************************************************************** + * + * Summary: + * This function allocates and initializes a DMA channel to be used by the + * USBFS_1_LoadInEP() or USBFS_1_ReadOutEP() APIs for data + * transfer. + * + * Parameters: + * epNumber: Contains the data endpoint number. + * Valid values are between 1 and 8. + * *pData: Pointer to a data array that is related to the EP transfers. + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_InitEP_DMA(uint8 epNumber, const uint8 *pData) + + { + uint16 src; + uint16 dst; + #if (CY_PSOC3) /* PSoC 3 */ + src = HI16(CYDEV_SRAM_BASE); + dst = HI16(CYDEV_PERIPH_BASE); + pData = pData; + #else /* PSoC 5 */ + if((USBFS_1_EP[epNumber].addr & USBFS_1_DIR_IN) != 0u ) + { /* for the IN EP source is the SRAM memory buffer */ + src = HI16(pData); + dst = HI16(CYDEV_PERIPH_BASE); + } + else + { /* for the OUT EP source is the SIE register */ + src = HI16(CYDEV_PERIPH_BASE); + dst = HI16(pData); + } + #endif /* End C51 */ + switch(epNumber) + { + case USBFS_1_EP1: + #if(USBFS_1_DMA1_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep1_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA1_REMOVE */ + break; + case USBFS_1_EP2: + #if(USBFS_1_DMA2_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep2_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA2_REMOVE */ + break; + case USBFS_1_EP3: + #if(USBFS_1_DMA3_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep3_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA3_REMOVE */ + break; + case USBFS_1_EP4: + #if(USBFS_1_DMA4_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep4_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA4_REMOVE */ + break; + case USBFS_1_EP5: + #if(USBFS_1_DMA5_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep5_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA5_REMOVE */ + break; + case USBFS_1_EP6: + #if(USBFS_1_DMA6_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep6_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA6_REMOVE */ + break; + case USBFS_1_EP7: + #if(USBFS_1_DMA7_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep7_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA7_REMOVE */ + break; + case USBFS_1_EP8: + #if(USBFS_1_DMA8_REMOVE == 0u) + USBFS_1_DmaChan[epNumber] = USBFS_1_ep8_DmaInitialize( + USBFS_1_DMA_BYTES_PER_BURST, USBFS_1_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_1_DMA8_REMOVE */ + break; + default: + /* Do not support EP0 DMA transfers */ + break; + } + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP)) + { + USBFS_1_DmaTd[epNumber] = CyDmaTdAllocate(); + } + } + + + /******************************************************************************* + * Function Name: USBFS_1_Stop_DMA + ******************************************************************************** + * + * Summary: Stops and free DMA + * + * Parameters: + * epNumber: Contains the data endpoint number or + * USBFS_1_MAX_EP to stop all DMAs + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_Stop_DMA(uint8 epNumber) + { + uint8 i; + i = (epNumber < USBFS_1_MAX_EP) ? epNumber : USBFS_1_EP1; + do + { + if(USBFS_1_DmaTd[i] != DMA_INVALID_TD) + { + (void) CyDmaChDisable(USBFS_1_DmaChan[i]); + CyDmaTdFree(USBFS_1_DmaTd[i]); + USBFS_1_DmaTd[i] = DMA_INVALID_TD; + } + i++; + }while((i < USBFS_1_MAX_EP) && (epNumber == USBFS_1_MAX_EP)); + } + +#endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + +/******************************************************************************* +* Function Name: USBFS_1_LoadInEP +******************************************************************************** +* +* Summary: +* Loads and enables the specified USB data endpoint for an IN interrupt or bulk +* transfer. +* +* Parameters: +* epNumber: Contains the data endpoint number. +* Valid values are between 1 and 8. +* *pData: A pointer to a data array from which the data for the endpoint space +* is loaded. +* length: The number of bytes to transfer from the array and then send as a +* result of an IN request. Valid values are between 0 and 512. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + +{ + uint8 ri; + reg8 *p; + #if(USBFS_1_EP_MM == USBFS_1__EP_MANUAL) + uint16 i; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_MANUAL */ + + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP)) + { + ri = ((epNumber - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + p = (reg8 *)(USBFS_1_ARB_RW1_DR_IND + ri); + + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + /* Limits length to available buffer space, auto MM could send packets up to 1024 bytes */ + if(length > (USBFS_1_EPX_DATA_BUF_MAX - USBFS_1_EP[epNumber].buffOffset)) + { + length = USBFS_1_EPX_DATA_BUF_MAX - USBFS_1_EP[epNumber].buffOffset; + } + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + + /* Set the count and data toggle */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT0_IND + ri), + (length >> 8u) | (USBFS_1_EP[epNumber].epToggle)); + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT1_IND + ri), length & 0xFFu); + + #if(USBFS_1_EP_MM == USBFS_1__EP_MANUAL) + if(pData != NULL) + { + /* Copy the data using the arbiter data register */ + for (i = 0u; i < length; i++) + { + CY_SET_REG8(p, pData[i]); + } + } + USBFS_1_EP[epNumber].apiEpState = USBFS_1_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_EP[epNumber].epMode); + #else + /* Init DMA if it was not initialized */ + if(USBFS_1_DmaTd[epNumber] == DMA_INVALID_TD) + { + USBFS_1_InitEP_DMA(epNumber, pData); + } + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_MANUAL */ + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL) + USBFS_1_EP[epNumber].apiEpState = USBFS_1_NO_EVENT_PENDING; + if((pData != NULL) && (length > 0u)) + { + /* Enable DMA in mode2 for transferring data */ + (void) CyDmaChDisable(USBFS_1_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_1_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + TD_TERMIN_EN | TD_INC_SRC_ADR); + (void) CyDmaTdSetAddress(USBFS_1_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32)p)); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_1_DmaChan[epNumber], USBFS_1_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_1_DmaChan[epNumber], 1u); + /* Generate DMA request */ + * (reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ri) |= USBFS_1_ARB_EPX_CFG_DMA_REQ; + * (reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_1_ARB_EPX_CFG_DMA_REQ)); + /* Mode register will be written in arb ISR after DMA transfer complete */ + } + else + { + /* When zero-length packet - write the Mode register directly */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_EP[epNumber].epMode); + } + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL */ + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + if(pData != NULL) + { + /* Enable DMA in mode3 for transferring data */ + (void) CyDmaChDisable(USBFS_1_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_1_DmaTd[epNumber], length, + USBFS_1_DmaTd[epNumber], TD_TERMIN_EN | TD_INC_SRC_ADR); + (void) CyDmaTdSetAddress(USBFS_1_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32)p)); + /* Clear Any potential pending DMA requests before starting the DMA channel to transfer data */ + (void) CyDmaClearPendingDrq(USBFS_1_DmaChan[epNumber]); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_1_DmaChan[epNumber], USBFS_1_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_1_DmaChan[epNumber], 1u); + } + else + { + USBFS_1_EP[epNumber].apiEpState = USBFS_1_NO_EVENT_PENDING; + if(length > 0u) + { + /* Set Data ready status, This will generate DMA request */ + * (reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ri) |= USBFS_1_ARB_EPX_CFG_IN_DATA_RDY; + /* Mode register will be written in arb ISR(In Buffer Full) after first DMA transfer complete */ + } + else + { + /* When zero-length packet - write the Mode register directly */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_EP[epNumber].epMode); + } + } + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_ReadOutEP +******************************************************************************** +* +* Summary: +* Read data from an endpoint. The application must call +* USBFS_1_GetEPState to see if an event is pending. +* +* Parameters: +* epNumber: Contains the data endpoint number. +* Valid values are between 1 and 8. +* pData: A pointer to a data array from which the data for the endpoint space +* is loaded. +* length: The number of bytes to transfer from the USB Out endpoint and loads +* it into data array. Valid values are between 0 and 1023. The function +* moves fewer than the requested number of bytes if the host sends +* fewer bytes than requested. +* +* Returns: +* Number of bytes received, 0 for an invalid endpoint. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint16 USBFS_1_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) + +{ + uint8 ri; + reg8 *p; + #if(USBFS_1_EP_MM == USBFS_1__EP_MANUAL) + uint16 i; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_MANUAL */ + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + uint16 xferCount; + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP) && (pData != NULL)) + { + ri = ((epNumber - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + p = (reg8 *)(USBFS_1_ARB_RW1_DR_IND + ri); + + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + /* Determine which is smaller the requested data or the available data */ + xferCount = USBFS_1_GetEPCount(epNumber); + if (length > xferCount) + { + length = xferCount; + } + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + + #if(USBFS_1_EP_MM == USBFS_1__EP_MANUAL) + /* Copy the data using the arbiter data register */ + for (i = 0u; i < length; i++) + { + pData[i] = CY_GET_REG8(p); + } + + /* (re)arming of OUT endpoint */ + USBFS_1_EnableOutEP(epNumber); + #else + /*Init DMA if it was not initialized */ + if(USBFS_1_DmaTd[epNumber] == DMA_INVALID_TD) + { + USBFS_1_InitEP_DMA(epNumber, pData); + } + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_MANUAL */ + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL) + /* Enable DMA in mode2 for transferring data */ + (void) CyDmaChDisable(USBFS_1_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_1_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + TD_TERMIN_EN | TD_INC_DST_ADR); + (void) CyDmaTdSetAddress(USBFS_1_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_1_DmaChan[epNumber], USBFS_1_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_1_DmaChan[epNumber], 1u); + + /* Generate DMA request */ + * (reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ri) |= USBFS_1_ARB_EPX_CFG_DMA_REQ; + * (reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_1_ARB_EPX_CFG_DMA_REQ)); + /* Out EP will be (re)armed in arb ISR after transfer complete */ + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL */ + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /* Enable DMA in mode3 for transferring data */ + (void) CyDmaChDisable(USBFS_1_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_1_DmaTd[epNumber], length, USBFS_1_DmaTd[epNumber], + TD_TERMIN_EN | TD_INC_DST_ADR); + (void) CyDmaTdSetAddress(USBFS_1_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); + + /* Clear Any potential pending DMA requests before starting the DMA channel to transfer data */ + (void) CyDmaClearPendingDrq(USBFS_1_DmaChan[epNumber]); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_1_DmaChan[epNumber], USBFS_1_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_1_DmaChan[epNumber], 1u); + /* Out EP will be (re)armed in arb ISR after transfer complete */ + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + + } + else + { + length = 0u; + } + + return(length); +} + + +/******************************************************************************* +* Function Name: USBFS_1_EnableOutEP +******************************************************************************** +* +* Summary: +* This function enables an OUT endpoint. It should not be +* called for an IN endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_EP[epNumber].apiEpState - set to NO_EVENT_PENDING +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_EnableOutEP(uint8 epNumber) +{ + uint8 ri; + + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP)) + { + ri = ((epNumber - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + USBFS_1_EP[epNumber].apiEpState = USBFS_1_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_EP[epNumber].epMode); + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_DisableOutEP +******************************************************************************** +* +* Summary: +* This function disables an OUT endpoint. It should not be +* called for an IN endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_1_DisableOutEP(uint8 epNumber) +{ + uint8 ri ; + + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP)) + { + ri = ((epNumber - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_NAK_OUT); + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_Force +******************************************************************************** +* +* Summary: +* Forces the bus state +* +* Parameters: +* bState +* USBFS_1_FORCE_J +* USBFS_1_FORCE_K +* USBFS_1_FORCE_SE0 +* USBFS_1_FORCE_NONE +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_1_Force(uint8 bState) +{ + CY_SET_REG8(USBFS_1_USBIO_CR0_PTR, bState); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetEPAckState +******************************************************************************** +* +* Summary: +* Returns the ACK of the CR0 Register (ACKD) +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Returns +* 0 if nothing has been ACKD, non-=zero something has been ACKD +* +*******************************************************************************/ +uint8 USBFS_1_GetEPAckState(uint8 epNumber) +{ + uint8 ri; + uint8 cr = 0u; + + if((epNumber > USBFS_1_EP0) && (epNumber < USBFS_1_MAX_EP)) + { + ri = ((epNumber - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + cr = CY_GET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri)) & USBFS_1_MODE_ACKD; + } + + return(cr); +} + + +/******************************************************************************* +* Function Name: USBFS_1_SetPowerStatus +******************************************************************************** +* +* Summary: +* Sets the device power status for reporting in the Get Device Status +* request +* +* Parameters: +* powerStatus: USBFS_1_DEVICE_STATUS_BUS_POWERED(0) - Bus Powered, +* USBFS_1_DEVICE_STATUS_SELF_POWERED(1) - Self Powered +* +* Return: +* None. +* +* Global variables: +* USBFS_1_deviceStatus - set power status +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_SetPowerStatus(uint8 powerStatus) +{ + if (powerStatus != USBFS_1_DEVICE_STATUS_BUS_POWERED) + { + USBFS_1_deviceStatus |= USBFS_1_DEVICE_STATUS_SELF_POWERED; + } + else + { + USBFS_1_deviceStatus &= ((uint8)(~USBFS_1_DEVICE_STATUS_SELF_POWERED)); + } +} + + +#if (USBFS_1_MON_VBUS == 1u) + + /******************************************************************************* + * Function Name: USBFS_1_VBusPresent + ******************************************************************************** + * + * Summary: + * Determines VBUS presence for Self Powered Devices. + * + * Parameters: + * None. + * + * Return: + * 1 if VBUS is present, otherwise 0. + * + *******************************************************************************/ + uint8 USBFS_1_VBusPresent(void) + { + return((0u != (CY_GET_REG8(USBFS_1_VBUS_PS_PTR) & USBFS_1_VBUS_MASK)) ? 1u : 0u); + } + +#endif /* USBFS_1_MON_VBUS */ + + +/******************************************************************************* +* Function Name: USBFS_1_RWUEnabled +******************************************************************************** +* +* Summary: +* Returns TRUE if Remote Wake Up is enabled, otherwise FALSE +* +* Parameters: +* None. +* +* Return: +* TRUE - Remote Wake Up Enabled +* FALSE - Remote Wake Up Disabled +* +* Global variables: +* USBFS_1_deviceStatus - checked to determine remote status +* +*******************************************************************************/ +uint8 USBFS_1_RWUEnabled(void) +{ + uint8 result = USBFS_1_FALSE; + if((USBFS_1_deviceStatus & USBFS_1_DEVICE_STATUS_REMOTE_WAKEUP) != 0u) + { + result = USBFS_1_TRUE; + } + + return(result); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.h new file mode 100644 index 0000000..c9dc6cd --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1.h @@ -0,0 +1,1178 @@ +/******************************************************************************* +* File Name: USBFS_1.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_1_H) +#define CY_USBFS_USBFS_1_H + +#include "cytypes.h" +#include "cydevice_trm.h" +#include "cyfitter.h" +#include "CyLib.h" + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component USBFS_v2_60 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Memory Type Definitions +***************************************/ + +/* Renamed Type Definitions for backward compatibility. +* Should not be used in new designs. +*/ +#define USBFS_1_CODE CYCODE +#define USBFS_1_FAR CYFAR +#if defined(__C51__) || defined(__CX51__) + #define USBFS_1_DATA data + #define USBFS_1_XDATA xdata +#else + #define USBFS_1_DATA + #define USBFS_1_XDATA +#endif /* End __C51__ */ +#define USBFS_1_NULL NULL + + +/*************************************** +* Enumerated Types and Parameters +***************************************/ + +#define USBFS_1__EP_MANUAL 0 +#define USBFS_1__EP_DMAMANUAL 1 +#define USBFS_1__EP_DMAAUTO 2 + +#define USBFS_1__MA_STATIC 0 +#define USBFS_1__MA_DYNAMIC 1 + + + +/*************************************** +* Initial Parameter Constants +***************************************/ + +#define USBFS_1_NUM_DEVICES (1u) +#define USBFS_1_MAX_REPORTID_NUMBER (0u) + +#define USBFS_1_MON_VBUS (0u) +#define USBFS_1_EXTERN_VBUS (0u) +#define USBFS_1_EXTERN_VND (0u) +#define USBFS_1_EXTERN_CLS (0u) +#define USBFS_1_MAX_INTERFACES_NUMBER (1u) +#define USBFS_1_EP0_ISR_REMOVE (0u) +#define USBFS_1_EP1_ISR_REMOVE (0u) +#define USBFS_1_EP2_ISR_REMOVE (1u) +#define USBFS_1_EP3_ISR_REMOVE (1u) +#define USBFS_1_EP4_ISR_REMOVE (1u) +#define USBFS_1_EP5_ISR_REMOVE (1u) +#define USBFS_1_EP6_ISR_REMOVE (1u) +#define USBFS_1_EP7_ISR_REMOVE (1u) +#define USBFS_1_EP8_ISR_REMOVE (1u) +#define USBFS_1_EP_MM (0u) +#define USBFS_1_EP_MA (0u) +#define USBFS_1_DMA1_REMOVE (1u) +#define USBFS_1_DMA2_REMOVE (1u) +#define USBFS_1_DMA3_REMOVE (1u) +#define USBFS_1_DMA4_REMOVE (1u) +#define USBFS_1_DMA5_REMOVE (1u) +#define USBFS_1_DMA6_REMOVE (1u) +#define USBFS_1_DMA7_REMOVE (1u) +#define USBFS_1_DMA8_REMOVE (1u) +#define USBFS_1_SOF_ISR_REMOVE (0u) +#define USBFS_1_ARB_ISR_REMOVE (0u) +#define USBFS_1_DP_ISR_REMOVE (0u) +#define USBFS_1_ENABLE_CDC_CLASS_API (1u) +#define USBFS_1_ENABLE_MIDI_API (1u) +#define USBFS_1_MIDI_EXT_MODE (0u) + + +/*************************************** +* Data Struct Definition +***************************************/ + +typedef struct +{ + uint8 attrib; + uint8 apiEpState; + uint8 hwEpState; + uint8 epToggle; + uint8 addr; + uint8 epMode; + uint16 buffOffset; + uint16 bufferSize; + uint8 interface; +} T_USBFS_1_EP_CTL_BLOCK; + +typedef struct +{ + uint8 interface; + uint8 altSetting; + uint8 addr; + uint8 attributes; + uint16 bufferSize; + uint8 bMisc; +} T_USBFS_1_EP_SETTINGS_BLOCK; + +typedef struct +{ + uint8 status; + uint16 length; +} T_USBFS_1_XFER_STATUS_BLOCK; + +typedef struct +{ + uint16 count; + volatile uint8 *pData; + T_USBFS_1_XFER_STATUS_BLOCK *pStatusBlock; +} T_USBFS_1_TD; + + +typedef struct +{ + uint8 c; + const void *p_list; +} T_USBFS_1_LUT; + +/* Resume/Suspend API Support */ +typedef struct +{ + uint8 enableState; + uint8 mode; +} USBFS_1_BACKUP_STRUCT; + + +/* Renamed structure fields for backward compatibility. +* Should not be used in new designs. +*/ +#define wBuffOffset buffOffset +#define wBufferSize bufferSize +#define bStatus status +#define wLength length +#define wCount count + +/* Renamed global variable for backward compatibility. +* Should not be used in new designs. +*/ +#define CurrentTD USBFS_1_currentTD + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_1_Start(uint8 device, uint8 mode) ; +void USBFS_1_Init(void) ; +void USBFS_1_InitComponent(uint8 device, uint8 mode) ; +void USBFS_1_Stop(void) ; +uint8 USBFS_1_CheckActivity(void) ; +uint8 USBFS_1_GetConfiguration(void) ; +uint8 USBFS_1_IsConfigurationChanged(void) ; +uint8 USBFS_1_GetInterfaceSetting(uint8 interfaceNumber) + ; +uint8 USBFS_1_GetEPState(uint8 epNumber) ; +uint16 USBFS_1_GetEPCount(uint8 epNumber) ; +void USBFS_1_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + ; +uint16 USBFS_1_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) + ; +void USBFS_1_EnableOutEP(uint8 epNumber) ; +void USBFS_1_DisableOutEP(uint8 epNumber) ; +void USBFS_1_Force(uint8 bState) ; +uint8 USBFS_1_GetEPAckState(uint8 epNumber) ; +void USBFS_1_SetPowerStatus(uint8 powerStatus) ; +uint8 USBFS_1_RWUEnabled(void) ; +void USBFS_1_TerminateEP(uint8 ep) ; + +void USBFS_1_Suspend(void) ; +void USBFS_1_Resume(void) ; + +#if defined(USBFS_1_ENABLE_FWSN_STRING) + void USBFS_1_SerialNumString(uint8 snString[]) ; +#endif /* USBFS_1_ENABLE_FWSN_STRING */ +#if (USBFS_1_MON_VBUS == 1u) + uint8 USBFS_1_VBusPresent(void) ; +#endif /* End USBFS_1_MON_VBUS */ + +#if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS_1) || \ + (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + + void USBFS_1_CyBtldrCommStart(void) ; + void USBFS_1_CyBtldrCommStop(void) ; + void USBFS_1_CyBtldrCommReset(void) ; + cystatus USBFS_1_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + ; + cystatus USBFS_1_CyBtldrCommRead( uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + ; + + #define USBFS_1_BTLDR_SIZEOF_WRITE_BUFFER (64u) /* EP 1 OUT */ + #define USBFS_1_BTLDR_SIZEOF_READ_BUFFER (64u) /* EP 2 IN */ + #define USBFS_1_BTLDR_MAX_PACKET_SIZE USBFS_1_BTLDR_SIZEOF_WRITE_BUFFER + + /* These defines active if used USBFS interface as an + * IO Component for bootloading. When Custom_Interface selected + * in Bootloder configuration as the IO Component, user must + * provide these functions + */ + #if (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS_1) + #define CyBtldrCommStart USBFS_1_CyBtldrCommStart + #define CyBtldrCommStop USBFS_1_CyBtldrCommStop + #define CyBtldrCommReset USBFS_1_CyBtldrCommReset + #define CyBtldrCommWrite USBFS_1_CyBtldrCommWrite + #define CyBtldrCommRead USBFS_1_CyBtldrCommRead + #endif /*End CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS_1 */ + +#endif /* End CYDEV_BOOTLOADER_IO_COMP */ + +#if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + void USBFS_1_InitEP_DMA(uint8 epNumber, const uint8 *pData) + ; + void USBFS_1_Stop_DMA(uint8 epNumber) ; +#endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL) */ + +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) && (USBFS_1_ENABLE_MIDI_API != 0u) + void USBFS_1_MIDI_EP_Init(void) ; + + #if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) + void USBFS_1_MIDI_IN_Service(void) ; + uint8 USBFS_1_PutUsbMidiIn(uint8 ic, const uint8 midiMsg[], uint8 cable) + ; + #endif /* USBFS_1_MIDI_IN_BUFF_SIZE > 0 */ + + #if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) + void USBFS_1_MIDI_OUT_EP_Service(void) ; + #endif /* USBFS_1_MIDI_OUT_BUFF_SIZE > 0 */ + +#endif /* End USBFS_1_ENABLE_MIDI_API != 0u */ + +/* Renamed Functions for backward compatibility. +* Should not be used in new designs. +*/ + +#define USBFS_1_bCheckActivity USBFS_1_CheckActivity +#define USBFS_1_bGetConfiguration USBFS_1_GetConfiguration +#define USBFS_1_bGetInterfaceSetting USBFS_1_GetInterfaceSetting +#define USBFS_1_bGetEPState USBFS_1_GetEPState +#define USBFS_1_wGetEPCount USBFS_1_GetEPCount +#define USBFS_1_bGetEPAckState USBFS_1_GetEPAckState +#define USBFS_1_bRWUEnabled USBFS_1_RWUEnabled +#define USBFS_1_bVBusPresent USBFS_1_VBusPresent + +#define USBFS_1_bConfiguration USBFS_1_configuration +#define USBFS_1_bInterfaceSetting USBFS_1_interfaceSetting +#define USBFS_1_bDeviceAddress USBFS_1_deviceAddress +#define USBFS_1_bDeviceStatus USBFS_1_deviceStatus +#define USBFS_1_bDevice USBFS_1_device +#define USBFS_1_bTransferState USBFS_1_transferState +#define USBFS_1_bLastPacketSize USBFS_1_lastPacketSize + +#define USBFS_1_LoadEP USBFS_1_LoadInEP +#define USBFS_1_LoadInISOCEP USBFS_1_LoadInEP +#define USBFS_1_EnableOutISOCEP USBFS_1_EnableOutEP + +#define USBFS_1_SetVector CyIntSetVector +#define USBFS_1_SetPriority CyIntSetPriority +#define USBFS_1_EnableInt CyIntEnable + + +/*************************************** +* API Constants +***************************************/ + +#define USBFS_1_EP0 (0u) +#define USBFS_1_EP1 (1u) +#define USBFS_1_EP2 (2u) +#define USBFS_1_EP3 (3u) +#define USBFS_1_EP4 (4u) +#define USBFS_1_EP5 (5u) +#define USBFS_1_EP6 (6u) +#define USBFS_1_EP7 (7u) +#define USBFS_1_EP8 (8u) +#define USBFS_1_MAX_EP (9u) + +#define USBFS_1_TRUE (1u) +#define USBFS_1_FALSE (0u) + +#define USBFS_1_NO_EVENT_ALLOWED (2u) +#define USBFS_1_EVENT_PENDING (1u) +#define USBFS_1_NO_EVENT_PENDING (0u) + +#define USBFS_1_IN_BUFFER_FULL USBFS_1_NO_EVENT_PENDING +#define USBFS_1_IN_BUFFER_EMPTY USBFS_1_EVENT_PENDING +#define USBFS_1_OUT_BUFFER_FULL USBFS_1_EVENT_PENDING +#define USBFS_1_OUT_BUFFER_EMPTY USBFS_1_NO_EVENT_PENDING + +#define USBFS_1_FORCE_J (0xA0u) +#define USBFS_1_FORCE_K (0x80u) +#define USBFS_1_FORCE_SE0 (0xC0u) +#define USBFS_1_FORCE_NONE (0x00u) + +#define USBFS_1_IDLE_TIMER_RUNNING (0x02u) +#define USBFS_1_IDLE_TIMER_EXPIRED (0x01u) +#define USBFS_1_IDLE_TIMER_INDEFINITE (0x00u) + +#define USBFS_1_DEVICE_STATUS_BUS_POWERED (0x00u) +#define USBFS_1_DEVICE_STATUS_SELF_POWERED (0x01u) + +#define USBFS_1_3V_OPERATION (0x00u) +#define USBFS_1_5V_OPERATION (0x01u) +#define USBFS_1_DWR_VDDD_OPERATION (0x02u) + +#define USBFS_1_MODE_DISABLE (0x00u) +#define USBFS_1_MODE_NAK_IN_OUT (0x01u) +#define USBFS_1_MODE_STATUS_OUT_ONLY (0x02u) +#define USBFS_1_MODE_STALL_IN_OUT (0x03u) +#define USBFS_1_MODE_RESERVED_0100 (0x04u) +#define USBFS_1_MODE_ISO_OUT (0x05u) +#define USBFS_1_MODE_STATUS_IN_ONLY (0x06u) +#define USBFS_1_MODE_ISO_IN (0x07u) +#define USBFS_1_MODE_NAK_OUT (0x08u) +#define USBFS_1_MODE_ACK_OUT (0x09u) +#define USBFS_1_MODE_RESERVED_1010 (0x0Au) +#define USBFS_1_MODE_ACK_OUT_STATUS_IN (0x0Bu) +#define USBFS_1_MODE_NAK_IN (0x0Cu) +#define USBFS_1_MODE_ACK_IN (0x0Du) +#define USBFS_1_MODE_RESERVED_1110 (0x0Eu) +#define USBFS_1_MODE_ACK_IN_STATUS_OUT (0x0Fu) +#define USBFS_1_MODE_MASK (0x0Fu) +#define USBFS_1_MODE_STALL_DATA_EP (0x80u) + +#define USBFS_1_MODE_ACKD (0x10u) +#define USBFS_1_MODE_OUT_RCVD (0x20u) +#define USBFS_1_MODE_IN_RCVD (0x40u) +#define USBFS_1_MODE_SETUP_RCVD (0x80u) + +#define USBFS_1_RQST_TYPE_MASK (0x60u) +#define USBFS_1_RQST_TYPE_STD (0x00u) +#define USBFS_1_RQST_TYPE_CLS (0x20u) +#define USBFS_1_RQST_TYPE_VND (0x40u) +#define USBFS_1_RQST_DIR_MASK (0x80u) +#define USBFS_1_RQST_DIR_D2H (0x80u) +#define USBFS_1_RQST_DIR_H2D (0x00u) +#define USBFS_1_RQST_RCPT_MASK (0x03u) +#define USBFS_1_RQST_RCPT_DEV (0x00u) +#define USBFS_1_RQST_RCPT_IFC (0x01u) +#define USBFS_1_RQST_RCPT_EP (0x02u) +#define USBFS_1_RQST_RCPT_OTHER (0x03u) + +/* USB Class Codes */ +#define USBFS_1_CLASS_DEVICE (0x00u) /* Use class code info from Interface Descriptors */ +#define USBFS_1_CLASS_AUDIO (0x01u) /* Audio device */ +#define USBFS_1_CLASS_CDC (0x02u) /* Communication device class */ +#define USBFS_1_CLASS_HID (0x03u) /* Human Interface Device */ +#define USBFS_1_CLASS_PDC (0x05u) /* Physical device class */ +#define USBFS_1_CLASS_IMAGE (0x06u) /* Still Imaging device */ +#define USBFS_1_CLASS_PRINTER (0x07u) /* Printer device */ +#define USBFS_1_CLASS_MSD (0x08u) /* Mass Storage device */ +#define USBFS_1_CLASS_HUB (0x09u) /* Full/Hi speed Hub */ +#define USBFS_1_CLASS_CDC_DATA (0x0Au) /* CDC data device */ +#define USBFS_1_CLASS_SMART_CARD (0x0Bu) /* Smart Card device */ +#define USBFS_1_CLASS_CSD (0x0Du) /* Content Security device */ +#define USBFS_1_CLASS_VIDEO (0x0Eu) /* Video device */ +#define USBFS_1_CLASS_PHD (0x0Fu) /* Personal Healthcare device */ +#define USBFS_1_CLASS_WIRELESSD (0xDCu) /* Wireless Controller */ +#define USBFS_1_CLASS_MIS (0xE0u) /* Miscellaneous */ +#define USBFS_1_CLASS_APP (0xEFu) /* Application Specific */ +#define USBFS_1_CLASS_VENDOR (0xFFu) /* Vendor specific */ + + +/* Standard Request Types (Table 9-4) */ +#define USBFS_1_GET_STATUS (0x00u) +#define USBFS_1_CLEAR_FEATURE (0x01u) +#define USBFS_1_SET_FEATURE (0x03u) +#define USBFS_1_SET_ADDRESS (0x05u) +#define USBFS_1_GET_DESCRIPTOR (0x06u) +#define USBFS_1_SET_DESCRIPTOR (0x07u) +#define USBFS_1_GET_CONFIGURATION (0x08u) +#define USBFS_1_SET_CONFIGURATION (0x09u) +#define USBFS_1_GET_INTERFACE (0x0Au) +#define USBFS_1_SET_INTERFACE (0x0Bu) +#define USBFS_1_SYNCH_FRAME (0x0Cu) + +/* Vendor Specific Request Types */ +/* Request for Microsoft OS String Descriptor */ +#define USBFS_1_GET_EXTENDED_CONFIG_DESCRIPTOR (0x01u) + +/* Descriptor Types (Table 9-5) */ +#define USBFS_1_DESCR_DEVICE (1u) +#define USBFS_1_DESCR_CONFIG (2u) +#define USBFS_1_DESCR_STRING (3u) +#define USBFS_1_DESCR_INTERFACE (4u) +#define USBFS_1_DESCR_ENDPOINT (5u) +#define USBFS_1_DESCR_DEVICE_QUALIFIER (6u) +#define USBFS_1_DESCR_OTHER_SPEED (7u) +#define USBFS_1_DESCR_INTERFACE_POWER (8u) + +/* Device Descriptor Defines */ +#define USBFS_1_DEVICE_DESCR_LENGTH (18u) +#define USBFS_1_DEVICE_DESCR_SN_SHIFT (16u) + +/* Config Descriptor Shifts and Masks */ +#define USBFS_1_CONFIG_DESCR_LENGTH (0u) +#define USBFS_1_CONFIG_DESCR_TYPE (1u) +#define USBFS_1_CONFIG_DESCR_TOTAL_LENGTH_LOW (2u) +#define USBFS_1_CONFIG_DESCR_TOTAL_LENGTH_HI (3u) +#define USBFS_1_CONFIG_DESCR_NUM_INTERFACES (4u) +#define USBFS_1_CONFIG_DESCR_CONFIG_VALUE (5u) +#define USBFS_1_CONFIG_DESCR_CONFIGURATION (6u) +#define USBFS_1_CONFIG_DESCR_ATTRIB (7u) +#define USBFS_1_CONFIG_DESCR_ATTRIB_SELF_POWERED (0x40u) +#define USBFS_1_CONFIG_DESCR_ATTRIB_RWU_EN (0x20u) + +/* Feature Selectors (Table 9-6) */ +#define USBFS_1_DEVICE_REMOTE_WAKEUP (0x01u) +#define USBFS_1_ENDPOINT_HALT (0x00u) +#define USBFS_1_TEST_MODE (0x02u) + +/* USB Device Status (Figure 9-4) */ +#define USBFS_1_DEVICE_STATUS_BUS_POWERED (0x00u) +#define USBFS_1_DEVICE_STATUS_SELF_POWERED (0x01u) +#define USBFS_1_DEVICE_STATUS_REMOTE_WAKEUP (0x02u) + +/* USB Endpoint Status (Figure 9-4) */ +#define USBFS_1_ENDPOINT_STATUS_HALT (0x01u) + +/* USB Endpoint Directions */ +#define USBFS_1_DIR_IN (0x80u) +#define USBFS_1_DIR_OUT (0x00u) +#define USBFS_1_DIR_UNUSED (0x7Fu) + +/* USB Endpoint Attributes */ +#define USBFS_1_EP_TYPE_CTRL (0x00u) +#define USBFS_1_EP_TYPE_ISOC (0x01u) +#define USBFS_1_EP_TYPE_BULK (0x02u) +#define USBFS_1_EP_TYPE_INT (0x03u) +#define USBFS_1_EP_TYPE_MASK (0x03u) + +#define USBFS_1_EP_SYNC_TYPE_NO_SYNC (0x00u) +#define USBFS_1_EP_SYNC_TYPE_ASYNC (0x04u) +#define USBFS_1_EP_SYNC_TYPE_ADAPTIVE (0x08u) +#define USBFS_1_EP_SYNC_TYPE_SYNCHRONOUS (0x0Cu) +#define USBFS_1_EP_SYNC_TYPE_MASK (0x0Cu) + +#define USBFS_1_EP_USAGE_TYPE_DATA (0x00u) +#define USBFS_1_EP_USAGE_TYPE_FEEDBACK (0x10u) +#define USBFS_1_EP_USAGE_TYPE_IMPLICIT (0x20u) +#define USBFS_1_EP_USAGE_TYPE_RESERVED (0x30u) +#define USBFS_1_EP_USAGE_TYPE_MASK (0x30u) + +/* Endpoint Status defines */ +#define USBFS_1_EP_STATUS_LENGTH (0x02u) + +/* Endpoint Device defines */ +#define USBFS_1_DEVICE_STATUS_LENGTH (0x02u) + +#define USBFS_1_STATUS_LENGTH_MAX \ + ( (USBFS_1_EP_STATUS_LENGTH > USBFS_1_DEVICE_STATUS_LENGTH) ? \ + USBFS_1_EP_STATUS_LENGTH : USBFS_1_DEVICE_STATUS_LENGTH ) +/* Transfer Completion Notification */ +#define USBFS_1_XFER_IDLE (0x00u) +#define USBFS_1_XFER_STATUS_ACK (0x01u) +#define USBFS_1_XFER_PREMATURE (0x02u) +#define USBFS_1_XFER_ERROR (0x03u) + +/* Driver State defines */ +#define USBFS_1_TRANS_STATE_IDLE (0x00u) +#define USBFS_1_TRANS_STATE_CONTROL_READ (0x02u) +#define USBFS_1_TRANS_STATE_CONTROL_WRITE (0x04u) +#define USBFS_1_TRANS_STATE_NO_DATA_CONTROL (0x06u) + +/* String Descriptor defines */ +#define USBFS_1_STRING_MSOS (0xEEu) +#define USBFS_1_MSOS_DESCRIPTOR_LENGTH (18u) +#define USBFS_1_MSOS_CONF_DESCR_LENGTH (40u) + +#if(USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL) + /* DMA manual mode defines */ + #define USBFS_1_DMA_BYTES_PER_BURST (0u) + #define USBFS_1_DMA_REQUEST_PER_BURST (0u) +#endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL */ +#if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /* DMA automatic mode defines */ + #define USBFS_1_DMA_BYTES_PER_BURST (32u) + /* BUF_SIZE-BYTES_PER_BURST examples: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11-2 bytes */ + #define USBFS_1_DMA_BUF_SIZE (0x55u) + #define USBFS_1_DMA_REQUEST_PER_BURST (1u) +#endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + +/* DIE ID string descriptor defines */ +#if defined(USBFS_1_ENABLE_IDSN_STRING) + #define USBFS_1_IDSN_DESCR_LENGTH (0x22u) +#endif /* USBFS_1_ENABLE_IDSN_STRING */ + + +/*************************************** +* External data references +***************************************/ + +extern uint8 USBFS_1_initVar; +extern volatile uint8 USBFS_1_device; +extern volatile uint8 USBFS_1_transferState; +extern volatile uint8 USBFS_1_configuration; +extern volatile uint8 USBFS_1_configurationChanged; +extern volatile uint8 USBFS_1_deviceStatus; + +/* HID Variables */ +#if defined(USBFS_1_ENABLE_HID_CLASS) + extern volatile uint8 USBFS_1_hidProtocol[USBFS_1_MAX_INTERFACES_NUMBER]; + extern volatile uint8 USBFS_1_hidIdleRate[USBFS_1_MAX_INTERFACES_NUMBER]; + extern volatile uint8 USBFS_1_hidIdleTimer[USBFS_1_MAX_INTERFACES_NUMBER]; +#endif /* USBFS_1_ENABLE_HID_CLASS */ + + +/*************************************** +* Registers +***************************************/ + +#define USBFS_1_ARB_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_CFG) +#define USBFS_1_ARB_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_CFG) + +#define USBFS_1_ARB_EP1_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP1_CFG) +#define USBFS_1_ARB_EP1_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP1_CFG) +#define USBFS_1_ARB_EP1_CFG_IND USBFS_1_USB__ARB_EP1_CFG +#define USBFS_1_ARB_EP1_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP1_INT_EN) +#define USBFS_1_ARB_EP1_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP1_INT_EN) +#define USBFS_1_ARB_EP1_INT_EN_IND USBFS_1_USB__ARB_EP1_INT_EN +#define USBFS_1_ARB_EP1_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP1_SR) +#define USBFS_1_ARB_EP1_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP1_SR) +#define USBFS_1_ARB_EP1_SR_IND USBFS_1_USB__ARB_EP1_SR + +#define USBFS_1_ARB_EP2_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP2_CFG) +#define USBFS_1_ARB_EP2_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP2_CFG) +#define USBFS_1_ARB_EP2_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP2_INT_EN) +#define USBFS_1_ARB_EP2_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP2_INT_EN) +#define USBFS_1_ARB_EP2_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP2_SR) +#define USBFS_1_ARB_EP2_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP2_SR) + +#define USBFS_1_ARB_EP3_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP3_CFG) +#define USBFS_1_ARB_EP3_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP3_CFG) +#define USBFS_1_ARB_EP3_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP3_INT_EN) +#define USBFS_1_ARB_EP3_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP3_INT_EN) +#define USBFS_1_ARB_EP3_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP3_SR) +#define USBFS_1_ARB_EP3_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP3_SR) + +#define USBFS_1_ARB_EP4_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP4_CFG) +#define USBFS_1_ARB_EP4_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP4_CFG) +#define USBFS_1_ARB_EP4_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP4_INT_EN) +#define USBFS_1_ARB_EP4_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP4_INT_EN) +#define USBFS_1_ARB_EP4_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP4_SR) +#define USBFS_1_ARB_EP4_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP4_SR) + +#define USBFS_1_ARB_EP5_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP5_CFG) +#define USBFS_1_ARB_EP5_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP5_CFG) +#define USBFS_1_ARB_EP5_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP5_INT_EN) +#define USBFS_1_ARB_EP5_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP5_INT_EN) +#define USBFS_1_ARB_EP5_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP5_SR) +#define USBFS_1_ARB_EP5_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP5_SR) + +#define USBFS_1_ARB_EP6_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP6_CFG) +#define USBFS_1_ARB_EP6_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP6_CFG) +#define USBFS_1_ARB_EP6_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP6_INT_EN) +#define USBFS_1_ARB_EP6_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP6_INT_EN) +#define USBFS_1_ARB_EP6_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP6_SR) +#define USBFS_1_ARB_EP6_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP6_SR) + +#define USBFS_1_ARB_EP7_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP7_CFG) +#define USBFS_1_ARB_EP7_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP7_CFG) +#define USBFS_1_ARB_EP7_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP7_INT_EN) +#define USBFS_1_ARB_EP7_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP7_INT_EN) +#define USBFS_1_ARB_EP7_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP7_SR) +#define USBFS_1_ARB_EP7_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP7_SR) + +#define USBFS_1_ARB_EP8_CFG_PTR ( (reg8 *) USBFS_1_USB__ARB_EP8_CFG) +#define USBFS_1_ARB_EP8_CFG_REG (* (reg8 *) USBFS_1_USB__ARB_EP8_CFG) +#define USBFS_1_ARB_EP8_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_EP8_INT_EN) +#define USBFS_1_ARB_EP8_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_EP8_INT_EN) +#define USBFS_1_ARB_EP8_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_EP8_SR) +#define USBFS_1_ARB_EP8_SR_REG (* (reg8 *) USBFS_1_USB__ARB_EP8_SR) + +#define USBFS_1_ARB_INT_EN_PTR ( (reg8 *) USBFS_1_USB__ARB_INT_EN) +#define USBFS_1_ARB_INT_EN_REG (* (reg8 *) USBFS_1_USB__ARB_INT_EN) +#define USBFS_1_ARB_INT_SR_PTR ( (reg8 *) USBFS_1_USB__ARB_INT_SR) +#define USBFS_1_ARB_INT_SR_REG (* (reg8 *) USBFS_1_USB__ARB_INT_SR) + +#define USBFS_1_ARB_RW1_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW1_DR) +#define USBFS_1_ARB_RW1_DR_IND USBFS_1_USB__ARB_RW1_DR +#define USBFS_1_ARB_RW1_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW1_RA) +#define USBFS_1_ARB_RW1_RA_IND USBFS_1_USB__ARB_RW1_RA +#define USBFS_1_ARB_RW1_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW1_RA_MSB) +#define USBFS_1_ARB_RW1_RA_MSB_IND USBFS_1_USB__ARB_RW1_RA_MSB +#define USBFS_1_ARB_RW1_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW1_WA) +#define USBFS_1_ARB_RW1_WA_IND USBFS_1_USB__ARB_RW1_WA +#define USBFS_1_ARB_RW1_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW1_WA_MSB) +#define USBFS_1_ARB_RW1_WA_MSB_IND USBFS_1_USB__ARB_RW1_WA_MSB + +#define USBFS_1_ARB_RW2_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW2_DR) +#define USBFS_1_ARB_RW2_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW2_RA) +#define USBFS_1_ARB_RW2_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW2_RA_MSB) +#define USBFS_1_ARB_RW2_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW2_WA) +#define USBFS_1_ARB_RW2_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW2_WA_MSB) + +#define USBFS_1_ARB_RW3_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW3_DR) +#define USBFS_1_ARB_RW3_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW3_RA) +#define USBFS_1_ARB_RW3_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW3_RA_MSB) +#define USBFS_1_ARB_RW3_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW3_WA) +#define USBFS_1_ARB_RW3_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW3_WA_MSB) + +#define USBFS_1_ARB_RW4_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW4_DR) +#define USBFS_1_ARB_RW4_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW4_RA) +#define USBFS_1_ARB_RW4_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW4_RA_MSB) +#define USBFS_1_ARB_RW4_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW4_WA) +#define USBFS_1_ARB_RW4_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW4_WA_MSB) + +#define USBFS_1_ARB_RW5_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW5_DR) +#define USBFS_1_ARB_RW5_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW5_RA) +#define USBFS_1_ARB_RW5_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW5_RA_MSB) +#define USBFS_1_ARB_RW5_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW5_WA) +#define USBFS_1_ARB_RW5_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW5_WA_MSB) + +#define USBFS_1_ARB_RW6_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW6_DR) +#define USBFS_1_ARB_RW6_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW6_RA) +#define USBFS_1_ARB_RW6_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW6_RA_MSB) +#define USBFS_1_ARB_RW6_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW6_WA) +#define USBFS_1_ARB_RW6_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW6_WA_MSB) + +#define USBFS_1_ARB_RW7_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW7_DR) +#define USBFS_1_ARB_RW7_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW7_RA) +#define USBFS_1_ARB_RW7_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW7_RA_MSB) +#define USBFS_1_ARB_RW7_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW7_WA) +#define USBFS_1_ARB_RW7_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW7_WA_MSB) + +#define USBFS_1_ARB_RW8_DR_PTR ((reg8 *) USBFS_1_USB__ARB_RW8_DR) +#define USBFS_1_ARB_RW8_RA_PTR ((reg8 *) USBFS_1_USB__ARB_RW8_RA) +#define USBFS_1_ARB_RW8_RA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW8_RA_MSB) +#define USBFS_1_ARB_RW8_WA_PTR ((reg8 *) USBFS_1_USB__ARB_RW8_WA) +#define USBFS_1_ARB_RW8_WA_MSB_PTR ((reg8 *) USBFS_1_USB__ARB_RW8_WA_MSB) + +#define USBFS_1_BUF_SIZE_PTR ( (reg8 *) USBFS_1_USB__BUF_SIZE) +#define USBFS_1_BUF_SIZE_REG (* (reg8 *) USBFS_1_USB__BUF_SIZE) +#define USBFS_1_BUS_RST_CNT_PTR ( (reg8 *) USBFS_1_USB__BUS_RST_CNT) +#define USBFS_1_BUS_RST_CNT_REG (* (reg8 *) USBFS_1_USB__BUS_RST_CNT) +#define USBFS_1_CWA_PTR ( (reg8 *) USBFS_1_USB__CWA) +#define USBFS_1_CWA_REG (* (reg8 *) USBFS_1_USB__CWA) +#define USBFS_1_CWA_MSB_PTR ( (reg8 *) USBFS_1_USB__CWA_MSB) +#define USBFS_1_CWA_MSB_REG (* (reg8 *) USBFS_1_USB__CWA_MSB) +#define USBFS_1_CR0_PTR ( (reg8 *) USBFS_1_USB__CR0) +#define USBFS_1_CR0_REG (* (reg8 *) USBFS_1_USB__CR0) +#define USBFS_1_CR1_PTR ( (reg8 *) USBFS_1_USB__CR1) +#define USBFS_1_CR1_REG (* (reg8 *) USBFS_1_USB__CR1) + +#define USBFS_1_DMA_THRES_PTR ( (reg8 *) USBFS_1_USB__DMA_THRES) +#define USBFS_1_DMA_THRES_REG (* (reg8 *) USBFS_1_USB__DMA_THRES) +#define USBFS_1_DMA_THRES_MSB_PTR ( (reg8 *) USBFS_1_USB__DMA_THRES_MSB) +#define USBFS_1_DMA_THRES_MSB_REG (* (reg8 *) USBFS_1_USB__DMA_THRES_MSB) + +#define USBFS_1_EP_ACTIVE_PTR ( (reg8 *) USBFS_1_USB__EP_ACTIVE) +#define USBFS_1_EP_ACTIVE_REG (* (reg8 *) USBFS_1_USB__EP_ACTIVE) +#define USBFS_1_EP_TYPE_PTR ( (reg8 *) USBFS_1_USB__EP_TYPE) +#define USBFS_1_EP_TYPE_REG (* (reg8 *) USBFS_1_USB__EP_TYPE) + +#define USBFS_1_EP0_CNT_PTR ( (reg8 *) USBFS_1_USB__EP0_CNT) +#define USBFS_1_EP0_CNT_REG (* (reg8 *) USBFS_1_USB__EP0_CNT) +#define USBFS_1_EP0_CR_PTR ( (reg8 *) USBFS_1_USB__EP0_CR) +#define USBFS_1_EP0_CR_REG (* (reg8 *) USBFS_1_USB__EP0_CR) +#define USBFS_1_EP0_DR0_PTR ( (reg8 *) USBFS_1_USB__EP0_DR0) +#define USBFS_1_EP0_DR0_REG (* (reg8 *) USBFS_1_USB__EP0_DR0) +#define USBFS_1_EP0_DR0_IND USBFS_1_USB__EP0_DR0 +#define USBFS_1_EP0_DR1_PTR ( (reg8 *) USBFS_1_USB__EP0_DR1) +#define USBFS_1_EP0_DR1_REG (* (reg8 *) USBFS_1_USB__EP0_DR1) +#define USBFS_1_EP0_DR2_PTR ( (reg8 *) USBFS_1_USB__EP0_DR2) +#define USBFS_1_EP0_DR2_REG (* (reg8 *) USBFS_1_USB__EP0_DR2) +#define USBFS_1_EP0_DR3_PTR ( (reg8 *) USBFS_1_USB__EP0_DR3) +#define USBFS_1_EP0_DR3_REG (* (reg8 *) USBFS_1_USB__EP0_DR3) +#define USBFS_1_EP0_DR4_PTR ( (reg8 *) USBFS_1_USB__EP0_DR4) +#define USBFS_1_EP0_DR4_REG (* (reg8 *) USBFS_1_USB__EP0_DR4) +#define USBFS_1_EP0_DR5_PTR ( (reg8 *) USBFS_1_USB__EP0_DR5) +#define USBFS_1_EP0_DR5_REG (* (reg8 *) USBFS_1_USB__EP0_DR5) +#define USBFS_1_EP0_DR6_PTR ( (reg8 *) USBFS_1_USB__EP0_DR6) +#define USBFS_1_EP0_DR6_REG (* (reg8 *) USBFS_1_USB__EP0_DR6) +#define USBFS_1_EP0_DR7_PTR ( (reg8 *) USBFS_1_USB__EP0_DR7) +#define USBFS_1_EP0_DR7_REG (* (reg8 *) USBFS_1_USB__EP0_DR7) + +#define USBFS_1_OSCLK_DR0_PTR ( (reg8 *) USBFS_1_USB__OSCLK_DR0) +#define USBFS_1_OSCLK_DR0_REG (* (reg8 *) USBFS_1_USB__OSCLK_DR0) +#define USBFS_1_OSCLK_DR1_PTR ( (reg8 *) USBFS_1_USB__OSCLK_DR1) +#define USBFS_1_OSCLK_DR1_REG (* (reg8 *) USBFS_1_USB__OSCLK_DR1) + +#define USBFS_1_PM_ACT_CFG_PTR ( (reg8 *) USBFS_1_USB__PM_ACT_CFG) +#define USBFS_1_PM_ACT_CFG_REG (* (reg8 *) USBFS_1_USB__PM_ACT_CFG) +#define USBFS_1_PM_STBY_CFG_PTR ( (reg8 *) USBFS_1_USB__PM_STBY_CFG) +#define USBFS_1_PM_STBY_CFG_REG (* (reg8 *) USBFS_1_USB__PM_STBY_CFG) + +#define USBFS_1_SIE_EP_INT_EN_PTR ( (reg8 *) USBFS_1_USB__SIE_EP_INT_EN) +#define USBFS_1_SIE_EP_INT_EN_REG (* (reg8 *) USBFS_1_USB__SIE_EP_INT_EN) +#define USBFS_1_SIE_EP_INT_SR_PTR ( (reg8 *) USBFS_1_USB__SIE_EP_INT_SR) +#define USBFS_1_SIE_EP_INT_SR_REG (* (reg8 *) USBFS_1_USB__SIE_EP_INT_SR) + +#define USBFS_1_SIE_EP1_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP1_CNT0) +#define USBFS_1_SIE_EP1_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP1_CNT0) +#define USBFS_1_SIE_EP1_CNT0_IND USBFS_1_USB__SIE_EP1_CNT0 +#define USBFS_1_SIE_EP1_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP1_CNT1) +#define USBFS_1_SIE_EP1_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP1_CNT1) +#define USBFS_1_SIE_EP1_CNT1_IND USBFS_1_USB__SIE_EP1_CNT1 +#define USBFS_1_SIE_EP1_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP1_CR0) +#define USBFS_1_SIE_EP1_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP1_CR0) +#define USBFS_1_SIE_EP1_CR0_IND USBFS_1_USB__SIE_EP1_CR0 + +#define USBFS_1_SIE_EP2_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP2_CNT0) +#define USBFS_1_SIE_EP2_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP2_CNT0) +#define USBFS_1_SIE_EP2_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP2_CNT1) +#define USBFS_1_SIE_EP2_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP2_CNT1) +#define USBFS_1_SIE_EP2_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP2_CR0) +#define USBFS_1_SIE_EP2_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP2_CR0) + +#define USBFS_1_SIE_EP3_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP3_CNT0) +#define USBFS_1_SIE_EP3_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP3_CNT0) +#define USBFS_1_SIE_EP3_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP3_CNT1) +#define USBFS_1_SIE_EP3_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP3_CNT1) +#define USBFS_1_SIE_EP3_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP3_CR0) +#define USBFS_1_SIE_EP3_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP3_CR0) + +#define USBFS_1_SIE_EP4_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP4_CNT0) +#define USBFS_1_SIE_EP4_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP4_CNT0) +#define USBFS_1_SIE_EP4_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP4_CNT1) +#define USBFS_1_SIE_EP4_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP4_CNT1) +#define USBFS_1_SIE_EP4_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP4_CR0) +#define USBFS_1_SIE_EP4_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP4_CR0) + +#define USBFS_1_SIE_EP5_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP5_CNT0) +#define USBFS_1_SIE_EP5_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP5_CNT0) +#define USBFS_1_SIE_EP5_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP5_CNT1) +#define USBFS_1_SIE_EP5_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP5_CNT1) +#define USBFS_1_SIE_EP5_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP5_CR0) +#define USBFS_1_SIE_EP5_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP5_CR0) + +#define USBFS_1_SIE_EP6_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP6_CNT0) +#define USBFS_1_SIE_EP6_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP6_CNT0) +#define USBFS_1_SIE_EP6_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP6_CNT1) +#define USBFS_1_SIE_EP6_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP6_CNT1) +#define USBFS_1_SIE_EP6_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP6_CR0) +#define USBFS_1_SIE_EP6_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP6_CR0) + +#define USBFS_1_SIE_EP7_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP7_CNT0) +#define USBFS_1_SIE_EP7_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP7_CNT0) +#define USBFS_1_SIE_EP7_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP7_CNT1) +#define USBFS_1_SIE_EP7_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP7_CNT1) +#define USBFS_1_SIE_EP7_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP7_CR0) +#define USBFS_1_SIE_EP7_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP7_CR0) + +#define USBFS_1_SIE_EP8_CNT0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP8_CNT0) +#define USBFS_1_SIE_EP8_CNT0_REG (* (reg8 *) USBFS_1_USB__SIE_EP8_CNT0) +#define USBFS_1_SIE_EP8_CNT1_PTR ( (reg8 *) USBFS_1_USB__SIE_EP8_CNT1) +#define USBFS_1_SIE_EP8_CNT1_REG (* (reg8 *) USBFS_1_USB__SIE_EP8_CNT1) +#define USBFS_1_SIE_EP8_CR0_PTR ( (reg8 *) USBFS_1_USB__SIE_EP8_CR0) +#define USBFS_1_SIE_EP8_CR0_REG (* (reg8 *) USBFS_1_USB__SIE_EP8_CR0) + +#define USBFS_1_SOF0_PTR ( (reg8 *) USBFS_1_USB__SOF0) +#define USBFS_1_SOF0_REG (* (reg8 *) USBFS_1_USB__SOF0) +#define USBFS_1_SOF1_PTR ( (reg8 *) USBFS_1_USB__SOF1) +#define USBFS_1_SOF1_REG (* (reg8 *) USBFS_1_USB__SOF1) + +#define USBFS_1_USB_CLK_EN_PTR ( (reg8 *) USBFS_1_USB__USB_CLK_EN) +#define USBFS_1_USB_CLK_EN_REG (* (reg8 *) USBFS_1_USB__USB_CLK_EN) + +#define USBFS_1_USBIO_CR0_PTR ( (reg8 *) USBFS_1_USB__USBIO_CR0) +#define USBFS_1_USBIO_CR0_REG (* (reg8 *) USBFS_1_USB__USBIO_CR0) +#define USBFS_1_USBIO_CR1_PTR ( (reg8 *) USBFS_1_USB__USBIO_CR1) +#define USBFS_1_USBIO_CR1_REG (* (reg8 *) USBFS_1_USB__USBIO_CR1) +#if(!CY_PSOC5LP) + #define USBFS_1_USBIO_CR2_PTR ( (reg8 *) USBFS_1_USB__USBIO_CR2) + #define USBFS_1_USBIO_CR2_REG (* (reg8 *) USBFS_1_USB__USBIO_CR2) +#endif /* End CY_PSOC5LP */ + +#define USBFS_1_DIE_ID CYDEV_FLSHID_CUST_TABLES_BASE + +#define USBFS_1_PM_USB_CR0_PTR ( (reg8 *) CYREG_PM_USB_CR0) +#define USBFS_1_PM_USB_CR0_REG (* (reg8 *) CYREG_PM_USB_CR0) +#define USBFS_1_DYN_RECONFIG_PTR ( (reg8 *) USBFS_1_USB__DYN_RECONFIG) +#define USBFS_1_DYN_RECONFIG_REG (* (reg8 *) USBFS_1_USB__DYN_RECONFIG) + +#define USBFS_1_DM_INP_DIS_PTR ( (reg8 *) USBFS_1_Dm__INP_DIS) +#define USBFS_1_DM_INP_DIS_REG (* (reg8 *) USBFS_1_Dm__INP_DIS) +#define USBFS_1_DP_INP_DIS_PTR ( (reg8 *) USBFS_1_Dp__INP_DIS) +#define USBFS_1_DP_INP_DIS_REG (* (reg8 *) USBFS_1_Dp__INP_DIS) +#define USBFS_1_DP_INTSTAT_PTR ( (reg8 *) USBFS_1_Dp__INTSTAT) +#define USBFS_1_DP_INTSTAT_REG (* (reg8 *) USBFS_1_Dp__INTSTAT) + +#if (USBFS_1_MON_VBUS == 1u) + #if (USBFS_1_EXTERN_VBUS == 0u) + #define USBFS_1_VBUS_DR_PTR ( (reg8 *) USBFS_1_VBUS__DR) + #define USBFS_1_VBUS_DR_REG (* (reg8 *) USBFS_1_VBUS__DR) + #define USBFS_1_VBUS_PS_PTR ( (reg8 *) USBFS_1_VBUS__PS) + #define USBFS_1_VBUS_PS_REG (* (reg8 *) USBFS_1_VBUS__PS) + #define USBFS_1_VBUS_MASK USBFS_1_VBUS__MASK + #else + #define USBFS_1_VBUS_PS_PTR ( (reg8 *) USBFS_1_Vbus_ps_sts_sts_reg__STATUS_REG ) + #define USBFS_1_VBUS_MASK (0x01u) + #endif /* End USBFS_1_EXTERN_VBUS == 0u */ +#endif /* End USBFS_1_MON_VBUS */ + +/* Renamed Registers for backward compatibility. +* Should not be used in new designs. +*/ +#define USBFS_1_ARB_CFG USBFS_1_ARB_CFG_PTR + +#define USBFS_1_ARB_EP1_CFG USBFS_1_ARB_EP1_CFG_PTR +#define USBFS_1_ARB_EP1_INT_EN USBFS_1_ARB_EP1_INT_EN_PTR +#define USBFS_1_ARB_EP1_SR USBFS_1_ARB_EP1_SR_PTR + +#define USBFS_1_ARB_EP2_CFG USBFS_1_ARB_EP2_CFG_PTR +#define USBFS_1_ARB_EP2_INT_EN USBFS_1_ARB_EP2_INT_EN_PTR +#define USBFS_1_ARB_EP2_SR USBFS_1_ARB_EP2_SR_PTR + +#define USBFS_1_ARB_EP3_CFG USBFS_1_ARB_EP3_CFG_PTR +#define USBFS_1_ARB_EP3_INT_EN USBFS_1_ARB_EP3_INT_EN_PTR +#define USBFS_1_ARB_EP3_SR USBFS_1_ARB_EP3_SR_PTR + +#define USBFS_1_ARB_EP4_CFG USBFS_1_ARB_EP4_CFG_PTR +#define USBFS_1_ARB_EP4_INT_EN USBFS_1_ARB_EP4_INT_EN_PTR +#define USBFS_1_ARB_EP4_SR USBFS_1_ARB_EP4_SR_PTR + +#define USBFS_1_ARB_EP5_CFG USBFS_1_ARB_EP5_CFG_PTR +#define USBFS_1_ARB_EP5_INT_EN USBFS_1_ARB_EP5_INT_EN_PTR +#define USBFS_1_ARB_EP5_SR USBFS_1_ARB_EP5_SR_PTR + +#define USBFS_1_ARB_EP6_CFG USBFS_1_ARB_EP6_CFG_PTR +#define USBFS_1_ARB_EP6_INT_EN USBFS_1_ARB_EP6_INT_EN_PTR +#define USBFS_1_ARB_EP6_SR USBFS_1_ARB_EP6_SR_PTR + +#define USBFS_1_ARB_EP7_CFG USBFS_1_ARB_EP7_CFG_PTR +#define USBFS_1_ARB_EP7_INT_EN USBFS_1_ARB_EP7_INT_EN_PTR +#define USBFS_1_ARB_EP7_SR USBFS_1_ARB_EP7_SR_PTR + +#define USBFS_1_ARB_EP8_CFG USBFS_1_ARB_EP8_CFG_PTR +#define USBFS_1_ARB_EP8_INT_EN USBFS_1_ARB_EP8_INT_EN_PTR +#define USBFS_1_ARB_EP8_SR USBFS_1_ARB_EP8_SR_PTR + +#define USBFS_1_ARB_INT_EN USBFS_1_ARB_INT_EN_PTR +#define USBFS_1_ARB_INT_SR USBFS_1_ARB_INT_SR_PTR + +#define USBFS_1_ARB_RW1_DR USBFS_1_ARB_RW1_DR_PTR +#define USBFS_1_ARB_RW1_RA USBFS_1_ARB_RW1_RA_PTR +#define USBFS_1_ARB_RW1_RA_MSB USBFS_1_ARB_RW1_RA_MSB_PTR +#define USBFS_1_ARB_RW1_WA USBFS_1_ARB_RW1_WA_PTR +#define USBFS_1_ARB_RW1_WA_MSB USBFS_1_ARB_RW1_WA_MSB_PTR + +#define USBFS_1_ARB_RW2_DR USBFS_1_ARB_RW2_DR_PTR +#define USBFS_1_ARB_RW2_RA USBFS_1_ARB_RW2_RA_PTR +#define USBFS_1_ARB_RW2_RA_MSB USBFS_1_ARB_RW2_RA_MSB_PTR +#define USBFS_1_ARB_RW2_WA USBFS_1_ARB_RW2_WA_PTR +#define USBFS_1_ARB_RW2_WA_MSB USBFS_1_ARB_RW2_WA_MSB_PTR + +#define USBFS_1_ARB_RW3_DR USBFS_1_ARB_RW3_DR_PTR +#define USBFS_1_ARB_RW3_RA USBFS_1_ARB_RW3_RA_PTR +#define USBFS_1_ARB_RW3_RA_MSB USBFS_1_ARB_RW3_RA_MSB_PTR +#define USBFS_1_ARB_RW3_WA USBFS_1_ARB_RW3_WA_PTR +#define USBFS_1_ARB_RW3_WA_MSB USBFS_1_ARB_RW3_WA_MSB_PTR + +#define USBFS_1_ARB_RW4_DR USBFS_1_ARB_RW4_DR_PTR +#define USBFS_1_ARB_RW4_RA USBFS_1_ARB_RW4_RA_PTR +#define USBFS_1_ARB_RW4_RA_MSB USBFS_1_ARB_RW4_RA_MSB_PTR +#define USBFS_1_ARB_RW4_WA USBFS_1_ARB_RW4_WA_PTR +#define USBFS_1_ARB_RW4_WA_MSB USBFS_1_ARB_RW4_WA_MSB_PTR + +#define USBFS_1_ARB_RW5_DR USBFS_1_ARB_RW5_DR_PTR +#define USBFS_1_ARB_RW5_RA USBFS_1_ARB_RW5_RA_PTR +#define USBFS_1_ARB_RW5_RA_MSB USBFS_1_ARB_RW5_RA_MSB_PTR +#define USBFS_1_ARB_RW5_WA USBFS_1_ARB_RW5_WA_PTR +#define USBFS_1_ARB_RW5_WA_MSB USBFS_1_ARB_RW5_WA_MSB_PTR + +#define USBFS_1_ARB_RW6_DR USBFS_1_ARB_RW6_DR_PTR +#define USBFS_1_ARB_RW6_RA USBFS_1_ARB_RW6_RA_PTR +#define USBFS_1_ARB_RW6_RA_MSB USBFS_1_ARB_RW6_RA_MSB_PTR +#define USBFS_1_ARB_RW6_WA USBFS_1_ARB_RW6_WA_PTR +#define USBFS_1_ARB_RW6_WA_MSB USBFS_1_ARB_RW6_WA_MSB_PTR + +#define USBFS_1_ARB_RW7_DR USBFS_1_ARB_RW7_DR_PTR +#define USBFS_1_ARB_RW7_RA USBFS_1_ARB_RW7_RA_PTR +#define USBFS_1_ARB_RW7_RA_MSB USBFS_1_ARB_RW7_RA_MSB_PTR +#define USBFS_1_ARB_RW7_WA USBFS_1_ARB_RW7_WA_PTR +#define USBFS_1_ARB_RW7_WA_MSB USBFS_1_ARB_RW7_WA_MSB_PTR + +#define USBFS_1_ARB_RW8_DR USBFS_1_ARB_RW8_DR_PTR +#define USBFS_1_ARB_RW8_RA USBFS_1_ARB_RW8_RA_PTR +#define USBFS_1_ARB_RW8_RA_MSB USBFS_1_ARB_RW8_RA_MSB_PTR +#define USBFS_1_ARB_RW8_WA USBFS_1_ARB_RW8_WA_PTR +#define USBFS_1_ARB_RW8_WA_MSB USBFS_1_ARB_RW8_WA_MSB_PTR + +#define USBFS_1_BUF_SIZE USBFS_1_BUF_SIZE_PTR +#define USBFS_1_BUS_RST_CNT USBFS_1_BUS_RST_CNT_PTR +#define USBFS_1_CR0 USBFS_1_CR0_PTR +#define USBFS_1_CR1 USBFS_1_CR1_PTR +#define USBFS_1_CWA USBFS_1_CWA_PTR +#define USBFS_1_CWA_MSB USBFS_1_CWA_MSB_PTR + +#define USBFS_1_DMA_THRES USBFS_1_DMA_THRES_PTR +#define USBFS_1_DMA_THRES_MSB USBFS_1_DMA_THRES_MSB_PTR + +#define USBFS_1_EP_ACTIVE USBFS_1_EP_ACTIVE_PTR +#define USBFS_1_EP_TYPE USBFS_1_EP_TYPE_PTR + +#define USBFS_1_EP0_CNT USBFS_1_EP0_CNT_PTR +#define USBFS_1_EP0_CR USBFS_1_EP0_CR_PTR +#define USBFS_1_EP0_DR0 USBFS_1_EP0_DR0_PTR +#define USBFS_1_EP0_DR1 USBFS_1_EP0_DR1_PTR +#define USBFS_1_EP0_DR2 USBFS_1_EP0_DR2_PTR +#define USBFS_1_EP0_DR3 USBFS_1_EP0_DR3_PTR +#define USBFS_1_EP0_DR4 USBFS_1_EP0_DR4_PTR +#define USBFS_1_EP0_DR5 USBFS_1_EP0_DR5_PTR +#define USBFS_1_EP0_DR6 USBFS_1_EP0_DR6_PTR +#define USBFS_1_EP0_DR7 USBFS_1_EP0_DR7_PTR + +#define USBFS_1_OSCLK_DR0 USBFS_1_OSCLK_DR0_PTR +#define USBFS_1_OSCLK_DR1 USBFS_1_OSCLK_DR1_PTR + +#define USBFS_1_PM_ACT_CFG USBFS_1_PM_ACT_CFG_PTR +#define USBFS_1_PM_STBY_CFG USBFS_1_PM_STBY_CFG_PTR + +#define USBFS_1_SIE_EP_INT_EN USBFS_1_SIE_EP_INT_EN_PTR +#define USBFS_1_SIE_EP_INT_SR USBFS_1_SIE_EP_INT_SR_PTR + +#define USBFS_1_SIE_EP1_CNT0 USBFS_1_SIE_EP1_CNT0_PTR +#define USBFS_1_SIE_EP1_CNT1 USBFS_1_SIE_EP1_CNT1_PTR +#define USBFS_1_SIE_EP1_CR0 USBFS_1_SIE_EP1_CR0_PTR + +#define USBFS_1_SIE_EP2_CNT0 USBFS_1_SIE_EP2_CNT0_PTR +#define USBFS_1_SIE_EP2_CNT1 USBFS_1_SIE_EP2_CNT1_PTR +#define USBFS_1_SIE_EP2_CR0 USBFS_1_SIE_EP2_CR0_PTR + +#define USBFS_1_SIE_EP3_CNT0 USBFS_1_SIE_EP3_CNT0_PTR +#define USBFS_1_SIE_EP3_CNT1 USBFS_1_SIE_EP3_CNT1_PTR +#define USBFS_1_SIE_EP3_CR0 USBFS_1_SIE_EP3_CR0_PTR + +#define USBFS_1_SIE_EP4_CNT0 USBFS_1_SIE_EP4_CNT0_PTR +#define USBFS_1_SIE_EP4_CNT1 USBFS_1_SIE_EP4_CNT1_PTR +#define USBFS_1_SIE_EP4_CR0 USBFS_1_SIE_EP4_CR0_PTR + +#define USBFS_1_SIE_EP5_CNT0 USBFS_1_SIE_EP5_CNT0_PTR +#define USBFS_1_SIE_EP5_CNT1 USBFS_1_SIE_EP5_CNT1_PTR +#define USBFS_1_SIE_EP5_CR0 USBFS_1_SIE_EP5_CR0_PTR + +#define USBFS_1_SIE_EP6_CNT0 USBFS_1_SIE_EP6_CNT0_PTR +#define USBFS_1_SIE_EP6_CNT1 USBFS_1_SIE_EP6_CNT1_PTR +#define USBFS_1_SIE_EP6_CR0 USBFS_1_SIE_EP6_CR0_PTR + +#define USBFS_1_SIE_EP7_CNT0 USBFS_1_SIE_EP7_CNT0_PTR +#define USBFS_1_SIE_EP7_CNT1 USBFS_1_SIE_EP7_CNT1_PTR +#define USBFS_1_SIE_EP7_CR0 USBFS_1_SIE_EP7_CR0_PTR + +#define USBFS_1_SIE_EP8_CNT0 USBFS_1_SIE_EP8_CNT0_PTR +#define USBFS_1_SIE_EP8_CNT1 USBFS_1_SIE_EP8_CNT1_PTR +#define USBFS_1_SIE_EP8_CR0 USBFS_1_SIE_EP8_CR0_PTR + +#define USBFS_1_SOF0 USBFS_1_SOF0_PTR +#define USBFS_1_SOF1 USBFS_1_SOF1_PTR + +#define USBFS_1_USB_CLK_EN USBFS_1_USB_CLK_EN_PTR + +#define USBFS_1_USBIO_CR0 USBFS_1_USBIO_CR0_PTR +#define USBFS_1_USBIO_CR1 USBFS_1_USBIO_CR1_PTR +#define USBFS_1_USBIO_CR2 USBFS_1_USBIO_CR2_PTR + +#define USBFS_1_USB_MEM ((reg8 *) CYDEV_USB_MEM_BASE) + +#if(CYDEV_CHIP_DIE_EXPECT == CYDEV_CHIP_DIE_LEOPARD) + /* PSoC3 interrupt registers*/ + #define USBFS_1_USB_ISR_PRIOR ((reg8 *) CYDEV_INTC_PRIOR0) + #define USBFS_1_USB_ISR_SET_EN ((reg8 *) CYDEV_INTC_SET_EN0) + #define USBFS_1_USB_ISR_CLR_EN ((reg8 *) CYDEV_INTC_CLR_EN0) + #define USBFS_1_USB_ISR_VECT ((cyisraddress *) CYDEV_INTC_VECT_MBASE) +#elif(CYDEV_CHIP_DIE_EXPECT == CYDEV_CHIP_DIE_PANTHER) + /* PSoC5 interrupt registers*/ + #define USBFS_1_USB_ISR_PRIOR ((reg8 *) CYDEV_NVIC_PRI_0) + #define USBFS_1_USB_ISR_SET_EN ((reg8 *) CYDEV_NVIC_SETENA0) + #define USBFS_1_USB_ISR_CLR_EN ((reg8 *) CYDEV_NVIC_CLRENA0) + #define USBFS_1_USB_ISR_VECT ((cyisraddress *) CYDEV_NVIC_VECT_OFFSET) +#endif /* End CYDEV_CHIP_DIE_EXPECT */ + + +/*************************************** +* Interrupt vectors, masks and priorities +***************************************/ + +#define USBFS_1_BUS_RESET_PRIOR USBFS_1_bus_reset__INTC_PRIOR_NUM +#define USBFS_1_BUS_RESET_MASK USBFS_1_bus_reset__INTC_MASK +#define USBFS_1_BUS_RESET_VECT_NUM USBFS_1_bus_reset__INTC_NUMBER + +#define USBFS_1_SOF_PRIOR USBFS_1_sof_int__INTC_PRIOR_NUM +#define USBFS_1_SOF_MASK USBFS_1_sof_int__INTC_MASK +#define USBFS_1_SOF_VECT_NUM USBFS_1_sof_int__INTC_NUMBER + +#define USBFS_1_EP_0_PRIOR USBFS_1_ep_0__INTC_PRIOR_NUM +#define USBFS_1_EP_0_MASK USBFS_1_ep_0__INTC_MASK +#define USBFS_1_EP_0_VECT_NUM USBFS_1_ep_0__INTC_NUMBER + +#define USBFS_1_EP_1_PRIOR USBFS_1_ep_1__INTC_PRIOR_NUM +#define USBFS_1_EP_1_MASK USBFS_1_ep_1__INTC_MASK +#define USBFS_1_EP_1_VECT_NUM USBFS_1_ep_1__INTC_NUMBER + +#define USBFS_1_EP_2_PRIOR USBFS_1_ep_2__INTC_PRIOR_NUM +#define USBFS_1_EP_2_MASK USBFS_1_ep_2__INTC_MASK +#define USBFS_1_EP_2_VECT_NUM USBFS_1_ep_2__INTC_NUMBER + +#define USBFS_1_EP_3_PRIOR USBFS_1_ep_3__INTC_PRIOR_NUM +#define USBFS_1_EP_3_MASK USBFS_1_ep_3__INTC_MASK +#define USBFS_1_EP_3_VECT_NUM USBFS_1_ep_3__INTC_NUMBER + +#define USBFS_1_EP_4_PRIOR USBFS_1_ep_4__INTC_PRIOR_NUM +#define USBFS_1_EP_4_MASK USBFS_1_ep_4__INTC_MASK +#define USBFS_1_EP_4_VECT_NUM USBFS_1_ep_4__INTC_NUMBER + +#define USBFS_1_EP_5_PRIOR USBFS_1_ep_5__INTC_PRIOR_NUM +#define USBFS_1_EP_5_MASK USBFS_1_ep_5__INTC_MASK +#define USBFS_1_EP_5_VECT_NUM USBFS_1_ep_5__INTC_NUMBER + +#define USBFS_1_EP_6_PRIOR USBFS_1_ep_6__INTC_PRIOR_NUM +#define USBFS_1_EP_6_MASK USBFS_1_ep_6__INTC_MASK +#define USBFS_1_EP_6_VECT_NUM USBFS_1_ep_6__INTC_NUMBER + +#define USBFS_1_EP_7_PRIOR USBFS_1_ep_7__INTC_PRIOR_NUM +#define USBFS_1_EP_7_MASK USBFS_1_ep_7__INTC_MASK +#define USBFS_1_EP_7_VECT_NUM USBFS_1_ep_7__INTC_NUMBER + +#define USBFS_1_EP_8_PRIOR USBFS_1_ep_8__INTC_PRIOR_NUM +#define USBFS_1_EP_8_MASK USBFS_1_ep_8__INTC_MASK +#define USBFS_1_EP_8_VECT_NUM USBFS_1_ep_8__INTC_NUMBER + +#define USBFS_1_DP_INTC_PRIOR USBFS_1_dp_int__INTC_PRIOR_NUM +#define USBFS_1_DP_INTC_MASK USBFS_1_dp_int__INTC_MASK +#define USBFS_1_DP_INTC_VECT_NUM USBFS_1_dp_int__INTC_NUMBER + +/* ARB ISR should have higher priority from EP_X ISR, therefore it is defined to highest (0) */ +#define USBFS_1_ARB_PRIOR (0u) +#define USBFS_1_ARB_MASK USBFS_1_arb_int__INTC_MASK +#define USBFS_1_ARB_VECT_NUM USBFS_1_arb_int__INTC_NUMBER + +/*************************************** + * Endpoint 0 offsets (Table 9-2) + **************************************/ + +#define USBFS_1_bmRequestType USBFS_1_EP0_DR0_PTR +#define USBFS_1_bRequest USBFS_1_EP0_DR1_PTR +#define USBFS_1_wValue USBFS_1_EP0_DR2_PTR +#define USBFS_1_wValueHi USBFS_1_EP0_DR3_PTR +#define USBFS_1_wValueLo USBFS_1_EP0_DR2_PTR +#define USBFS_1_wIndex USBFS_1_EP0_DR4_PTR +#define USBFS_1_wIndexHi USBFS_1_EP0_DR5_PTR +#define USBFS_1_wIndexLo USBFS_1_EP0_DR4_PTR +#define USBFS_1_length USBFS_1_EP0_DR6_PTR +#define USBFS_1_lengthHi USBFS_1_EP0_DR7_PTR +#define USBFS_1_lengthLo USBFS_1_EP0_DR6_PTR + + +/*************************************** +* Register Constants +***************************************/ +#define USBFS_1_VDDD_MV CYDEV_VDDD_MV +#define USBFS_1_3500MV (3500u) + +#define USBFS_1_CR1_REG_ENABLE (0x01u) +#define USBFS_1_CR1_ENABLE_LOCK (0x02u) +#define USBFS_1_CR1_BUS_ACTIVITY_SHIFT (0x02u) +#define USBFS_1_CR1_BUS_ACTIVITY ((uint8)(0x01u << USBFS_1_CR1_BUS_ACTIVITY_SHIFT)) +#define USBFS_1_CR1_TRIM_MSB_EN (0x08u) + +#define USBFS_1_EP0_CNT_DATA_TOGGLE (0x80u) +#define USBFS_1_EPX_CNT_DATA_TOGGLE (0x80u) +#define USBFS_1_EPX_CNT0_MASK (0x0Fu) +#define USBFS_1_EPX_CNTX_MSB_MASK (0x07u) +#define USBFS_1_EPX_CNTX_ADDR_SHIFT (0x04u) +#define USBFS_1_EPX_CNTX_ADDR_OFFSET (0x10u) +#define USBFS_1_EPX_CNTX_CRC_COUNT (0x02u) +#define USBFS_1_EPX_DATA_BUF_MAX (512u) + +#define USBFS_1_CR0_ENABLE (0x80u) + +/* A 100 KHz clock is used for BUS reset count. Recommended is to count 10 pulses */ +#define USBFS_1_BUS_RST_COUNT (0x0au) + +#define USBFS_1_USBIO_CR1_IOMODE (0x20u) +#define USBFS_1_USBIO_CR1_USBPUEN (0x04u) +#define USBFS_1_USBIO_CR1_DP0 (0x02u) +#define USBFS_1_USBIO_CR1_DM0 (0x01u) + +#define USBFS_1_USBIO_CR0_TEN (0x80u) +#define USBFS_1_USBIO_CR0_TSE0 (0x40u) +#define USBFS_1_USBIO_CR0_TD (0x20u) +#define USBFS_1_USBIO_CR0_RD (0x01u) + +#define USBFS_1_FASTCLK_IMO_CR_USBCLK_ON (0x40u) +#define USBFS_1_FASTCLK_IMO_CR_XCLKEN (0x20u) +#define USBFS_1_FASTCLK_IMO_CR_FX2ON (0x10u) + +#define USBFS_1_ARB_EPX_CFG_RESET (0x08u) +#define USBFS_1_ARB_EPX_CFG_CRC_BYPASS (0x04u) +#define USBFS_1_ARB_EPX_CFG_DMA_REQ (0x02u) +#define USBFS_1_ARB_EPX_CFG_IN_DATA_RDY (0x01u) + +#define USBFS_1_ARB_EPX_SR_IN_BUF_FULL (0x01u) +#define USBFS_1_ARB_EPX_SR_DMA_GNT (0x02u) +#define USBFS_1_ARB_EPX_SR_BUF_OVER (0x04u) +#define USBFS_1_ARB_EPX_SR_BUF_UNDER (0x08u) + +#define USBFS_1_ARB_CFG_AUTO_MEM (0x10u) +#define USBFS_1_ARB_CFG_MANUAL_DMA (0x20u) +#define USBFS_1_ARB_CFG_AUTO_DMA (0x40u) +#define USBFS_1_ARB_CFG_CFG_CPM (0x80u) + +#if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + #define USBFS_1_ARB_EPX_INT_MASK (0x1Du) +#else + #define USBFS_1_ARB_EPX_INT_MASK (0x1Fu) +#endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ +#define USBFS_1_ARB_INT_MASK (uint8)((USBFS_1_DMA1_REMOVE ^ 1u) | \ + (uint8)((USBFS_1_DMA2_REMOVE ^ 1u) << 1u) | \ + (uint8)((USBFS_1_DMA3_REMOVE ^ 1u) << 2u) | \ + (uint8)((USBFS_1_DMA4_REMOVE ^ 1u) << 3u) | \ + (uint8)((USBFS_1_DMA5_REMOVE ^ 1u) << 4u) | \ + (uint8)((USBFS_1_DMA6_REMOVE ^ 1u) << 5u) | \ + (uint8)((USBFS_1_DMA7_REMOVE ^ 1u) << 6u) | \ + (uint8)((USBFS_1_DMA8_REMOVE ^ 1u) << 7u) ) + +#define USBFS_1_SIE_EP_INT_EP1_MASK (0x01u) +#define USBFS_1_SIE_EP_INT_EP2_MASK (0x02u) +#define USBFS_1_SIE_EP_INT_EP3_MASK (0x04u) +#define USBFS_1_SIE_EP_INT_EP4_MASK (0x08u) +#define USBFS_1_SIE_EP_INT_EP5_MASK (0x10u) +#define USBFS_1_SIE_EP_INT_EP6_MASK (0x20u) +#define USBFS_1_SIE_EP_INT_EP7_MASK (0x40u) +#define USBFS_1_SIE_EP_INT_EP8_MASK (0x80u) + +#define USBFS_1_PM_ACT_EN_FSUSB USBFS_1_USB__PM_ACT_MSK +#define USBFS_1_PM_STBY_EN_FSUSB USBFS_1_USB__PM_STBY_MSK +#define USBFS_1_PM_AVAIL_EN_FSUSBIO (0x10u) + +#define USBFS_1_PM_USB_CR0_REF_EN (0x01u) +#define USBFS_1_PM_USB_CR0_PD_N (0x02u) +#define USBFS_1_PM_USB_CR0_PD_PULLUP_N (0x04u) + +#define USBFS_1_USB_CLK_ENABLE (0x01u) + +#define USBFS_1_DM_MASK USBFS_1_Dm__0__MASK +#define USBFS_1_DP_MASK USBFS_1_Dp__0__MASK + +#define USBFS_1_DYN_RECONFIG_ENABLE (0x01u) +#define USBFS_1_DYN_RECONFIG_EP_SHIFT (0x01u) +#define USBFS_1_DYN_RECONFIG_RDY_STS (0x10u) + + +#endif /* End CY_USBFS_USBFS_1_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.c new file mode 100644 index 0000000..06f9327 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: USBFS_1_Dm.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "USBFS_1_Dm.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + USBFS_1_Dm__PORT == 15 && ((USBFS_1_Dm__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: USBFS_1_Dm_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_1_Dm_Write(uint8 value) +{ + uint8 staticBits = (USBFS_1_Dm_DR & (uint8)(~USBFS_1_Dm_MASK)); + USBFS_1_Dm_DR = staticBits | ((uint8)(value << USBFS_1_Dm_SHIFT) & USBFS_1_Dm_MASK); +} + + +/******************************************************************************* +* Function Name: USBFS_1_Dm_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_1_Dm_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(USBFS_1_Dm_0, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_1_Dm_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro USBFS_1_Dm_ReadPS calls this function. +* +*******************************************************************************/ +uint8 USBFS_1_Dm_Read(void) +{ + return (USBFS_1_Dm_PS & USBFS_1_Dm_MASK) >> USBFS_1_Dm_SHIFT; +} + + +/******************************************************************************* +* Function Name: USBFS_1_Dm_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 USBFS_1_Dm_ReadDataReg(void) +{ + return (USBFS_1_Dm_DR & USBFS_1_Dm_MASK) >> USBFS_1_Dm_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(USBFS_1_Dm_INTSTAT) + + /******************************************************************************* + * Function Name: USBFS_1_Dm_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 USBFS_1_Dm_ClearInterrupt(void) + { + return (USBFS_1_Dm_INTSTAT & USBFS_1_Dm_MASK) >> USBFS_1_Dm_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.h new file mode 100644 index 0000000..b331e92 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: USBFS_1_Dm.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_1_Dm_H) /* Pins USBFS_1_Dm_H */ +#define CY_PINS_USBFS_1_Dm_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "USBFS_1_Dm_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + USBFS_1_Dm__PORT == 15 && ((USBFS_1_Dm__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_1_Dm_Write(uint8 value) ; +void USBFS_1_Dm_SetDriveMode(uint8 mode) ; +uint8 USBFS_1_Dm_ReadDataReg(void) ; +uint8 USBFS_1_Dm_Read(void) ; +uint8 USBFS_1_Dm_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define USBFS_1_Dm_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define USBFS_1_Dm_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define USBFS_1_Dm_DM_RES_UP PIN_DM_RES_UP +#define USBFS_1_Dm_DM_RES_DWN PIN_DM_RES_DWN +#define USBFS_1_Dm_DM_OD_LO PIN_DM_OD_LO +#define USBFS_1_Dm_DM_OD_HI PIN_DM_OD_HI +#define USBFS_1_Dm_DM_STRONG PIN_DM_STRONG +#define USBFS_1_Dm_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define USBFS_1_Dm_MASK USBFS_1_Dm__MASK +#define USBFS_1_Dm_SHIFT USBFS_1_Dm__SHIFT +#define USBFS_1_Dm_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define USBFS_1_Dm_PS (* (reg8 *) USBFS_1_Dm__PS) +/* Data Register */ +#define USBFS_1_Dm_DR (* (reg8 *) USBFS_1_Dm__DR) +/* Port Number */ +#define USBFS_1_Dm_PRT_NUM (* (reg8 *) USBFS_1_Dm__PRT) +/* Connect to Analog Globals */ +#define USBFS_1_Dm_AG (* (reg8 *) USBFS_1_Dm__AG) +/* Analog MUX bux enable */ +#define USBFS_1_Dm_AMUX (* (reg8 *) USBFS_1_Dm__AMUX) +/* Bidirectional Enable */ +#define USBFS_1_Dm_BIE (* (reg8 *) USBFS_1_Dm__BIE) +/* Bit-mask for Aliased Register Access */ +#define USBFS_1_Dm_BIT_MASK (* (reg8 *) USBFS_1_Dm__BIT_MASK) +/* Bypass Enable */ +#define USBFS_1_Dm_BYP (* (reg8 *) USBFS_1_Dm__BYP) +/* Port wide control signals */ +#define USBFS_1_Dm_CTL (* (reg8 *) USBFS_1_Dm__CTL) +/* Drive Modes */ +#define USBFS_1_Dm_DM0 (* (reg8 *) USBFS_1_Dm__DM0) +#define USBFS_1_Dm_DM1 (* (reg8 *) USBFS_1_Dm__DM1) +#define USBFS_1_Dm_DM2 (* (reg8 *) USBFS_1_Dm__DM2) +/* Input Buffer Disable Override */ +#define USBFS_1_Dm_INP_DIS (* (reg8 *) USBFS_1_Dm__INP_DIS) +/* LCD Common or Segment Drive */ +#define USBFS_1_Dm_LCD_COM_SEG (* (reg8 *) USBFS_1_Dm__LCD_COM_SEG) +/* Enable Segment LCD */ +#define USBFS_1_Dm_LCD_EN (* (reg8 *) USBFS_1_Dm__LCD_EN) +/* Slew Rate Control */ +#define USBFS_1_Dm_SLW (* (reg8 *) USBFS_1_Dm__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define USBFS_1_Dm_PRTDSI__CAPS_SEL (* (reg8 *) USBFS_1_Dm__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define USBFS_1_Dm_PRTDSI__DBL_SYNC_IN (* (reg8 *) USBFS_1_Dm__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define USBFS_1_Dm_PRTDSI__OE_SEL0 (* (reg8 *) USBFS_1_Dm__PRTDSI__OE_SEL0) +#define USBFS_1_Dm_PRTDSI__OE_SEL1 (* (reg8 *) USBFS_1_Dm__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define USBFS_1_Dm_PRTDSI__OUT_SEL0 (* (reg8 *) USBFS_1_Dm__PRTDSI__OUT_SEL0) +#define USBFS_1_Dm_PRTDSI__OUT_SEL1 (* (reg8 *) USBFS_1_Dm__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define USBFS_1_Dm_PRTDSI__SYNC_OUT (* (reg8 *) USBFS_1_Dm__PRTDSI__SYNC_OUT) + + +#if defined(USBFS_1_Dm__INTSTAT) /* Interrupt Registers */ + + #define USBFS_1_Dm_INTSTAT (* (reg8 *) USBFS_1_Dm__INTSTAT) + #define USBFS_1_Dm_SNAP (* (reg8 *) USBFS_1_Dm__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_USBFS_1_Dm_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm_aliases.h new file mode 100644 index 0000000..c1e6145 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dm_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: USBFS_1_Dm.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_1_Dm_ALIASES_H) /* Pins USBFS_1_Dm_ALIASES_H */ +#define CY_PINS_USBFS_1_Dm_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define USBFS_1_Dm_0 USBFS_1_Dm__0__PC + +#endif /* End Pins USBFS_1_Dm_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.c new file mode 100644 index 0000000..e805597 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: USBFS_1_Dp.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "USBFS_1_Dp.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + USBFS_1_Dp__PORT == 15 && ((USBFS_1_Dp__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: USBFS_1_Dp_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_1_Dp_Write(uint8 value) +{ + uint8 staticBits = (USBFS_1_Dp_DR & (uint8)(~USBFS_1_Dp_MASK)); + USBFS_1_Dp_DR = staticBits | ((uint8)(value << USBFS_1_Dp_SHIFT) & USBFS_1_Dp_MASK); +} + + +/******************************************************************************* +* Function Name: USBFS_1_Dp_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_1_Dp_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(USBFS_1_Dp_0, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_1_Dp_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro USBFS_1_Dp_ReadPS calls this function. +* +*******************************************************************************/ +uint8 USBFS_1_Dp_Read(void) +{ + return (USBFS_1_Dp_PS & USBFS_1_Dp_MASK) >> USBFS_1_Dp_SHIFT; +} + + +/******************************************************************************* +* Function Name: USBFS_1_Dp_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 USBFS_1_Dp_ReadDataReg(void) +{ + return (USBFS_1_Dp_DR & USBFS_1_Dp_MASK) >> USBFS_1_Dp_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(USBFS_1_Dp_INTSTAT) + + /******************************************************************************* + * Function Name: USBFS_1_Dp_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 USBFS_1_Dp_ClearInterrupt(void) + { + return (USBFS_1_Dp_INTSTAT & USBFS_1_Dp_MASK) >> USBFS_1_Dp_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.h new file mode 100644 index 0000000..bbda80a --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: USBFS_1_Dp.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_1_Dp_H) /* Pins USBFS_1_Dp_H */ +#define CY_PINS_USBFS_1_Dp_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "USBFS_1_Dp_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + USBFS_1_Dp__PORT == 15 && ((USBFS_1_Dp__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_1_Dp_Write(uint8 value) ; +void USBFS_1_Dp_SetDriveMode(uint8 mode) ; +uint8 USBFS_1_Dp_ReadDataReg(void) ; +uint8 USBFS_1_Dp_Read(void) ; +uint8 USBFS_1_Dp_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define USBFS_1_Dp_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define USBFS_1_Dp_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define USBFS_1_Dp_DM_RES_UP PIN_DM_RES_UP +#define USBFS_1_Dp_DM_RES_DWN PIN_DM_RES_DWN +#define USBFS_1_Dp_DM_OD_LO PIN_DM_OD_LO +#define USBFS_1_Dp_DM_OD_HI PIN_DM_OD_HI +#define USBFS_1_Dp_DM_STRONG PIN_DM_STRONG +#define USBFS_1_Dp_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define USBFS_1_Dp_MASK USBFS_1_Dp__MASK +#define USBFS_1_Dp_SHIFT USBFS_1_Dp__SHIFT +#define USBFS_1_Dp_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define USBFS_1_Dp_PS (* (reg8 *) USBFS_1_Dp__PS) +/* Data Register */ +#define USBFS_1_Dp_DR (* (reg8 *) USBFS_1_Dp__DR) +/* Port Number */ +#define USBFS_1_Dp_PRT_NUM (* (reg8 *) USBFS_1_Dp__PRT) +/* Connect to Analog Globals */ +#define USBFS_1_Dp_AG (* (reg8 *) USBFS_1_Dp__AG) +/* Analog MUX bux enable */ +#define USBFS_1_Dp_AMUX (* (reg8 *) USBFS_1_Dp__AMUX) +/* Bidirectional Enable */ +#define USBFS_1_Dp_BIE (* (reg8 *) USBFS_1_Dp__BIE) +/* Bit-mask for Aliased Register Access */ +#define USBFS_1_Dp_BIT_MASK (* (reg8 *) USBFS_1_Dp__BIT_MASK) +/* Bypass Enable */ +#define USBFS_1_Dp_BYP (* (reg8 *) USBFS_1_Dp__BYP) +/* Port wide control signals */ +#define USBFS_1_Dp_CTL (* (reg8 *) USBFS_1_Dp__CTL) +/* Drive Modes */ +#define USBFS_1_Dp_DM0 (* (reg8 *) USBFS_1_Dp__DM0) +#define USBFS_1_Dp_DM1 (* (reg8 *) USBFS_1_Dp__DM1) +#define USBFS_1_Dp_DM2 (* (reg8 *) USBFS_1_Dp__DM2) +/* Input Buffer Disable Override */ +#define USBFS_1_Dp_INP_DIS (* (reg8 *) USBFS_1_Dp__INP_DIS) +/* LCD Common or Segment Drive */ +#define USBFS_1_Dp_LCD_COM_SEG (* (reg8 *) USBFS_1_Dp__LCD_COM_SEG) +/* Enable Segment LCD */ +#define USBFS_1_Dp_LCD_EN (* (reg8 *) USBFS_1_Dp__LCD_EN) +/* Slew Rate Control */ +#define USBFS_1_Dp_SLW (* (reg8 *) USBFS_1_Dp__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define USBFS_1_Dp_PRTDSI__CAPS_SEL (* (reg8 *) USBFS_1_Dp__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define USBFS_1_Dp_PRTDSI__DBL_SYNC_IN (* (reg8 *) USBFS_1_Dp__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define USBFS_1_Dp_PRTDSI__OE_SEL0 (* (reg8 *) USBFS_1_Dp__PRTDSI__OE_SEL0) +#define USBFS_1_Dp_PRTDSI__OE_SEL1 (* (reg8 *) USBFS_1_Dp__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define USBFS_1_Dp_PRTDSI__OUT_SEL0 (* (reg8 *) USBFS_1_Dp__PRTDSI__OUT_SEL0) +#define USBFS_1_Dp_PRTDSI__OUT_SEL1 (* (reg8 *) USBFS_1_Dp__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define USBFS_1_Dp_PRTDSI__SYNC_OUT (* (reg8 *) USBFS_1_Dp__PRTDSI__SYNC_OUT) + + +#if defined(USBFS_1_Dp__INTSTAT) /* Interrupt Registers */ + + #define USBFS_1_Dp_INTSTAT (* (reg8 *) USBFS_1_Dp__INTSTAT) + #define USBFS_1_Dp_SNAP (* (reg8 *) USBFS_1_Dp__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_USBFS_1_Dp_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp_aliases.h new file mode 100644 index 0000000..bd02d71 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_Dp_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: USBFS_1_Dp.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_1_Dp_ALIASES_H) /* Pins USBFS_1_Dp_ALIASES_H */ +#define CY_PINS_USBFS_1_Dp_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define USBFS_1_Dp_0 USBFS_1_Dp__0__PC + +#endif /* End Pins USBFS_1_Dp_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.c new file mode 100644 index 0000000..03db53e --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.c @@ -0,0 +1,318 @@ +/******************************************************************************* +* File Name: USBFS_1_audio.c +* Version 2.60 +* +* Description: +* USB AUDIO Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" + +#if defined(USBFS_1_ENABLE_AUDIO_CLASS) + +#include "USBFS_1_audio.h" +#include "USBFS_1_pvt.h" +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) + #include "USBFS_1_midi.h" +#endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +#if !defined(USER_SUPPLIED_AUDIO_HANDLER) + + +/*************************************** +* AUDIO Variables +***************************************/ + +#if defined(USBFS_1_ENABLE_AUDIO_STREAMING) + volatile uint8 USBFS_1_currentSampleFrequency[USBFS_1_MAX_EP][USBFS_1_SAMPLE_FREQ_LEN]; + volatile uint8 USBFS_1_frequencyChanged; + volatile uint8 USBFS_1_currentMute; + volatile uint8 USBFS_1_currentVolume[USBFS_1_VOLUME_LEN]; + volatile uint8 USBFS_1_minimumVolume[USBFS_1_VOLUME_LEN] = {USBFS_1_VOL_MIN_LSB, + USBFS_1_VOL_MIN_MSB}; + volatile uint8 USBFS_1_maximumVolume[USBFS_1_VOLUME_LEN] = {USBFS_1_VOL_MAX_LSB, + USBFS_1_VOL_MAX_MSB}; + volatile uint8 USBFS_1_resolutionVolume[USBFS_1_VOLUME_LEN] = {USBFS_1_VOL_RES_LSB, + USBFS_1_VOL_RES_MSB}; +#endif /* End USBFS_1_ENABLE_AUDIO_STREAMING */ + + +/******************************************************************************* +* Function Name: USBFS_1_DispatchAUDIOClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches class requests +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Global variables: +* USBFS_1_currentSampleFrequency: Contains the current audio Sample +* Frequency. It is set by the Host using SET_CUR request to the endpoint. +* USBFS_1_frequencyChanged: This variable is used as a flag for the +* user code, to be aware that Host has been sent request for changing +* Sample Frequency. Sample frequency will be sent on the next OUT +* transaction. It is contains endpoint address when set. The following +* code is recommended for detecting new Sample Frequency in main code: +* if((USBFS_1_frequencyChanged != 0) && +* (USBFS_1_transferState == USBFS_1_TRANS_STATE_IDLE)) +* { +* USBFS_1_frequencyChanged = 0; +* } +* USBFS_1_transferState variable is checked to be sure that +* transfer completes. +* USBFS_1_currentMute: Contains mute configuration set by Host. +* USBFS_1_currentVolume: Contains volume level set by Host. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_DispatchAUDIOClassRqst(void) +{ + uint8 requestHandled = USBFS_1_FALSE; + + #if defined(USBFS_1_ENABLE_AUDIO_STREAMING) + uint8 epNumber; + epNumber = CY_GET_REG8(USBFS_1_wIndexLo) & USBFS_1_DIR_UNUSED; + #endif /* End USBFS_1_ENABLE_AUDIO_STREAMING */ + + if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == USBFS_1_RQST_DIR_D2H) + { + /* Control Read */ + if((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) == \ + USBFS_1_RQST_RCPT_EP) + { + /* Endpoint */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_GET_CUR: + #if defined(USBFS_1_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_SAMPLING_FREQ_CONTROL) + { + /* Endpoint Control Selector is Sampling Frequency */ + USBFS_1_currentTD.wCount = USBFS_1_SAMPLE_FREQ_LEN; + USBFS_1_currentTD.pData = USBFS_1_currentSampleFrequency[epNumber]; + requestHandled = USBFS_1_InitControlRead(); + } + #endif /* End USBFS_1_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_READ_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else if((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) == \ + USBFS_1_RQST_RCPT_IFC) + { + /* Interface or Entity ID */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_GET_CUR: + #if defined(USBFS_1_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_MUTE_CONTROL) + { + /* `#START MUTE_CONTROL_GET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is MUTE */ + USBFS_1_currentTD.wCount = 1u; + USBFS_1_currentTD.pData = &USBFS_1_currentMute; + requestHandled = USBFS_1_InitControlRead(); + } + else if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_VOLUME_CONTROL) + { + /* `#START VOLUME_CONTROL_GET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is VOLUME, */ + USBFS_1_currentTD.wCount = USBFS_1_VOLUME_LEN; + USBFS_1_currentTD.pData = USBFS_1_currentVolume; + requestHandled = USBFS_1_InitControlRead(); + } + else + { + /* `#START OTHER_GET_CUR_REQUESTS` Place other request handler here */ + + /* `#END` */ + } + break; + case USBFS_1_GET_MIN: /* GET_MIN */ + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_1_currentTD.wCount = USBFS_1_VOLUME_LEN; + USBFS_1_currentTD.pData = &USBFS_1_minimumVolume[0]; + requestHandled = USBFS_1_InitControlRead(); + } + break; + case USBFS_1_GET_MAX: /* GET_MAX */ + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_1_currentTD.wCount = USBFS_1_VOLUME_LEN; + USBFS_1_currentTD.pData = &USBFS_1_maximumVolume[0]; + requestHandled = USBFS_1_InitControlRead(); + } + break; + case USBFS_1_GET_RES: /* GET_RES */ + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_1_currentTD.wCount = USBFS_1_VOLUME_LEN; + USBFS_1_currentTD.pData = &USBFS_1_resolutionVolume[0]; + requestHandled = USBFS_1_InitControlRead(); + } + break; + /* The contents of the status message is reserved for future use. + * For the time being, a null packet should be returned in the data stage of the + * control transfer, and the received null packet should be ACKed. + */ + case USBFS_1_GET_STAT: + USBFS_1_currentTD.wCount = 0u; + requestHandled = USBFS_1_InitControlWrite(); + + #endif /* End USBFS_1_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_WRITE_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else + { /* USBFS_1_RQST_RCPT_OTHER */ + } + } + else if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == \ + USBFS_1_RQST_DIR_H2D) + { + /* Control Write */ + if((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) == \ + USBFS_1_RQST_RCPT_EP) + { + /* Endpoint */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_SET_CUR: + #if defined(USBFS_1_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_SAMPLING_FREQ_CONTROL) + { + /* Endpoint Control Selector is Sampling Frequency */ + USBFS_1_currentTD.wCount = USBFS_1_SAMPLE_FREQ_LEN; + USBFS_1_currentTD.pData = USBFS_1_currentSampleFrequency[epNumber]; + requestHandled = USBFS_1_InitControlWrite(); + USBFS_1_frequencyChanged = epNumber; + } + #endif /* End USBFS_1_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_SAMPLING_FREQ_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else if((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) == \ + USBFS_1_RQST_RCPT_IFC) + { + /* Interface or Entity ID */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_SET_CUR: + #if defined(USBFS_1_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_MUTE_CONTROL) + { + /* `#START MUTE_SET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is MUTE */ + USBFS_1_currentTD.wCount = 1u; + USBFS_1_currentTD.pData = &USBFS_1_currentMute; + requestHandled = USBFS_1_InitControlWrite(); + } + else if(CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_VOLUME_CONTROL) + { + /* `#START VOLUME_CONTROL_SET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is VOLUME */ + USBFS_1_currentTD.wCount = USBFS_1_VOLUME_LEN; + USBFS_1_currentTD.pData = USBFS_1_currentVolume; + requestHandled = USBFS_1_InitControlWrite(); + } + else + { + /* `#START OTHER_SET_CUR_REQUESTS` Place other request handler here */ + + /* `#END` */ + } + #endif /* End USBFS_1_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_CONTROL_SEL_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else + { /* USBFS_1_RQST_RCPT_OTHER */ + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +#endif /* USER_SUPPLIED_AUDIO_HANDLER */ + + +/******************************************************************************* +* Additional user functions supporting AUDIO Requests +********************************************************************************/ + +/* `#START AUDIO_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_1_ENABLE_AUDIO_CLASS*/ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.h new file mode 100644 index 0000000..613d8c1 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_audio.h @@ -0,0 +1,95 @@ +/******************************************************************************* +* File Name: USBFS_1_audio.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_1_audio_H) +#define CY_USBFS_USBFS_1_audio_H + +#include "cytypes.h" + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CUSTOM_CONSTANTS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Constants for USBFS_1_audio API. +***************************************/ + +/* Audio Class-Specific Request Codes (AUDIO Table A-9) */ +#define USBFS_1_REQUEST_CODE_UNDEFINED (0x00u) +#define USBFS_1_SET_CUR (0x01u) +#define USBFS_1_GET_CUR (0x81u) +#define USBFS_1_SET_MIN (0x02u) +#define USBFS_1_GET_MIN (0x82u) +#define USBFS_1_SET_MAX (0x03u) +#define USBFS_1_GET_MAX (0x83u) +#define USBFS_1_SET_RES (0x04u) +#define USBFS_1_GET_RES (0x84u) +#define USBFS_1_SET_MEM (0x05u) +#define USBFS_1_GET_MEM (0x85u) +#define USBFS_1_GET_STAT (0xFFu) + +/* Endpoint Control Selectors (AUDIO Table A-19) */ +#define USBFS_1_EP_CONTROL_UNDEFINED (0x00u) +#define USBFS_1_SAMPLING_FREQ_CONTROL (0x01u) +#define USBFS_1_PITCH_CONTROL (0x02u) + +/* Feature Unit Control Selectors (AUDIO Table A-11) */ +#define USBFS_1_FU_CONTROL_UNDEFINED (0x00u) +#define USBFS_1_MUTE_CONTROL (0x01u) +#define USBFS_1_VOLUME_CONTROL (0x02u) +#define USBFS_1_BASS_CONTROL (0x03u) +#define USBFS_1_MID_CONTROL (0x04u) +#define USBFS_1_TREBLE_CONTROL (0x05u) +#define USBFS_1_GRAPHIC_EQUALIZER_CONTROL (0x06u) +#define USBFS_1_AUTOMATIC_GAIN_CONTROL (0x07u) +#define USBFS_1_DELAY_CONTROL (0x08u) +#define USBFS_1_BASS_BOOST_CONTROL (0x09u) +#define USBFS_1_LOUDNESS_CONTROL (0x0Au) + +#define USBFS_1_SAMPLE_FREQ_LEN (3u) +#define USBFS_1_VOLUME_LEN (2u) + +#if !defined(USER_SUPPLIED_DEFAULT_VOLUME_VALUE) + #define USBFS_1_VOL_MIN_MSB (0x80u) + #define USBFS_1_VOL_MIN_LSB (0x01u) + #define USBFS_1_VOL_MAX_MSB (0x7Fu) + #define USBFS_1_VOL_MAX_LSB (0xFFu) + #define USBFS_1_VOL_RES_MSB (0x00u) + #define USBFS_1_VOL_RES_LSB (0x01u) +#endif /* USER_SUPPLIED_DEFAULT_VOLUME_VALUE */ + + +/*************************************** +* External data references +***************************************/ + +extern volatile uint8 USBFS_1_currentSampleFrequency[USBFS_1_MAX_EP] + [USBFS_1_SAMPLE_FREQ_LEN]; +extern volatile uint8 USBFS_1_frequencyChanged; +extern volatile uint8 USBFS_1_currentMute; +extern volatile uint8 USBFS_1_currentVolume[USBFS_1_VOLUME_LEN]; +extern volatile uint8 USBFS_1_minimumVolume[USBFS_1_VOLUME_LEN]; +extern volatile uint8 USBFS_1_maximumVolume[USBFS_1_VOLUME_LEN]; +extern volatile uint8 USBFS_1_resolutionVolume[USBFS_1_VOLUME_LEN]; + +#endif /* End CY_USBFS_USBFS_1_audio_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_boot.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_boot.c new file mode 100644 index 0000000..75eea55 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_boot.c @@ -0,0 +1,262 @@ +/******************************************************************************* +* File Name: USBFS_1_boot.c +* Version 2.60 +* +* Description: +* Boot loader API for USBFS Component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" + +#if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS_1) || \ + (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + + +/*************************************** +* Bootloader defines +***************************************/ + +#define USBFS_1_CyBtLdrStarttimer(X, T) {USBFS_1_universalTime = T * 10; X = 0u;} +#define USBFS_1_CyBtLdrChecktimer(X) ((X++ < USBFS_1_universalTime) ? 1u : 0u) + +#define USBFS_1_BTLDR_OUT_EP (0x01u) +#define USBFS_1_BTLDR_IN_EP (0x02u) + + +/*************************************** +* Bootloader Variables +***************************************/ + +static uint16 USBFS_1_universalTime; +static uint8 USBFS_1_started = 0u; + + +/******************************************************************************* +* Function Name: USBFS_1_CyBtldrCommStart +******************************************************************************** +* +* Summary: +* Starts the component and enables the interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* This function starts the USB with 3V or 5V operation. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_CyBtldrCommStart(void) +{ + CyGlobalIntEnable; /* Enable Global Interrupts */ + + /*Start USBFS Operation/device 0 and with 5V or 3V operation depend on Voltage Configuration in DWR */ + USBFS_1_Start(0u, USBFS_1_DWR_VDDD_OPERATION); + + /* USB component started, the correct enumeration will be checked in first Read operation */ + USBFS_1_started = 1u; + +} + + +/******************************************************************************* +* Function Name: USBFS_1_CyBtldrCommStop. +******************************************************************************** +* +* Summary: +* Disable the component and disable the interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_1_CyBtldrCommStop(void) +{ + USBFS_1_Stop(); +} + + +/******************************************************************************* +* Function Name: USBFS_1_CyBtldrCommReset. +******************************************************************************** +* +* Summary: +* Resets the receive and transmit communication Buffers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_CyBtldrCommReset(void) +{ + USBFS_1_EnableOutEP(USBFS_1_BTLDR_OUT_EP); /* Enable the OUT endpoint */ +} + + +/******************************************************************************* +* Function Name: USBFS_1_CyBtldrCommWrite. +******************************************************************************** +* +* Summary: +* Allows the caller to write data to the boot loader host. The function will +* handle polling to allow a block of data to be completely sent to the host +* device. +* +* Parameters: +* pData: A pointer to the block of data to send to the device +* size: The number of bytes to write. +* count: Pointer to an unsigned short variable to write the number of +* bytes actually written. +* timeOut: Number of units to wait before returning because of a timeout. +* +* Return: +* Returns the value that best describes the problem. +* +* Reentrant: +* No. +* +*******************************************************************************/ +cystatus USBFS_1_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + +{ + uint16 time; + cystatus status; + + /* Enable IN transfer */ + USBFS_1_LoadInEP(USBFS_1_BTLDR_IN_EP, pData, USBFS_1_BTLDR_SIZEOF_READ_BUFFER); + + /* Start a timer to wait on. */ + USBFS_1_CyBtLdrStarttimer(time, timeOut); + + /* Wait for the master to read it. */ + while((USBFS_1_GetEPState(USBFS_1_BTLDR_IN_EP) == USBFS_1_IN_BUFFER_FULL) && \ + USBFS_1_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + + if (USBFS_1_GetEPState(USBFS_1_BTLDR_IN_EP) == USBFS_1_IN_BUFFER_FULL) + { + status = CYRET_TIMEOUT; + } + else + { + *count = size; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: USBFS_1_CyBtldrCommRead. +******************************************************************************** +* +* Summary: +* Allows the caller to read data from the boot loader host. The function will +* handle polling to allow a block of data to be completely received from the +* host device. +* +* Parameters: +* pData: A pointer to the area to store the block of data received +* from the device. +* size: The number of bytes to read. +* count: Pointer to an unsigned short variable to write the number +* of bytes actually read. +* timeOut: Number of units to wait before returning because of a timeOut. +* Timeout is measured in 10s of ms. +* +* Return: +* Returns the value that best describes the problem. +* +* Reentrant: +* No. +* +*******************************************************************************/ +cystatus USBFS_1_CyBtldrCommRead(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + +{ + cystatus status; + uint16 time; + + if(size > USBFS_1_BTLDR_SIZEOF_WRITE_BUFFER) + { + size = USBFS_1_BTLDR_SIZEOF_WRITE_BUFFER; + } + /* Start a timer to wait on. */ + USBFS_1_CyBtLdrStarttimer(time, timeOut); + + /* Wait on enumeration in first time */ + if(USBFS_1_started) + { + /* Wait for Device to enumerate */ + while(!USBFS_1_GetConfiguration() && USBFS_1_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + /* Enable first OUT, if enumeration complete */ + if(USBFS_1_GetConfiguration()) + { + USBFS_1_IsConfigurationChanged(); /* Clear configuration changes state status */ + USBFS_1_CyBtldrCommReset(); + USBFS_1_started = 0u; + } + } + else /* Check for configuration changes, has been done by Host */ + { + if(USBFS_1_IsConfigurationChanged() != 0u) /* Host could send double SET_INTERFACE request or RESET */ + { + if(USBFS_1_GetConfiguration() != 0u) /* Init OUT endpoints when device reconfigured */ + { + USBFS_1_CyBtldrCommReset(); + } + } + } + /* Wait on next packet */ + while((USBFS_1_GetEPState(USBFS_1_BTLDR_OUT_EP) != USBFS_1_OUT_BUFFER_FULL) && \ + USBFS_1_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + + /* OUT EP has completed */ + if (USBFS_1_GetEPState(USBFS_1_BTLDR_OUT_EP) == USBFS_1_OUT_BUFFER_FULL) + { + *count = USBFS_1_ReadOutEP(USBFS_1_BTLDR_OUT_EP, pData, size); + status = CYRET_SUCCESS; + } + else + { + *count = 0u; + status = CYRET_TIMEOUT; + } + return(status); +} + +#endif /* End CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS_1 */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.c new file mode 100644 index 0000000..b498c2c --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.c @@ -0,0 +1,706 @@ +/******************************************************************************* +* File Name: USBFS_1_cdc.c +* Version 2.60 +* +* Description: +* USB HID Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2012-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" + +#if defined(USBFS_1_ENABLE_CDC_CLASS) + +#include "USBFS_1_cdc.h" +#include "USBFS_1_pvt.h" + + +/*************************************** +* CDC Variables +***************************************/ + +volatile uint8 USBFS_1_lineCoding[USBFS_1_LINE_CODING_SIZE]; +volatile uint8 USBFS_1_lineChanged; +volatile uint16 USBFS_1_lineControlBitmap; +volatile uint8 USBFS_1_cdc_data_in_ep; +volatile uint8 USBFS_1_cdc_data_out_ep; + + +/*************************************** +* Static Function Prototypes +***************************************/ +static uint16 USBFS_1_StrLen(const char8 string[]) ; + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CDC_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_1_DispatchCDCClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches CDC class requests. +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Global variables: +* USBFS_1_lineCoding: Contains the current line coding structure. +* It is set by the Host using SET_LINE_CODING request and returned to the +* user code by the USBFS_GetDTERate(), USBFS_GetCharFormat(), +* USBFS_GetParityType(), USBFS_GetDataBits() APIs. +* USBFS_1_lineControlBitmap: Contains the current control signal +* bitmap. It is set by the Host using SET_CONTROL_LINE request and returned +* to the user code by the USBFS_GetLineControl() API. +* USBFS_1_lineChanged: This variable is used as a flag for the +* USBFS_IsLineChanged() API, to be aware that Host has been sent request +* for changing Line Coding or Control Bitmap. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_DispatchCDCClassRqst(void) +{ + uint8 requestHandled = USBFS_1_FALSE; + + if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == USBFS_1_RQST_DIR_D2H) + { /* Control Read */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_CDC_GET_LINE_CODING: + USBFS_1_currentTD.count = USBFS_1_LINE_CODING_SIZE; + USBFS_1_currentTD.pData = USBFS_1_lineCoding; + requestHandled = USBFS_1_InitControlRead(); + break; + + /* `#START CDC_READ_REQUESTS` Place other request handler here */ + + /* `#END` */ + + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == \ + USBFS_1_RQST_DIR_H2D) + { /* Control Write */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_CDC_SET_LINE_CODING: + USBFS_1_currentTD.count = USBFS_1_LINE_CODING_SIZE; + USBFS_1_currentTD.pData = USBFS_1_lineCoding; + USBFS_1_lineChanged |= USBFS_1_LINE_CODING_CHANGED; + requestHandled = USBFS_1_InitControlWrite(); + break; + + case USBFS_1_CDC_SET_CONTROL_LINE_STATE: + USBFS_1_lineControlBitmap = CY_GET_REG8(USBFS_1_wValueLo); + USBFS_1_lineChanged |= USBFS_1_LINE_CONTROL_CHANGED; + requestHandled = USBFS_1_InitNoDataControlTransfer(); + break; + + /* `#START CDC_WRITE_REQUESTS` Place other request handler here */ + + /* `#END` */ + + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +/*************************************** +* Optional CDC APIs +***************************************/ +#if (USBFS_1_ENABLE_CDC_CLASS_API != 0u) + + + /******************************************************************************* + * Function Name: USBFS_1_CDC_Init + ******************************************************************************** + * + * Summary: + * This function initialize the CDC interface to be ready for the receive data + * from the PC. + * + * Parameters: + * None. + * + * Return: + * None. + * + * Global variables: + * USBFS_1_lineChanged: Initialized to zero. + * USBFS_1_cdc_data_out_ep: Used as an OUT endpoint number. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_CDC_Init(void) + { + USBFS_1_lineChanged = 0u; + USBFS_1_EnableOutEP(USBFS_1_cdc_data_out_ep); + } + + + /******************************************************************************* + * Function Name: USBFS_1_PutData + ******************************************************************************** + * + * Summary: + * Sends a specified number of bytes from the location specified by a + * pointer to the PC. + * + * Parameters: + * pData: pointer to the buffer containing data to be sent. + * length: Specifies the number of bytes to send from the pData + * buffer. Maximum length will be limited by the maximum packet + * size for the endpoint. + * + * Return: + * None. + * + * Global variables: + * USBFS_1_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_PutData(const uint8* pData, uint16 length) + { + /* Limits length to maximum packet size for the EP */ + if(length > USBFS_1_EP[USBFS_1_cdc_data_in_ep].bufferSize) + { + /* Caution: Data will be lost if length is greater than Max Packet Length */ + length = USBFS_1_EP[USBFS_1_cdc_data_in_ep].bufferSize; + /* Halt CPU in debug mode */ + CYASSERT(0u != 0u); + } + USBFS_1_LoadInEP(USBFS_1_cdc_data_in_ep, pData, length); + } + + + /******************************************************************************* + * Function Name: USBFS_1_StrLen + ******************************************************************************** + * + * Summary: + * Calculates length of a null terminated string. + * + * Parameters: + * string: pointer to the string. + * + * Return: + * Length of the string + * + *******************************************************************************/ + static uint16 USBFS_1_StrLen(const char8 string[]) + { + uint16 len = 0u; + + while (string[len] != (char8)0) + { + len++; + } + + return (len); + } + + + /******************************************************************************* + * Function Name: USBFS_1_PutString + ******************************************************************************** + * + * Summary: + * Sends a null terminated string to the PC. + * + * Parameters: + * string: pointer to the string to be sent to the PC + * + * Return: + * None. + * + * Global variables: + * USBFS_1_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + * Theory: + * This function will block if there is not enough memory to place the whole + * string, it will block until the entire string has been written to the + * transmit buffer. + * + *******************************************************************************/ + void USBFS_1_PutString(const char8 string[]) + { + uint16 str_length; + uint16 send_length; + uint16 buf_index = 0u; + + /* Get length of the null terminated string */ + str_length = USBFS_1_StrLen(string); + do + { + /* Limits length to maximum packet size for the EP */ + send_length = (str_length > USBFS_1_EP[USBFS_1_cdc_data_in_ep].bufferSize) ? + USBFS_1_EP[USBFS_1_cdc_data_in_ep].bufferSize : str_length; + /* Enable IN transfer */ + USBFS_1_LoadInEP(USBFS_1_cdc_data_in_ep, (const uint8 *)&string[buf_index], send_length); + str_length -= send_length; + + /* If more data are present to send */ + if(str_length > 0u) + { + buf_index += send_length; + /* Wait for the Host to read it. */ + while(USBFS_1_EP[USBFS_1_cdc_data_in_ep].apiEpState == + USBFS_1_IN_BUFFER_FULL) + { + ; + } + } + }while(str_length > 0u); + } + + + /******************************************************************************* + * Function Name: USBFS_1_PutChar + ******************************************************************************** + * + * Summary: + * Writes a single character to the PC. + * + * Parameters: + * txDataByte: Character to be sent to the PC. + * + * Return: + * None. + * + * Global variables: + * USBFS_1_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_PutChar(char8 txDataByte) + { + uint8 dataByte; + dataByte = (uint8)txDataByte; + + USBFS_1_LoadInEP(USBFS_1_cdc_data_in_ep, &dataByte, 1u); + } + + + /******************************************************************************* + * Function Name: USBFS_1_PutCRLF + ******************************************************************************** + * + * Summary: + * Sends a carriage return (0x0D) and line feed (0x0A) to the PC + * + * Parameters: + * None. + * + * Return: + * None. + * + * Global variables: + * USBFS_1_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_PutCRLF(void) + { + const uint8 CYCODE txData[] = {0x0Du, 0x0Au}; + + USBFS_1_LoadInEP(USBFS_1_cdc_data_in_ep, (const uint8 *)txData, 2u); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetCount + ******************************************************************************** + * + * Summary: + * This function returns the number of bytes that were received from the PC. + * + * Parameters: + * None. + * + * Return: + * Returns the number of received bytes. + * + * Global variables: + * USBFS_1_cdc_data_out_ep: CDC OUT endpoint number used. + * + *******************************************************************************/ + uint16 USBFS_1_GetCount(void) + { + uint16 bytesCount = 0u; + + if (USBFS_1_EP[USBFS_1_cdc_data_out_ep].apiEpState == USBFS_1_OUT_BUFFER_FULL) + { + bytesCount = USBFS_1_GetEPCount(USBFS_1_cdc_data_out_ep); + } + + return(bytesCount); + } + + + /******************************************************************************* + * Function Name: USBFS_1_DataIsReady + ******************************************************************************** + * + * Summary: + * Returns a nonzero value if the component received data or received + * zero-length packet. The GetAll() or GetData() API should be called to read + * data from the buffer and re-init OUT endpoint even when zero-length packet + * received. + * + * Parameters: + * None. + * + * Return: + * If the OUT packet received this function returns a nonzero value. + * Otherwise zero is returned. + * + * Global variables: + * USBFS_1_cdc_data_out_ep: CDC OUT endpoint number used. + * + *******************************************************************************/ + uint8 USBFS_1_DataIsReady(void) + { + return(USBFS_1_EP[USBFS_1_cdc_data_out_ep].apiEpState); + } + + + /******************************************************************************* + * Function Name: USBFS_1_CDCIsReady + ******************************************************************************** + * + * Summary: + * Returns a nonzero value if the component is ready to send more data to the + * PC. Otherwise returns zero. Should be called before sending new data to + * ensure the previous data has finished sending.This function returns the + * number of bytes that were received from the PC. + * + * Parameters: + * None. + * + * Return: + * If the buffer can accept new data then this function returns a nonzero value. + * Otherwise zero is returned. + * + * Global variables: + * USBFS_1_cdc_data_in_ep: CDC IN endpoint number used. + * + *******************************************************************************/ + uint8 USBFS_1_CDCIsReady(void) + { + return(USBFS_1_EP[USBFS_1_cdc_data_in_ep].apiEpState); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetData + ******************************************************************************** + * + * Summary: + * Gets a specified number of bytes from the input buffer and places it in a + * data array specified by the passed pointer. + * USBFS_1_DataIsReady() API should be called before, to be sure + * that data is received from the Host. + * + * Parameters: + * pData: Pointer to the data array where data will be placed. + * Length: Number of bytes to read into the data array from the RX buffer. + * Maximum length is limited by the the number of received bytes. + * + * Return: + * Number of bytes received. + * + * Global variables: + * USBFS_1_cdc_data_out_ep: CDC OUT endpoint number used. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint16 USBFS_1_GetData(uint8* pData, uint16 length) + { + return(USBFS_1_ReadOutEP(USBFS_1_cdc_data_out_ep, pData, length)); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetAll + ******************************************************************************** + * + * Summary: + * Gets all bytes of received data from the input buffer and places it into a + * specified data array. USBFS_1_DataIsReady() API should be called + * before, to be sure that data is received from the Host. + * + * Parameters: + * pData: Pointer to the data array where data will be placed. + * + * Return: + * Number of bytes received. + * + * Global variables: + * USBFS_1_cdc_data_out_ep: CDC OUT endpoint number used. + * USBFS_1_EP[].bufferSize: EP max packet size is used as a length + * to read all data from the EP buffer. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint16 USBFS_1_GetAll(uint8* pData) + { + return (USBFS_1_ReadOutEP(USBFS_1_cdc_data_out_ep, pData, + USBFS_1_EP[USBFS_1_cdc_data_out_ep].bufferSize)); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetChar + ******************************************************************************** + * + * Summary: + * Reads one byte of received data from the buffer. + * + * Parameters: + * None. + * + * Return: + * Received one character. + * + * Global variables: + * USBFS_1_cdc_data_out_ep: CDC OUT endpoint number used. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint8 USBFS_1_GetChar(void) + { + uint8 rxData; + + (void) USBFS_1_ReadOutEP(USBFS_1_cdc_data_out_ep, &rxData, 1u); + + return(rxData); + } + + /******************************************************************************* + * Function Name: USBFS_1_IsLineChanged + ******************************************************************************** + * + * Summary: + * This function returns clear on read status of the line. + * + * Parameters: + * None. + * + * Return: + * If SET_LINE_CODING or CDC_SET_CONTROL_LINE_STATE request received then not + * zero value returned. Otherwise zero is returned. + * + * Global variables: + * USBFS_1_transferState - it is checked to be sure then OUT data + * phase has been complete, and data written to the lineCoding or Control + * Bitmap buffer. + * USBFS_1_lineChanged: used as a flag to be aware that Host has been + * sent request for changing Line Coding or Control Bitmap. + * + *******************************************************************************/ + uint8 USBFS_1_IsLineChanged(void) + { + uint8 state = 0u; + + /* transferState is checked to be sure then OUT data phase has been complete */ + if(USBFS_1_transferState == USBFS_1_TRANS_STATE_IDLE) + { + if(USBFS_1_lineChanged != 0u) + { + state = USBFS_1_lineChanged; + USBFS_1_lineChanged = 0u; + } + } + + return(state); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetDTERate + ******************************************************************************** + * + * Summary: + * Returns the data terminal rate set for this port in bits per second. + * + * Parameters: + * None. + * + * Return: + * Returns a uint32 value of the data rate in bits per second. + * + * Global variables: + * USBFS_1_lineCoding: First four bytes converted to uint32 + * depend on compiler, and returned as a data rate. + * + *******************************************************************************/ + uint32 USBFS_1_GetDTERate(void) + { + uint32 rate; + + rate = USBFS_1_lineCoding[USBFS_1_LINE_CODING_RATE + 3u]; + rate = (rate << 8u) | USBFS_1_lineCoding[USBFS_1_LINE_CODING_RATE + 2u]; + rate = (rate << 8u) | USBFS_1_lineCoding[USBFS_1_LINE_CODING_RATE + 1u]; + rate = (rate << 8u) | USBFS_1_lineCoding[USBFS_1_LINE_CODING_RATE]; + + return(rate); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetCharFormat + ******************************************************************************** + * + * Summary: + * Returns the number of stop bits. + * + * Parameters: + * None. + * + * Return: + * Returns the number of stop bits. + * + * Global variables: + * USBFS_1_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_1_GetCharFormat(void) + { + return(USBFS_1_lineCoding[USBFS_1_LINE_CODING_STOP_BITS]); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetParityType + ******************************************************************************** + * + * Summary: + * Returns the parity type for the CDC port. + * + * Parameters: + * None. + * + * Return: + * Returns the parity type. + * + * Global variables: + * USBFS_1_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_1_GetParityType(void) + { + return(USBFS_1_lineCoding[USBFS_1_LINE_CODING_PARITY]); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetDataBits + ******************************************************************************** + * + * Summary: + * Returns the number of data bits for the CDC port. + * + * Parameters: + * None. + * + * Return: + * Returns the number of data bits. + * The number of data bits can be 5, 6, 7, 8 or 16. + * + * Global variables: + * USBFS_1_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_1_GetDataBits(void) + { + return(USBFS_1_lineCoding[USBFS_1_LINE_CODING_DATA_BITS]); + } + + + /******************************************************************************* + * Function Name: USBFS_1_GetLineControl + ******************************************************************************** + * + * Summary: + * Returns Line control bitmap. + * + * Parameters: + * None. + * + * Return: + * Returns Line control bitmap. + * + * Global variables: + * USBFS_1_lineControlBitmap: used to get a parameter. + * + *******************************************************************************/ + uint16 USBFS_1_GetLineControl(void) + { + return(USBFS_1_lineControlBitmap); + } + +#endif /* End USBFS_1_ENABLE_CDC_CLASS_API*/ + + +/******************************************************************************* +* Additional user functions supporting CDC Requests +********************************************************************************/ + +/* `#START CDC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_1_ENABLE_CDC_CLASS*/ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.h new file mode 100644 index 0000000..93bbc15 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.h @@ -0,0 +1,92 @@ +/******************************************************************************* +* File Name: USBFS_1_cdc.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. +* Contains CDC class prototypes and constant values. +* +******************************************************************************** +* Copyright 2012-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_1_cdc_H) +#define CY_USBFS_USBFS_1_cdc_H + +#include "cytypes.h" + + +/*************************************** +* Prototypes of the USBFS_1_cdc API. +***************************************/ + +#if (USBFS_1_ENABLE_CDC_CLASS_API != 0u) + void USBFS_1_CDC_Init(void) ; + void USBFS_1_PutData(const uint8* pData, uint16 length) ; + void USBFS_1_PutString(const char8 string[]) ; + void USBFS_1_PutChar(char8 txDataByte) ; + void USBFS_1_PutCRLF(void) ; + uint16 USBFS_1_GetCount(void) ; + uint8 USBFS_1_CDCIsReady(void) ; + uint8 USBFS_1_DataIsReady(void) ; + uint16 USBFS_1_GetData(uint8* pData, uint16 length) ; + uint16 USBFS_1_GetAll(uint8* pData) ; + uint8 USBFS_1_GetChar(void) ; + uint8 USBFS_1_IsLineChanged(void) ; + uint32 USBFS_1_GetDTERate(void) ; + uint8 USBFS_1_GetCharFormat(void) ; + uint8 USBFS_1_GetParityType(void) ; + uint8 USBFS_1_GetDataBits(void) ; + uint16 USBFS_1_GetLineControl(void) ; +#endif /* End USBFS_1_ENABLE_CDC_CLASS_API*/ + + +/*************************************** +* Constants for USBFS_1_cdc API. +***************************************/ + +/* CDC Class-Specific Request Codes (CDC ver 1.2 Table 19) */ +#define USBFS_1_CDC_SET_LINE_CODING (0x20u) +#define USBFS_1_CDC_GET_LINE_CODING (0x21u) +#define USBFS_1_CDC_SET_CONTROL_LINE_STATE (0x22u) + +#define USBFS_1_LINE_CODING_CHANGED (0x01u) +#define USBFS_1_LINE_CONTROL_CHANGED (0x02u) + +#define USBFS_1_1_STOPBIT (0x00u) +#define USBFS_1_1_5_STOPBITS (0x01u) +#define USBFS_1_2_STOPBITS (0x02u) + +#define USBFS_1_PARITY_NONE (0x00u) +#define USBFS_1_PARITY_ODD (0x01u) +#define USBFS_1_PARITY_EVEN (0x02u) +#define USBFS_1_PARITY_MARK (0x03u) +#define USBFS_1_PARITY_SPACE (0x04u) + +#define USBFS_1_LINE_CODING_SIZE (0x07u) +#define USBFS_1_LINE_CODING_RATE (0x00u) +#define USBFS_1_LINE_CODING_STOP_BITS (0x04u) +#define USBFS_1_LINE_CODING_PARITY (0x05u) +#define USBFS_1_LINE_CODING_DATA_BITS (0x06u) + +#define USBFS_1_LINE_CONTROL_DTR (0x01u) +#define USBFS_1_LINE_CONTROL_RTS (0x02u) + + +/*************************************** +* External data references +***************************************/ + +extern volatile uint8 USBFS_1_lineCoding[USBFS_1_LINE_CODING_SIZE]; +extern volatile uint8 USBFS_1_lineChanged; +extern volatile uint16 USBFS_1_lineControlBitmap; +extern volatile uint8 USBFS_1_cdc_data_in_ep; +extern volatile uint8 USBFS_1_cdc_data_out_ep; + +#endif /* End CY_USBFS_USBFS_1_cdc_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.inf b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.inf new file mode 100644 index 0000000..9515243 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cdc.inf @@ -0,0 +1,122 @@ +;****************************************************************************** +; File Name: USBFS_1_cdc.inf +; Version 2.60 +; +; Description: +; Windows USB CDC setup file for USBUART Device. +; +;****************************************************************************** +; Copyright 2007-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;****************************************************************************** + +[Version] +Signature="$Windows NT$" +Class=Ports +ClassGuid={4D36E978-E325-11CE-BFC1-08002BE10318} +Provider=%PROVIDER% +LayoutFile=layout.inf +DriverVer=03/05/2007,2.0.0000.0 + +[Manufacturer] +%MFGNAME%=DeviceList, NTx86, NTia64, NTamd64 + +[DestinationDirs] +DefaultDestDir=12 + +[SourceDisksFiles] + +[SourceDisksNames] + +[DeviceList.NTx86] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + +[DeviceList.NTia64] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + +[DeviceList.NTamd64] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + + +;------------------------------------------------------------------------------ +; 32 bit section for Windows 2000/2003/XP/Vista +;------------------------------------------------------------------------------ + +[DriverInstall.NTx86] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTx86.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTx86.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTx86.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; 64 bit section for Intel Itanium based systems +;------------------------------------------------------------------------------ + +[DriverInstall.NTia64] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTia64.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTia64.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTia64.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; 64 bit section for AMD64 and Intel EM64T based systems +;------------------------------------------------------------------------------ + +[DriverInstall.NTamd64] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTamd64.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTamd64.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTamd64.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; +;------------------------------------------------------------------------------ + +[DriverService] +DisplayName=%SERVICE% +ServiceType=1 +StartType=3 +ErrorControl=1 +ServiceBinary=%12%\usbser.sys + +;------------------------------------------------------------------------------ +; String Definitions +;------------------------------------------------------------------------------ + +[Strings] +PROVIDER="Cypress" +MFGNAME="Cypress Semiconductor Corporation" +DESCRIPTION="Cypress USB UART" +SERVICE="USB UART" diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cls.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cls.c new file mode 100644 index 0000000..26800c5 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_cls.c @@ -0,0 +1,107 @@ +/******************************************************************************* +* File Name: USBFS_1_cls.c +* Version 2.60 +* +* Description: +* USB Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" + +#if(USBFS_1_EXTERN_CLS == USBFS_1_FALSE) + +#include "USBFS_1_pvt.h" + + +/*************************************** +* User Implemented Class Driver Declarations. +***************************************/ +/* `#START USER_DEFINED_CLASS_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_1_DispatchClassRqst +******************************************************************************** +* Summary: +* This routine dispatches class specific requests depend on interface class. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_DispatchClassRqst(void) +{ + uint8 requestHandled = USBFS_1_FALSE; + uint8 interfaceNumber = 0u; + + switch(CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) + { + case USBFS_1_RQST_RCPT_IFC: /* Class-specific request directed to an interface */ + interfaceNumber = CY_GET_REG8(USBFS_1_wIndexLo); /* wIndexLo contain Interface number */ + break; + case USBFS_1_RQST_RCPT_EP: /* Class-specific request directed to the endpoint */ + /* Find related interface to the endpoint, wIndexLo contain EP number */ + interfaceNumber = + USBFS_1_EP[CY_GET_REG8(USBFS_1_wIndexLo) & USBFS_1_DIR_UNUSED].interface; + break; + default: /* RequestHandled is initialized as FALSE by default */ + break; + } + /* Handle Class request depend on interface type */ + switch(USBFS_1_interfaceClass[interfaceNumber]) + { + case USBFS_1_CLASS_HID: + #if defined(USBFS_1_ENABLE_HID_CLASS) + requestHandled = USBFS_1_DispatchHIDClassRqst(); + #endif /* USBFS_1_ENABLE_HID_CLASS */ + break; + case USBFS_1_CLASS_AUDIO: + #if defined(USBFS_1_ENABLE_AUDIO_CLASS) + requestHandled = USBFS_1_DispatchAUDIOClassRqst(); + #endif /* USBFS_1_ENABLE_HID_CLASS */ + break; + case USBFS_1_CLASS_CDC: + #if defined(USBFS_1_ENABLE_CDC_CLASS) + requestHandled = USBFS_1_DispatchCDCClassRqst(); + #endif /* USBFS_1_ENABLE_CDC_CLASS */ + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + + /* `#START USER_DEFINED_CLASS_CODE` Place your Class request here */ + + /* `#END` */ + + return(requestHandled); +} + + +/******************************************************************************* +* Additional user functions supporting Class Specific Requests +********************************************************************************/ + +/* `#START CLASS_SPECIFIC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* USBFS_1_EXTERN_CLS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_descr.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_descr.c new file mode 100644 index 0000000..2469573 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_descr.c @@ -0,0 +1,180 @@ +/******************************************************************************* +* File Name: USBFS_1_descr.c +* Version 2.60 +* +* Description: +* USB descriptors and storage. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" +#include "USBFS_1_pvt.h" + + +/***************************************************************************** +* User supplied descriptors. If you want to specify your own descriptors, +* remove the comments around the define USER_SUPPLIED_DESCRIPTORS below and +* add your descriptors. +*****************************************************************************/ +/* `#START USER_DESCRIPTORS_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* USB Customizer Generated Descriptors +***************************************/ + +#if !defined(USER_SUPPLIED_DESCRIPTORS) +/********************************************************************* +* Device Descriptors +*********************************************************************/ +const uint8 CYCODE USBFS_1_DEVICE0_DESCR[18u] = { +/* Descriptor Length */ 0x12u, +/* DescriptorType: DEVICE */ 0x01u, +/* bcdUSB (ver 2.0) */ 0x00u, 0x02u, +/* bDeviceClass */ 0x00u, +/* bDeviceSubClass */ 0x00u, +/* bDeviceProtocol */ 0x00u, +/* bMaxPacketSize0 */ 0x08u, +/* idVendor */ 0xB4u, 0x04u, +/* idProduct */ 0x51u, 0x80u, +/* bcdDevice */ 0x00u, 0x00u, +/* iManufacturer */ 0x00u, +/* iProduct */ 0x00u, +/* iSerialNumber */ 0x00u, +/* bNumConfigurations */ 0x01u +}; +/********************************************************************* +* Config Descriptor +*********************************************************************/ +const uint8 CYCODE USBFS_1_DEVICE0_CONFIGURATION0_DESCR[25u] = { +/* Config Descriptor Length */ 0x09u, +/* DescriptorType: CONFIG */ 0x02u, +/* wTotalLength */ 0x19u, 0x00u, +/* bNumInterfaces */ 0x01u, +/* bConfigurationValue */ 0x01u, +/* iConfiguration */ 0x00u, +/* bmAttributes */ 0xC0u, +/* bMaxPower */ 0x00u, +/********************************************************************* +* Interface Descriptor +*********************************************************************/ +/* Interface Descriptor Length */ 0x09u, +/* DescriptorType: INTERFACE */ 0x04u, +/* bInterfaceNumber */ 0x00u, +/* bAlternateSetting */ 0x00u, +/* bNumEndpoints */ 0x01u, +/* bInterfaceClass */ 0x00u, +/* bInterfaceSubClass */ 0x00u, +/* bInterfaceProtocol */ 0x00u, +/* iInterface */ 0x00u, +/********************************************************************* +* Endpoint Descriptor +*********************************************************************/ +/* Endpoint Descriptor Length */ 0x07u, +/* DescriptorType: ENDPOINT */ 0x05u, +/* bEndpointAddress */ 0x01u, +/* bmAttributes */ 0x02u, +/* wMaxPacketSize */ 0x08u, 0x00u, +/* bInterval */ 0x0Au +}; + + + + + +/********************************************************************* +* Endpoint Setting Table -- This table contain the endpoint setting +* for each endpoint in the configuration. It +* contains the necessary information to +* configure the endpoint hardware for each +* interface and alternate setting. +*********************************************************************/ +const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE USBFS_1_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE[1u] = { +/* IFC ALT EPAddr bmAttr MaxPktSize Class ********************/ +{0x00u, 0x00u, 0x01u, 0x02u, 0x0008u, 0x00u} +}; +const uint8 CYCODE USBFS_1_DEVICE0_CONFIGURATION0_INTERFACE_CLASS[1u] = { +0x00u +}; +/********************************************************************* +* Config Dispatch Table -- Points to the Config Descriptor and each of +* and endpoint setup table and to each +* interface table if it specifies a USB Class +*********************************************************************/ +const T_USBFS_1_LUT CYCODE USBFS_1_DEVICE0_CONFIGURATION0_TABLE[4u] = { + {0x01u, &USBFS_1_DEVICE0_CONFIGURATION0_DESCR}, + {0x01u, &USBFS_1_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE}, + {0x00u, NULL}, + {0x00u, &USBFS_1_DEVICE0_CONFIGURATION0_INTERFACE_CLASS} +}; +/********************************************************************* +* Device Dispatch Table -- Points to the Device Descriptor and each of +* and Configuration Tables for this Device +*********************************************************************/ +const T_USBFS_1_LUT CYCODE USBFS_1_DEVICE0_TABLE[2u] = { + {0x01u, &USBFS_1_DEVICE0_DESCR}, + {0x01u, &USBFS_1_DEVICE0_CONFIGURATION0_TABLE} +}; +/********************************************************************* +* Device Table -- Indexed by the device number. +*********************************************************************/ +const T_USBFS_1_LUT CYCODE USBFS_1_TABLE[1u] = { + {0x01u, &USBFS_1_DEVICE0_TABLE} +}; + +#endif /* USER_SUPPLIED_DESCRIPTORS */ + +#if defined(USBFS_1_ENABLE_MSOS_STRING) + + /****************************************************************************** + * USB Microsoft OS String Descriptor + * "MSFT" identifies a Microsoft host + * "100" specifies version 1.00 + * USBFS_1_GET_EXTENDED_CONFIG_DESCRIPTOR becomes the bRequest value + * in a host vendor device/class request + ******************************************************************************/ + + const uint8 CYCODE USBFS_1_MSOS_DESCRIPTOR[USBFS_1_MSOS_DESCRIPTOR_LENGTH] = { + /* Descriptor Length */ 0x12u, + /* DescriptorType: STRING */ 0x03u, + /* qwSignature - "MSFT100" */ (uint8)'M', 0u, (uint8)'S', 0u, (uint8)'F', 0u, (uint8)'T', 0u, + (uint8)'1', 0u, (uint8)'0', 0u, (uint8)'0', 0u, + /* bMS_VendorCode: */ USBFS_1_GET_EXTENDED_CONFIG_DESCRIPTOR, + /* bPad */ 0x00u + }; + + /* Extended Configuration Descriptor */ + + const uint8 CYCODE USBFS_1_MSOS_CONFIGURATION_DESCR[USBFS_1_MSOS_CONF_DESCR_LENGTH] = { + /* Length of the descriptor 4 bytes */ 0x28u, 0x00u, 0x00u, 0x00u, + /* Version of the descriptor 2 bytes */ 0x00u, 0x01u, + /* wIndex - Fixed:INDEX_CONFIG_DESCRIPTOR */ 0x04u, 0x00u, + /* bCount - Count of device functions. */ 0x01u, + /* Reserved : 7 bytes */ 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + /* bFirstInterfaceNumber */ 0x00u, + /* Reserved */ 0x01u, + /* compatibleID - "CYUSB\0\0" */ (uint8)'C', (uint8)'Y', (uint8)'U', (uint8)'S', (uint8)'B', + 0x00u, 0x00u, 0x00u, + /* subcompatibleID - "00001\0\0" */ (uint8)'0', (uint8)'0', (uint8)'0', (uint8)'0', (uint8)'1', + 0x00u, 0x00u, 0x00u, + /* Reserved : 6 bytes */ 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u + }; + +#endif /* USBFS_1_ENABLE_MSOS_STRING */ + +/* DIE ID string descriptor for 8 bytes ID */ +#if defined(USBFS_1_ENABLE_IDSN_STRING) + uint8 USBFS_1_idSerialNumberStringDescriptor[USBFS_1_IDSN_DESCR_LENGTH]; +#endif /* USBFS_1_ENABLE_IDSN_STRING */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_drv.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_drv.c new file mode 100644 index 0000000..282d1d1 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_drv.c @@ -0,0 +1,781 @@ +/******************************************************************************* +* File Name: USBFS_1_drv.c +* Version 2.60 +* +* Description: +* Endpoint 0 Driver for the USBFS Component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" +#include "USBFS_1_pvt.h" + + +/*************************************** +* Global data allocation +***************************************/ + +volatile T_USBFS_1_EP_CTL_BLOCK USBFS_1_EP[USBFS_1_MAX_EP]; +volatile uint8 USBFS_1_configuration; +volatile uint8 USBFS_1_interfaceNumber; +volatile uint8 USBFS_1_configurationChanged; +volatile uint8 USBFS_1_deviceAddress; +volatile uint8 USBFS_1_deviceStatus; +volatile uint8 USBFS_1_interfaceSetting[USBFS_1_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_1_interfaceSetting_last[USBFS_1_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_1_interfaceStatus[USBFS_1_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_1_device; +const uint8 CYCODE *USBFS_1_interfaceClass; + + +/*************************************** +* Local data allocation +***************************************/ + +volatile uint8 USBFS_1_ep0Toggle; +volatile uint8 USBFS_1_lastPacketSize; +volatile uint8 USBFS_1_transferState; +volatile T_USBFS_1_TD USBFS_1_currentTD; +volatile uint8 USBFS_1_ep0Mode; +volatile uint8 USBFS_1_ep0Count; +volatile uint16 USBFS_1_transferByteCount; + + +/******************************************************************************* +* Function Name: USBFS_1_ep_0_Interrupt +******************************************************************************** +* +* Summary: +* This Interrupt Service Routine handles Endpoint 0 (Control Pipe) traffic. +* It dispatches setup requests and handles the data and status stages. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_1_EP_0_ISR) +{ + uint8 bRegTemp; + uint8 modifyReg; + + + bRegTemp = CY_GET_REG8(USBFS_1_EP0_CR_PTR); + if ((bRegTemp & USBFS_1_MODE_ACKD) != 0u) + { + modifyReg = 1u; + if ((bRegTemp & USBFS_1_MODE_SETUP_RCVD) != 0u) + { + if((bRegTemp & USBFS_1_MODE_MASK) != USBFS_1_MODE_NAK_IN_OUT) + { + modifyReg = 0u; /* When mode not NAK_IN_OUT => invalid setup */ + } + else + { + USBFS_1_HandleSetup(); + if((USBFS_1_ep0Mode & USBFS_1_MODE_SETUP_RCVD) != 0u) + { + modifyReg = 0u; /* if SETUP bit set -> exit without modifying the mode */ + } + + } + } + else if ((bRegTemp & USBFS_1_MODE_IN_RCVD) != 0u) + { + USBFS_1_HandleIN(); + } + else if ((bRegTemp & USBFS_1_MODE_OUT_RCVD) != 0u) + { + USBFS_1_HandleOUT(); + } + else + { + modifyReg = 0u; + } + if(modifyReg != 0u) + { + bRegTemp = CY_GET_REG8(USBFS_1_EP0_CR_PTR); /* unlock registers */ + if((bRegTemp & USBFS_1_MODE_SETUP_RCVD) == 0u) /* Check if SETUP bit is not set, otherwise exit */ + { + /* Update the count register */ + bRegTemp = USBFS_1_ep0Toggle | USBFS_1_ep0Count; + CY_SET_REG8(USBFS_1_EP0_CNT_PTR, bRegTemp); + if(bRegTemp == CY_GET_REG8(USBFS_1_EP0_CNT_PTR)) /* continue if writing was successful */ + { + do + { + modifyReg = USBFS_1_ep0Mode; /* Init temporary variable */ + /* Unlock registers */ + bRegTemp = CY_GET_REG8(USBFS_1_EP0_CR_PTR) & USBFS_1_MODE_SETUP_RCVD; + if(bRegTemp == 0u) /* Check if SETUP bit is not set */ + { + /* Set the Mode Register */ + CY_SET_REG8(USBFS_1_EP0_CR_PTR, USBFS_1_ep0Mode); + /* Writing check */ + modifyReg = CY_GET_REG8(USBFS_1_EP0_CR_PTR) & USBFS_1_MODE_MASK; + } + }while(modifyReg != USBFS_1_ep0Mode); /* Repeat if writing was not successful */ + } + } + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_HandleSetup +******************************************************************************** +* +* Summary: +* This Routine dispatches requests for the four USB request types +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_HandleSetup(void) +{ + uint8 requestHandled; + + requestHandled = CY_GET_REG8(USBFS_1_EP0_CR_PTR); /* unlock registers */ + CY_SET_REG8(USBFS_1_EP0_CR_PTR, requestHandled); /* clear setup bit */ + requestHandled = CY_GET_REG8(USBFS_1_EP0_CR_PTR); /* reread register */ + if((requestHandled & USBFS_1_MODE_SETUP_RCVD) != 0u) + { + USBFS_1_ep0Mode = requestHandled; /* if SETUP bit set -> exit without modifying the mode */ + } + else + { + /* In case the previous transfer did not complete, close it out */ + USBFS_1_UpdateStatusBlock(USBFS_1_XFER_PREMATURE); + + switch (CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_TYPE_MASK) + { + case USBFS_1_RQST_TYPE_STD: + requestHandled = USBFS_1_HandleStandardRqst(); + break; + case USBFS_1_RQST_TYPE_CLS: + requestHandled = USBFS_1_DispatchClassRqst(); + break; + case USBFS_1_RQST_TYPE_VND: + requestHandled = USBFS_1_HandleVendorRqst(); + break; + default: + requestHandled = USBFS_1_FALSE; + break; + } + if (requestHandled == USBFS_1_FALSE) + { + USBFS_1_ep0Mode = USBFS_1_MODE_STALL_IN_OUT; + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_HandleIN +******************************************************************************** +* +* Summary: +* This routine handles EP0 IN transfers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_HandleIN(void) +{ + switch (USBFS_1_transferState) + { + case USBFS_1_TRANS_STATE_IDLE: + break; + case USBFS_1_TRANS_STATE_CONTROL_READ: + USBFS_1_ControlReadDataStage(); + break; + case USBFS_1_TRANS_STATE_CONTROL_WRITE: + USBFS_1_ControlWriteStatusStage(); + break; + case USBFS_1_TRANS_STATE_NO_DATA_CONTROL: + USBFS_1_NoDataControlStatusStage(); + break; + default: /* there are no more states */ + break; + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_HandleOUT +******************************************************************************** +* +* Summary: +* This routine handles EP0 OUT transfers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_HandleOUT(void) +{ + switch (USBFS_1_transferState) + { + case USBFS_1_TRANS_STATE_IDLE: + break; + case USBFS_1_TRANS_STATE_CONTROL_READ: + USBFS_1_ControlReadStatusStage(); + break; + case USBFS_1_TRANS_STATE_CONTROL_WRITE: + USBFS_1_ControlWriteDataStage(); + break; + case USBFS_1_TRANS_STATE_NO_DATA_CONTROL: + /* Update the completion block */ + USBFS_1_UpdateStatusBlock(USBFS_1_XFER_ERROR); + /* We expect no more data, so stall INs and OUTs */ + USBFS_1_ep0Mode = USBFS_1_MODE_STALL_IN_OUT; + break; + default: /* There are no more states */ + break; + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_LoadEP0 +******************************************************************************** +* +* Summary: +* This routine loads the EP0 data registers for OUT transfers. It uses the +* currentTD (previously initialized by the _InitControlWrite function and +* updated for each OUT transfer, and the bLastPacketSize) to determine how +* many uint8s to transfer on the current OUT. +* +* If the number of uint8s remaining is zero and the last transfer was full, +* we need to send a zero length packet. Otherwise we send the minimum +* of the control endpoint size (8) or remaining number of uint8s for the +* transaction. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_transferByteCount - Update the transfer byte count from the +* last transaction. +* USBFS_1_ep0Count - counts the data loaded to the SIE memory in +* current packet. +* USBFS_1_lastPacketSize - remembers the USBFS_ep0Count value for the +* next packet. +* USBFS_1_transferByteCount - sum of the previous bytes transferred +* on previous packets(sum of USBFS_lastPacketSize) +* USBFS_1_ep0Toggle - inverted +* USBFS_1_ep0Mode - prepare for mode register content. +* USBFS_1_transferState - set to TRANS_STATE_CONTROL_READ +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_LoadEP0(void) +{ + uint8 ep0Count = 0u; + + /* Update the transfer byte count from the last transaction */ + USBFS_1_transferByteCount += USBFS_1_lastPacketSize; + /* Now load the next transaction */ + while ((USBFS_1_currentTD.count > 0u) && (ep0Count < 8u)) + { + CY_SET_REG8((reg8 *)(USBFS_1_EP0_DR0_IND + ep0Count), *USBFS_1_currentTD.pData); + USBFS_1_currentTD.pData = &USBFS_1_currentTD.pData[1u]; + ep0Count++; + USBFS_1_currentTD.count--; + } + /* Support zero-length packet*/ + if( (USBFS_1_lastPacketSize == 8u) || (ep0Count > 0u) ) + { + /* Update the data toggle */ + USBFS_1_ep0Toggle ^= USBFS_1_EP0_CNT_DATA_TOGGLE; + /* Set the Mode Register */ + USBFS_1_ep0Mode = USBFS_1_MODE_ACK_IN_STATUS_OUT; + /* Update the state (or stay the same) */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_CONTROL_READ; + } + else + { + /* Expect Status Stage Out */ + USBFS_1_ep0Mode = USBFS_1_MODE_STATUS_OUT_ONLY; + /* Update the state (or stay the same) */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_CONTROL_READ; + } + + /* Save the packet size for next time */ + USBFS_1_lastPacketSize = ep0Count; + USBFS_1_ep0Count = ep0Count; +} + + +/******************************************************************************* +* Function Name: USBFS_1_InitControlRead +******************************************************************************** +* +* Summary: +* Initialize a control read transaction, usable to send data to the host. +* The following global variables should be initialized before this function +* called. To send zero length packet use InitZeroLengthControlTransfer +* function. +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_1_currentTD.count - counts of data to be sent. +* USBFS_1_currentTD.pData - data pointer. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_InitControlRead(void) +{ + uint16 xferCount; + if(USBFS_1_currentTD.count == 0u) + { + (void) USBFS_1_InitZeroLengthControlTransfer(); + } + else + { + /* Set up the state machine */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_CONTROL_READ; + /* Set the toggle, it gets updated in LoadEP */ + USBFS_1_ep0Toggle = 0u; + /* Initialize the Status Block */ + USBFS_1_InitializeStatusBlock(); + xferCount = (((uint16)CY_GET_REG8(USBFS_1_lengthHi) << 8u) | (CY_GET_REG8(USBFS_1_lengthLo))); + + if (USBFS_1_currentTD.count > xferCount) + { + USBFS_1_currentTD.count = xferCount; + } + USBFS_1_LoadEP0(); + } + + return(USBFS_1_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_1_InitZeroLengthControlTransfer +******************************************************************************** +* +* Summary: +* Initialize a zero length data IN transfer. +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_1_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_1_ep0Mode - prepare for mode register content. +* USBFS_1_transferState - set to TRANS_STATE_CONTROL_READ +* USBFS_1_ep0Count - cleared, means the zero-length packet. +* USBFS_1_lastPacketSize - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_InitZeroLengthControlTransfer(void) + +{ + /* Update the state */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_CONTROL_READ; + /* Set the data toggle */ + USBFS_1_ep0Toggle = USBFS_1_EP0_CNT_DATA_TOGGLE; + /* Set the Mode Register */ + USBFS_1_ep0Mode = USBFS_1_MODE_ACK_IN_STATUS_OUT; + /* Save the packet size for next time */ + USBFS_1_lastPacketSize = 0u; + USBFS_1_ep0Count = 0u; + + return(USBFS_1_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_1_ControlReadDataStage +******************************************************************************** +* +* Summary: +* Handle the Data Stage of a control read transfer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_ControlReadDataStage(void) + +{ + USBFS_1_LoadEP0(); +} + + +/******************************************************************************* +* Function Name: USBFS_1_ControlReadStatusStage +******************************************************************************** +* +* Summary: +* Handle the Status Stage of a control read transfer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_USBFS_transferByteCount - updated with last packet size. +* USBFS_1_transferState - set to TRANS_STATE_IDLE. +* USBFS_1_ep0Mode - set to MODE_STALL_IN_OUT. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_ControlReadStatusStage(void) +{ + /* Update the transfer byte count */ + USBFS_1_transferByteCount += USBFS_1_lastPacketSize; + /* Go Idle */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_1_UpdateStatusBlock(USBFS_1_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_1_ep0Mode = USBFS_1_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_1_InitControlWrite +******************************************************************************** +* +* Summary: +* Initialize a control write transaction +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_1_USBFS_transferState - set to TRANS_STATE_CONTROL_WRITE +* USBFS_1_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_1_ep0Mode - set to MODE_ACK_OUT_STATUS_IN +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_InitControlWrite(void) +{ + uint16 xferCount; + + /* Set up the state machine */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_CONTROL_WRITE; + /* This might not be necessary */ + USBFS_1_ep0Toggle = USBFS_1_EP0_CNT_DATA_TOGGLE; + /* Initialize the Status Block */ + USBFS_1_InitializeStatusBlock(); + + xferCount = (((uint16)CY_GET_REG8(USBFS_1_lengthHi) << 8u) | (CY_GET_REG8(USBFS_1_lengthLo))); + + if (USBFS_1_currentTD.count > xferCount) + { + USBFS_1_currentTD.count = xferCount; + } + + /* Expect Data or Status Stage */ + USBFS_1_ep0Mode = USBFS_1_MODE_ACK_OUT_STATUS_IN; + + return(USBFS_1_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_1_ControlWriteDataStage +******************************************************************************** +* +* Summary: +* Handle the Data Stage of a control write transfer +* 1. Get the data (We assume the destination was validated previously) +* 2. Update the count and data toggle +* 3. Update the mode register for the next transaction +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_transferByteCount - Update the transfer byte count from the +* last transaction. +* USBFS_1_ep0Count - counts the data loaded from the SIE memory +* in current packet. +* USBFS_1_transferByteCount - sum of the previous bytes transferred +* on previous packets(sum of USBFS_lastPacketSize) +* USBFS_1_ep0Toggle - inverted +* USBFS_1_ep0Mode - set to MODE_ACK_OUT_STATUS_IN. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_ControlWriteDataStage(void) +{ + uint8 ep0Count; + uint8 regIndex = 0u; + + ep0Count = (CY_GET_REG8(USBFS_1_EP0_CNT_PTR) & USBFS_1_EPX_CNT0_MASK) - + USBFS_1_EPX_CNTX_CRC_COUNT; + + USBFS_1_transferByteCount += ep0Count; + + while ((USBFS_1_currentTD.count > 0u) && (ep0Count > 0u)) + { + *USBFS_1_currentTD.pData = CY_GET_REG8((reg8 *)(USBFS_1_EP0_DR0_IND + regIndex)); + USBFS_1_currentTD.pData = &USBFS_1_currentTD.pData[1u]; + regIndex++; + ep0Count--; + USBFS_1_currentTD.count--; + } + USBFS_1_ep0Count = ep0Count; + /* Update the data toggle */ + USBFS_1_ep0Toggle ^= USBFS_1_EP0_CNT_DATA_TOGGLE; + /* Expect Data or Status Stage */ + USBFS_1_ep0Mode = USBFS_1_MODE_ACK_OUT_STATUS_IN; +} + + +/******************************************************************************* +* Function Name: USBFS_1_ControlWriteStatusStage +******************************************************************************** +* +* Summary: +* Handle the Status Stage of a control write transfer +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_transferState - set to TRANS_STATE_IDLE. +* USBFS_1_USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_ControlWriteStatusStage(void) +{ + /* Go Idle */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_1_UpdateStatusBlock(USBFS_1_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_1_ep0Mode = USBFS_1_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_1_InitNoDataControlTransfer +******************************************************************************** +* +* Summary: +* Initialize a no data control transfer +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_1_transferState - set to TRANS_STATE_NO_DATA_CONTROL. +* USBFS_1_ep0Mode - set to MODE_STATUS_IN_ONLY. +* USBFS_1_ep0Count - cleared. +* USBFS_1_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_InitNoDataControlTransfer(void) +{ + USBFS_1_transferState = USBFS_1_TRANS_STATE_NO_DATA_CONTROL; + USBFS_1_ep0Mode = USBFS_1_MODE_STATUS_IN_ONLY; + USBFS_1_ep0Toggle = USBFS_1_EP0_CNT_DATA_TOGGLE; + USBFS_1_ep0Count = 0u; + + return(USBFS_1_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_1_NoDataControlStatusStage +******************************************************************************** +* Summary: +* Handle the Status Stage of a no data control transfer. +* +* SET_ADDRESS is special, since we need to receive the status stage with +* the old address. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_transferState - set to TRANS_STATE_IDLE. +* USBFS_1_ep0Mode - set to MODE_STALL_IN_OUT. +* USBFS_1_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_1_deviceAddress - used to set new address and cleared +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_NoDataControlStatusStage(void) +{ + /* Change the USB address register if we got a SET_ADDRESS. */ + if (USBFS_1_deviceAddress != 0u) + { + CY_SET_REG8(USBFS_1_CR0_PTR, USBFS_1_deviceAddress | USBFS_1_CR0_ENABLE); + USBFS_1_deviceAddress = 0u; + } + /* Go Idle */ + USBFS_1_transferState = USBFS_1_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_1_UpdateStatusBlock(USBFS_1_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_1_ep0Mode = USBFS_1_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_1_UpdateStatusBlock +******************************************************************************** +* +* Summary: +* Update the Completion Status Block for a Request. The block is updated +* with the completion code the USBFS_1_transferByteCount. The +* StatusBlock Pointer is set to NULL. +* +* Parameters: +* completionCode - status. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_currentTD.pStatusBlock->status - updated by the +* completionCode parameter. +* USBFS_1_currentTD.pStatusBlock->length - updated. +* USBFS_1_currentTD.pStatusBlock - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_UpdateStatusBlock(uint8 completionCode) +{ + if (USBFS_1_currentTD.pStatusBlock != NULL) + { + USBFS_1_currentTD.pStatusBlock->status = completionCode; + USBFS_1_currentTD.pStatusBlock->length = USBFS_1_transferByteCount; + USBFS_1_currentTD.pStatusBlock = NULL; + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_InitializeStatusBlock +******************************************************************************** +* +* Summary: +* Initialize the Completion Status Block for a Request. The completion +* code is set to USB_XFER_IDLE. +* +* Also, initializes USBFS_1_transferByteCount. Save some space, +* this is the only consumer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_currentTD.pStatusBlock->status - set to XFER_IDLE. +* USBFS_1_currentTD.pStatusBlock->length - cleared. +* USBFS_1_transferByteCount - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_InitializeStatusBlock(void) +{ + USBFS_1_transferByteCount = 0u; + if(USBFS_1_currentTD.pStatusBlock != NULL) + { + USBFS_1_currentTD.pStatusBlock->status = USBFS_1_XFER_IDLE; + USBFS_1_currentTD.pStatusBlock->length = 0u; + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_episr.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_episr.c new file mode 100644 index 0000000..d5edbb3 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_episr.c @@ -0,0 +1,658 @@ +/******************************************************************************* +* File Name: USBFS_1_episr.c +* Version 2.60 +* +* Description: +* Data endpoint Interrupt Service Routines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" +#include "USBFS_1_pvt.h" +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) && (USBFS_1_ENABLE_MIDI_API != 0u) + #include "USBFS_1_midi.h" +#endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Custom Declarations +***************************************/ +/* `#START CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +#if(USBFS_1_EP1_ISR_REMOVE == 0u) + + + /****************************************************************************** + * Function Name: USBFS_1_EP_1_ISR + ******************************************************************************* + * + * Summary: + * Endpoint 1 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + ******************************************************************************/ + CY_ISR(USBFS_1_EP_1_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP1_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + CY_GET_REG8(USBFS_1_SIE_EP1_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP1].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP1].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP1].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) & + (uint8)~USBFS_1_SIE_EP_INT_EP1_MASK); + + #if( defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT ) + if(USBFS_1_midi_out_ep == USBFS_1_EP1) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP1_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if ( defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 ) + EA = int_en; + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + } + +#endif /* End USBFS_1_EP1_ISR_REMOVE */ + + +#if(USBFS_1_EP2_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_2_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 2 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_2_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP2_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 ) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + CY_GET_REG8(USBFS_1_SIE_EP2_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP2].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP2].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP2].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP2_MASK); + + #if( defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT ) + if(USBFS_1_midi_out_ep == USBFS_1_EP2) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP2_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + } + +#endif /* End USBFS_1_EP2_ISR_REMOVE */ + + +#if(USBFS_1_EP3_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_3_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 3 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_3_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP3_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_1_SIE_EP3_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP3].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP3].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP3].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP3_MASK); + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT) + if(USBFS_1_midi_out_ep == USBFS_1_EP3) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP3_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_1_EP3_ISR_REMOVE */ + + +#if(USBFS_1_EP4_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_4_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 4 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_4_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP4_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_1_SIE_EP4_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP4].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP4].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP4].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP4_MASK); + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT) + if(USBFS_1_midi_out_ep == USBFS_1_EP4) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP4_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_1_EP4_ISR_REMOVE */ + + +#if(USBFS_1_EP5_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_5_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 5 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_5_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP5_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_1_SIE_EP5_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP5].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP5].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP5].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP5_MASK); + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT) + if(USBFS_1_midi_out_ep == USBFS_1_EP5) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP5_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + } +#endif /* End USBFS_1_EP5_ISR_REMOVE */ + + +#if(USBFS_1_EP6_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_6_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 6 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_6_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP6_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_1_SIE_EP6_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP6].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP6].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP6].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP6_MASK); + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT) + if(USBFS_1_midi_out_ep == USBFS_1_EP6) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP6_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_1_EP6_ISR_REMOVE */ + + +#if(USBFS_1_EP7_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_7_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 7 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_7_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP7_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_1_SIE_EP7_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP7].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP7].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP7].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP7_MASK); + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT) + if(USBFS_1_midi_out_ep == USBFS_1_EP7) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP7_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_1_EP7_ISR_REMOVE */ + + +#if(USBFS_1_EP8_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_1_EP_8_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 8 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_EP_8_ISR) + { + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP8_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_1_SIE_EP8_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_1_EP[USBFS_1_EP8].attrib & USBFS_1_EP_TYPE_MASK) != + USBFS_1_EP_TYPE_ISOC) + { + USBFS_1_EP[USBFS_1_EP8].epToggle ^= USBFS_1_EPX_CNT_DATA_TOGGLE; + } + USBFS_1_EP[USBFS_1_EP8].apiEpState = USBFS_1_EVENT_PENDING; + CY_SET_REG8(USBFS_1_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_1_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_1_SIE_EP_INT_EP8_MASK); + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT) + if(USBFS_1_midi_out_ep == USBFS_1_EP8) + { + USBFS_1_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_1_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP8_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_1_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_1_EP8_ISR_REMOVE */ + + +/******************************************************************************* +* Function Name: USBFS_1_SOF_ISR +******************************************************************************** +* +* Summary: +* Start of Frame Interrupt Service Routine +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_1_SOF_ISR) +{ + /* `#START SOF_USER_CODE` Place your code here */ + + /* `#END` */ +} + + +/******************************************************************************* +* Function Name: USBFS_1_BUS_RESET_ISR +******************************************************************************** +* +* Summary: +* USB Bus Reset Interrupt Service Routine. Calls _Start with the same +* parameters as the last USER call to _Start +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_1_BUS_RESET_ISR) +{ + /* `#START BUS_RESET_USER_CODE` Place your code here */ + + /* `#END` */ + + USBFS_1_ReInitComponent(); +} + + +#if((USBFS_1_EP_MM != USBFS_1__EP_MANUAL) && (USBFS_1_ARB_ISR_REMOVE == 0u)) + + + /******************************************************************************* + * Function Name: USBFS_1_ARB_ISR + ******************************************************************************** + * + * Summary: + * Arbiter Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + * Side effect: + * Search for EP8 int_status will be much slower than search for EP1 int_status. + * + *******************************************************************************/ + CY_ISR(USBFS_1_ARB_ISR) + { + uint8 int_status; + uint8 ep_status; + uint8 ep = USBFS_1_EP1; + uint8 ptr = 0u; + + /* `#START ARB_BEGIN_USER_CODE` Place your code here */ + + /* `#END` */ + + int_status = USBFS_1_ARB_INT_SR_REG; /* read Arbiter Status Register */ + USBFS_1_ARB_INT_SR_REG = int_status; /* Clear Serviced Interrupts */ + + while(int_status != 0u) + { + if((int_status & 1u) != 0u) /* If EpX interrupt present */ + { /* read Endpoint Status Register */ + ep_status = CY_GET_REG8((reg8 *)(USBFS_1_ARB_EP1_SR_IND + ptr)); + /* If In Buffer Full */ + if((ep_status & USBFS_1_ARB_EPX_SR_IN_BUF_FULL) != 0u) + { + if((USBFS_1_EP[ep].addr & USBFS_1_DIR_IN) != 0u) + { + /* Clear Data ready status */ + *(reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ptr) &= + (uint8)~USBFS_1_ARB_EPX_CFG_IN_DATA_RDY; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ptr), USBFS_1_EP[ep].epMode); + #if (defined(USBFS_1_ENABLE_MIDI_STREAMING) && USBFS_1_ISR_SERVICE_MIDI_IN) + if(ep == USBFS_1_midi_in_ep) + { /* Clear MIDI input pointer */ + USBFS_1_midiInPointer = 0u; + } + #endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + } + } + /* (re)arm Out EP only for mode2 */ + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + /* If DMA Grant */ + if((ep_status & USBFS_1_ARB_EPX_SR_DMA_GNT) != 0u) + { + if((USBFS_1_EP[ep].addr & USBFS_1_DIR_IN) == 0u) + { + USBFS_1_EP[ep].apiEpState = USBFS_1_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ptr), + USBFS_1_EP[ep].epMode); + } + } + #endif /* End USBFS_1_EP_MM */ + + /* `#START ARB_USER_CODE` Place your code here for handle Buffer Underflow/Overflow */ + + /* `#END` */ + + CY_SET_REG8((reg8 *)(USBFS_1_ARB_EP1_SR_IND + ptr), ep_status); /* Clear Serviced events */ + } + ptr += USBFS_1_EPX_CNTX_ADDR_OFFSET; /* prepare pointer for next EP */ + ep++; + int_status >>= 1u; + } + + /* `#START ARB_END_USER_CODE` Place your code here */ + + /* `#END` */ + } + +#endif /* End USBFS_1_EP_MM */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.c new file mode 100644 index 0000000..0bd70d9 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.c @@ -0,0 +1,422 @@ +/******************************************************************************* +* File Name: USBFS_1_hid.c +* Version 2.60 +* +* Description: +* USB HID Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" + +#if defined(USBFS_1_ENABLE_HID_CLASS) + +#include "USBFS_1_pvt.h" +#include "USBFS_1_hid.h" + + +/*************************************** +* HID Variables +***************************************/ + +volatile uint8 USBFS_1_hidProtocol[USBFS_1_MAX_INTERFACES_NUMBER]; /* HID device protocol status */ +volatile uint8 USBFS_1_hidIdleRate[USBFS_1_MAX_INTERFACES_NUMBER]; /* HID device idle reload value */ +volatile uint8 USBFS_1_hidIdleTimer[USBFS_1_MAX_INTERFACES_NUMBER]; /* HID device idle rate value */ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START HID_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_1_UpdateHIDTimer +******************************************************************************** +* +* Summary: +* Updates the HID report timer and reloads it if expired +* +* Parameters: +* interface: Interface Number. +* +* Return: +* status. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_UpdateHIDTimer(uint8 interface) +{ + uint8 stat = USBFS_1_IDLE_TIMER_INDEFINITE; + + if(USBFS_1_hidIdleRate[interface] != 0u) + { + if(USBFS_1_hidIdleTimer[interface] > 0u) + { + USBFS_1_hidIdleTimer[interface]--; + stat = USBFS_1_IDLE_TIMER_RUNNING; + } + else + { + USBFS_1_hidIdleTimer[interface] = USBFS_1_hidIdleRate[interface]; + stat = USBFS_1_IDLE_TIMER_EXPIRED; + } + } + + return(stat); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetProtocol +******************************************************************************** +* +* Summary: +* Returns the selected protocol value to the application +* +* Parameters: +* interface: Interface Number. +* +* Return: +* Interface protocol. +* +*******************************************************************************/ +uint8 USBFS_1_GetProtocol(uint8 interface) +{ + return(USBFS_1_hidProtocol[interface]); +} + + +/******************************************************************************* +* Function Name: USBFS_1_DispatchHIDClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches class requests +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_DispatchHIDClassRqst(void) +{ + uint8 requestHandled = USBFS_1_FALSE; + uint8 interfaceNumber; + + interfaceNumber = CY_GET_REG8(USBFS_1_wIndexLo); + if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == USBFS_1_RQST_DIR_D2H) + { /* Control Read */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_GET_DESCRIPTOR: + if (CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_DESCR_HID_CLASS) + { + USBFS_1_FindHidClassDecriptor(); + if (USBFS_1_currentTD.count != 0u) + { + requestHandled = USBFS_1_InitControlRead(); + } + } + else if (CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_DESCR_HID_REPORT) + { + USBFS_1_FindReportDescriptor(); + if (USBFS_1_currentTD.count != 0u) + { + requestHandled = USBFS_1_InitControlRead(); + } + } + else + { /* requestHandled is initialezed as FALSE by default */ + } + break; + case USBFS_1_HID_GET_REPORT: + USBFS_1_FindReport(); + if (USBFS_1_currentTD.count != 0u) + { + requestHandled = USBFS_1_InitControlRead(); + } + break; + + case USBFS_1_HID_GET_IDLE: + /* This function does not support multiple reports per interface*/ + /* Validate interfaceNumber and Report ID (should be 0) */ + if( (interfaceNumber < USBFS_1_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_1_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + { + USBFS_1_currentTD.count = 1u; + USBFS_1_currentTD.pData = &USBFS_1_hidIdleRate[interfaceNumber]; + requestHandled = USBFS_1_InitControlRead(); + } + break; + case USBFS_1_HID_GET_PROTOCOL: + /* Validate interfaceNumber */ + if( interfaceNumber < USBFS_1_MAX_INTERFACES_NUMBER) + { + USBFS_1_currentTD.count = 1u; + USBFS_1_currentTD.pData = &USBFS_1_hidProtocol[interfaceNumber]; + requestHandled = USBFS_1_InitControlRead(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == + USBFS_1_RQST_DIR_H2D) + { /* Control Write */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_HID_SET_REPORT: + USBFS_1_FindReport(); + if (USBFS_1_currentTD.count != 0u) + { + requestHandled = USBFS_1_InitControlWrite(); + } + break; + case USBFS_1_HID_SET_IDLE: + /* This function does not support multiple reports per interface */ + /* Validate interfaceNumber and Report ID (should be 0) */ + if( (interfaceNumber < USBFS_1_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_1_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + { + USBFS_1_hidIdleRate[interfaceNumber] = CY_GET_REG8(USBFS_1_wValueHi); + /* With regards to HID spec: "7.2.4 Set_Idle Request" + * Latency. If the current period has gone past the + * newly proscribed time duration, then a report + * will be generated immediately. + */ + if(USBFS_1_hidIdleRate[interfaceNumber] < + USBFS_1_hidIdleTimer[interfaceNumber]) + { + /* Set the timer to zero and let the UpdateHIDTimer() API return IDLE_TIMER_EXPIRED status*/ + USBFS_1_hidIdleTimer[interfaceNumber] = 0u; + } + /* If the new request is received within 4 milliseconds + * (1 count) of the end of the current period, then the + * new request will have no effect until after the report. + */ + else if(USBFS_1_hidIdleTimer[interfaceNumber] <= 1u) + { + /* Do nothing. + * Let the UpdateHIDTimer() API continue to work and + * return IDLE_TIMER_EXPIRED status + */ + } + else + { /* Reload the timer*/ + USBFS_1_hidIdleTimer[interfaceNumber] = + USBFS_1_hidIdleRate[interfaceNumber]; + } + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + + case USBFS_1_HID_SET_PROTOCOL: + /* Validate interfaceNumber and protocol (must be 0 or 1) */ + if( (interfaceNumber < USBFS_1_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_1_wValueLo) <= 1u) ) + { + USBFS_1_hidProtocol[interfaceNumber] = CY_GET_REG8(USBFS_1_wValueLo); + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USB_FindHidClassDescriptor +******************************************************************************** +* +* Summary: +* This routine find Hid Class Descriptor pointer based on the Interface number +* and Alternate setting then loads the currentTD structure with the address of +* the buffer and the size. +* The HID Class Descriptor resides inside the config descriptor. +* +* Parameters: +* None. +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_FindHidClassDecriptor(void) +{ + const T_USBFS_1_LUT CYCODE *pTmp; + volatile uint8 *pDescr; + uint8 interfaceN; + + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + interfaceN = CY_GET_REG8(USBFS_1_wIndexLo); + /* Third entry in the LUT starts the Interface Table pointers */ + /* Now use the request interface number*/ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + pTmp = (const T_USBFS_1_LUT CYCODE *) pTmp->p_list; + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_1_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_1_LUT CYCODE *) pTmp->p_list; + /* Fifth entry in the LUT points to Hid Class Descriptor in Configuration Descriptor */ + pTmp = &pTmp[4u]; + pDescr = (volatile uint8 *)pTmp->p_list; + /* The first byte contains the descriptor length */ + USBFS_1_currentTD.count = *pDescr; + USBFS_1_currentTD.pData = pDescr; +} + + +/******************************************************************************* +* Function Name: USB_FindReportDescriptor +******************************************************************************** +* +* Summary: +* This routine find Hid Report Descriptor pointer based on the Interface +* number, then loads the currentTD structure with the address of the buffer +* and the size. +* Hid Report Descriptor is located after IN/OUT/FEATURE reports. +* +* Parameters: +* void +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_FindReportDescriptor(void) +{ + const T_USBFS_1_LUT CYCODE *pTmp; + volatile uint8 *pDescr; + uint8 interfaceN; + + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + interfaceN = CY_GET_REG8(USBFS_1_wIndexLo); + /* Third entry in the LUT starts the Interface Table pointers */ + /* Now use the request interface number */ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + pTmp = (const T_USBFS_1_LUT CYCODE *) pTmp->p_list; + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_1_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_1_LUT CYCODE *) pTmp->p_list; + /* Fourth entry in the LUT starts the Hid Report Descriptor */ + pTmp = &pTmp[3u]; + pDescr = (volatile uint8 *)pTmp->p_list; + /* The 1st and 2nd bytes of descriptor contain its length. LSB is 1st. */ + USBFS_1_currentTD.count = (((uint16)pDescr[1u] << 8u) | pDescr[0u]); + USBFS_1_currentTD.pData = &pDescr[2u]; +} + + +/******************************************************************************* +* Function Name: USBFS_1_FindReport +******************************************************************************** +* +* Summary: +* This routine sets up a transfer based on the Interface number, Report Type +* and Report ID, then loads the currentTD structure with the address of the +* buffer and the size. The caller has to decide if it is a control read or +* control write. +* +* Parameters: +* None. +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_FindReport(void) +{ + const T_USBFS_1_LUT CYCODE *pTmp; + T_USBFS_1_TD *pTD; + uint8 interfaceN; + uint8 reportType; + + /* `#START HID_FINDREPORT` Place custom handling here */ + + /* `#END` */ + USBFS_1_currentTD.count = 0u; /* Init not supported condition */ + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + reportType = CY_GET_REG8(USBFS_1_wValueHi); + interfaceN = CY_GET_REG8(USBFS_1_wIndexLo); + /* Third entry in the LUT COnfiguration Table starts the Interface Table pointers */ + /* Now use the request interface number */ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE*/ + pTmp = (const T_USBFS_1_LUT CYCODE *) pTmp->p_list; + if(interfaceN < USBFS_1_MAX_INTERFACES_NUMBER) + { + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_1_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_1_LUT CYCODE *) pTmp->p_list; + /* Validate reportType to comply with "7.2.1 Get_Report Request" */ + if((reportType >= USBFS_1_HID_GET_REPORT_INPUT) && + (reportType <= USBFS_1_HID_GET_REPORT_FEATURE)) + { + /* Get the entry proper TD (IN, OUT or Feature Report Table)*/ + pTmp = &pTmp[reportType - 1u]; + reportType = CY_GET_REG8(USBFS_1_wValueLo); /* Get reportID */ + /* Validate table support by the HID descriptor, compare table count with reportID */ + if(pTmp->c >= reportType) + { + pTD = (T_USBFS_1_TD *) pTmp->p_list; + pTD = &pTD[reportType]; /* select entry depend on report ID*/ + USBFS_1_currentTD.pData = pTD->pData; /* Buffer pointer */ + USBFS_1_currentTD.count = pTD->count; /* Buffer Size */ + USBFS_1_currentTD.pStatusBlock = pTD->pStatusBlock; + } + } + } +} + + +/******************************************************************************* +* Additional user functions supporting HID Requests +********************************************************************************/ + +/* `#START HID_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_1_ENABLE_HID_CLASS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.h new file mode 100644 index 0000000..6217850 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_hid.h @@ -0,0 +1,64 @@ +/******************************************************************************* +* File Name: USBFS_1_hid.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_1_hid_H) +#define CY_USBFS_USBFS_1_hid_H + +#include "cytypes.h" + + +/*************************************** +* Prototypes of the USBFS_1_hid API. +***************************************/ + +uint8 USBFS_1_UpdateHIDTimer(uint8 interface) ; +uint8 USBFS_1_GetProtocol(uint8 interface) ; + + +/*************************************** +*Renamed Functions for backward compatible +***************************************/ + +#define USBFS_1_bGetProtocol USBFS_1_GetProtocol + + +/*************************************** +* Constants for USBFS_1_hid API. +***************************************/ + +#define USBFS_1_PROTOCOL_BOOT (0x00u) +#define USBFS_1_PROTOCOL_REPORT (0x01u) + +/* Request Types (HID Chapter 7.2) */ +#define USBFS_1_HID_GET_REPORT (0x01u) +#define USBFS_1_HID_GET_IDLE (0x02u) +#define USBFS_1_HID_GET_PROTOCOL (0x03u) +#define USBFS_1_HID_SET_REPORT (0x09u) +#define USBFS_1_HID_SET_IDLE (0x0Au) +#define USBFS_1_HID_SET_PROTOCOL (0x0Bu) + +/* Descriptor Types (HID Chapter 7.1) */ +#define USBFS_1_DESCR_HID_CLASS (0x21u) +#define USBFS_1_DESCR_HID_REPORT (0x22u) +#define USBFS_1_DESCR_HID_PHYSICAL (0x23u) + +/* Report Request Types (HID Chapter 7.2.1) */ +#define USBFS_1_HID_GET_REPORT_INPUT (0x01u) +#define USBFS_1_HID_GET_REPORT_OUTPUT (0x02u) +#define USBFS_1_HID_GET_REPORT_FEATURE (0x03u) + +#endif /* End CY_USBFS_USBFS_1_hid_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.c new file mode 100644 index 0000000..9b52541 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.c @@ -0,0 +1,1341 @@ +/******************************************************************************* +* File Name: USBFS_1_midi.c +* Version 2.60 +* +* Description: +* MIDI Streaming request handler. +* This file contains routines for sending and receiving MIDI +* messages, and handles running status in both directions. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" + +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) + +#include "USBFS_1_midi.h" +#include "USBFS_1_pvt.h" + + +/*************************************** +* MIDI Constants +***************************************/ + +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + /* The Size of the MIDI messages (MIDI Table 4-1) */ + static const uint8 CYCODE USBFS_1_MIDI_SIZE[] = { + /* Miscellaneous function codes(Reserved) */ 0x03u, + /* Cable events (Reserved) */ 0x03u, + /* Two-byte System Common messages */ 0x02u, + /* Three-byte System Common messages */ 0x03u, + /* SysEx starts or continues */ 0x03u, + /* Single-byte System Common Message or + SysEx ends with following single byte */ 0x01u, + /* SysEx ends with following two bytes */ 0x02u, + /* SysEx ends with following three bytes */ 0x03u, + /* Note-off */ 0x03u, + /* Note-on */ 0x03u, + /* Poly-KeyPress */ 0x03u, + /* Control Change */ 0x03u, + /* Program Change */ 0x02u, + /* Channel Pressure */ 0x02u, + /* PitchBend Change */ 0x03u, + /* Single Byte */ 0x01u + }; +#endif /* USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + + +/*************************************** +* Global variables +***************************************/ + +#if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) + #if (USBFS_1_MIDI_IN_BUFF_SIZE >= 256) + volatile uint16 USBFS_1_midiInPointer; /* Input endpoint buffer pointer */ + #else + volatile uint8 USBFS_1_midiInPointer; /* Input endpoint buffer pointer */ + #endif /* End USBFS_1_MIDI_IN_BUFF_SIZE >=256 */ + volatile uint8 USBFS_1_midi_in_ep; /* Input endpoint number */ + uint8 USBFS_1_midiInBuffer[USBFS_1_MIDI_IN_BUFF_SIZE]; /* Input endpoint buffer */ +#endif /* USBFS_1_MIDI_IN_BUFF_SIZE > 0 */ + +#if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) + volatile uint8 USBFS_1_midi_out_ep; /* Output endpoint number */ + uint8 USBFS_1_midiOutBuffer[USBFS_1_MIDI_OUT_BUFF_SIZE]; /* Output endpoint buffer */ +#endif /* USBFS_1_MIDI_OUT_BUFF_SIZE > 0 */ + +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + static USBFS_1_MIDI_RX_STATUS USBFS_1_MIDI1_Event; /* MIDI RX status structure */ + static volatile uint8 USBFS_1_MIDI1_TxRunStat; /* MIDI Output running status */ + volatile uint8 USBFS_1_MIDI1_InqFlags; /* Device inquiry flag */ + + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + static USBFS_1_MIDI_RX_STATUS USBFS_1_MIDI2_Event; /* MIDI RX status structure */ + static volatile uint8 USBFS_1_MIDI2_TxRunStat; /* MIDI Output running status */ + volatile uint8 USBFS_1_MIDI2_InqFlags; /* Device inquiry flag */ + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START MIDI_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Optional MIDI APIs +***************************************/ +#if (USBFS_1_ENABLE_MIDI_API != 0u) + + +/******************************************************************************* +* Function Name: USBFS_1_MIDI_EP_Init +******************************************************************************** +* +* Summary: +* This function initializes the MIDI interface and UART(s) to be ready to +* receive data from the PC and MIDI ports. +* +* Parameters: +* None +* +* Return: +* None +* +* Global variables: +* USBFS_1_midiInBuffer: This buffer is used for saving and combining +* the received data from UART(s) and(or) generated internally by +* PutUsbMidiIn() function messages. USBFS_1_MIDI_IN_EP_Service() +* function transfers the data from this buffer to the PC. +* USBFS_1_midiOutBuffer: This buffer is used by the +* USBFS_1_MIDI_OUT_EP_Service() function for saving the received +* from the PC data, then the data are parsed and transferred to UART(s) +* buffer and to the internal processing by the +* USBFS_1_callbackLocalMidiEvent function. +* USBFS_1_midi_out_ep: Used as an OUT endpoint number. +* USBFS_1_midi_in_ep: Used as an IN endpoint number. +* USBFS_1_midiInPointer: Initialized to zero. +* +* Reentrant: +* No +* +*******************************************************************************/ +void USBFS_1_MIDI_EP_Init(void) +{ + #if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) + USBFS_1_midiInPointer = 0u; + #endif /* USBFS_1_MIDI_IN_BUFF_SIZE > 0 */ + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + #if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) + /* Init DMA configurations for IN EP*/ + USBFS_1_LoadInEP(USBFS_1_midi_in_ep, USBFS_1_midiInBuffer, + USBFS_1_MIDI_IN_BUFF_SIZE); + + #endif /* USBFS_1_MIDI_IN_BUFF_SIZE > 0 */ + #if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) + /* Init DMA configurations for OUT EP*/ + (void)USBFS_1_ReadOutEP(USBFS_1_midi_out_ep, USBFS_1_midiOutBuffer, + USBFS_1_MIDI_OUT_BUFF_SIZE); + #endif /*USBFS_1_MIDI_OUT_BUFF_SIZE > 0 */ + #endif /* End USBFS_1__EP_DMAAUTO */ + + #if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) + USBFS_1_EnableOutEP(USBFS_1_midi_out_ep); + #endif /* USBFS_1_MIDI_OUT_BUFF_SIZE > 0 */ + + /* Initialize the MIDI port(s) */ + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + USBFS_1_MIDI_Init(); + #endif /* USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ +} + +#if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI_OUT_EP_Service + ******************************************************************************** + * + * Summary: + * Services the USB MIDI OUT endpoints. + * This function is called from OUT EP ISR. It transfers the received from PC + * data to the external MIDI port(UART TX buffer) and calls the + * USBFS_1_callbackLocalMidiEvent() function to internal process + * of the MIDI data. + * This function is blocked by UART, if not enough space is available in UART + * TX buffer. Therefore it is recommended to use large UART TX buffer size. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_1_midiOutBuffer: Used as temporary buffer between USB internal + * memory and UART TX buffer. + * USBFS_1_midi_out_ep: Used as an OUT endpoint number. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_1_MIDI_OUT_EP_Service(void) + { + #if USBFS_1_MIDI_OUT_BUFF_SIZE >= 256 + uint16 outLength; + uint16 outPointer; + #else + uint8 outLength; + uint8 outPointer; + #endif /* End USBFS_1_MIDI_OUT_BUFF_SIZE >=256 */ + + uint8 dmaState = 0u; + + /* Service the USB MIDI output endpoint */ + if (USBFS_1_GetEPState(USBFS_1_midi_out_ep) == USBFS_1_OUT_BUFFER_FULL) + { + #if USBFS_1_MIDI_OUT_BUFF_SIZE >= 256 + outLength = USBFS_1_GetEPCount(USBFS_1_midi_out_ep); + #else + outLength = (uint8)USBFS_1_GetEPCount(USBFS_1_midi_out_ep); + #endif /* End USBFS_1_MIDI_OUT_BUFF_SIZE >= 256 */ + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + #if USBFS_1_MIDI_OUT_BUFF_SIZE >= 256 + outLength = USBFS_1_ReadOutEP(USBFS_1_midi_out_ep, + USBFS_1_midiOutBuffer, outLength); + #else + outLength = (uint8)USBFS_1_ReadOutEP(USBFS_1_midi_out_ep, + USBFS_1_midiOutBuffer, (uint16)outLength); + #endif /* End USBFS_1_MIDI_OUT_BUFF_SIZE >= 256 */ + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL) + do /* wait for DMA transfer complete */ + { + (void)CyDmaChStatus(USBFS_1_DmaChan[USBFS_1_midi_out_ep], NULL, &dmaState); + }while((dmaState & (STATUS_TD_ACTIVE | STATUS_CHAIN_ACTIVE)) != 0u); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + if(dmaState != 0u) + { + /* Suppress compiler warning */ + } + if (outLength >= USBFS_1_EVENT_LENGTH) + { + outPointer = 0u; + while (outPointer < outLength) + { + /* In some OS OUT packet could be appended by nulls which could be skipped */ + if (USBFS_1_midiOutBuffer[outPointer] == 0u) + { + break; + } + /* Route USB MIDI to the External connection */ + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + if ((USBFS_1_midiOutBuffer[outPointer] & USBFS_1_CABLE_MASK) == + USBFS_1_MIDI_CABLE_00) + { + USBFS_1_MIDI1_ProcessUsbOut(&USBFS_1_midiOutBuffer[outPointer]); + } + else if ((USBFS_1_midiOutBuffer[outPointer] & USBFS_1_CABLE_MASK) == + USBFS_1_MIDI_CABLE_01) + { + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + USBFS_1_MIDI2_ProcessUsbOut(&USBFS_1_midiOutBuffer[outPointer]); + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ + } + else + { + /* `#START CUSTOM_MIDI_OUT_EP_SERV` Place your code here */ + + /* `#END` */ + } + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + /* Process any local MIDI output functions */ + USBFS_1_callbackLocalMidiEvent( + USBFS_1_midiOutBuffer[outPointer] & USBFS_1_CABLE_MASK, + &USBFS_1_midiOutBuffer[outPointer + USBFS_1_EVENT_BYTE1]); + outPointer += USBFS_1_EVENT_LENGTH; + } + } + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /* Enable Out EP*/ + USBFS_1_EnableOutEP(USBFS_1_midi_out_ep); + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + } + } + +#endif /* #if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) */ + +#if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI_IN_EP_Service + ******************************************************************************** + * + * Summary: + * Services the USB MIDI IN endpoint. Non-blocking. + * Checks that previous packet was processed by HOST, otherwise service the + * input endpoint on the subsequent call. It is called from the + * USBFS_1_MIDI_IN_Service() and from the + * USBFS_1_PutUsbMidiIn() function. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_1_midi_in_ep: Used as an IN endpoint number. + * USBFS_1_midiInBuffer: Function loads the data from this buffer to + * the USB IN endpoint. + * USBFS_1_midiInPointer: Cleared to zero when data are sent. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_1_MIDI_IN_EP_Service(void) + { + /* Service the USB MIDI input endpoint */ + /* Check that previous packet was processed by HOST, otherwise service the USB later */ + if (USBFS_1_midiInPointer != 0u) + { + if(USBFS_1_GetEPState(USBFS_1_midi_in_ep) == USBFS_1_EVENT_PENDING) + { + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + USBFS_1_LoadInEP(USBFS_1_midi_in_ep, USBFS_1_midiInBuffer, + (uint16)USBFS_1_midiInPointer); + #else /* USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + /* rearm IN EP */ + USBFS_1_LoadInEP(USBFS_1_midi_in_ep, NULL, (uint16)USBFS_1_midiInPointer); + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO*/ + + /* Clear the midiInPointer. For DMA mode, clear this pointer in the ARB ISR when data are moved by DMA */ + #if(USBFS_1_EP_MM == USBFS_1__EP_MANUAL) + USBFS_1_midiInPointer = 0u; + #endif /* USBFS_1_EP_MM == USBFS_1__EP_MANUAL */ + } + } + } + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI_IN_Service + ******************************************************************************** + * + * Summary: + * Services the traffic from the MIDI input ports (RX UART) and prepare data + * in USB MIDI IN endpoint buffer. + * Calls the USBFS_1_MIDI_IN_EP_Service() function to sent the + * data from buffer to PC. Non-blocking. Should be called from main foreground + * task. + * This function is not protected from the reentrant calls. When it is required + * to use this function in UART RX ISR to guaranty low latency, care should be + * taken to protect from reentrant calls. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_1_midiInPointer: Cleared to zero when data are sent. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_1_MIDI_IN_Service(void) + { + /* Service the MIDI UART inputs until either both receivers have no more + * events or until the input endpoint buffer fills up. + */ + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + uint8 m1 = 0u; + uint8 m2 = 0u; + do + { + if (USBFS_1_midiInPointer <= (USBFS_1_MIDI_IN_BUFF_SIZE - USBFS_1_EVENT_LENGTH)) + { + /* Check MIDI1 input port for a complete event */ + m1 = USBFS_1_MIDI1_GetEvent(); + if (m1 != 0u) + { + USBFS_1_PrepareInBuffer(m1, (uint8 *)&USBFS_1_MIDI1_Event.msgBuff[0], + USBFS_1_MIDI1_Event.size, USBFS_1_MIDI_CABLE_00); + } + } + + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + if (USBFS_1_midiInPointer <= (USBFS_1_MIDI_IN_BUFF_SIZE - USBFS_1_EVENT_LENGTH)) + { + /* Check MIDI2 input port for a complete event */ + m2 = USBFS_1_MIDI2_GetEvent(); + if (m2 != 0u) + { + USBFS_1_PrepareInBuffer(m2, (uint8 *)&USBFS_1_MIDI2_Event.msgBuff[0], + USBFS_1_MIDI2_Event.size, USBFS_1_MIDI_CABLE_01); + } + } + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ + + }while( (USBFS_1_midiInPointer <= (USBFS_1_MIDI_IN_BUFF_SIZE - USBFS_1_EVENT_LENGTH)) + && ((m1 != 0u) || (m2 != 0u)) ); + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + /* Service the USB MIDI input endpoint */ + USBFS_1_MIDI_IN_EP_Service(); + } + + + /******************************************************************************* + * Function Name: USBFS_1_PutUsbMidiIn + ******************************************************************************** + * + * Summary: + * Puts one MIDI messages into the USB MIDI In endpoint buffer. These are + * MIDI input messages to the host. This function is only used if the device + * has internal MIDI input functionality. USBMIDI_MIDI_IN_Service() function + * should additionally be called to send the message from local buffer to + * IN endpoint. + * + * Parameters: + * ic: 0 = No message (should never happen) + * 1 - 3 = Complete MIDI message in midiMsg + * 3 - IN EP LENGTH = Complete SySEx message(without EOSEX byte) in + * midiMsg. The length is limited by the max BULK EP size(64) + * MIDI_SYSEX = Start or continuation of SysEx message + * (put event bytes in midiMsg buffer) + * MIDI_EOSEX = End of SysEx message + * (put event bytes in midiMsg buffer) + * MIDI_TUNEREQ = Tune Request message (single byte system common msg) + * 0xf8 - 0xff = Single byte real-time message + * midiMsg: pointer to MIDI message. + * cable: cable number. + * + * Return: + * USBFS_1_TRUE if error. + * USBFS_1_FALSE if success. + * + * Global variables: + * USBFS_1_midi_in_ep: MIDI IN endpoint number used for sending data. + * USBFS_1_midiInPointer: Checked this variable to see if there is + * enough free space in the IN endpoint buffer. If buffer is full, initiate + * sending to PC. + * + * Reentrant: + * No + * + *******************************************************************************/ + uint8 USBFS_1_PutUsbMidiIn(uint8 ic, const uint8 midiMsg[], uint8 cable) + + { + uint8 retError = USBFS_1_FALSE; + uint8 msgIndex; + + /* Protect PrepareInBuffer() function from concurrent calls */ + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + MIDI1_UART_DisableRxInt(); + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + MIDI2_UART_DisableRxInt(); + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + if (USBFS_1_midiInPointer > + (USBFS_1_EP[USBFS_1_midi_in_ep].bufferSize - USBFS_1_EVENT_LENGTH)) + { + USBFS_1_MIDI_IN_EP_Service(); + } + if (USBFS_1_midiInPointer <= + (USBFS_1_EP[USBFS_1_midi_in_ep].bufferSize - USBFS_1_EVENT_LENGTH)) + { + if((ic < USBFS_1_EVENT_LENGTH) || (ic >= USBFS_1_MIDI_STATUS_MASK)) + { + USBFS_1_PrepareInBuffer(ic, midiMsg, ic, cable); + } + else + { /* Only SysEx message is greater than 4 bytes */ + msgIndex = 0u; + do + { + USBFS_1_PrepareInBuffer(USBFS_1_MIDI_SYSEX, &midiMsg[msgIndex], + USBFS_1_EVENT_BYTE3, cable); + ic -= USBFS_1_EVENT_BYTE3; + msgIndex += USBFS_1_EVENT_BYTE3; + if (USBFS_1_midiInPointer > + (USBFS_1_EP[USBFS_1_midi_in_ep].bufferSize - USBFS_1_EVENT_LENGTH)) + { + USBFS_1_MIDI_IN_EP_Service(); + if (USBFS_1_midiInPointer > + (USBFS_1_EP[USBFS_1_midi_in_ep].bufferSize - USBFS_1_EVENT_LENGTH)) + { + /* Error condition. HOST is not ready to receive this packet. */ + retError = USBFS_1_TRUE; + break; + } + } + }while(ic > USBFS_1_EVENT_BYTE3); + + if(retError == USBFS_1_FALSE) + { + USBFS_1_PrepareInBuffer(USBFS_1_MIDI_EOSEX, midiMsg, ic, cable); + } + } + } + else + { + /* Error condition. HOST is not ready to receive this packet. */ + retError = USBFS_1_TRUE; + } + + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + MIDI1_UART_EnableRxInt(); + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + MIDI2_UART_EnableRxInt(); + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + return (retError); + } + + + /******************************************************************************* + * Function Name: USBFS_1_PrepareInBuffer + ******************************************************************************** + * + * Summary: + * Builds a USB MIDI event in the input endpoint buffer at the current pointer. + * Puts one MIDI message into the USB MIDI In endpoint buffer. + * + * Parameters: + * ic: 0 = No message (should never happen) + * 1 - 3 = Complete MIDI message at pMdat[0] + * MIDI_SYSEX = Start or continuation of SysEx message + * (put eventLen bytes in buffer) + * MIDI_EOSEX = End of SysEx message + * (put eventLen bytes in buffer, + * and append MIDI_EOSEX) + * MIDI_TUNEREQ = Tune Request message (single byte system common msg) + * 0xf8 - 0xff = Single byte real-time message + * + * srcBuff: pointer to MIDI data + * eventLen: number of bytes in MIDI event + * cable: MIDI source port number + * + * Return: + * None + * + * Global variables: + * USBFS_1_midiInBuffer: This buffer is used for saving and combine the + * received from UART(s) and(or) generated internally by + * USBFS_1_PutUsbMidiIn() function messages. + * USBFS_1_midiInPointer: Used as an index for midiInBuffer to + * write data. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_1_PrepareInBuffer(uint8 ic, const uint8 srcBuff[], uint8 eventLen, uint8 cable) + + { + uint8 srcBuffZero; + uint8 srcBuffOne; + + srcBuffZero = srcBuff[0u]; + srcBuffOne = srcBuff[1u]; + + if (ic >= (USBFS_1_MIDI_STATUS_MASK | USBFS_1_MIDI_SINGLE_BYTE_MASK)) + { + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_SINGLE_BYTE | cable; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = ic; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = 0u; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = 0u; + USBFS_1_midiInPointer++; + } + else if((ic < USBFS_1_EVENT_LENGTH) || (ic == USBFS_1_MIDI_SYSEX)) + { + if(ic == USBFS_1_MIDI_SYSEX) + { + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_SYSEX | cable; + USBFS_1_midiInPointer++; + } + else if (srcBuffZero < USBFS_1_MIDI_SYSEX) + { + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = (srcBuffZero >> 4u) | cable; + USBFS_1_midiInPointer++; + } + else if (srcBuffZero == USBFS_1_MIDI_TUNEREQ) + { + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_1BYTE_COMMON | cable; + USBFS_1_midiInPointer++; + } + else if ((srcBuffZero == USBFS_1_MIDI_QFM) || (srcBuffZero == USBFS_1_MIDI_SONGSEL)) + { + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_2BYTE_COMMON | cable; + USBFS_1_midiInPointer++; + } + else if (srcBuffZero == USBFS_1_MIDI_SPP) + { + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_3BYTE_COMMON | cable; + USBFS_1_midiInPointer++; + } + else + { + } + + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = srcBuffZero; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = srcBuffOne; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = srcBuff[2u]; + USBFS_1_midiInPointer++; + } + else if (ic == USBFS_1_MIDI_EOSEX) + { + switch (eventLen) + { + case 0u: + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = + USBFS_1_SYSEX_ENDS_WITH1 | cable; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_MIDI_EOSEX; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = 0u; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = 0u; + USBFS_1_midiInPointer++; + break; + case 1u: + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = + USBFS_1_SYSEX_ENDS_WITH2 | cable; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = srcBuffZero; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_MIDI_EOSEX; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = 0u; + USBFS_1_midiInPointer++; + break; + case 2u: + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = + USBFS_1_SYSEX_ENDS_WITH3 | cable; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = srcBuffZero; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = srcBuffOne; + USBFS_1_midiInPointer++; + USBFS_1_midiInBuffer[USBFS_1_midiInPointer] = USBFS_1_MIDI_EOSEX; + USBFS_1_midiInPointer++; + break; + default: + break; + } + } + else + { + } + } + +#endif /* #if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) */ + + +/* The implementation for external serial input and output connections +* to route USB MIDI data to and from those connections. +*/ +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI_Init + ******************************************************************************** + * + * Summary: + * Initializes MIDI variables and starts the UART(s) hardware block(s). + * + * Parameters: + * None + * + * Return: + * None + * + * Side Effects: + * Change the priority of the UART(s) TX interrupts to be higher than the + * default EP ISR priority. + * + * Global variables: + * USBFS_1_MIDI_Event: initialized to zero. + * USBFS_1_MIDI_TxRunStat: initialized to zero. + * + *******************************************************************************/ + void USBFS_1_MIDI_Init(void) + { + USBFS_1_MIDI1_Event.length = 0u; + USBFS_1_MIDI1_Event.count = 0u; + USBFS_1_MIDI1_Event.size = 0u; + USBFS_1_MIDI1_Event.runstat = 0u; + USBFS_1_MIDI1_TxRunStat = 0u; + USBFS_1_MIDI1_InqFlags = 0u; + /* Start UART block */ + MIDI1_UART_Start(); + /* Change the priority of the UART TX and RX interrupt */ + CyIntSetPriority(MIDI1_UART_TX_VECT_NUM, USBFS_1_CUSTOM_UART_TX_PRIOR_NUM); + CyIntSetPriority(MIDI1_UART_RX_VECT_NUM, USBFS_1_CUSTOM_UART_RX_PRIOR_NUM); + + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + USBFS_1_MIDI2_Event.length = 0u; + USBFS_1_MIDI2_Event.count = 0u; + USBFS_1_MIDI2_Event.size = 0u; + USBFS_1_MIDI2_Event.runstat = 0u; + USBFS_1_MIDI2_TxRunStat = 0u; + USBFS_1_MIDI2_InqFlags = 0u; + /* Start second UART block */ + MIDI2_UART_Start(); + /* Change the priority of the UART TX interrupt */ + CyIntSetPriority(MIDI2_UART_TX_VECT_NUM, USBFS_1_CUSTOM_UART_TX_PRIOR_NUM); + CyIntSetPriority(MIDI2_UART_RX_VECT_NUM, USBFS_1_CUSTOM_UART_RX_PRIOR_NUM); + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF*/ + + /* `#START MIDI_INIT_CUSTOM` Init other extended UARTs here */ + + /* `#END` */ + + } + + + /******************************************************************************* + * Function Name: USBFS_1_ProcessMidiIn + ******************************************************************************** + * + * Summary: + * Processes one byte of incoming MIDI data. + * + * Parameters: + * mData = current MIDI input data byte + * *rxStat = pointer to a MIDI_RX_STATUS structure + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + *******************************************************************************/ + uint8 USBFS_1_ProcessMidiIn(uint8 mData, USBFS_1_MIDI_RX_STATUS *rxStat) + + { + uint8 midiReturn = 0u; + + /* Check for a MIDI status byte. All status bytes, except real time messages, + * which are a single byte, force the start of a new buffer cycle. + */ + if ((mData & USBFS_1_MIDI_STATUS_BYTE_MASK) != 0u) + { + if ((mData & USBFS_1_MIDI_STATUS_MASK) == USBFS_1_MIDI_STATUS_MASK) + { + if ((mData & USBFS_1_MIDI_SINGLE_BYTE_MASK) != 0u) /* System Real-Time Messages(single byte) */ + { + midiReturn = mData; + } + else /* System Common Messages */ + { + switch (mData) + { + case USBFS_1_MIDI_SYSEX: + rxStat->msgBuff[0u] = USBFS_1_MIDI_SYSEX; + rxStat->runstat = USBFS_1_MIDI_SYSEX; + rxStat->count = 1u; + rxStat->length = 3u; + break; + case USBFS_1_MIDI_EOSEX: + rxStat->runstat = 0u; + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = USBFS_1_MIDI_EOSEX; + break; + case USBFS_1_MIDI_SPP: + rxStat->msgBuff[0u] = USBFS_1_MIDI_SPP; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 3u; + break; + case USBFS_1_MIDI_SONGSEL: + rxStat->msgBuff[0u] = USBFS_1_MIDI_SONGSEL; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 2u; + break; + case USBFS_1_MIDI_QFM: + rxStat->msgBuff[0u] = USBFS_1_MIDI_QFM; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 2u; + break; + case USBFS_1_MIDI_TUNEREQ: + rxStat->msgBuff[0u] = USBFS_1_MIDI_TUNEREQ; + rxStat->runstat = 0u; + rxStat->size = 1u; + rxStat->count = 0u; + midiReturn = rxStat->size; + break; + default: + break; + } + } + } + else /* Channel Messages */ + { + rxStat->msgBuff[0u] = mData; + rxStat->runstat = mData; + rxStat->count = 1u; + switch (mData & USBFS_1_MIDI_STATUS_MASK) + { + case USBFS_1_MIDI_NOTE_OFF: + case USBFS_1_MIDI_NOTE_ON: + case USBFS_1_MIDI_POLY_KEY_PRESSURE: + case USBFS_1_MIDI_CONTROL_CHANGE: + case USBFS_1_MIDI_PITCH_BEND_CHANGE: + rxStat->length = 3u; + break; + case USBFS_1_MIDI_PROGRAM_CHANGE: + case USBFS_1_MIDI_CHANNEL_PRESSURE: + rxStat->length = 2u; + break; + default: + rxStat->runstat = 0u; + rxStat->count = 0u; + break; + } + } + } + + /* Otherwise, it's a data byte */ + else + { + if (rxStat->runstat == USBFS_1_MIDI_SYSEX) + { + rxStat->msgBuff[rxStat->count] = mData; + rxStat->count++; + if (rxStat->count >= rxStat->length) + { + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = USBFS_1_MIDI_SYSEX; + } + } + else if (rxStat->count > 0u) + { + rxStat->msgBuff[rxStat->count] = mData; + rxStat->count++; + if (rxStat->count >= rxStat->length) + { + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = rxStat->size; + } + } + else if (rxStat->runstat != 0u) + { + rxStat->msgBuff[0u] = rxStat->runstat; + rxStat->msgBuff[1u] = mData; + rxStat->count = 2u; + switch (rxStat->runstat & USBFS_1_MIDI_STATUS_MASK) + { + case USBFS_1_MIDI_NOTE_OFF: + case USBFS_1_MIDI_NOTE_ON: + case USBFS_1_MIDI_POLY_KEY_PRESSURE: + case USBFS_1_MIDI_CONTROL_CHANGE: + case USBFS_1_MIDI_PITCH_BEND_CHANGE: + rxStat->length = 3u; + break; + case USBFS_1_MIDI_PROGRAM_CHANGE: + case USBFS_1_MIDI_CHANNEL_PRESSURE: + rxStat->size =rxStat->count; + rxStat->count = 0u; + midiReturn = rxStat->size; + break; + default: + rxStat->count = 0u; + break; + } + } + else + { + } + } + return (midiReturn); + } + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI1_GetEvent + ******************************************************************************** + * + * Summary: + * Checks for incoming MIDI data, calls the MIDI event builder if so. + * Returns either empty or with a complete event. + * + * Parameters: + * None + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + * Global variables: + * USBFS_1_MIDI1_Event: RX status structure used to parse received + * data. + * + *******************************************************************************/ + uint8 USBFS_1_MIDI1_GetEvent(void) + { + uint8 msgRtn = 0u; + uint8 rxData; + #if (MIDI1_UART_RXBUFFERSIZE >= 256u) + uint16 rxBufferRead; + #if CY_PSOC3 /* This local variable is required only for PSOC3 and large buffer */ + uint16 rxBufferWrite; + #endif /* end CY_PSOC3 */ + #else + uint8 rxBufferRead; + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + uint8 rxBufferLoopDetect; + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI1_UART_rxBufferLoopDetect; + + if ( (MIDI1_UART_rxBufferRead != MIDI1_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u) ) + { + /* Protect variables that could change on interrupt by disabling Rx interrupt.*/ + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + rxBufferRead = MIDI1_UART_rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + rxBufferWrite = MIDI1_UART_rxBufferWrite; + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + + /* Stay here until either the buffer is empty or we have a complete message + * in the message buffer. Note that we must use a temporary buffer pointer + * since it takes two instructions to increment with a wrap, and we can't + * risk doing that with the real pointer and getting an interrupt in between + * instructions. + */ + + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + while ( ((rxBufferRead != rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #else + while ( ((rxBufferRead != MIDI1_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 && CY_PSOC3 */ + { + rxData = MIDI1_UART_rxBuffer[rxBufferRead]; + /* Increment pointer with a wrap */ + rxBufferRead++; + if(rxBufferRead >= MIDI1_UART_RXBUFFERSIZE) + { + rxBufferRead = 0u; + } + /* If loop condition was set - update real read buffer pointer + * to avoid overflow status + */ + if(rxBufferLoopDetect != 0u ) + { + MIDI1_UART_rxBufferLoopDetect = 0u; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + MIDI1_UART_rxBufferRead = rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + } + + msgRtn = USBFS_1_ProcessMidiIn(rxData, + (USBFS_1_MIDI_RX_STATUS *)&USBFS_1_MIDI1_Event); + + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI1_UART_rxBufferLoopDetect; + } + + /* Finally, update the real output pointer, then return with + * an indication as to whether there's a complete message in the buffer. + */ + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + MIDI1_UART_rxBufferRead = rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + } + + return (msgRtn); + } + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI1_ProcessUsbOut + ******************************************************************************** + * + * Summary: + * Process a USB MIDI output event. + * Puts data into the MIDI TX output buffer. + * + * Parameters: + * *epBuf: pointer on MIDI event. + * + * Return: + * None + * + * Global variables: + * USBFS_1_MIDI1_TxRunStat: This variable used to save the MIDI + * status byte and skip to send the repeated status byte in subsequent event. + * USBFS_1_MIDI1_InqFlags: The following flags are set when SysEx + * message comes. + * USBFS_1_INQ_SYSEX_FLAG: Non-Real Time SySEx message received. + * USBFS_1_INQ_IDENTITY_REQ_FLAG: Identity Request received. + * This bit should be cleared by user when Identity Reply message generated. + * + *******************************************************************************/ + void USBFS_1_MIDI1_ProcessUsbOut(const uint8 epBuf[]) + + { + uint8 cmd; + uint8 len; + uint8 i; + + /* User code is required at the beginning of the procedure */ + /* `#START MIDI1_PROCESS_OUT_BEGIN` */ + + /* `#END` */ + + cmd = epBuf[USBFS_1_EVENT_BYTE0] & USBFS_1_CIN_MASK; + if((cmd != USBFS_1_RESERVED0) && (cmd != USBFS_1_RESERVED1)) + { + len = USBFS_1_MIDI_SIZE[cmd]; + i = USBFS_1_EVENT_BYTE1; + /* Universal System Exclusive message parsing */ + if(cmd == USBFS_1_SYSEX) + { + if((epBuf[USBFS_1_EVENT_BYTE1] == USBFS_1_MIDI_SYSEX) && + (epBuf[USBFS_1_EVENT_BYTE2] == USBFS_1_MIDI_SYSEX_NON_REAL_TIME)) + { /* Non-Real Time SySEx starts */ + USBFS_1_MIDI1_InqFlags |= USBFS_1_INQ_SYSEX_FLAG; + } + else + { + USBFS_1_MIDI1_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + } + } + else if(cmd == USBFS_1_SYSEX_ENDS_WITH1) + { + USBFS_1_MIDI1_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_1_SYSEX_ENDS_WITH2) + { + USBFS_1_MIDI1_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_1_SYSEX_ENDS_WITH3) + { + /* Identify Request support */ + if((USBFS_1_MIDI1_InqFlags & USBFS_1_INQ_SYSEX_FLAG) != 0u) + { + USBFS_1_MIDI1_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + if((epBuf[USBFS_1_EVENT_BYTE1] == USBFS_1_MIDI_SYSEX_GEN_INFORMATION) && + (epBuf[USBFS_1_EVENT_BYTE2] == USBFS_1_MIDI_SYSEX_IDENTITY_REQ)) + { /* Set the flag about received the Identity Request. + * The Identity Reply message may be send by user code. + */ + USBFS_1_MIDI1_InqFlags |= USBFS_1_INQ_IDENTITY_REQ_FLAG; + } + } + } + else /* Do nothing for other command */ + { + } + /* Running Status for Voice and Mode messages only. */ + if((cmd >= USBFS_1_NOTE_OFF) && ( cmd <= USBFS_1_PITCH_BEND_CHANGE)) + { + if(USBFS_1_MIDI1_TxRunStat == epBuf[USBFS_1_EVENT_BYTE1]) + { /* Skip the repeated Status byte */ + i++; + } + else + { /* Save Status byte for next event */ + USBFS_1_MIDI1_TxRunStat = epBuf[USBFS_1_EVENT_BYTE1]; + } + } + else + { /* Clear Running Status */ + USBFS_1_MIDI1_TxRunStat = 0u; + } + /* Puts data into the MIDI TX output buffer.*/ + do + { + MIDI1_UART_PutChar(epBuf[i]); + i++; + } while (i <= len); + } + + /* User code is required at the end of the procedure */ + /* `#START MIDI1_PROCESS_OUT_END` */ + + /* `#END` */ + } + +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI2_GetEvent + ******************************************************************************** + * + * Summary: + * Checks for incoming MIDI data, calls the MIDI event builder if so. + * Returns either empty or with a complete event. + * + * Parameters: + * None + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + * Global variables: + * USBFS_1_MIDI2_Event: RX status structure used to parse received + * data. + * + *******************************************************************************/ + uint8 USBFS_1_MIDI2_GetEvent(void) + { + uint8 msgRtn = 0u; + uint8 rxData; + #if (MIDI2_UART_RXBUFFERSIZE >= 256u) + uint16 rxBufferRead; + #if CY_PSOC3 /* This local variable required only for PSOC3 and large buffer */ + uint16 rxBufferWrite; + #endif /* end CY_PSOC3 */ + #else + uint8 rxBufferRead; + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + uint8 rxBufferLoopDetect; + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI2_UART_rxBufferLoopDetect; + + if ( (MIDI2_UART_rxBufferRead != MIDI2_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u) ) + { + /* Protect variables that could change on interrupt by disabling Rx interrupt.*/ + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + rxBufferRead = MIDI2_UART_rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + rxBufferWrite = MIDI2_UART_rxBufferWrite; + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + + /* Stay here until either the buffer is empty or we have a complete message + * in the message buffer. Note that we must use a temporary output pointer to + * since it takes two instructions to increment with a wrap, and we can't + * risk doing that with the real pointer and getting an interrupt in between + * instructions. + */ + + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + while ( ((rxBufferRead != rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #else + while ( ((rxBufferRead != MIDI2_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 && CY_PSOC3 */ + { + rxData = MIDI2_UART_rxBuffer[rxBufferRead]; + rxBufferRead++; + if(rxBufferRead >= MIDI2_UART_RXBUFFERSIZE) + { + rxBufferRead = 0u; + } + /* If loop condition was set - update real read buffer pointer + * to avoid overflow status + */ + if(rxBufferLoopDetect != 0u ) + { + MIDI2_UART_rxBufferLoopDetect = 0u; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + MIDI2_UART_rxBufferRead = rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + } + + msgRtn = USBFS_1_ProcessMidiIn(rxData, + (USBFS_1_MIDI_RX_STATUS *)&USBFS_1_MIDI2_Event); + + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI2_UART_rxBufferLoopDetect; + } + + /* Finally, update the real output pointer, then return with + * an indication as to whether there's a complete message in the buffer. + */ + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + MIDI2_UART_rxBufferRead = rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + } + + return (msgRtn); + } + + + /******************************************************************************* + * Function Name: USBFS_1_MIDI2_ProcessUsbOut + ******************************************************************************** + * + * Summary: + * Process a USB MIDI output event. + * Puts data into the MIDI TX output buffer. + * + * Parameters: + * *epBuf: pointer on MIDI event. + * + * Return: + * None + * + * Global variables: + * USBFS_1_MIDI2_TxRunStat: This variable used to save the MIDI + * status byte and skip to send the repeated status byte in subsequent event. + * USBFS_1_MIDI2_InqFlags: The following flags are set when SysEx + * message comes. + * USBFS_1_INQ_SYSEX_FLAG: Non-Real Time SySEx message received. + * USBFS_1_INQ_IDENTITY_REQ_FLAG: Identity Request received. + * This bit should be cleared by user when Identity Reply message generated. + * + *******************************************************************************/ + void USBFS_1_MIDI2_ProcessUsbOut(const uint8 epBuf[]) + + { + uint8 cmd; + uint8 len; + uint8 i; + + /* User code is required at the beginning of the procedure */ + /* `#START MIDI2_PROCESS_OUT_START` */ + + /* `#END` */ + + cmd = epBuf[USBFS_1_EVENT_BYTE0] & USBFS_1_CIN_MASK; + if((cmd != USBFS_1_RESERVED0) && (cmd != USBFS_1_RESERVED1)) + { + len = USBFS_1_MIDI_SIZE[cmd]; + i = USBFS_1_EVENT_BYTE1; + /* Universal System Exclusive message parsing */ + if(cmd == USBFS_1_SYSEX) + { + if((epBuf[USBFS_1_EVENT_BYTE1] == USBFS_1_MIDI_SYSEX) && + (epBuf[USBFS_1_EVENT_BYTE2] == USBFS_1_MIDI_SYSEX_NON_REAL_TIME)) + { /* SySEx starts */ + USBFS_1_MIDI2_InqFlags |= USBFS_1_INQ_SYSEX_FLAG; + } + else + { + USBFS_1_MIDI2_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + } + } + else if(cmd == USBFS_1_SYSEX_ENDS_WITH1) + { + USBFS_1_MIDI2_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_1_SYSEX_ENDS_WITH2) + { + USBFS_1_MIDI2_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_1_SYSEX_ENDS_WITH3) + { + /* Identify Request support */ + if((USBFS_1_MIDI2_InqFlags & USBFS_1_INQ_SYSEX_FLAG) != 0u) + { + USBFS_1_MIDI2_InqFlags &= (uint8)~USBFS_1_INQ_SYSEX_FLAG; + if((epBuf[USBFS_1_EVENT_BYTE1] == USBFS_1_MIDI_SYSEX_GEN_INFORMATION) && + (epBuf[USBFS_1_EVENT_BYTE2] == USBFS_1_MIDI_SYSEX_IDENTITY_REQ)) + { /* Set the flag about received the Identity Request. + * The Identity Reply message may be send by user code. + */ + USBFS_1_MIDI2_InqFlags |= USBFS_1_INQ_IDENTITY_REQ_FLAG; + } + } + } + else /* Do nothing for other command */ + { + } + /* Running Status for Voice and Mode messages only. */ + if((cmd >= USBFS_1_NOTE_OFF) && ( cmd <= USBFS_1_PITCH_BEND_CHANGE)) + { + if(USBFS_1_MIDI2_TxRunStat == epBuf[USBFS_1_EVENT_BYTE1]) + { /* Skip the repeated Status byte */ + i++; + } + else + { /* Save Status byte for next event */ + USBFS_1_MIDI2_TxRunStat = epBuf[USBFS_1_EVENT_BYTE1]; + } + } + else + { /* Clear Running Status */ + USBFS_1_MIDI2_TxRunStat = 0u; + } + /* Puts data into the MIDI TX output buffer.*/ + do + { + MIDI2_UART_PutChar(epBuf[i]); + i++; + } while (i <= len); + } + + /* User code is required at the end of the procedure */ + /* `#START MIDI2_PROCESS_OUT_END` */ + + /* `#END` */ + } +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + +#endif /* End (USBFS_1_ENABLE_MIDI_API != 0u) */ + + +/* `#START MIDI_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End defined(USBFS_1_ENABLE_MIDI_STREAMING) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.h new file mode 100644 index 0000000..6311650 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_midi.h @@ -0,0 +1,200 @@ +/******************************************************************************* +* File Name: USBFS_1_midi.h +* Version 2.60 +* +* Description: +* Header File for the USBFS MIDI module. +* Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_1_midi_H) +#define CY_USBFS_USBFS_1_midi_H + +#include "cytypes.h" +#include "USBFS_1.h" + + +/*************************************** +* Data Struct Definition +***************************************/ + +/* The following structure is used to hold status information for + building and parsing incoming MIDI messages. */ +typedef struct +{ + uint8 length; /* expected length */ + uint8 count; /* current byte count */ + uint8 size; /* complete size */ + uint8 runstat; /* running status */ + uint8 msgBuff[4]; /* message buffer */ +} USBFS_1_MIDI_RX_STATUS; + + +/*************************************** +* MIDI Constants. +***************************************/ + +#define USBFS_1_ONE_EXT_INTRF (0x01u) +#define USBFS_1_TWO_EXT_INTRF (0x02u) + +/* Flag definitions for use with MIDI device inquiry */ +#define USBFS_1_INQ_SYSEX_FLAG (0x01u) +#define USBFS_1_INQ_IDENTITY_REQ_FLAG (0x02u) + +/* USB-MIDI Code Index Number Classifications (MIDI Table 4-1) */ +#define USBFS_1_CIN_MASK (0x0Fu) +#define USBFS_1_RESERVED0 (0x00u) +#define USBFS_1_RESERVED1 (0x01u) +#define USBFS_1_2BYTE_COMMON (0x02u) +#define USBFS_1_3BYTE_COMMON (0x03u) +#define USBFS_1_SYSEX (0x04u) +#define USBFS_1_1BYTE_COMMON (0x05u) +#define USBFS_1_SYSEX_ENDS_WITH1 (0x05u) +#define USBFS_1_SYSEX_ENDS_WITH2 (0x06u) +#define USBFS_1_SYSEX_ENDS_WITH3 (0x07u) +#define USBFS_1_NOTE_OFF (0x08u) +#define USBFS_1_NOTE_ON (0x09u) +#define USBFS_1_POLY_KEY_PRESSURE (0x0Au) +#define USBFS_1_CONTROL_CHANGE (0x0Bu) +#define USBFS_1_PROGRAM_CHANGE (0x0Cu) +#define USBFS_1_CHANNEL_PRESSURE (0x0Du) +#define USBFS_1_PITCH_BEND_CHANGE (0x0Eu) +#define USBFS_1_SINGLE_BYTE (0x0Fu) + +#define USBFS_1_CABLE_MASK (0xF0u) +#define USBFS_1_MIDI_CABLE_00 (0x00u) +#define USBFS_1_MIDI_CABLE_01 (0x10u) + +#define USBFS_1_EVENT_BYTE0 (0x00u) +#define USBFS_1_EVENT_BYTE1 (0x01u) +#define USBFS_1_EVENT_BYTE2 (0x02u) +#define USBFS_1_EVENT_BYTE3 (0x03u) +#define USBFS_1_EVENT_LENGTH (0x04u) + +#define USBFS_1_MIDI_STATUS_BYTE_MASK (0x80u) +#define USBFS_1_MIDI_STATUS_MASK (0xF0u) +#define USBFS_1_MIDI_SINGLE_BYTE_MASK (0x08u) +#define USBFS_1_MIDI_NOTE_OFF (0x80u) +#define USBFS_1_MIDI_NOTE_ON (0x90u) +#define USBFS_1_MIDI_POLY_KEY_PRESSURE (0xA0u) +#define USBFS_1_MIDI_CONTROL_CHANGE (0xB0u) +#define USBFS_1_MIDI_PROGRAM_CHANGE (0xC0u) +#define USBFS_1_MIDI_CHANNEL_PRESSURE (0xD0u) +#define USBFS_1_MIDI_PITCH_BEND_CHANGE (0xE0u) +#define USBFS_1_MIDI_SYSEX (0xF0u) +#define USBFS_1_MIDI_EOSEX (0xF7u) +#define USBFS_1_MIDI_QFM (0xF1u) +#define USBFS_1_MIDI_SPP (0xF2u) +#define USBFS_1_MIDI_SONGSEL (0xF3u) +#define USBFS_1_MIDI_TUNEREQ (0xF6u) +#define USBFS_1_MIDI_ACTIVESENSE (0xFEu) + +/* MIDI Universal System Exclusive defines */ +#define USBFS_1_MIDI_SYSEX_NON_REAL_TIME (0x7Eu) +#define USBFS_1_MIDI_SYSEX_REALTIME (0x7Fu) +/* ID of target device */ +#define USBFS_1_MIDI_SYSEX_ID_ALL (0x7Fu) +/* Sub-ID#1*/ +#define USBFS_1_MIDI_SYSEX_GEN_INFORMATION (0x06u) +#define USBFS_1_MIDI_SYSEX_GEN_MESSAGE (0x09u) +/* Sub-ID#2*/ +#define USBFS_1_MIDI_SYSEX_IDENTITY_REQ (0x01u) +#define USBFS_1_MIDI_SYSEX_IDENTITY_REPLY (0x02u) +#define USBFS_1_MIDI_SYSEX_SYSTEM_ON (0x01u) +#define USBFS_1_MIDI_SYSEX_SYSTEM_OFF (0x02u) + +#define USBFS_1_CUSTOM_UART_TX_PRIOR_NUM (0x04u) +#define USBFS_1_CUSTOM_UART_RX_PRIOR_NUM (0x02u) + +#define USBFS_1_ISR_SERVICE_MIDI_OUT \ + ( (USBFS_1_ENABLE_MIDI_API != 0u) && \ + (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) && (USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) ) +#define USBFS_1_ISR_SERVICE_MIDI_IN \ + ( (USBFS_1_ENABLE_MIDI_API != 0u) && (USBFS_1_MIDI_IN_BUFF_SIZE > 0) ) + +/*************************************** +* External function references +***************************************/ + +void USBFS_1_callbackLocalMidiEvent(uint8 cable, uint8 *midiMsg) + ; + + +/*************************************** +* External references +***************************************/ + +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + #include "MIDI1_UART.h" +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + #include "MIDI2_UART.h" +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ +#if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + #include +#endif /* End USBFS_1_EP_MM */ + + +/*************************************** +* Private function prototypes +***************************************/ + +void USBFS_1_PrepareInBuffer(uint8 ic, const uint8 srcBuff[], uint8 eventLen, uint8 cable) + ; +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + void USBFS_1_MIDI_Init(void) ; + uint8 USBFS_1_ProcessMidiIn(uint8 mData, USBFS_1_MIDI_RX_STATUS *rxStat) + ; + uint8 USBFS_1_MIDI1_GetEvent(void) ; + void USBFS_1_MIDI1_ProcessUsbOut(const uint8 epBuf[]) + ; + + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + uint8 USBFS_1_MIDI2_GetEvent(void) ; + void USBFS_1_MIDI2_ProcessUsbOut(const uint8 epBuf[]) + ; + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + + +/*************************************** +* External data references +***************************************/ + +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) + +#if (USBFS_1_MIDI_IN_BUFF_SIZE > 0) + #if (USBFS_1_MIDI_IN_BUFF_SIZE >= 256) + extern volatile uint16 USBFS_1_midiInPointer; /* Input endpoint buffer pointer */ + #else + extern volatile uint8 USBFS_1_midiInPointer; /* Input endpoint buffer pointer */ + #endif /* End USBFS_1_MIDI_IN_BUFF_SIZE >=256 */ + extern volatile uint8 USBFS_1_midi_in_ep; /* Input endpoint number */ + extern uint8 USBFS_1_midiInBuffer[USBFS_1_MIDI_IN_BUFF_SIZE]; /* Input endpoint buffer */ +#endif /* USBFS_1_MIDI_IN_BUFF_SIZE > 0 */ + +#if (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) + extern volatile uint8 USBFS_1_midi_out_ep; /* Output endpoint number */ + extern uint8 USBFS_1_midiOutBuffer[USBFS_1_MIDI_OUT_BUFF_SIZE]; /* Output endpoint buffer */ +#endif /* USBFS_1_MIDI_OUT_BUFF_SIZE > 0 */ + +#if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF) + extern volatile uint8 USBFS_1_MIDI1_InqFlags; /* Device inquiry flag */ + #if (USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF) + extern volatile uint8 USBFS_1_MIDI2_InqFlags; /* Device inquiry flag */ + #endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_TWO_EXT_INTRF */ +#endif /* End USBFS_1_MIDI_EXT_MODE >= USBFS_1_ONE_EXT_INTRF */ + +#endif /* USBFS_1_ENABLE_MIDI_STREAMING */ + + +#endif /* End CY_USBFS_USBFS_1_midi_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pm.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pm.c new file mode 100644 index 0000000..d650542 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pm.c @@ -0,0 +1,277 @@ +/******************************************************************************* +* File Name: USBFS_1_pm.c +* Version 2.60 +* +* Description: +* This file provides Suspend/Resume APIs functionality. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "project.h" +#include "USBFS_1.h" +#include "USBFS_1_pvt.h" + + +/*************************************** +* Custom Declarations +***************************************/ +/* `#START PM_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Local data allocation +***************************************/ + +static USBFS_1_BACKUP_STRUCT USBFS_1_backup; + + +#if(USBFS_1_DP_ISR_REMOVE == 0u) + + + /******************************************************************************* + * Function Name: USBFS_1_DP_Interrupt + ******************************************************************************** + * + * Summary: + * This Interrupt Service Routine handles DP pin changes for wake-up from + * the sleep mode. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_1_DP_ISR) + { + /* `#START DP_USER_CODE` Place your code here */ + + /* `#END` */ + + /* Clears active interrupt */ + CY_GET_REG8(USBFS_1_DP_INTSTAT_PTR); + } + +#endif /* (USBFS_1_DP_ISR_REMOVE == 0u) */ + + +/******************************************************************************* +* Function Name: USBFS_1_SaveConfig +******************************************************************************** +* +* Summary: +* Saves the current user configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_SaveConfig(void) +{ + +} + + +/******************************************************************************* +* Function Name: USBFS_1_RestoreConfig +******************************************************************************** +* +* Summary: +* Restores the current user configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_RestoreConfig(void) +{ + if(USBFS_1_configuration != 0u) + { + USBFS_1_ConfigReg(); + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_Suspend +******************************************************************************** +* +* Summary: +* This function disables the USBFS block and prepares for power donwn mode. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_backup.enable: modified. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_Suspend(void) +{ + uint8 enableInterrupts; + enableInterrupts = CyEnterCriticalSection(); + + if((CY_GET_REG8(USBFS_1_CR0_PTR) & USBFS_1_CR0_ENABLE) != 0u) + { /* USB block is enabled */ + USBFS_1_backup.enableState = 1u; + + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + USBFS_1_Stop_DMA(USBFS_1_MAX_EP); /* Stop all DMAs */ + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + USBFS_1_USBIO_CR0_REG &= (uint8)~USBFS_1_USBIO_CR0_TEN; + CyDelayUs(0u); /*~50ns delay */ + + /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) and pd_pullup_hv(Inverted) high. */ + USBFS_1_PM_USB_CR0_REG &= + (uint8)~(USBFS_1_PM_USB_CR0_PD_N | USBFS_1_PM_USB_CR0_PD_PULLUP_N); + + /* Disable the SIE */ + USBFS_1_CR0_REG &= (uint8)~USBFS_1_CR0_ENABLE; + + CyDelayUs(0u); /*~50ns delay */ + /* Store mode and Disable VRegulator*/ + USBFS_1_backup.mode = USBFS_1_CR1_REG & USBFS_1_CR1_REG_ENABLE; + USBFS_1_CR1_REG &= (uint8)~USBFS_1_CR1_REG_ENABLE; + + CyDelayUs(1u); /* 0.5 us min delay */ + /* Disable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_1_PM_USB_CR0_REG &= (uint8)~USBFS_1_PM_USB_CR0_REF_EN; + + /* Switch DP and DM terminals to GPIO mode and disconnect 1.5k pullup*/ + USBFS_1_USBIO_CR1_REG |= USBFS_1_USBIO_CR1_IOMODE; + + /* Disable USB in ACT PM */ + USBFS_1_PM_ACT_CFG_REG &= (uint8)~USBFS_1_PM_ACT_EN_FSUSB; + /* Disable USB block for Standby Power Mode */ + USBFS_1_PM_STBY_CFG_REG &= (uint8)~USBFS_1_PM_STBY_EN_FSUSB; + CyDelayUs(1u); /* min 0.5us delay required */ + + } + else + { + USBFS_1_backup.enableState = 0u; + } + CyExitCriticalSection(enableInterrupts); + + /* Set the DP Interrupt for wake-up from sleep mode. */ + #if(USBFS_1_DP_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_1_DP_INTC_VECT_NUM, &USBFS_1_DP_ISR); + CyIntSetPriority(USBFS_1_DP_INTC_VECT_NUM, USBFS_1_DP_INTC_PRIOR); + CyIntClearPending(USBFS_1_DP_INTC_VECT_NUM); + CyIntEnable(USBFS_1_DP_INTC_VECT_NUM); + #endif /* (USBFS_1_DP_ISR_REMOVE == 0u) */ + +} + + +/******************************************************************************* +* Function Name: USBFS_1_Resume +******************************************************************************** +* +* Summary: +* This function enables the USBFS block after power down mode. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_1_backup - checked. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_Resume(void) +{ + uint8 enableInterrupts; + enableInterrupts = CyEnterCriticalSection(); + + if(USBFS_1_backup.enableState != 0u) + { + #if(USBFS_1_DP_ISR_REMOVE == 0u) + CyIntDisable(USBFS_1_DP_INTC_VECT_NUM); + #endif /* End USBFS_1_DP_ISR_REMOVE */ + + /* Enable USB block */ + USBFS_1_PM_ACT_CFG_REG |= USBFS_1_PM_ACT_EN_FSUSB; + /* Enable USB block for Standby Power Mode */ + USBFS_1_PM_STBY_CFG_REG |= USBFS_1_PM_STBY_EN_FSUSB; + /* Enable core clock */ + USBFS_1_USB_CLK_EN_REG |= USBFS_1_USB_CLK_ENABLE; + + /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_1_PM_USB_CR0_REG |= USBFS_1_PM_USB_CR0_REF_EN; + /* The reference will be available ~40us after power restored */ + CyDelayUs(40u); + /* Return VRegulator*/ + USBFS_1_CR1_REG |= USBFS_1_backup.mode; + CyDelayUs(0u); /*~50ns delay */ + /* Enable USBIO */ + USBFS_1_PM_USB_CR0_REG |= USBFS_1_PM_USB_CR0_PD_N; + CyDelayUs(2u); + /* Set the USBIO pull-up enable */ + USBFS_1_PM_USB_CR0_REG |= USBFS_1_PM_USB_CR0_PD_PULLUP_N; + + /* Reinit Arbiter configuration for DMA transfers */ + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + /* usb arb interrupt enable */ + USBFS_1_ARB_INT_EN_REG = USBFS_1_ARB_INT_MASK; + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL) + USBFS_1_ARB_CFG_REG = USBFS_1_ARB_CFG_MANUAL_DMA; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAMANUAL */ + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /*Set cfg cmplt this rises DMA request when the full configuration is done */ + USBFS_1_ARB_CFG_REG = USBFS_1_ARB_CFG_AUTO_DMA | USBFS_1_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + /* STALL_IN_OUT */ + CY_SET_REG8(USBFS_1_EP0_CR_PTR, USBFS_1_MODE_STALL_IN_OUT); + /* Enable the SIE with a last address */ + USBFS_1_CR0_REG |= USBFS_1_CR0_ENABLE; + CyDelayCycles(1u); + /* Finally, Enable d+ pullup and select iomode to USB mode*/ + CY_SET_REG8(USBFS_1_USBIO_CR1_PTR, USBFS_1_USBIO_CR1_USBPUEN); + + /* Restore USB register settings */ + USBFS_1_RestoreConfig(); + + } + CyExitCriticalSection(enableInterrupts); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pvt.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pvt.h new file mode 100644 index 0000000..1f11df0 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_pvt.h @@ -0,0 +1,190 @@ +/******************************************************************************* +* File Name: .h +* Version 2.60 +* +* Description: +* This private file provides constants and parameter values for the +* USBFS Component. +* Please do not use this file or its content in your project. +* +* Note: +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_1_pvt_H) +#define CY_USBFS_USBFS_1_pvt_H + + +/*************************************** +* Private Variables +***************************************/ + +/* Generated external references for descriptors*/ +extern const uint8 CYCODE USBFS_1_DEVICE0_DESCR[18u]; +extern const uint8 CYCODE USBFS_1_DEVICE0_CONFIGURATION0_DESCR[25u]; +extern const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE USBFS_1_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE[1u]; +extern const uint8 CYCODE USBFS_1_DEVICE0_CONFIGURATION0_INTERFACE_CLASS[1u]; +extern const T_USBFS_1_LUT CYCODE USBFS_1_DEVICE0_CONFIGURATION0_TABLE[4u]; +extern const T_USBFS_1_LUT CYCODE USBFS_1_DEVICE0_TABLE[2u]; +extern const T_USBFS_1_LUT CYCODE USBFS_1_TABLE[1u]; + + +extern const uint8 CYCODE USBFS_1_MSOS_DESCRIPTOR[USBFS_1_MSOS_DESCRIPTOR_LENGTH]; +extern const uint8 CYCODE USBFS_1_MSOS_CONFIGURATION_DESCR[USBFS_1_MSOS_CONF_DESCR_LENGTH]; +#if defined(USBFS_1_ENABLE_IDSN_STRING) + extern uint8 USBFS_1_idSerialNumberStringDescriptor[USBFS_1_IDSN_DESCR_LENGTH]; +#endif /* USBFS_1_ENABLE_IDSN_STRING */ + +extern volatile uint8 USBFS_1_interfaceNumber; +extern volatile uint8 USBFS_1_interfaceSetting[USBFS_1_MAX_INTERFACES_NUMBER]; +extern volatile uint8 USBFS_1_interfaceSetting_last[USBFS_1_MAX_INTERFACES_NUMBER]; +extern volatile uint8 USBFS_1_deviceAddress; +extern volatile uint8 USBFS_1_interfaceStatus[USBFS_1_MAX_INTERFACES_NUMBER]; +extern const uint8 CYCODE *USBFS_1_interfaceClass; + +extern volatile T_USBFS_1_EP_CTL_BLOCK USBFS_1_EP[USBFS_1_MAX_EP]; +extern volatile T_USBFS_1_TD USBFS_1_currentTD; + +#if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + extern uint8 USBFS_1_DmaChan[USBFS_1_MAX_EP]; + extern uint8 USBFS_1_DmaTd[USBFS_1_MAX_EP]; +#endif /* End USBFS_1_EP_MM */ + +extern volatile uint8 USBFS_1_ep0Toggle; +extern volatile uint8 USBFS_1_lastPacketSize; +extern volatile uint8 USBFS_1_ep0Mode; +extern volatile uint8 USBFS_1_ep0Count; +extern volatile uint16 USBFS_1_transferByteCount; + + +/*************************************** +* Private Function Prototypes +***************************************/ +void USBFS_1_ReInitComponent(void) ; +void USBFS_1_HandleSetup(void) ; +void USBFS_1_HandleIN(void) ; +void USBFS_1_HandleOUT(void) ; +void USBFS_1_LoadEP0(void) ; +uint8 USBFS_1_InitControlRead(void) ; +uint8 USBFS_1_InitControlWrite(void) ; +void USBFS_1_ControlReadDataStage(void) ; +void USBFS_1_ControlReadStatusStage(void) ; +void USBFS_1_ControlReadPrematureStatus(void) + ; +uint8 USBFS_1_InitControlWrite(void) ; +uint8 USBFS_1_InitZeroLengthControlTransfer(void) + ; +void USBFS_1_ControlWriteDataStage(void) ; +void USBFS_1_ControlWriteStatusStage(void) ; +void USBFS_1_ControlWritePrematureStatus(void) + ; +uint8 USBFS_1_InitNoDataControlTransfer(void) ; +void USBFS_1_NoDataControlStatusStage(void) ; +void USBFS_1_InitializeStatusBlock(void) ; +void USBFS_1_UpdateStatusBlock(uint8 completionCode) ; +uint8 USBFS_1_DispatchClassRqst(void) ; + +void USBFS_1_Config(uint8 clearAltSetting) ; +void USBFS_1_ConfigAltChanged(void) ; +void USBFS_1_ConfigReg(void) ; + +const T_USBFS_1_LUT CYCODE *USBFS_1_GetConfigTablePtr(uint8 c) + ; +const T_USBFS_1_LUT CYCODE *USBFS_1_GetDeviceTablePtr(void) + ; +const uint8 CYCODE *USBFS_1_GetInterfaceClassTablePtr(void) + ; +uint8 USBFS_1_ClearEndpointHalt(void) ; +uint8 USBFS_1_SetEndpointHalt(void) ; +uint8 USBFS_1_ValidateAlternateSetting(void) ; + +void USBFS_1_SaveConfig(void) ; +void USBFS_1_RestoreConfig(void) ; + +#if defined(USBFS_1_ENABLE_IDSN_STRING) + void USBFS_1_ReadDieID(uint8 descr[]) ; +#endif /* USBFS_1_ENABLE_IDSN_STRING */ + +#if defined(USBFS_1_ENABLE_HID_CLASS) + uint8 USBFS_1_DispatchHIDClassRqst(void); +#endif /* End USBFS_1_ENABLE_HID_CLASS */ +#if defined(USBFS_1_ENABLE_AUDIO_CLASS) + uint8 USBFS_1_DispatchAUDIOClassRqst(void); +#endif /* End USBFS_1_ENABLE_HID_CLASS */ +#if defined(USBFS_1_ENABLE_CDC_CLASS) + uint8 USBFS_1_DispatchCDCClassRqst(void); +#endif /* End USBFS_1_ENABLE_CDC_CLASS */ + +CY_ISR_PROTO(USBFS_1_EP_0_ISR); +#if(USBFS_1_EP1_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_1_ISR); +#endif /* End USBFS_1_EP1_ISR_REMOVE */ +#if(USBFS_1_EP2_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_2_ISR); +#endif /* End USBFS_1_EP2_ISR_REMOVE */ +#if(USBFS_1_EP3_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_3_ISR); +#endif /* End USBFS_1_EP3_ISR_REMOVE */ +#if(USBFS_1_EP4_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_4_ISR); +#endif /* End USBFS_1_EP4_ISR_REMOVE */ +#if(USBFS_1_EP5_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_5_ISR); +#endif /* End USBFS_1_EP5_ISR_REMOVE */ +#if(USBFS_1_EP6_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_6_ISR); +#endif /* End USBFS_1_EP6_ISR_REMOVE */ +#if(USBFS_1_EP7_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_7_ISR); +#endif /* End USBFS_1_EP7_ISR_REMOVE */ +#if(USBFS_1_EP8_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_EP_8_ISR); +#endif /* End USBFS_1_EP8_ISR_REMOVE */ +CY_ISR_PROTO(USBFS_1_BUS_RESET_ISR); +#if(USBFS_1_SOF_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_SOF_ISR); +#endif /* End USBFS_1_SOF_ISR_REMOVE */ +#if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + CY_ISR_PROTO(USBFS_1_ARB_ISR); +#endif /* End USBFS_1_EP_MM */ +#if(USBFS_1_DP_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_1_DP_ISR); +#endif /* End USBFS_1_DP_ISR_REMOVE */ + + +/*************************************** +* Request Handlers +***************************************/ + +uint8 USBFS_1_HandleStandardRqst(void) ; +uint8 USBFS_1_DispatchClassRqst(void) ; +uint8 USBFS_1_HandleVendorRqst(void) ; + + +/*************************************** +* HID Internal references +***************************************/ +#if defined(USBFS_1_ENABLE_HID_CLASS) + void USBFS_1_FindReport(void) ; + void USBFS_1_FindReportDescriptor(void) ; + void USBFS_1_FindHidClassDecriptor(void) ; +#endif /* USBFS_1_ENABLE_HID_CLASS */ + + +/*************************************** +* MIDI Internal references +***************************************/ +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) + void USBFS_1_MIDI_IN_EP_Service(void) ; +#endif /* USBFS_1_ENABLE_MIDI_STREAMING */ + + +#endif /* CY_USBFS_USBFS_1_pvt_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_std.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_std.c new file mode 100644 index 0000000..0e3fcb3 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_std.c @@ -0,0 +1,1134 @@ +/******************************************************************************* +* File Name: USBFS_1_std.c +* Version 2.60 +* +* Description: +* USB Standard request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" +#include "USBFS_1_cdc.h" +#include "USBFS_1_pvt.h" +#if defined(USBFS_1_ENABLE_MIDI_STREAMING) + #include "USBFS_1_midi.h" +#endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Static data allocation +***************************************/ + +#if defined(USBFS_1_ENABLE_FWSN_STRING) + static volatile uint8 *USBFS_1_fwSerialNumberStringDescriptor; + static volatile uint8 USBFS_1_snStringConfirm = USBFS_1_FALSE; +#endif /* USBFS_1_ENABLE_FWSN_STRING */ + +#if defined(USBFS_1_ENABLE_FWSN_STRING) + + + /******************************************************************************* + * Function Name: USBFS_1_SerialNumString + ******************************************************************************** + * + * Summary: + * Application firmware may supply the source of the USB device descriptors + * serial number string during runtime. + * + * Parameters: + * snString: pointer to string. + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_1_SerialNumString(uint8 snString[]) + { + USBFS_1_snStringConfirm = USBFS_1_FALSE; + if(snString != NULL) + { + USBFS_1_fwSerialNumberStringDescriptor = snString; + /* Check descriptor validation */ + if( (snString[0u] > 1u ) && (snString[1u] == USBFS_1_DESCR_STRING) ) + { + USBFS_1_snStringConfirm = USBFS_1_TRUE; + } + } + } + +#endif /* USBFS_1_ENABLE_FWSN_STRING */ + + +/******************************************************************************* +* Function Name: USBFS_1_HandleStandardRqst +******************************************************************************** +* +* Summary: +* This Routine dispatches standard requests +* +* Parameters: +* None. +* +* Return: +* TRUE if request handled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_HandleStandardRqst(void) +{ + uint8 requestHandled = USBFS_1_FALSE; + uint8 interfaceNumber; + #if defined(USBFS_1_ENABLE_STRINGS) + volatile uint8 *pStr = 0u; + #if defined(USBFS_1_ENABLE_DESCRIPTOR_STRINGS) + uint8 nStr; + uint8 descrLength; + #endif /* USBFS_1_ENABLE_DESCRIPTOR_STRINGS */ + #endif /* USBFS_1_ENABLE_STRINGS */ + static volatile uint8 USBFS_1_tBuffer[USBFS_1_STATUS_LENGTH_MAX]; + const T_USBFS_1_LUT CYCODE *pTmp; + USBFS_1_currentTD.count = 0u; + + if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == USBFS_1_RQST_DIR_D2H) + { + /* Control Read */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_GET_DESCRIPTOR: + if (CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_DESCR_DEVICE) + { + pTmp = USBFS_1_GetDeviceTablePtr(); + USBFS_1_currentTD.pData = (volatile uint8 *)pTmp->p_list; + USBFS_1_currentTD.count = USBFS_1_DEVICE_DESCR_LENGTH; + requestHandled = USBFS_1_InitControlRead(); + } + else if (CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_DESCR_CONFIG) + { + pTmp = USBFS_1_GetConfigTablePtr(CY_GET_REG8(USBFS_1_wValueLo)); + USBFS_1_currentTD.pData = (volatile uint8 *)pTmp->p_list; + USBFS_1_currentTD.count = ((uint16)(USBFS_1_currentTD.pData)[ \ + USBFS_1_CONFIG_DESCR_TOTAL_LENGTH_HI] << 8u) | \ + (USBFS_1_currentTD.pData)[USBFS_1_CONFIG_DESCR_TOTAL_LENGTH_LOW]; + requestHandled = USBFS_1_InitControlRead(); + } + #if defined(USBFS_1_ENABLE_STRINGS) + else if (CY_GET_REG8(USBFS_1_wValueHi) == USBFS_1_DESCR_STRING) + { + /* Descriptor Strings*/ + #if defined(USBFS_1_ENABLE_DESCRIPTOR_STRINGS) + nStr = 0u; + pStr = (volatile uint8 *)&USBFS_1_STRING_DESCRIPTORS[0u]; + while ( (CY_GET_REG8(USBFS_1_wValueLo) > nStr) && (*pStr != 0u) ) + { + /* Read descriptor length from 1st byte */ + descrLength = *pStr; + /* Move to next string descriptor */ + pStr = &pStr[descrLength]; + nStr++; + } + #endif /* End USBFS_1_ENABLE_DESCRIPTOR_STRINGS */ + /* Microsoft OS String*/ + #if defined(USBFS_1_ENABLE_MSOS_STRING) + if( CY_GET_REG8(USBFS_1_wValueLo) == USBFS_1_STRING_MSOS ) + { + pStr = (volatile uint8 *)&USBFS_1_MSOS_DESCRIPTOR[0u]; + } + #endif /* End USBFS_1_ENABLE_MSOS_STRING*/ + /* SN string */ + #if defined(USBFS_1_ENABLE_SN_STRING) + if( (CY_GET_REG8(USBFS_1_wValueLo) != 0u) && + (CY_GET_REG8(USBFS_1_wValueLo) == + USBFS_1_DEVICE0_DESCR[USBFS_1_DEVICE_DESCR_SN_SHIFT]) ) + { + pStr = (volatile uint8 *)&USBFS_1_SN_STRING_DESCRIPTOR[0u]; + #if defined(USBFS_1_ENABLE_FWSN_STRING) + if(USBFS_1_snStringConfirm != USBFS_1_FALSE) + { + pStr = USBFS_1_fwSerialNumberStringDescriptor; + } + #endif /* USBFS_1_ENABLE_FWSN_STRING */ + #if defined(USBFS_1_ENABLE_IDSN_STRING) + /* Read DIE ID and generate string descriptor in RAM */ + USBFS_1_ReadDieID(USBFS_1_idSerialNumberStringDescriptor); + pStr = USBFS_1_idSerialNumberStringDescriptor; + #endif /* End USBFS_1_ENABLE_IDSN_STRING */ + } + #endif /* End USBFS_1_ENABLE_SN_STRING */ + if (*pStr != 0u) + { + USBFS_1_currentTD.count = *pStr; + USBFS_1_currentTD.pData = pStr; + requestHandled = USBFS_1_InitControlRead(); + } + } + #endif /* End USBFS_1_ENABLE_STRINGS */ + else + { + requestHandled = USBFS_1_DispatchClassRqst(); + } + break; + case USBFS_1_GET_STATUS: + switch ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK)) + { + case USBFS_1_RQST_RCPT_EP: + USBFS_1_currentTD.count = USBFS_1_EP_STATUS_LENGTH; + USBFS_1_tBuffer[0u] = USBFS_1_EP[ \ + CY_GET_REG8(USBFS_1_wIndexLo) & USBFS_1_DIR_UNUSED].hwEpState; + USBFS_1_tBuffer[1u] = 0u; + USBFS_1_currentTD.pData = &USBFS_1_tBuffer[0u]; + requestHandled = USBFS_1_InitControlRead(); + break; + case USBFS_1_RQST_RCPT_DEV: + USBFS_1_currentTD.count = USBFS_1_DEVICE_STATUS_LENGTH; + USBFS_1_tBuffer[0u] = USBFS_1_deviceStatus; + USBFS_1_tBuffer[1u] = 0u; + USBFS_1_currentTD.pData = &USBFS_1_tBuffer[0u]; + requestHandled = USBFS_1_InitControlRead(); + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + case USBFS_1_GET_CONFIGURATION: + USBFS_1_currentTD.count = 1u; + USBFS_1_currentTD.pData = (volatile uint8 *)&USBFS_1_configuration; + requestHandled = USBFS_1_InitControlRead(); + break; + case USBFS_1_GET_INTERFACE: + USBFS_1_currentTD.count = 1u; + USBFS_1_currentTD.pData = (volatile uint8 *)&USBFS_1_interfaceSetting[ \ + CY_GET_REG8(USBFS_1_wIndexLo)]; + requestHandled = USBFS_1_InitControlRead(); + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else { + /* Control Write */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_SET_ADDRESS: + USBFS_1_deviceAddress = CY_GET_REG8(USBFS_1_wValueLo); + requestHandled = USBFS_1_InitNoDataControlTransfer(); + break; + case USBFS_1_SET_CONFIGURATION: + USBFS_1_configuration = CY_GET_REG8(USBFS_1_wValueLo); + USBFS_1_configurationChanged = USBFS_1_TRUE; + USBFS_1_Config(USBFS_1_TRUE); + requestHandled = USBFS_1_InitNoDataControlTransfer(); + break; + case USBFS_1_SET_INTERFACE: + if (USBFS_1_ValidateAlternateSetting() != 0u) + { + interfaceNumber = CY_GET_REG8(USBFS_1_wIndexLo); + USBFS_1_interfaceNumber = interfaceNumber; + USBFS_1_configurationChanged = USBFS_1_TRUE; + #if ((USBFS_1_EP_MA == USBFS_1__MA_DYNAMIC) && \ + (USBFS_1_EP_MM == USBFS_1__EP_MANUAL) ) + USBFS_1_Config(USBFS_1_FALSE); + #else + USBFS_1_ConfigAltChanged(); + #endif /* End (USBFS_1_EP_MA == USBFS_1__MA_DYNAMIC) */ + /* Update handled Alt setting changes status */ + USBFS_1_interfaceSetting_last[interfaceNumber] = + USBFS_1_interfaceSetting[interfaceNumber]; + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + case USBFS_1_CLEAR_FEATURE: + switch (CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) + { + case USBFS_1_RQST_RCPT_EP: + if (CY_GET_REG8(USBFS_1_wValueLo) == USBFS_1_ENDPOINT_HALT) + { + requestHandled = USBFS_1_ClearEndpointHalt(); + } + break; + case USBFS_1_RQST_RCPT_DEV: + /* Clear device REMOTE_WAKEUP */ + if (CY_GET_REG8(USBFS_1_wValueLo) == USBFS_1_DEVICE_REMOTE_WAKEUP) + { + USBFS_1_deviceStatus &= (uint8)~USBFS_1_DEVICE_STATUS_REMOTE_WAKEUP; + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + case USBFS_1_RQST_RCPT_IFC: + /* Validate interfaceNumber */ + if (CY_GET_REG8(USBFS_1_wIndexLo) < USBFS_1_MAX_INTERFACES_NUMBER) + { + USBFS_1_interfaceStatus[CY_GET_REG8(USBFS_1_wIndexLo)] &= + (uint8)~(CY_GET_REG8(USBFS_1_wValueLo)); + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + case USBFS_1_SET_FEATURE: + switch (CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_RCPT_MASK) + { + case USBFS_1_RQST_RCPT_EP: + if (CY_GET_REG8(USBFS_1_wValueLo) == USBFS_1_ENDPOINT_HALT) + { + requestHandled = USBFS_1_SetEndpointHalt(); + } + break; + case USBFS_1_RQST_RCPT_DEV: + /* Set device REMOTE_WAKEUP */ + if (CY_GET_REG8(USBFS_1_wValueLo) == USBFS_1_DEVICE_REMOTE_WAKEUP) + { + USBFS_1_deviceStatus |= USBFS_1_DEVICE_STATUS_REMOTE_WAKEUP; + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + case USBFS_1_RQST_RCPT_IFC: + /* Validate interfaceNumber */ + if (CY_GET_REG8(USBFS_1_wIndexLo) < USBFS_1_MAX_INTERFACES_NUMBER) + { + USBFS_1_interfaceStatus[CY_GET_REG8(USBFS_1_wIndexLo)] &= + (uint8)~(CY_GET_REG8(USBFS_1_wValueLo)); + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + return(requestHandled); +} + + +#if defined(USBFS_1_ENABLE_IDSN_STRING) + + /*************************************************************************** + * Function Name: USBFS_1_ReadDieID + **************************************************************************** + * + * Summary: + * This routine read Die ID and generate Serial Number string descriptor. + * + * Parameters: + * descr: pointer on string descriptor. + * + * Return: + * None. + * + * Reentrant: + * No. + * + ***************************************************************************/ + void USBFS_1_ReadDieID(uint8 descr[]) + { + uint8 i; + uint8 j = 0u; + uint8 value; + const char8 CYCODE hex[16u] = "0123456789ABCDEF"; + + + /* Check descriptor validation */ + if( descr != NULL) + { + descr[0u] = USBFS_1_IDSN_DESCR_LENGTH; + descr[1u] = USBFS_1_DESCR_STRING; + + /* fill descriptor */ + for(i = 2u; i < USBFS_1_IDSN_DESCR_LENGTH; i += 4u) + { + value = CY_GET_XTND_REG8((void CYFAR *)(USBFS_1_DIE_ID + j)); + j++; + descr[i] = (uint8)hex[value >> 4u]; + descr[i + 2u] = (uint8)hex[value & 0x0Fu]; + } + } + } + +#endif /* End USBFS_1_ENABLE_IDSN_STRING */ + + +/******************************************************************************* +* Function Name: USBFS_1_ConfigReg +******************************************************************************** +* +* Summary: +* This routine configures hardware registers from the variables. +* It is called from USBFS_1_Config() function and from RestoreConfig +* after Wakeup. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_1_ConfigReg(void) +{ + uint8 ep; + uint8 i; + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + uint8 ep_type = 0u; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + + /* Set the endpoint buffer addresses */ + ep = USBFS_1_EP1; + for (i = 0u; i < 0x80u; i+= 0x10u) + { + CY_SET_REG8((reg8 *)(USBFS_1_ARB_EP1_CFG_IND + i), USBFS_1_ARB_EPX_CFG_CRC_BYPASS | + USBFS_1_ARB_EPX_CFG_RESET); + + #if(USBFS_1_EP_MM != USBFS_1__EP_MANUAL) + /* Enable all Arbiter EP Interrupts : err, buf under, buf over, dma gnt(mode2 only), in buf full */ + CY_SET_REG8((reg8 *)(USBFS_1_ARB_EP1_INT_EN_IND + i), USBFS_1_ARB_EPX_INT_MASK); + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_MANUAL */ + + if(USBFS_1_EP[ep].epMode != USBFS_1_MODE_DISABLE) + { + if((USBFS_1_EP[ep].addr & USBFS_1_DIR_IN) != 0u ) + { + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + i), USBFS_1_MODE_NAK_IN); + } + else + { + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + i), USBFS_1_MODE_NAK_OUT); + /* Prepare EP type mask for automatic memory allocation */ + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + ep_type |= (uint8)(0x01u << (ep - USBFS_1_EP1)); + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + } + } + else + { + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + i), USBFS_1_MODE_STALL_DATA_EP); + } + + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT0_IND + i), USBFS_1_EP[ep].bufferSize >> 8u); + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT1_IND + i), USBFS_1_EP[ep].bufferSize & 0xFFu); + + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_RA_IND + i), USBFS_1_EP[ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_RA_MSB_IND + i), USBFS_1_EP[ep].buffOffset >> 8u); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_WA_IND + i), USBFS_1_EP[ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_WA_MSB_IND + i), USBFS_1_EP[ep].buffOffset >> 8u); + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + + ep++; + } + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /* BUF_SIZE depend on DMA_THRESS value: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11-2 bytes */ + USBFS_1_BUF_SIZE_REG = USBFS_1_DMA_BUF_SIZE; + USBFS_1_DMA_THRES_REG = USBFS_1_DMA_BYTES_PER_BURST; /* DMA burst threshold */ + USBFS_1_DMA_THRES_MSB_REG = 0u; + USBFS_1_EP_ACTIVE_REG = USBFS_1_ARB_INT_MASK; + USBFS_1_EP_TYPE_REG = ep_type; + /* Cfg_cmp bit set to 1 once configuration is complete. */ + USBFS_1_ARB_CFG_REG = USBFS_1_ARB_CFG_AUTO_DMA | USBFS_1_ARB_CFG_AUTO_MEM | + USBFS_1_ARB_CFG_CFG_CPM; + /* Cfg_cmp bit set to 0 during configuration of PFSUSB Registers. */ + USBFS_1_ARB_CFG_REG = USBFS_1_ARB_CFG_AUTO_DMA | USBFS_1_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + + CY_SET_REG8(USBFS_1_SIE_EP_INT_EN_PTR, 0xFFu); +} + + +/******************************************************************************* +* Function Name: USBFS_1_Config +******************************************************************************** +* +* Summary: +* This routine configures endpoints for the entire configuration by scanning +* the configuration descriptor. +* +* Parameters: +* clearAltSetting: It configures the bAlternateSetting 0 for each interface. +* +* Return: +* None. +* +* USBFS_1_interfaceClass - Initialized class array for each interface. +* It is used for handling Class specific requests depend on interface class. +* Different classes in multiple Alternate settings does not supported. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_Config(uint8 clearAltSetting) +{ + uint8 ep; + uint8 cur_ep; + uint8 i; + uint8 ep_type; + const uint8 *pDescr; + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + uint16 buffCount = 0u; + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + + const T_USBFS_1_LUT CYCODE *pTmp; + const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE *pEP; + + /* Clear all of the endpoints */ + for (ep = 0u; ep < USBFS_1_MAX_EP; ep++) + { + USBFS_1_EP[ep].attrib = 0u; + USBFS_1_EP[ep].hwEpState = 0u; + USBFS_1_EP[ep].apiEpState = USBFS_1_NO_EVENT_PENDING; + USBFS_1_EP[ep].epToggle = 0u; + USBFS_1_EP[ep].epMode = USBFS_1_MODE_DISABLE; + USBFS_1_EP[ep].bufferSize = 0u; + USBFS_1_EP[ep].interface = 0u; + + } + + /* Clear Alternate settings for all interfaces */ + if(clearAltSetting != 0u) + { + for (i = 0u; i < USBFS_1_MAX_INTERFACES_NUMBER; i++) + { + USBFS_1_interfaceSetting[i] = 0x00u; + USBFS_1_interfaceSetting_last[i] = 0x00u; + } + } + + /* Init Endpoints and Device Status if configured */ + if(USBFS_1_configuration > 0u) + { + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + /* Set Power status for current configuration */ + pDescr = (const uint8 *)pTmp->p_list; + if((pDescr[USBFS_1_CONFIG_DESCR_ATTRIB] & USBFS_1_CONFIG_DESCR_ATTRIB_SELF_POWERED) != 0u) + { + USBFS_1_deviceStatus |= USBFS_1_DEVICE_STATUS_SELF_POWERED; + } + else + { + USBFS_1_deviceStatus &= (uint8)~USBFS_1_DEVICE_STATUS_SELF_POWERED; + } + /* Move to next element */ + pTmp = &pTmp[1u]; + ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + + #if ((USBFS_1_EP_MA == USBFS_1__MA_DYNAMIC) && \ + (USBFS_1_EP_MM == USBFS_1__EP_MANUAL) ) + /* Configure for dynamic EP memory allocation */ + /* p_list points the endpoint setting table. */ + pEP = (T_USBFS_1_EP_SETTINGS_BLOCK *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /* Compare current Alternate setting with EP Alt*/ + if(USBFS_1_interfaceSetting[pEP->interface] == pEP->altSetting) + { + cur_ep = pEP->addr & USBFS_1_DIR_UNUSED; + ep_type = pEP->attributes & USBFS_1_EP_TYPE_MASK; + if (pEP->addr & USBFS_1_DIR_IN) + { + /* IN Endpoint */ + USBFS_1_EP[cur_ep].apiEpState = USBFS_1_EVENT_PENDING; + USBFS_1_EP[cur_ep].epMode = (ep_type == USBFS_1_EP_TYPE_ISOC) ? + USBFS_1_MODE_ISO_IN : USBFS_1_MODE_ACK_IN; + #if defined(USBFS_1_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_1_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_1_CLASS_CDC)) && + (ep_type != USBFS_1_EP_TYPE_INT)) + { + USBFS_1_cdc_data_in_ep = cur_ep; + } + #endif /* End USBFS_1_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_1_ENABLE_MIDI_STREAMING) && \ + (USBFS_1_MIDI_IN_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_1_CLASS_AUDIO) && + (ep_type == USBFS_1_EP_TYPE_BULK)) + { + USBFS_1_midi_in_ep = cur_ep; + } + #endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + } + else + { + /* OUT Endpoint */ + USBFS_1_EP[cur_ep].apiEpState = USBFS_1_NO_EVENT_PENDING; + USBFS_1_EP[cur_ep].epMode = (ep_type == USBFS_1_EP_TYPE_ISOC) ? + USBFS_1_MODE_ISO_OUT : USBFS_1_MODE_ACK_OUT; + #if defined(USBFS_1_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_1_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_1_CLASS_CDC)) && + (ep_type != USBFS_1_EP_TYPE_INT)) + { + USBFS_1_cdc_data_out_ep = cur_ep; + } + #endif /* End USBFS_1_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_1_ENABLE_MIDI_STREAMING) && \ + (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_1_CLASS_AUDIO) && + (ep_type == USBFS_1_EP_TYPE_BULK)) + { + USBFS_1_midi_out_ep = cur_ep; + } + #endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + } + USBFS_1_EP[cur_ep].bufferSize = pEP->bufferSize; + USBFS_1_EP[cur_ep].addr = pEP->addr; + USBFS_1_EP[cur_ep].attrib = pEP->attributes; + } + pEP = &pEP[1u]; + } + #else /* Config for static EP memory allocation */ + for (i = USBFS_1_EP1; i < USBFS_1_MAX_EP; i++) + { + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + /* Find max length for each EP and select it (length could be different in different Alt settings) */ + /* but other settings should be correct with regards to Interface alt Setting */ + for (cur_ep = 0u; cur_ep < ep; cur_ep++) + { + /* EP count is equal to EP # in table and we found larger EP length than have before*/ + if(i == (pEP->addr & USBFS_1_DIR_UNUSED)) + { + if(USBFS_1_EP[i].bufferSize < pEP->bufferSize) + { + USBFS_1_EP[i].bufferSize = pEP->bufferSize; + } + /* Compare current Alternate setting with EP Alt*/ + if(USBFS_1_interfaceSetting[pEP->interface] == pEP->altSetting) + { + ep_type = pEP->attributes & USBFS_1_EP_TYPE_MASK; + if ((pEP->addr & USBFS_1_DIR_IN) != 0u) + { + /* IN Endpoint */ + USBFS_1_EP[i].apiEpState = USBFS_1_EVENT_PENDING; + USBFS_1_EP[i].epMode = (ep_type == USBFS_1_EP_TYPE_ISOC) ? + USBFS_1_MODE_ISO_IN : USBFS_1_MODE_ACK_IN; + /* Find and init CDC IN endpoint number */ + #if defined(USBFS_1_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_1_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_1_CLASS_CDC)) && + (ep_type != USBFS_1_EP_TYPE_INT)) + { + USBFS_1_cdc_data_in_ep = i; + } + #endif /* End USBFS_1_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_1_ENABLE_MIDI_STREAMING) && \ + (USBFS_1_MIDI_IN_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_1_CLASS_AUDIO) && + (ep_type == USBFS_1_EP_TYPE_BULK)) + { + USBFS_1_midi_in_ep = i; + } + #endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + } + else + { + /* OUT Endpoint */ + USBFS_1_EP[i].apiEpState = USBFS_1_NO_EVENT_PENDING; + USBFS_1_EP[i].epMode = (ep_type == USBFS_1_EP_TYPE_ISOC) ? + USBFS_1_MODE_ISO_OUT : USBFS_1_MODE_ACK_OUT; + /* Find and init CDC IN endpoint number */ + #if defined(USBFS_1_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_1_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_1_CLASS_CDC)) && + (ep_type != USBFS_1_EP_TYPE_INT)) + { + USBFS_1_cdc_data_out_ep = i; + } + #endif /* End USBFS_1_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_1_ENABLE_MIDI_STREAMING) && \ + (USBFS_1_MIDI_OUT_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_1_CLASS_AUDIO) && + (ep_type == USBFS_1_EP_TYPE_BULK)) + { + USBFS_1_midi_out_ep = i; + } + #endif /* End USBFS_1_ENABLE_MIDI_STREAMING*/ + } + USBFS_1_EP[i].addr = pEP->addr; + USBFS_1_EP[i].attrib = pEP->attributes; + + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + break; /* use first EP setting in Auto memory managment */ + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + } + } + pEP = &pEP[1u]; + } + } + #endif /* End (USBFS_1_EP_MA == USBFS_1__MA_DYNAMIC) */ + + /* Init class array for each interface and interface number for each EP. + * It is used for handling Class specific requests directed to either an + * interface or the endpoint. + */ + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /* Configure interface number for each EP*/ + USBFS_1_EP[pEP->addr & USBFS_1_DIR_UNUSED].interface = pEP->interface; + pEP = &pEP[1u]; + } + /* Init pointer on interface class table*/ + USBFS_1_interfaceClass = USBFS_1_GetInterfaceClassTablePtr(); + /* Set the endpoint buffer addresses */ + + #if(USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO) + for (ep = USBFS_1_EP1; ep < USBFS_1_MAX_EP; ep++) + { + USBFS_1_EP[ep].buffOffset = buffCount; + buffCount += USBFS_1_EP[ep].bufferSize; + } + #endif /* End USBFS_1_EP_MM != USBFS_1__EP_DMAAUTO */ + + /* Configure hardware registers */ + USBFS_1_ConfigReg(); + } /* USBFS_1_configuration > 0 */ +} + + +/******************************************************************************* +* Function Name: USBFS_1_ConfigAltChanged +******************************************************************************** +* +* Summary: +* This routine update configuration for the required endpoints only. +* It is called after SET_INTERFACE request when Static memory allocation used. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_ConfigAltChanged(void) +{ + uint8 ep; + uint8 cur_ep; + uint8 i; + uint8 ep_type; + uint8 ri; + + const T_USBFS_1_LUT CYCODE *pTmp; + const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE *pEP; + + + /* Init Endpoints and Device Status if configured */ + if(USBFS_1_configuration > 0u) + { + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + pTmp = &pTmp[1u]; + ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + + /* Do not touch EP which doesn't need reconfiguration */ + /* When Alt setting changed, the only required endpoints need to be reconfigured */ + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_1_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /*If Alt setting changed and new is same with EP Alt */ + if((USBFS_1_interfaceSetting[pEP->interface] != + USBFS_1_interfaceSetting_last[pEP->interface] ) && + (USBFS_1_interfaceSetting[pEP->interface] == pEP->altSetting) && + (pEP->interface == CY_GET_REG8(USBFS_1_wIndexLo))) + { + cur_ep = pEP->addr & USBFS_1_DIR_UNUSED; + ri = ((cur_ep - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + ep_type = pEP->attributes & USBFS_1_EP_TYPE_MASK; + if ((pEP->addr & USBFS_1_DIR_IN) != 0u) + { + /* IN Endpoint */ + USBFS_1_EP[cur_ep].apiEpState = USBFS_1_EVENT_PENDING; + USBFS_1_EP[cur_ep].epMode = (ep_type == USBFS_1_EP_TYPE_ISOC) ? + USBFS_1_MODE_ISO_IN : USBFS_1_MODE_ACK_IN; + } + else + { + /* OUT Endpoint */ + USBFS_1_EP[cur_ep].apiEpState = USBFS_1_NO_EVENT_PENDING; + USBFS_1_EP[cur_ep].epMode = (ep_type == USBFS_1_EP_TYPE_ISOC) ? + USBFS_1_MODE_ISO_OUT : USBFS_1_MODE_ACK_OUT; + } + /* Change the SIE mode for the selected EP to NAK ALL */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_NAK_IN_OUT); + USBFS_1_EP[cur_ep].bufferSize = pEP->bufferSize; + USBFS_1_EP[cur_ep].addr = pEP->addr; + USBFS_1_EP[cur_ep].attrib = pEP->attributes; + + /* Clear the data toggle */ + USBFS_1_EP[cur_ep].epToggle = 0u; + + /* Dynamic reconfiguration for mode 3 transfer */ + #if(USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO) + /* In_data_rdy for selected EP should be set to 0 */ + * (reg8 *)(USBFS_1_ARB_EP1_CFG_IND + ri) &= (uint8)~USBFS_1_ARB_EPX_CFG_IN_DATA_RDY; + + /* write the EP number for which reconfiguration is required */ + USBFS_1_DYN_RECONFIG_REG = (cur_ep - USBFS_1_EP1) << + USBFS_1_DYN_RECONFIG_EP_SHIFT; + /* Set the dyn_config_en bit in dynamic reconfiguration register */ + USBFS_1_DYN_RECONFIG_REG |= USBFS_1_DYN_RECONFIG_ENABLE; + /* wait for the dyn_config_rdy bit to set by the block, + * this bit will be set to 1 when block is ready for reconfiguration. + */ + while((USBFS_1_DYN_RECONFIG_REG & USBFS_1_DYN_RECONFIG_RDY_STS) == 0u) + { + ; + } + /* Once dyn_config_rdy bit is set, FW can change the EP configuration. */ + /* Change EP Type with new direction */ + if((pEP->addr & USBFS_1_DIR_IN) == 0u) + { + USBFS_1_EP_TYPE_REG |= (uint8)(0x01u << (cur_ep - USBFS_1_EP1)); + } + else + { + USBFS_1_EP_TYPE_REG &= (uint8)~(uint8)(0x01u << (cur_ep - USBFS_1_EP1)); + } + /* dynamic reconfiguration enable bit cleared, pointers and control/status + * signals for the selected EP is cleared/re-initialized on negative edge + * of dynamic reconfiguration enable bit). + */ + USBFS_1_DYN_RECONFIG_REG &= (uint8)~USBFS_1_DYN_RECONFIG_ENABLE; + /* The main loop has to re-enable DMA and OUT endpoint*/ + #else + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT0_IND + ri), + USBFS_1_EP[cur_ep].bufferSize >> 8u); + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT1_IND + ri), + USBFS_1_EP[cur_ep].bufferSize & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_RA_IND + ri), + USBFS_1_EP[cur_ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_RA_MSB_IND + ri), + USBFS_1_EP[cur_ep].buffOffset >> 8u); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_WA_IND + ri), + USBFS_1_EP[cur_ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_1_ARB_RW1_WA_MSB_IND + ri), + USBFS_1_EP[cur_ep].buffOffset >> 8u); + #endif /* End USBFS_1_EP_MM == USBFS_1__EP_DMAAUTO */ + } + /* Get next EP element */ + pEP = &pEP[1u]; + } + } /* USBFS_1_configuration > 0 */ +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetConfigTablePtr +******************************************************************************** +* +* Summary: +* This routine returns a pointer a configuration table entry +* +* Parameters: +* c: Configuration Index +* +* Return: +* Device Descriptor pointer. +* +*******************************************************************************/ +const T_USBFS_1_LUT CYCODE *USBFS_1_GetConfigTablePtr(uint8 c) + +{ + /* Device Table */ + const T_USBFS_1_LUT CYCODE *pTmp; + + pTmp = (const T_USBFS_1_LUT CYCODE *) USBFS_1_TABLE[USBFS_1_device].p_list; + + /* The first entry points to the Device Descriptor, + * the rest configuration entries. + */ + return( (const T_USBFS_1_LUT CYCODE *) pTmp[c + 1u].p_list ); +} + + +/******************************************************************************* +* Function Name: USBFS_1_GetDeviceTablePtr +******************************************************************************** +* +* Summary: +* This routine returns a pointer to the Device table +* +* Parameters: +* None. +* +* Return: +* Device Table pointer +* +*******************************************************************************/ +const T_USBFS_1_LUT CYCODE *USBFS_1_GetDeviceTablePtr(void) + +{ + /* Device Table */ + return( (const T_USBFS_1_LUT CYCODE *) USBFS_1_TABLE[USBFS_1_device].p_list ); +} + + +/******************************************************************************* +* Function Name: USB_GetInterfaceClassTablePtr +******************************************************************************** +* +* Summary: +* This routine returns Interface Class table pointer, which contains +* the relation between interface number and interface class. +* +* Parameters: +* None. +* +* Return: +* Interface Class table pointer. +* +*******************************************************************************/ +const uint8 CYCODE *USBFS_1_GetInterfaceClassTablePtr(void) + +{ + const T_USBFS_1_LUT CYCODE *pTmp; + uint8 currentInterfacesNum; + + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_1_CONFIG_DESCR_NUM_INTERFACES]; + /* Third entry in the LUT starts the Interface Table pointers */ + /* The INTERFACE_CLASS table is located after all interfaces */ + pTmp = &pTmp[currentInterfacesNum + 2u]; + return( (const uint8 CYCODE *) pTmp->p_list ); +} + + +/******************************************************************************* +* Function Name: USBFS_1_TerminateEP +******************************************************************************** +* +* Summary: +* This function terminates the specified USBFS endpoint. +* This function should be used before endpoint reconfiguration. +* +* Parameters: +* Endpoint number. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_1_TerminateEP(uint8 ep) +{ + uint8 ri; + + ep &= USBFS_1_DIR_UNUSED; + ri = ((ep - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_1_EP0) && (ep < USBFS_1_MAX_EP)) + { + /* Set the endpoint Halt */ + USBFS_1_EP[ep].hwEpState |= (USBFS_1_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_1_EP[ep].epToggle = 0u; + USBFS_1_EP[ep].apiEpState = USBFS_1_NO_EVENT_ALLOWED; + + if ((USBFS_1_EP[ep].addr & USBFS_1_DIR_IN) != 0u) + { + /* IN Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_NAK_IN); + } + else + { + /* OUT Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_NAK_OUT); + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_1_SetEndpointHalt +******************************************************************************** +* +* Summary: +* This routine handles set endpoint halt. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_SetEndpointHalt(void) +{ + uint8 ep; + uint8 ri; + uint8 requestHandled = USBFS_1_FALSE; + + /* Set endpoint halt */ + ep = CY_GET_REG8(USBFS_1_wIndexLo) & USBFS_1_DIR_UNUSED; + ri = ((ep - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_1_EP0) && (ep < USBFS_1_MAX_EP)) + { + /* Set the endpoint Halt */ + USBFS_1_EP[ep].hwEpState |= (USBFS_1_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_1_EP[ep].epToggle = 0u; + USBFS_1_EP[ep].apiEpState |= USBFS_1_NO_EVENT_ALLOWED; + + if ((USBFS_1_EP[ep].addr & USBFS_1_DIR_IN) != 0u) + { + /* IN Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_STALL_DATA_EP | + USBFS_1_MODE_ACK_IN); + } + else + { + /* OUT Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_STALL_DATA_EP | + USBFS_1_MODE_ACK_OUT); + } + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USBFS_1_ClearEndpointHalt +******************************************************************************** +* +* Summary: +* This routine handles clear endpoint halt. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_ClearEndpointHalt(void) +{ + uint8 ep; + uint8 ri; + uint8 requestHandled = USBFS_1_FALSE; + + /* Clear endpoint halt */ + ep = CY_GET_REG8(USBFS_1_wIndexLo) & USBFS_1_DIR_UNUSED; + ri = ((ep - USBFS_1_EP1) << USBFS_1_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_1_EP0) && (ep < USBFS_1_MAX_EP)) + { + /* Clear the endpoint Halt */ + USBFS_1_EP[ep].hwEpState &= (uint8)~(USBFS_1_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_1_EP[ep].epToggle = 0u; + /* Clear toggle bit for already armed packet */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CNT0_IND + ri), CY_GET_REG8( + (reg8 *)(USBFS_1_SIE_EP1_CNT0_IND + ri)) & (uint8)~USBFS_1_EPX_CNT_DATA_TOGGLE); + /* Return API State as it was defined before */ + USBFS_1_EP[ep].apiEpState &= (uint8)~USBFS_1_NO_EVENT_ALLOWED; + + if ((USBFS_1_EP[ep].addr & USBFS_1_DIR_IN) != 0u) + { + /* IN Endpoint */ + if(USBFS_1_EP[ep].apiEpState == USBFS_1_IN_BUFFER_EMPTY) + { /* Wait for next packet from application */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_NAK_IN); + } + else /* Continue armed transfer */ + { + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_ACK_IN); + } + } + else + { + /* OUT Endpoint */ + if(USBFS_1_EP[ep].apiEpState == USBFS_1_OUT_BUFFER_FULL) + { /* Allow application to read full buffer */ + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_NAK_OUT); + } + else /* Mark endpoint as empty, so it will be reloaded */ + { + CY_SET_REG8((reg8 *)(USBFS_1_SIE_EP1_CR0_IND + ri), USBFS_1_MODE_ACK_OUT); + } + } + requestHandled = USBFS_1_InitNoDataControlTransfer(); + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USBFS_1_ValidateAlternateSetting +******************************************************************************** +* +* Summary: +* Validates (and records) a SET INTERFACE request. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_ValidateAlternateSetting(void) +{ + uint8 requestHandled = USBFS_1_TRUE; + uint8 interfaceNum; + const T_USBFS_1_LUT CYCODE *pTmp; + uint8 currentInterfacesNum; + + interfaceNum = CY_GET_REG8(USBFS_1_wIndexLo); + /* Validate interface setting, stall if invalid. */ + pTmp = USBFS_1_GetConfigTablePtr(USBFS_1_configuration - 1u); + currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_1_CONFIG_DESCR_NUM_INTERFACES]; + + if((interfaceNum >= currentInterfacesNum) || (interfaceNum >= USBFS_1_MAX_INTERFACES_NUMBER)) + { /* Wrong interface number */ + requestHandled = USBFS_1_FALSE; + } + else + { + /* Save current Alt setting to find out the difference in Config() function */ + USBFS_1_interfaceSetting_last[interfaceNum] = USBFS_1_interfaceSetting[interfaceNum]; + USBFS_1_interfaceSetting[interfaceNum] = CY_GET_REG8(USBFS_1_wValueLo); + } + + return (requestHandled); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_vnd.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_vnd.c new file mode 100644 index 0000000..d54879b --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/USBFS_1_vnd.c @@ -0,0 +1,96 @@ +/******************************************************************************* +* File Name: USBFS_1_vnd.c +* Version 2.60 +* +* Description: +* USB vendor request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS_1.h" +#include "USBFS_1_pvt.h" + +#if(USBFS_1_EXTERN_VND == USBFS_1_FALSE) + + +/*************************************** +* Vendor Specific Declarations +***************************************/ + +/* `#START VENDOR_SPECIFIC_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_1_HandleVendorRqst +******************************************************************************** +* +* Summary: +* This routine provide users with a method to implement vendor specifc +* requests. +* +* To implement vendor specific requests, add your code in this function to +* decode and disposition the request. If the request is handled, your code +* must set the variable "requestHandled" to TRUE, indicating that the +* request has been handled. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_1_HandleVendorRqst(void) +{ + uint8 requestHandled = USBFS_1_FALSE; + + if ((CY_GET_REG8(USBFS_1_bmRequestType) & USBFS_1_RQST_DIR_MASK) == USBFS_1_RQST_DIR_D2H) + { + /* Control Read */ + switch (CY_GET_REG8(USBFS_1_bRequest)) + { + case USBFS_1_GET_EXTENDED_CONFIG_DESCRIPTOR: + #if defined(USBFS_1_ENABLE_MSOS_STRING) + USBFS_1_currentTD.pData = (volatile uint8 *)&USBFS_1_MSOS_CONFIGURATION_DESCR[0u]; + USBFS_1_currentTD.count = USBFS_1_MSOS_CONFIGURATION_DESCR[0u]; + requestHandled = USBFS_1_InitControlRead(); + #endif /* End USBFS_1_ENABLE_MSOS_STRING */ + break; + default: + break; + } + } + + /* `#START VENDOR_SPECIFIC_CODE` Place your vendor specific request here */ + + /* `#END` */ + + return(requestHandled); +} + + +/******************************************************************************* +* Additional user functions supporting Vendor Specific Requests +********************************************************************************/ + +/* `#START VENDOR_SPECIFIC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + + +#endif /* USBFS_1_EXTERN_VND */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld index 33a28b8..8dfec03 100644 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld @@ -23,16 +23,16 @@ GROUP(-lgcc -lc -lnosys) MEMORY { - rom (rx) : ORIGIN = 0x0, LENGTH = 262144 - ram (rwx) : ORIGIN = 0x20000000 - (65536 / 2), LENGTH = 65536 + rom (rx) : ORIGIN = 0x0, LENGTH = 131072 + ram (rwx) : ORIGIN = 0x20000000 - (32768 / 2), LENGTH = 32768 } CY_APPL_ORIGIN = 0; CY_FLASH_ROW_SIZE = 256; CY_ECC_ROW_SIZE = 32; -CY_EE_IN_BTLDR = 0x0; -CY_APPL_LOADABLE = 0; +CY_EE_IN_BTLDR = 0x00; +CY_APPL_LOADABLE = 1; CY_EE_SIZE = 2048; CY_APPL_NUM = 1; CY_APPL_MAX = 1; diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex index d1bb893..b3a1c79 100644 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex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diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.c new file mode 100755 index 0000000..2292dca --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.c @@ -0,0 +1,1206 @@ +/* GENERATED CODE -- CHANGES WILL BE OVERWRITTEN */ + +#include "cytypes.h" + + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyloadermeta"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyloadermeta" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_loader[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, 0x00u, 0x01u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cybootloader"), used)) +#elif defined(__ICCARM__) +#pragma location=".cybootloader" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_bootloader[] = { + 0x00u, 0x40u, 0x00u, 0x20u, 0x11u, 0x00u, 0x00u, 0x00u, + 0x61u, 0x01u, 0x00u, 0x00u, 0x61u, 0x01u, 0x00u, 0x00u, + 0x08u, 0xB5u, 0x04u, 0x4Bu, 0x04u, 0x48u, 0x1Au, 0x68u, + 0x02u, 0x60u, 0x00u, 0xF0u, 0x71u, 0xFCu, 0x00u, 0xF0u, + 0xA1u, 0xF8u, 0x00u, 0xBFu, 0xFAu, 0x46u, 0x00u, 0x40u, + 0xBCu, 0x76u, 0x00u, 0x40u, 0x10u, 0xB5u, 0x05u, 0x4Cu, + 0x23u, 0x78u, 0x33u, 0xB9u, 0x04u, 0x48u, 0x10u, 0xB1u, + 0x04u, 0x48u, 0xAFu, 0xF3u, 0x00u, 0x80u, 0x01u, 0x21u, + 0x21u, 0x70u, 0x10u, 0xBDu, 0x28u, 0xC1u, 0xFFu, 0x1Fu, + 0x00u, 0x00u, 0x00u, 0x00u, 0xF4u, 0x1Fu, 0x00u, 0x00u, + 0x08u, 0xB5u, 0x06u, 0x4Bu, 0x1Bu, 0xB1u, 0x06u, 0x48u, + 0x06u, 0x49u, 0xAFu, 0xF3u, 0x00u, 0x80u, 0x06u, 0x48u, + 0x01u, 0x68u, 0x11u, 0xB1u, 0x05u, 0x4Au, 0x02u, 0xB1u, + 0x90u, 0x47u, 0x08u, 0xBDu, 0x00u, 0x00u, 0x00u, 0x00u, + 0xF4u, 0x1Fu, 0x00u, 0x00u, 0x2Cu, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0xC1u, 0xFFu, 0x1Fu, 0x00u, 0x00u, 0x00u, 0x00u, + 0x08u, 0xB5u, 0x36u, 0x4Bu, 0x1Au, 0x78u, 0x02u, 0xF0u, + 0xFEu, 0x00u, 0x18u, 0x70u, 0x93u, 0xF8u, 0x22u, 0x10u, + 0x01u, 0xF0u, 0xFEu, 0x02u, 0x83u, 0xF8u, 0x22u, 0x20u, + 0x07u, 0x33u, 0x18u, 0x78u, 0x00u, 0xF0u, 0xFEu, 0x01u, + 0x19u, 0x70u, 0x13u, 0xF8u, 0x01u, 0x2Cu, 0x02u, 0xF0u, + 0xFEu, 0x00u, 0x03u, 0xF8u, 0x01u, 0x0Cu, 0x13u, 0xF8u, + 0x02u, 0x1Cu, 0x01u, 0xF0u, 0xFEu, 0x02u, 0x03u, 0xF8u, + 0x02u, 0x2Cu, 0x13u, 0xF8u, 0x04u, 0x0Cu, 0x00u, 0xF0u, + 0xFEu, 0x01u, 0x03u, 0xF8u, 0x04u, 0x1Cu, 0x13u, 0xF8u, + 0x06u, 0x2Cu, 0x02u, 0xF0u, 0xFEu, 0x00u, 0x03u, 0xF8u, + 0x06u, 0x0Cu, 0x13u, 0xF8u, 0x03u, 0x1Cu, 0x01u, 0xF0u, + 0xFEu, 0x02u, 0x03u, 0xF8u, 0x03u, 0x2Cu, 0x13u, 0xF8u, + 0x05u, 0x0Cu, 0x00u, 0xF0u, 0xFEu, 0x01u, 0x03u, 0xF8u, + 0x05u, 0x1Cu, 0x93u, 0xF8u, 0x2Cu, 0x20u, 0x02u, 0xF0u, + 0xFEu, 0x00u, 0x83u, 0xF8u, 0x2Cu, 0x00u, 0x2Bu, 0x33u, + 0x19u, 0x78u, 0x01u, 0xF0u, 0xFEu, 0x02u, 0x1Au, 0x70u, + 0x13u, 0xF8u, 0x01u, 0x0Cu, 0x00u, 0xF0u, 0xFEu, 0x01u, + 0x03u, 0xF8u, 0x01u, 0x1Cu, 0x13u, 0xF8u, 0x02u, 0x2Cu, + 0x02u, 0xF0u, 0xFEu, 0x00u, 0x03u, 0xF8u, 0x02u, 0x0Cu, + 0x13u, 0xF8u, 0x0Bu, 0x1Cu, 0x01u, 0xF0u, 0xFEu, 0x02u, + 0x03u, 0xF8u, 0x0Bu, 0x2Cu, 0x13u, 0xF8u, 0x0Cu, 0x0Cu, + 0x00u, 0xF0u, 0xFEu, 0x01u, 0x03u, 0xF8u, 0x0Cu, 0x1Cu, + 0x13u, 0xF8u, 0x0Du, 0x2Cu, 0x02u, 0xF0u, 0xFEu, 0x00u, + 0x03u, 0xF8u, 0x0Du, 0x0Cu, 0x13u, 0xF8u, 0x0Eu, 0x1Cu, + 0x01u, 0xF0u, 0xFEu, 0x02u, 0x03u, 0xF8u, 0x0Eu, 0x2Cu, + 0x13u, 0xF8u, 0x0Fu, 0x0Cu, 0x00u, 0xF0u, 0xFEu, 0x01u, + 0x03u, 0xF8u, 0x0Fu, 0x1Cu, 0x00u, 0xF0u, 0x94u, 0xFBu, + 0xFEu, 0xE7u, 0x00u, 0xBFu, 0x00u, 0x50u, 0x00u, 0x40u, + 0xFEu, 0xE7u, 0x00u, 0x00u, 0x08u, 0xB5u, 0x12u, 0x49u, + 0x12u, 0x4Bu, 0x4Au, 0x1Cu, 0x1Au, 0xD0u, 0x53u, 0xF8u, + 0x10u, 0x6Cu, 0x53u, 0xF8u, 0x0Cu, 0x0Cu, 0x53u, 0xF8u, + 0x08u, 0x5Cu, 0x00u, 0x22u, 0xAAu, 0x42u, 0x00u, 0xEBu, + 0x02u, 0x04u, 0x03u, 0xD0u, 0xB4u, 0x58u, 0x84u, 0x50u, + 0x04u, 0x32u, 0xF7u, 0xE7u, 0x53u, 0xF8u, 0x04u, 0x0Cu, + 0x00u, 0x22u, 0x82u, 0x42u, 0x03u, 0xD0u, 0x00u, 0x25u, + 0xA5u, 0x50u, 0x04u, 0x32u, 0xF9u, 0xE7u, 0x01u, 0x39u, + 0x10u, 0x33u, 0xE2u, 0xE7u, 0x01u, 0xF0u, 0xEAu, 0xFEu, + 0xFFu, 0xF7u, 0x6Au, 0xFFu, 0xFEu, 0xE7u, 0x00u, 0xBFu, + 0x00u, 0x00u, 0x00u, 0x00u, 0x38u, 0x22u, 0x00u, 0x00u, + 0x08u, 0xB5u, 0x10u, 0x4Au, 0x10u, 0x4Bu, 0x1Au, 0x60u, + 0x98u, 0x68u, 0x40u, 0xF4u, 0x00u, 0x72u, 0x9Au, 0x60u, + 0x00u, 0x23u, 0x03u, 0x2Bu, 0x96u, 0xBFu, 0x0Du, 0x4Au, + 0x0Du, 0x49u, 0x52u, 0xF8u, 0x23u, 0x10u, 0x0Du, 0x4Au, + 0x42u, 0xF8u, 0x23u, 0x10u, 0x01u, 0x33u, 0x30u, 0x2Bu, + 0xF3u, 0xD1u, 0x0Bu, 0x49u, 0x0Bu, 0x4Bu, 0x08u, 0x78u, + 0x0Bu, 0x49u, 0x18u, 0x70u, 0x0Au, 0x60u, 0x00u, 0xF0u, + 0x17u, 0xF8u, 0x0Au, 0x48u, 0x00u, 0x22u, 0x02u, 0x60u, + 0x08u, 0xBDu, 0x00u, 0xBFu, 0x00u, 0x04u, 0xFAu, 0x05u, + 0x0Cu, 0xEDu, 0x00u, 0xE0u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x61u, 0x01u, 0x00u, 0x00u, 0x00u, 0xC0u, 0xFFu, 0x1Fu, + 0xBCu, 0x76u, 0x00u, 0x40u, 0x04u, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0xEDu, 0x00u, 0xE0u, 0x00u, 0xC1u, 0xFFu, 0x1Fu, + 0xF8u, 0xB5u, 0x72u, 0xB6u, 0x5Au, 0x4Bu, 0x01u, 0x22u, + 0xA3u, 0xF5u, 0xA0u, 0x61u, 0xA1u, 0xF5u, 0x80u, 0x75u, + 0x06u, 0x20u, 0x52u, 0x24u, 0x57u, 0x4Eu, 0x1Au, 0x70u, + 0x08u, 0x70u, 0x2Cu, 0x70u, 0x37u, 0x78u, 0x56u, 0x4Bu, + 0x56u, 0x4Au, 0x40u, 0xF6u, 0x18u, 0x00u, 0x41u, 0xF2u, + 0x51u, 0x21u, 0x17u, 0x70u, 0x19u, 0x25u, 0x18u, 0x80u, + 0x00u, 0x24u, 0x23u, 0xF8u, 0x02u, 0x1Cu, 0x52u, 0x4Eu, + 0x4Fu, 0xF4u, 0xF0u, 0x70u, 0x37u, 0x78u, 0x07u, 0xF0u, + 0x01u, 0x02u, 0x42u, 0xEAu, 0x44u, 0x04u, 0x00u, 0xF0u, + 0x63u, 0xFBu, 0x01u, 0x3Du, 0x04u, 0xF0u, 0x03u, 0x04u, + 0x17u, 0xD0u, 0x03u, 0x2Cu, 0xEFu, 0xD1u, 0x4Bu, 0x48u, + 0x4Bu, 0x4Fu, 0x00u, 0x26u, 0x4Fu, 0xF4u, 0x80u, 0x73u, + 0x4Au, 0x4Du, 0x07u, 0x21u, 0x48u, 0x22u, 0x02u, 0x24u, + 0x03u, 0x80u, 0x01u, 0x70u, 0x3Eu, 0x70u, 0xBAu, 0x70u, + 0x06u, 0x70u, 0x46u, 0x71u, 0x00u, 0xF8u, 0x03u, 0x4Cu, + 0x28u, 0x78u, 0x40u, 0xF0u, 0x04u, 0x03u, 0x2Bu, 0x70u, + 0x00u, 0xE0u, 0xFEu, 0xE7u, 0x42u, 0x4Fu, 0x06u, 0x21u, + 0x01u, 0xFBu, 0x06u, 0x72u, 0x00u, 0x21u, 0x10u, 0x68u, + 0x01u, 0x36u, 0x92u, 0x88u, 0x01u, 0xF0u, 0x91u, 0xFEu, + 0x08u, 0x2Eu, 0xF3u, 0xD1u, 0x00u, 0x23u, 0x19u, 0x46u, + 0x3Cu, 0x4Cu, 0x00u, 0x22u, 0x18u, 0x59u, 0x30u, 0x34u, + 0xC6u, 0xB2u, 0x20u, 0xF0u, 0xFFu, 0x07u, 0x04u, 0xEBu, + 0x41u, 0x04u, 0xD5u, 0xB2u, 0xAEu, 0x42u, 0x09u, 0xD0u, + 0x04u, 0xEBu, 0x42u, 0x0Cu, 0x14u, 0xF8u, 0x12u, 0x50u, + 0x9Cu, 0xF8u, 0x01u, 0xE0u, 0x01u, 0x32u, 0x05u, 0xF8u, + 0x07u, 0xE0u, 0xF2u, 0xE7u, 0x04u, 0x33u, 0xC0u, 0xB2u, + 0x30u, 0x2Bu, 0x01u, 0x44u, 0xE4u, 0xD1u, 0x30u, 0x4Cu, + 0x22u, 0x78u, 0x42u, 0xF0u, 0x02u, 0x00u, 0x20u, 0x70u, + 0x21u, 0x7Cu, 0x2Eu, 0x48u, 0x41u, 0xF0u, 0x02u, 0x03u, + 0x2Du, 0x49u, 0x23u, 0x74u, 0x0Cu, 0x78u, 0x44u, 0xF0u, + 0x40u, 0x02u, 0x0Au, 0x70u, 0x03u, 0x78u, 0x2Bu, 0x4Au, + 0x43u, 0xF0u, 0x10u, 0x04u, 0x2Au, 0x4Bu, 0x04u, 0x70u, + 0x18u, 0x68u, 0x5Cu, 0x68u, 0x10u, 0x60u, 0x54u, 0x60u, + 0x1Au, 0x46u, 0x28u, 0x48u, 0x52u, 0xF8u, 0x08u, 0x4Fu, + 0x04u, 0x60u, 0x54u, 0x68u, 0x12u, 0x89u, 0x44u, 0x60u, + 0x02u, 0x81u, 0x1Au, 0x46u, 0x52u, 0xF8u, 0x12u, 0x4Fu, + 0x52u, 0x68u, 0x40u, 0xF8u, 0xAEu, 0x4Cu, 0x40u, 0xF8u, + 0xAAu, 0x2Cu, 0x53u, 0xF8u, 0x1Au, 0x0Fu, 0x20u, 0x4Au, + 0x5Bu, 0x68u, 0x10u, 0x60u, 0x1Fu, 0x48u, 0x53u, 0x60u, + 0x02u, 0x78u, 0x42u, 0xF0u, 0x08u, 0x03u, 0x03u, 0x70u, + 0x1Du, 0x48u, 0x1Eu, 0x4Au, 0x03u, 0x78u, 0x03u, 0xF0u, + 0x07u, 0x00u, 0x1Bu, 0x09u, 0x10u, 0x70u, 0x53u, 0x70u, + 0x1Bu, 0x4Au, 0x44u, 0x20u, 0x10u, 0x70u, 0x1Bu, 0x4Au, + 0x0Bu, 0x46u, 0x0Cu, 0x31u, 0x53u, 0xF8u, 0x04u, 0x0Bu, + 0x8Bu, 0x42u, 0x42u, 0xF8u, 0x04u, 0x0Bu, 0xF9u, 0xD1u, + 0x19u, 0x88u, 0x11u, 0x80u, 0xF8u, 0xBDu, 0x00u, 0xBFu, + 0x00u, 0x48u, 0x00u, 0x40u, 0x0Fu, 0x01u, 0x00u, 0x49u, + 0x22u, 0x42u, 0x00u, 0x40u, 0xA1u, 0x46u, 0x00u, 0x40u, + 0x25u, 0x42u, 0x00u, 0x40u, 0x04u, 0x40u, 0x00u, 0x40u, + 0x06u, 0x40u, 0x00u, 0x40u, 0xE8u, 0x46u, 0x00u, 0x40u, + 0xF8u, 0x1Fu, 0x00u, 0x00u, 0x28u, 0x20u, 0x00u, 0x00u, + 0x03u, 0x50u, 0x01u, 0x40u, 0xC2u, 0x43u, 0x00u, 0x40u, + 0xA0u, 0x43u, 0x00u, 0x40u, 0x02u, 0x51u, 0x00u, 0x40u, + 0x84u, 0x20u, 0x00u, 0x00u, 0xF0u, 0x51u, 0x00u, 0x40u, + 0x62u, 0x51u, 0x00u, 0x40u, 0x22u, 0x43u, 0x00u, 0x40u, + 0xCFu, 0x01u, 0x00u, 0x49u, 0x6Eu, 0x58u, 0x00u, 0x40u, + 0x76u, 0x58u, 0x00u, 0x40u, 0xB0u, 0x43u, 0x00u, 0x40u, + 0x00u, 0x47u, 0x00u, 0x00u, 0x43u, 0x1Eu, 0x10u, 0xB5u, + 0x02u, 0x46u, 0x06u, 0x2Bu, 0x0Du, 0xD8u, 0xDFu, 0xE8u, + 0x03u, 0xF0u, 0x06u, 0x0Eu, 0x23u, 0x04u, 0x08u, 0x0Au, + 0x21u, 0x00u, 0x16u, 0x48u, 0x08u, 0xE0u, 0x16u, 0x4Bu, + 0x1Bu, 0xE0u, 0x16u, 0x48u, 0x04u, 0xE0u, 0x16u, 0x48u, + 0x02u, 0xE0u, 0x00u, 0x20u, 0x00u, 0xE0u, 0x15u, 0x48u, + 0x41u, 0x78u, 0x00u, 0x78u, 0x41u, 0xEAu, 0x00u, 0x20u, + 0x02u, 0x2Au, 0x04u, 0xD0u, 0x03u, 0x2Au, 0x07u, 0xD0u, + 0x01u, 0x2Au, 0x15u, 0xD1u, 0x04u, 0xE0u, 0x02u, 0x02u, + 0x42u, 0xEAu, 0x10u, 0x23u, 0x98u, 0xB2u, 0x10u, 0xBDu, + 0x00u, 0xBAu, 0x10u, 0xBDu, 0x0Cu, 0x4Bu, 0x00u, 0xE0u, + 0x0Cu, 0x4Bu, 0xD8u, 0x78u, 0x9Cu, 0x78u, 0x59u, 0x78u, + 0x1Bu, 0x78u, 0x40u, 0xEAu, 0x03u, 0x60u, 0x40u, 0xEAu, + 0x04u, 0x23u, 0x43u, 0xEAu, 0x01u, 0x40u, 0xE3u, 0xE7u, + 0x10u, 0xBDu, 0x00u, 0xBFu, 0xD2u, 0xFFu, 0x01u, 0x00u, + 0xC1u, 0xFFu, 0x01u, 0x00u, 0xD6u, 0xFFu, 0x01u, 0x00u, + 0xD4u, 0xFFu, 0x01u, 0x00u, 0xC5u, 0xFFu, 0x01u, 0x00u, + 0xD8u, 0xFFu, 0x01u, 0x00u, 0xC9u, 0xFFu, 0x01u, 0x00u, + 0x70u, 0xB5u, 0x02u, 0x20u, 0xFFu, 0xF7u, 0xB6u, 0xFFu, + 0x06u, 0x46u, 0x03u, 0x20u, 0xFFu, 0xF7u, 0xB2u, 0xFFu, + 0x71u, 0x1Cu, 0x00u, 0xEBu, 0x01u, 0x26u, 0x02u, 0x20u, + 0xFFu, 0xF7u, 0xACu, 0xFFu, 0x00u, 0x24u, 0x01u, 0x30u, + 0x01u, 0x02u, 0x25u, 0x46u, 0xB1u, 0x42u, 0x09u, 0xD2u, + 0x11u, 0xF8u, 0x01u, 0x0Bu, 0x42u, 0x1Eu, 0xD3u, 0xB2u, + 0x04u, 0x19u, 0xFDu, 0x2Bu, 0x98u, 0xBFu, 0x01u, 0x25u, + 0xE4u, 0xB2u, 0xF3u, 0xE7u, 0x02u, 0x20u, 0xFFu, 0xF7u, + 0x99u, 0xFFu, 0x0Fu, 0x49u, 0x42u, 0x1Cu, 0x13u, 0x02u, + 0xDBu, 0x08u, 0x8Eu, 0x42u, 0x01u, 0xD0u, 0xF6u, 0x08u, + 0x01u, 0xE0u, 0x4Fu, 0xF4u, 0x80u, 0x46u, 0xB3u, 0x42u, + 0x06u, 0xD2u, 0x03u, 0xF1u, 0x90u, 0x41u, 0x08u, 0x78u, + 0x01u, 0x33u, 0x02u, 0x19u, 0xD4u, 0xB2u, 0xF6u, 0xE7u, + 0x05u, 0x48u, 0x64u, 0x42u, 0x02u, 0x78u, 0xE4u, 0xB2u, + 0x94u, 0x42u, 0x01u, 0xD0u, 0x06u, 0x20u, 0x70u, 0xBDu, + 0x00u, 0x2Du, 0xFBu, 0xD0u, 0x00u, 0x20u, 0x70u, 0xBDu, + 0xC0u, 0xFFu, 0x01u, 0x00u, 0x2Du, 0xE9u, 0xF0u, 0x4Fu, + 0xADu, 0xF5u, 0x61u, 0x7Du, 0x80u, 0x46u, 0x00u, 0xF0u, + 0xE3u, 0xFBu, 0x62u, 0xB6u, 0x00u, 0x26u, 0xB2u, 0x46u, + 0x4Fu, 0xF0u, 0x0Au, 0x09u, 0x37u, 0x46u, 0xB8u, 0xF1u, + 0x00u, 0x0Fu, 0x01u, 0xD1u, 0xFFu, 0x23u, 0x00u, 0xE0u, + 0x43u, 0x46u, 0x4Au, 0xA8u, 0x4Fu, 0xF4u, 0x96u, 0x71u, + 0x01u, 0xAAu, 0x00u, 0xF0u, 0x0Bu, 0xFCu, 0xB8u, 0xF1u, + 0x00u, 0x0Fu, 0x03u, 0xD0u, 0x09u, 0xF1u, 0xFFu, 0x39u, + 0x5Fu, 0xFAu, 0x89u, 0xF9u, 0xB9u, 0xF1u, 0x00u, 0x0Fu, + 0x02u, 0xD0u, 0x00u, 0x28u, 0xE7u, 0xD1u, 0x01u, 0xE0u, + 0x00u, 0x28u, 0x71u, 0xD1u, 0xBDu, 0xF8u, 0x04u, 0x20u, + 0x06u, 0x2Au, 0x40u, 0xF2u, 0x7Bu, 0x81u, 0x9Du, 0xF8u, + 0x28u, 0x31u, 0x01u, 0x2Bu, 0x40u, 0xF0u, 0x76u, 0x81u, + 0x9Du, 0xF8u, 0x2Au, 0x01u, 0x9Du, 0xF8u, 0x2Bu, 0x51u, + 0x4Au, 0xA9u, 0x40u, 0xEAu, 0x05u, 0x25u, 0xECu, 0x1Du, + 0x4Bu, 0x19u, 0x94u, 0x42u, 0x58u, 0x79u, 0x19u, 0x79u, + 0x00u, 0xF2u, 0x66u, 0x81u, 0x9Au, 0x79u, 0x17u, 0x2Au, + 0x40u, 0xF0u, 0x64u, 0x81u, 0x2Bu, 0x1Du, 0x9Bu, 0xB2u, + 0x00u, 0x22u, 0x3Bu, 0xB1u, 0x0Du, 0xF2u, 0x27u, 0x14u, + 0xE4u, 0x5Cu, 0x01u, 0x3Bu, 0x12u, 0x19u, 0x92u, 0xB2u, + 0x9Bu, 0xB2u, 0xF6u, 0xE7u, 0x52u, 0x42u, 0x41u, 0xEAu, + 0x00u, 0x20u, 0x91u, 0xB2u, 0x88u, 0x42u, 0x40u, 0xF0u, + 0x53u, 0x81u, 0x4Au, 0xE0u, 0x00u, 0x2Eu, 0x00u, 0xF0u, + 0x4Du, 0x81u, 0x01u, 0x2Du, 0x4Fu, 0xF0u, 0x00u, 0x04u, + 0x40u, 0xF0u, 0x3Cu, 0x81u, 0xBBu, 0xF1u, 0x01u, 0x0Fu, + 0x00u, 0xF2u, 0x38u, 0x81u, 0xFFu, 0x23u, 0x8Du, 0xF8u, + 0x2Cu, 0x41u, 0x8Du, 0xF8u, 0x2Du, 0x41u, 0x25u, 0x46u, + 0x8Du, 0xF8u, 0x2Eu, 0x31u, 0x8Du, 0xF8u, 0x2Fu, 0x61u, + 0x04u, 0x24u, 0x01u, 0x20u, 0x00u, 0x22u, 0x21u, 0x1Du, + 0xADu, 0xF8u, 0x06u, 0x40u, 0x8Du, 0xF8u, 0x28u, 0x01u, + 0x8Du, 0xF8u, 0x29u, 0x51u, 0x8Du, 0xF8u, 0x2Au, 0x41u, + 0x8Du, 0xF8u, 0x2Bu, 0x21u, 0x8Bu, 0xB2u, 0x0Du, 0xF2u, + 0x27u, 0x10u, 0xC1u, 0x5Cu, 0x01u, 0x3Bu, 0x52u, 0x18u, + 0x9Bu, 0xB2u, 0x92u, 0xB2u, 0x00u, 0x2Bu, 0xF6u, 0xD1u, + 0x50u, 0x42u, 0x81u, 0xB2u, 0x08u, 0x0Au, 0x4Bu, 0xAAu, + 0x0Du, 0xF2u, 0x2Du, 0x13u, 0x11u, 0x55u, 0x18u, 0x55u, + 0x17u, 0x21u, 0x0Du, 0xF5u, 0x97u, 0x72u, 0xE3u, 0x1Du, + 0x11u, 0x55u, 0x4Au, 0xA8u, 0x99u, 0xB2u, 0x0Du, 0xF1u, + 0x06u, 0x02u, 0x96u, 0x23u, 0x00u, 0xF0u, 0x60u, 0xFBu, + 0xB8u, 0xF1u, 0x00u, 0x0Fu, 0x3Fu, 0xF4u, 0x72u, 0xAFu, + 0x00u, 0x2Eu, 0x00u, 0xF0u, 0x12u, 0x81u, 0x01u, 0x26u, + 0x69u, 0xE7u, 0x9Du, 0xF8u, 0x29u, 0x21u, 0x9Du, 0xF8u, + 0x2Cu, 0xB1u, 0xA2u, 0xF1u, 0x31u, 0x03u, 0x0Au, 0x2Bu, + 0x00u, 0xF2u, 0xF7u, 0x80u, 0x01u, 0xA1u, 0x51u, 0xF8u, + 0x23u, 0xF0u, 0x00u, 0xBFu, 0x89u, 0x06u, 0x00u, 0x00u, + 0xADu, 0x05u, 0x00u, 0x00u, 0x43u, 0x08u, 0x00u, 0x00u, + 0xA7u, 0x06u, 0x00u, 0x00u, 0x59u, 0x07u, 0x00u, 0x00u, + 0x43u, 0x08u, 0x00u, 0x00u, 0x5Fu, 0x07u, 0x00u, 0x00u, + 0x7Du, 0x07u, 0x00u, 0x00u, 0xA7u, 0x06u, 0x00u, 0x00u, + 0x97u, 0x07u, 0x00u, 0x00u, 0x23u, 0x08u, 0x00u, 0x00u, + 0x00u, 0x2Eu, 0x00u, 0xF0u, 0xDFu, 0x80u, 0x00u, 0x2Du, + 0x40u, 0xF0u, 0xDCu, 0x80u, 0xFFu, 0xF7u, 0xF0u, 0xFEu, + 0xD0u, 0xF1u, 0x01u, 0x02u, 0x38u, 0xBFu, 0x00u, 0x22u, + 0x8Du, 0xF8u, 0x2Cu, 0x21u, 0xBBu, 0xE0u, 0x34u, 0x2Au, + 0x12u, 0xD1u, 0x00u, 0x2Eu, 0x00u, 0xF0u, 0xCEu, 0x80u, + 0x03u, 0x2Du, 0x40u, 0xF0u, 0xCBu, 0x80u, 0xABu, 0xF1u, + 0x40u, 0x07u, 0x3Fu, 0x2Fu, 0x8Cu, 0xBFu, 0x4Fu, 0xF4u, + 0x90u, 0x77u, 0x10u, 0x27u, 0x95u, 0xA8u, 0x00u, 0x21u, + 0x3Au, 0x46u, 0x01u, 0xF0u, 0x86u, 0xFCu, 0x05u, 0xE0u, + 0x00u, 0x2Eu, 0x00u, 0xF0u, 0xBBu, 0x80u, 0x02u, 0x2Du, + 0x40u, 0xF2u, 0xB8u, 0x80u, 0x03u, 0x3Du, 0x95u, 0xABu, + 0x2Au, 0x46u, 0xD8u, 0x19u, 0x0Du, 0xF2u, 0x2Fu, 0x11u, + 0x01u, 0xF0u, 0x6Eu, 0xFCu, 0xABu, 0xF1u, 0x40u, 0x00u, + 0x7Au, 0x19u, 0x3Fu, 0x28u, 0x96u, 0xB2u, 0x03u, 0xD8u, + 0x00u, 0xF0u, 0xD2u, 0xF9u, 0x10u, 0x24u, 0x01u, 0xE0u, + 0x4Fu, 0xF4u, 0x90u, 0x74u, 0xA6u, 0x42u, 0x40u, 0xF0u, + 0x97u, 0x80u, 0x9Du, 0xF8u, 0x2Eu, 0x11u, 0x9Du, 0xF8u, + 0x2Du, 0x71u, 0xBBu, 0xF1u, 0x3Fu, 0x0Fu, 0x47u, 0xEAu, + 0x01u, 0x25u, 0x11u, 0xD8u, 0xBAu, 0xF1u, 0x00u, 0x0Fu, + 0x0Eu, 0xD1u, 0x51u, 0x46u, 0x4Fu, 0xF4u, 0x90u, 0x72u, + 0x02u, 0xA8u, 0x01u, 0xF0u, 0x56u, 0xFCu, 0x01u, 0x20u, + 0xFFu, 0x21u, 0x02u, 0xAAu, 0x4Fu, 0xF4u, 0x90u, 0x73u, + 0x00u, 0xF0u, 0x62u, 0xF9u, 0x4Fu, 0xF0u, 0x01u, 0x0Au, + 0x33u, 0x46u, 0x58u, 0x46u, 0x29u, 0x46u, 0x95u, 0xAAu, + 0x00u, 0xF0u, 0x5Au, 0xF9u, 0x01u, 0x26u, 0x00u, 0x28u, + 0x75u, 0xD0u, 0x00u, 0x27u, 0x0Au, 0x25u, 0x75u, 0xE0u, + 0x00u, 0x2Eu, 0x77u, 0xD0u, 0x7Au, 0xE0u, 0x00u, 0x2Eu, + 0x74u, 0xD0u, 0x7Cu, 0x19u, 0xB4u, 0xF5u, 0x96u, 0x7Fu, + 0x6Eu, 0xD8u, 0x95u, 0xA9u, 0xC8u, 0x19u, 0x2Au, 0x46u, + 0x4Bu, 0xA9u, 0x01u, 0xF0u, 0x29u, 0xFCu, 0xA7u, 0xB2u, + 0x00u, 0x25u, 0x63u, 0xE0u, 0x00u, 0x2Du, 0x65u, 0xD1u, + 0x3Au, 0x48u, 0x02u, 0xAEu, 0x4Bu, 0xACu, 0x03u, 0xC8u, + 0x86u, 0xE8u, 0x03u, 0x00u, 0x84u, 0xE8u, 0x03u, 0x00u, + 0x01u, 0x26u, 0x08u, 0x24u, 0x21u, 0xE7u, 0x00u, 0x2Eu, + 0x58u, 0xD0u, 0x03u, 0x2Du, 0x56u, 0xD1u, 0x9Du, 0xF8u, + 0x2Eu, 0x01u, 0x9Du, 0xF8u, 0x2Du, 0x11u, 0xABu, 0xF1u, + 0x40u, 0x02u, 0x3Fu, 0x2Au, 0x41u, 0xEAu, 0x00u, 0x25u, + 0x0Au, 0xD8u, 0x2Du, 0x01u, 0x00u, 0x23u, 0x10u, 0x22u, + 0x2Du, 0x48u, 0x11u, 0x18u, 0x4Cu, 0x5Du, 0x01u, 0x3Au, + 0x23u, 0x44u, 0xDBu, 0xB2u, 0xF8u, 0xD1u, 0x26u, 0xE0u, + 0x05u, 0xEBu, 0x0Bu, 0x23u, 0x1Cu, 0x02u, 0x4Fu, 0xF4u, + 0x80u, 0x72u, 0x00u, 0x23u, 0x01u, 0x3Au, 0x10u, 0x5Du, + 0x19u, 0x18u, 0xCBu, 0xB2u, 0x00u, 0x2Au, 0xF9u, 0xD1u, + 0xBBu, 0xF1u, 0x3Fu, 0x0Fu, 0x17u, 0xD8u, 0x0Bu, 0xF5u, + 0x10u, 0x34u, 0x05u, 0xEBu, 0x04u, 0x20u, 0x41u, 0x01u, + 0x54u, 0x5Cu, 0x01u, 0x32u, 0x1Bu, 0x19u, 0x20u, 0x2Au, + 0xDBu, 0xB2u, 0xF9u, 0xD1u, 0xBBu, 0xF1u, 0x01u, 0x0Fu, + 0x09u, 0xD1u, 0xFFu, 0x2Du, 0x07u, 0xD1u, 0x1Bu, 0x4Du, + 0x1Bu, 0x4Cu, 0x28u, 0x78u, 0x19u, 0x1Au, 0x23u, 0x78u, + 0xCAu, 0x1Au, 0x02u, 0xF0u, 0xFFu, 0x03u, 0x5Du, 0x42u, + 0x8Du, 0xF8u, 0x2Cu, 0x51u, 0x00u, 0x25u, 0x01u, 0x24u, + 0xDBu, 0xE6u, 0xFFu, 0xF7u, 0x29u, 0xFEu, 0x10u, 0xB9u, + 0x14u, 0x4Du, 0x80u, 0x24u, 0x2Cu, 0x70u, 0x00u, 0xF0u, + 0x45u, 0xF9u, 0x0Bu, 0xE0u, 0x04u, 0x25u, 0xD0u, 0xE6u, + 0x01u, 0x26u, 0x00u, 0x27u, 0x04u, 0xE0u, 0x07u, 0x46u, + 0x9Au, 0xE7u, 0x05u, 0x25u, 0x00u, 0x24u, 0xC8u, 0xE6u, + 0x03u, 0x25u, 0xFBu, 0xE7u, 0x04u, 0x25u, 0xF9u, 0xE7u, + 0x08u, 0x25u, 0xF7u, 0xE7u, 0xB8u, 0xF1u, 0x00u, 0x0Fu, + 0x01u, 0xD1u, 0x47u, 0x46u, 0x5Eu, 0xE6u, 0x00u, 0x27u, + 0xEDu, 0xE6u, 0x0Du, 0xF5u, 0x61u, 0x7Du, 0xBDu, 0xE8u, + 0xF0u, 0x8Fu, 0x00u, 0xBFu, 0xA8u, 0x20u, 0x00u, 0x00u, + 0xFFu, 0x7Fu, 0x00u, 0x40u, 0xD0u, 0xFFu, 0x01u, 0x00u, + 0xD1u, 0xFFu, 0x01u, 0x00u, 0xFAu, 0x46u, 0x00u, 0x40u, + 0x10u, 0xB5u, 0xC8u, 0xB0u, 0x00u, 0xF0u, 0x92u, 0xF8u, + 0x10u, 0xB1u, 0x00u, 0x20u, 0x00u, 0xF0u, 0x14u, 0xF9u, + 0x68u, 0x46u, 0x00u, 0xF0u, 0x9Fu, 0xF8u, 0x10u, 0xB1u, + 0x00u, 0x20u, 0x00u, 0xF0u, 0x0Du, 0xF9u, 0x16u, 0x48u, + 0x03u, 0x68u, 0x19u, 0x68u, 0x00u, 0x23u, 0x0Au, 0x46u, + 0x22u, 0xB1u, 0x12u, 0xF8u, 0x01u, 0x4Du, 0xE3u, 0x18u, + 0xDBu, 0xB2u, 0xF9u, 0xE7u, 0x42u, 0x68u, 0x10u, 0x78u, + 0xC4u, 0x1Au, 0x04u, 0xF0u, 0xFFu, 0x03u, 0x83u, 0x42u, + 0x00u, 0xD1u, 0x11u, 0xB9u, 0x00u, 0x20u, 0x00u, 0xF0u, + 0xF7u, 0xF8u, 0x0Cu, 0x4Cu, 0xFFu, 0xF7u, 0xD4u, 0xFDu, + 0x21u, 0x78u, 0x01u, 0xF0u, 0xC0u, 0x02u, 0x40u, 0x2Au, + 0x00u, 0xD0u, 0x18u, 0xB1u, 0x00u, 0x20u, 0x20u, 0x70u, + 0xFFu, 0xF7u, 0x0Cu, 0xFEu, 0x14u, 0x20u, 0xFFu, 0xF7u, + 0x09u, 0xFEu, 0x80u, 0x20u, 0x20u, 0x70u, 0x00u, 0xF0u, + 0xE5u, 0xF8u, 0x48u, 0xB0u, 0x10u, 0xBDu, 0x00u, 0xBFu, + 0x0Cu, 0xC1u, 0xFFu, 0x1Fu, 0xFAu, 0x46u, 0x00u, 0x40u, + 0x08u, 0xB5u, 0x0Au, 0x4Bu, 0x1Au, 0x78u, 0x02u, 0xF0u, + 0xC0u, 0x00u, 0x80u, 0x28u, 0x0Cu, 0xD1u, 0x00u, 0x21u, + 0x19u, 0x70u, 0x01u, 0x20u, 0xFFu, 0xF7u, 0x6Au, 0xFDu, + 0x30u, 0xB1u, 0x01u, 0x20u, 0xFFu, 0xF7u, 0x66u, 0xFDu, + 0xBDu, 0xE8u, 0x08u, 0x40u, 0xFFu, 0xF7u, 0x60u, 0xBDu, + 0x08u, 0xBDu, 0x00u, 0xBFu, 0xFAu, 0x46u, 0x00u, 0x40u, + 0x02u, 0x30u, 0x80u, 0x08u, 0x00u, 0xF0u, 0x05u, 0x80u, + 0x00u, 0xBFu, 0x01u, 0x38u, 0x00u, 0x46u, 0x7Fu, 0xF4u, + 0xFCu, 0xAFu, 0x70u, 0x47u, 0xEFu, 0xF3u, 0x10u, 0x80u, + 0x72u, 0xB6u, 0x70u, 0x47u, 0x80u, 0xF3u, 0x10u, 0x88u, + 0x70u, 0x47u, 0x00u, 0xBFu, 0xAFu, 0xF3u, 0x00u, 0x80u, + 0x01u, 0x20u, 0x10u, 0xB5u, 0x00u, 0xF0u, 0x60u, 0xF9u, + 0x07u, 0x28u, 0x09u, 0xD0u, 0x4Fu, 0xF0u, 0xFFu, 0x34u, + 0x17u, 0xE0u, 0x0Eu, 0x4Bu, 0x18u, 0x78u, 0x00u, 0xF0u, + 0x02u, 0x01u, 0xCAu, 0xB2u, 0x00u, 0x2Au, 0xF5u, 0xD1u, + 0x02u, 0x21u, 0x0Bu, 0x48u, 0x00u, 0xF0u, 0xECu, 0xF8u, + 0x02u, 0x28u, 0xF2u, 0xD1u, 0x07u, 0x4Cu, 0x23u, 0x78u, + 0x03u, 0xF0u, 0x02u, 0x00u, 0xC1u, 0xB2u, 0x19u, 0xB9u, + 0x01u, 0x20u, 0x00u, 0xF0u, 0xAFu, 0xF8u, 0xF5u, 0xE7u, + 0x00u, 0x24u, 0x00u, 0xF0u, 0x7Fu, 0xF9u, 0x20u, 0x46u, + 0x10u, 0xBDu, 0x00u, 0xBFu, 0x22u, 0x47u, 0x00u, 0x40u, + 0x57u, 0xC1u, 0xFFu, 0x1Fu, 0x08u, 0xB5u, 0x00u, 0xF0u, + 0xC1u, 0xF8u, 0x00u, 0xF0u, 0x53u, 0xF9u, 0x58u, 0xB9u, + 0xFFu, 0xF7u, 0xCEu, 0xFFu, 0x48u, 0xB9u, 0x00u, 0xF0u, + 0xB9u, 0xF8u, 0x00u, 0xF0u, 0x4Bu, 0xF9u, 0x18u, 0xB9u, + 0xBDu, 0xE8u, 0x08u, 0x40u, 0xFFu, 0xF7u, 0xC4u, 0xBFu, + 0x04u, 0x20u, 0x08u, 0xBDu, 0x38u, 0xB5u, 0x04u, 0x46u, + 0x00u, 0xF0u, 0xACu, 0xF8u, 0x4Cu, 0xB1u, 0x00u, 0xF0u, + 0x3Du, 0xF9u, 0x05u, 0x46u, 0x38u, 0xB9u, 0x05u, 0x4Bu, + 0x1Cu, 0x60u, 0x00u, 0xF0u, 0x57u, 0xF9u, 0x28u, 0x46u, + 0x38u, 0xBDu, 0x01u, 0x20u, 0x38u, 0xBDu, 0x04u, 0x20u, + 0x38u, 0xBDu, 0x00u, 0xBFu, 0x44u, 0xC1u, 0xFFu, 0x1Fu, + 0xF8u, 0xB5u, 0x05u, 0x46u, 0x0Eu, 0x46u, 0x17u, 0x46u, + 0x1Cu, 0x46u, 0x00u, 0xF0u, 0x27u, 0xF9u, 0xF0u, 0xB9u, + 0x22u, 0x46u, 0x28u, 0x46u, 0x39u, 0x46u, 0x00u, 0xF0u, + 0xB9u, 0xF8u, 0x07u, 0x28u, 0x04u, 0x46u, 0x13u, 0xD1u, + 0x1Du, 0x4Bu, 0x1Au, 0x78u, 0x02u, 0xF0u, 0x02u, 0x00u, + 0xC1u, 0xB2u, 0x19u, 0xB9u, 0x01u, 0x20u, 0x00u, 0xF0u, + 0x61u, 0xF8u, 0xF5u, 0xE7u, 0x1Cu, 0x78u, 0x04u, 0xF0u, + 0x02u, 0x02u, 0xD0u, 0xB2u, 0x10u, 0xB1u, 0x1Bu, 0x78u, + 0x9Bu, 0x08u, 0x06u, 0xD0u, 0x4Fu, 0xF0u, 0xFFu, 0x34u, + 0x00u, 0xF0u, 0x28u, 0xF9u, 0x22u, 0xE0u, 0x04u, 0x24u, + 0x20u, 0xE0u, 0x12u, 0x4Cu, 0x28u, 0x46u, 0x22u, 0x78u, + 0x63u, 0x78u, 0x31u, 0x46u, 0x00u, 0xF0u, 0xBCu, 0xF8u, + 0x07u, 0x28u, 0x04u, 0x46u, 0xF0u, 0xD1u, 0x0Cu, 0x49u, + 0x0Au, 0x78u, 0x02u, 0xF0u, 0x02u, 0x00u, 0xC3u, 0xB2u, + 0x1Bu, 0xB9u, 0x01u, 0x20u, 0x00u, 0xF0u, 0x3Eu, 0xF8u, + 0xF5u, 0xE7u, 0x0Cu, 0x78u, 0x04u, 0xF0u, 0x02u, 0x02u, + 0xD0u, 0xB2u, 0x00u, 0x28u, 0xDEu, 0xD0u, 0x09u, 0x78u, + 0x8Bu, 0x08u, 0x14u, 0xBFu, 0x4Fu, 0xF0u, 0xFFu, 0x34u, + 0x00u, 0x24u, 0xD9u, 0xE7u, 0x20u, 0x46u, 0xF8u, 0xBDu, + 0x22u, 0x47u, 0x00u, 0x40u, 0x57u, 0xC1u, 0xFFu, 0x1Fu, + 0x04u, 0x4Bu, 0x1Au, 0x78u, 0x42u, 0xF0u, 0x10u, 0x00u, + 0x18u, 0x70u, 0x19u, 0x7Cu, 0x41u, 0xF0u, 0x10u, 0x02u, + 0x1Au, 0x74u, 0x70u, 0x47u, 0xACu, 0x43u, 0x00u, 0x40u, + 0x01u, 0xBEu, 0x70u, 0x47u, 0x02u, 0x4Bu, 0x1Au, 0x78u, + 0x42u, 0xF0u, 0x01u, 0x00u, 0x18u, 0x70u, 0x70u, 0x47u, + 0xF6u, 0x46u, 0x00u, 0x40u, 0x10u, 0xB5u, 0x04u, 0x46u, + 0xB4u, 0xF5u, 0x00u, 0x4Fu, 0x06u, 0x4Bu, 0x05u, 0xD9u, + 0x18u, 0x68u, 0xFFu, 0xF7u, 0x29u, 0xFFu, 0xA4u, 0xF5u, + 0x00u, 0x44u, 0xF5u, 0xE7u, 0x58u, 0x68u, 0x60u, 0x43u, + 0xBDu, 0xE8u, 0x10u, 0x40u, 0xFFu, 0xF7u, 0x20u, 0xBFu, + 0x14u, 0xC1u, 0xFFu, 0x1Fu, 0x02u, 0x4Bu, 0x19u, 0x7Au, + 0x48u, 0x43u, 0xFFu, 0xF7u, 0x19u, 0xBFu, 0x00u, 0xBFu, + 0x14u, 0xC1u, 0xFFu, 0x1Fu, 0x05u, 0x4Bu, 0x00u, 0xF0u, + 0x1Fu, 0x00u, 0x1Bu, 0x68u, 0x00u, 0xF1u, 0x10u, 0x02u, + 0x53u, 0xF8u, 0x22u, 0x00u, 0x43u, 0xF8u, 0x22u, 0x10u, + 0x70u, 0x47u, 0x00u, 0xBFu, 0x08u, 0xEDu, 0x00u, 0xE0u, + 0x00u, 0xF0u, 0x1Fu, 0x00u, 0x00u, 0xF1u, 0x60u, 0x43u, + 0x49u, 0x01u, 0x03u, 0xF5u, 0x64u, 0x42u, 0xC8u, 0xB2u, + 0x10u, 0x70u, 0x70u, 0x47u, 0x08u, 0xB5u, 0xFFu, 0xF7u, + 0x05u, 0xFFu, 0x06u, 0x4Bu, 0x1Au, 0x78u, 0x42u, 0xF0u, + 0x08u, 0x01u, 0x19u, 0x70u, 0x1Au, 0x7Cu, 0x42u, 0xF0u, + 0x08u, 0x01u, 0x19u, 0x74u, 0xBDu, 0xE8u, 0x08u, 0x40u, + 0xFFu, 0xF7u, 0xFCu, 0xBEu, 0xA0u, 0x43u, 0x00u, 0x40u, + 0x70u, 0xB5u, 0x06u, 0x46u, 0x0Du, 0x46u, 0x00u, 0x24u, + 0xE3u, 0xB2u, 0xABu, 0x42u, 0x0Cu, 0xD2u, 0x07u, 0x48u, + 0x01u, 0x78u, 0xCBu, 0x07u, 0x03u, 0xD4u, 0x01u, 0x20u, + 0xFFu, 0xF7u, 0xC0u, 0xFFu, 0xF7u, 0xE7u, 0x04u, 0x4Au, + 0x13u, 0x78u, 0x33u, 0x55u, 0x01u, 0x34u, 0xEFu, 0xE7u, + 0x28u, 0x46u, 0x70u, 0xBDu, 0x22u, 0x47u, 0x00u, 0x40u, + 0x20u, 0x47u, 0x00u, 0x40u, 0x30u, 0xB5u, 0x10u, 0x4Bu, + 0x1Cu, 0x78u, 0x04u, 0xF0u, 0x02u, 0x04u, 0xE4u, 0xB2u, + 0xACu, 0xB1u, 0x0Eu, 0x4Cu, 0xB6u, 0x25u, 0x25u, 0x70u, + 0xD5u, 0x25u, 0x25u, 0x70u, 0x02u, 0x25u, 0x25u, 0x70u, + 0x1Bu, 0x78u, 0x2Bu, 0x40u, 0xDBu, 0xB2u, 0x63u, 0xB9u, + 0x20u, 0x70u, 0x98u, 0xB2u, 0x90u, 0x42u, 0x04u, 0xD2u, + 0xCCu, 0x5Cu, 0x06u, 0x48u, 0x01u, 0x33u, 0x04u, 0x70u, + 0xF7u, 0xE7u, 0x07u, 0x20u, 0x30u, 0xBDu, 0x04u, 0x20u, + 0x30u, 0xBDu, 0x09u, 0x20u, 0x30u, 0xBDu, 0x00u, 0xBFu, + 0x22u, 0x47u, 0x00u, 0x40u, 0x20u, 0x47u, 0x00u, 0x40u, + 0x70u, 0xB5u, 0x0Fu, 0x4Du, 0x2Cu, 0x78u, 0x04u, 0xF0u, + 0x02u, 0x04u, 0xE4u, 0xB2u, 0xA4u, 0xB1u, 0x0Du, 0x4Cu, + 0xB6u, 0x26u, 0x26u, 0x70u, 0xD8u, 0x26u, 0x26u, 0x70u, + 0x05u, 0x26u, 0x26u, 0x70u, 0x2Du, 0x78u, 0x05u, 0xF0u, + 0x02u, 0x05u, 0xEDu, 0xB2u, 0x55u, 0xB9u, 0x20u, 0x70u, + 0x08u, 0x0Au, 0xC9u, 0xB2u, 0x20u, 0x70u, 0x21u, 0x70u, + 0x07u, 0x20u, 0x22u, 0x70u, 0x23u, 0x70u, 0x70u, 0xBDu, + 0x04u, 0x20u, 0x70u, 0xBDu, 0x09u, 0x20u, 0x70u, 0xBDu, + 0x22u, 0x47u, 0x00u, 0x40u, 0x20u, 0x47u, 0x00u, 0x40u, + 0x0Cu, 0x4Au, 0x13u, 0x78u, 0x03u, 0xF0u, 0x02u, 0x01u, + 0xCBu, 0xB2u, 0x73u, 0xB1u, 0x0Au, 0x4Bu, 0xB6u, 0x21u, + 0x19u, 0x70u, 0xE1u, 0x21u, 0x19u, 0x70u, 0x0Eu, 0x21u, + 0x19u, 0x70u, 0x12u, 0x78u, 0x02u, 0xF0u, 0x02u, 0x01u, + 0xCAu, 0xB2u, 0x22u, 0xB9u, 0x18u, 0x70u, 0x07u, 0x20u, + 0x70u, 0x47u, 0x04u, 0x20u, 0x70u, 0x47u, 0x09u, 0x20u, + 0x70u, 0x47u, 0x00u, 0xBFu, 0x22u, 0x47u, 0x00u, 0x40u, + 0x20u, 0x47u, 0x00u, 0x40u, 0x38u, 0xB5u, 0xFFu, 0xF7u, + 0x71u, 0xFEu, 0x0Cu, 0x4Bu, 0x19u, 0x78u, 0x79u, 0xB9u, + 0x01u, 0x25u, 0x0Bu, 0x4Au, 0x1Du, 0x70u, 0x14u, 0x68u, + 0x2Cu, 0x40u, 0x0Au, 0xD0u, 0x14u, 0x68u, 0x24u, 0xF0u, + 0x01u, 0x04u, 0x14u, 0x60u, 0x00u, 0xBFu, 0x00u, 0xBFu, + 0x00u, 0xBFu, 0x5Du, 0x60u, 0x0Cu, 0x46u, 0x00u, 0xE0u, + 0x04u, 0x24u, 0xFFu, 0xF7u, 0x5Fu, 0xFEu, 0x20u, 0x46u, + 0x38u, 0xBDu, 0x00u, 0xBFu, 0x48u, 0xC1u, 0xFFu, 0x1Fu, + 0x04u, 0x00u, 0x08u, 0x40u, 0x10u, 0xB5u, 0xFFu, 0xF7u, + 0x51u, 0xFEu, 0x09u, 0x4Bu, 0x00u, 0x22u, 0x59u, 0x68u, + 0x1Au, 0x70u, 0x01u, 0x29u, 0x08u, 0xD1u, 0x07u, 0x49u, + 0x0Cu, 0x68u, 0x44u, 0xF0u, 0x01u, 0x04u, 0x0Cu, 0x60u, + 0x00u, 0xBFu, 0x00u, 0xBFu, 0x00u, 0xBFu, 0x5Au, 0x60u, + 0xBDu, 0xE8u, 0x10u, 0x40u, 0xFFu, 0xF7u, 0x42u, 0xBEu, + 0x48u, 0xC1u, 0xFFu, 0x1Fu, 0x04u, 0x00u, 0x08u, 0x40u, + 0x08u, 0xB5u, 0x62u, 0xB6u, 0x00u, 0x20u, 0x02u, 0x21u, + 0x00u, 0xF0u, 0x62u, 0xF9u, 0x01u, 0x4Bu, 0x01u, 0x22u, + 0x1Au, 0x70u, 0x08u, 0xBDu, 0x50u, 0xC1u, 0xFFu, 0x1Fu, + 0x01u, 0x20u, 0x00u, 0xF0u, 0x03u, 0xBAu, 0x00u, 0x00u, + 0xF8u, 0xB5u, 0x07u, 0x46u, 0x0Eu, 0x46u, 0x02u, 0x20u, + 0x15u, 0x46u, 0x39u, 0x46u, 0x40u, 0x22u, 0x1Cu, 0x46u, + 0x00u, 0xF0u, 0xBAu, 0xF9u, 0x0Au, 0x23u, 0x5Cu, 0x43u, + 0x0Du, 0x48u, 0x44u, 0x80u, 0x00u, 0x24u, 0x02u, 0x20u, + 0x00u, 0xF0u, 0x94u, 0xF9u, 0x50u, 0xB9u, 0x0Au, 0x49u, + 0x67u, 0x1Cu, 0x4Au, 0x88u, 0xBFu, 0xB2u, 0xA2u, 0x42u, + 0x04u, 0xD9u, 0x01u, 0x20u, 0xFFu, 0xF7u, 0xD2u, 0xFEu, + 0x3Cu, 0x46u, 0xF0u, 0xE7u, 0x02u, 0x20u, 0x00u, 0xF0u, + 0x85u, 0xF9u, 0x10u, 0xB1u, 0x2Eu, 0x80u, 0x00u, 0x20u, + 0xF8u, 0xBDu, 0x10u, 0x20u, 0xF8u, 0xBDu, 0x00u, 0xBFu, + 0x50u, 0xC1u, 0xFFu, 0x1Fu, 0x2Du, 0xE9u, 0xF0u, 0x41u, + 0x15u, 0x46u, 0x0Au, 0x22u, 0x53u, 0x43u, 0x28u, 0x4Cu, + 0x80u, 0x46u, 0x63u, 0x80u, 0x24u, 0x78u, 0x0Fu, 0x46u, + 0xD4u, 0xB1u, 0x00u, 0x24u, 0x00u, 0xF0u, 0x5Eu, 0xF9u, + 0x58u, 0xB9u, 0x23u, 0x4Bu, 0x66u, 0x1Cu, 0x58u, 0x88u, + 0xB6u, 0xB2u, 0xA0u, 0x42u, 0x04u, 0xD9u, 0x01u, 0x20u, + 0xFFu, 0xF7u, 0xACu, 0xFEu, 0x34u, 0x46u, 0xF1u, 0xE7u, + 0x34u, 0x46u, 0x00u, 0xF0u, 0x4Fu, 0xF9u, 0xE0u, 0xB1u, + 0x00u, 0xF0u, 0x52u, 0xF9u, 0xFFu, 0xF7u, 0xB0u, 0xFFu, + 0x19u, 0x4Au, 0x00u, 0x21u, 0x11u, 0x70u, 0x14u, 0xE0u, + 0x00u, 0xF0u, 0x4Au, 0xF9u, 0x08u, 0xB9u, 0x00u, 0x24u, + 0x0Fu, 0xE0u, 0x00u, 0xF0u, 0x3Fu, 0xF9u, 0x00u, 0x28u, + 0xF9u, 0xD0u, 0xFFu, 0xF7u, 0xA1u, 0xFFu, 0x08u, 0xE0u, + 0x11u, 0x4Bu, 0x66u, 0x1Cu, 0x59u, 0x88u, 0xB6u, 0xB2u, + 0xA1u, 0x42u, 0x09u, 0xD9u, 0xFFu, 0xF7u, 0x8Au, 0xFEu, + 0x34u, 0x46u, 0x01u, 0x20u, 0x00u, 0xF0u, 0x3Eu, 0xF9u, + 0x01u, 0x28u, 0x4Fu, 0xF0u, 0x01u, 0x00u, 0xEFu, 0xD1u, + 0x00u, 0xF0u, 0x38u, 0xF9u, 0x01u, 0x28u, 0x0Au, 0xD1u, + 0x41u, 0x46u, 0x40u, 0x2Fu, 0x34u, 0xBFu, 0x3Au, 0x46u, + 0x40u, 0x22u, 0x00u, 0xF0u, 0x9Fu, 0xF9u, 0x28u, 0x80u, + 0x00u, 0x20u, 0xBDu, 0xE8u, 0xF0u, 0x81u, 0x00u, 0x20u, + 0x28u, 0x80u, 0x10u, 0x20u, 0xBDu, 0xE8u, 0xF0u, 0x81u, + 0x50u, 0xC1u, 0xFFu, 0x1Fu, 0xF8u, 0xB5u, 0xFFu, 0xF7u, + 0xA5u, 0xFDu, 0x38u, 0x4Bu, 0x07u, 0x46u, 0x1Au, 0x78u, + 0x01u, 0x25u, 0x42u, 0xF0u, 0x01u, 0x00u, 0x18u, 0x70u, + 0x19u, 0x7Cu, 0x02u, 0x26u, 0x41u, 0xF0u, 0x01u, 0x04u, + 0x1Cu, 0x74u, 0x33u, 0x4Bu, 0x33u, 0x4Cu, 0x1Du, 0x70u, + 0x03u, 0xF8u, 0x94u, 0x6Cu, 0x13u, 0xF8u, 0x8Du, 0x2Cu, + 0x02u, 0xF0u, 0x7Fu, 0x00u, 0x03u, 0xF8u, 0x8Du, 0x0Cu, + 0x00u, 0x20u, 0xFFu, 0xF7u, 0x63u, 0xFEu, 0x21u, 0x78u, + 0x2Du, 0x48u, 0x01u, 0xF0u, 0xF9u, 0x03u, 0x23u, 0x70u, + 0x02u, 0x78u, 0x02u, 0xF0u, 0xDFu, 0x01u, 0x01u, 0x70u, + 0x23u, 0x78u, 0x28u, 0x46u, 0x2Bu, 0x43u, 0x23u, 0x70u, + 0xFFu, 0xF7u, 0x54u, 0xFEu, 0x28u, 0x20u, 0xFFu, 0xF7u, + 0x51u, 0xFEu, 0x26u, 0x48u, 0x02u, 0x78u, 0x02u, 0xF0u, + 0x7Fu, 0x01u, 0x01u, 0x70u, 0x03u, 0x78u, 0x03u, 0xF0u, + 0xBFu, 0x02u, 0x02u, 0x70u, 0x20u, 0x78u, 0x30u, 0x43u, + 0x20u, 0x70u, 0x30u, 0x46u, 0xFFu, 0xF7u, 0x42u, 0xFEu, + 0x21u, 0x78u, 0x1Fu, 0x4Au, 0x41u, 0xF0u, 0x04u, 0x03u, + 0x23u, 0x70u, 0x00u, 0x24u, 0x14u, 0x70u, 0x38u, 0x46u, + 0x54u, 0x70u, 0xFFu, 0xF7u, 0x63u, 0xFDu, 0x17u, 0x20u, + 0x1Au, 0x49u, 0xFFu, 0xF7u, 0x3Bu, 0xFEu, 0x17u, 0x20u, + 0x07u, 0x21u, 0xFFu, 0xF7u, 0x45u, 0xFEu, 0x15u, 0x20u, + 0x17u, 0x49u, 0xFFu, 0xF7u, 0x33u, 0xFEu, 0x15u, 0x20u, + 0x07u, 0x21u, 0xFFu, 0xF7u, 0x3Du, 0xFEu, 0x18u, 0x20u, + 0x14u, 0x49u, 0xFFu, 0xF7u, 0x2Bu, 0xFEu, 0x18u, 0x20u, + 0x07u, 0x21u, 0xFFu, 0xF7u, 0x35u, 0xFEu, 0x20u, 0x46u, + 0x11u, 0x49u, 0xFFu, 0xF7u, 0x23u, 0xFEu, 0x20u, 0x46u, + 0x07u, 0x21u, 0xFFu, 0xF7u, 0x2Du, 0xFEu, 0x28u, 0x46u, + 0x0Eu, 0x49u, 0xFFu, 0xF7u, 0x1Bu, 0xFEu, 0x28u, 0x46u, + 0x07u, 0x21u, 0xBDu, 0xE8u, 0xF8u, 0x40u, 0xFFu, 0xF7u, + 0x23u, 0xBEu, 0x00u, 0xBFu, 0xA5u, 0x43u, 0x00u, 0x40u, + 0x9Du, 0x60u, 0x00u, 0x40u, 0x94u, 0x43u, 0x00u, 0x40u, + 0x12u, 0x60u, 0x00u, 0x40u, 0xF8u, 0x51u, 0x00u, 0x40u, + 0x84u, 0x60u, 0x00u, 0x40u, 0xF3u, 0x15u, 0x00u, 0x00u, + 0xF1u, 0x15u, 0x00u, 0x00u, 0x31u, 0x14u, 0x00u, 0x00u, + 0x89u, 0x15u, 0x00u, 0x00u, 0xBDu, 0x15u, 0x00u, 0x00u, + 0x18u, 0x4Bu, 0x01u, 0x22u, 0x10u, 0xB5u, 0x1Au, 0x70u, + 0x17u, 0x4Bu, 0x4Fu, 0xF4u, 0x00u, 0x04u, 0x1Cu, 0x60u, + 0x4Fu, 0xF0u, 0x80u, 0x74u, 0x1Cu, 0x60u, 0x1Au, 0x60u, + 0x02u, 0x22u, 0x1Au, 0x60u, 0x13u, 0x4Bu, 0x00u, 0x24u, + 0x1Cu, 0x70u, 0x13u, 0x4Bu, 0x01u, 0xB1u, 0x03u, 0x22u, + 0x12u, 0x49u, 0x1Au, 0x70u, 0x12u, 0x4Bu, 0x08u, 0x70u, + 0x12u, 0x4Au, 0x00u, 0x20u, 0x12u, 0x49u, 0x18u, 0x70u, + 0x12u, 0x4Bu, 0x10u, 0x70u, 0x08u, 0x70u, 0x12u, 0x4Au, + 0x12u, 0x49u, 0x18u, 0x70u, 0x12u, 0x4Bu, 0x10u, 0x70u, + 0x08u, 0x70u, 0x80u, 0x22u, 0x03u, 0x20u, 0x18u, 0x70u, + 0x01u, 0x20u, 0x03u, 0xF8u, 0x20u, 0x2Cu, 0xFFu, 0xF7u, + 0xE7u, 0xFCu, 0x0Eu, 0x48u, 0x04u, 0x21u, 0x01u, 0x70u, + 0x10u, 0xBDu, 0x00u, 0xBFu, 0xECu, 0xC1u, 0xFFu, 0x1Fu, + 0x00u, 0xE1u, 0x00u, 0xE0u, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x09u, 0x60u, 0x00u, 0x40u, 0x6Cu, 0xC1u, 0xFFu, 0x1Fu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x6Du, 0xC1u, 0xFFu, 0x1Fu, + 0x70u, 0xC1u, 0xFFu, 0x1Fu, 0x5Cu, 0xC1u, 0xFFu, 0x1Fu, + 0x6Fu, 0xC1u, 0xFFu, 0x1Fu, 0xE5u, 0xC1u, 0xFFu, 0x1Fu, + 0x28u, 0x60u, 0x00u, 0x40u, 0x12u, 0x60u, 0x00u, 0x40u, + 0x70u, 0xB5u, 0x07u, 0x4Cu, 0x06u, 0x46u, 0x23u, 0x78u, + 0x0Du, 0x46u, 0x1Bu, 0xB9u, 0xFFu, 0xF7u, 0x22u, 0xFFu, + 0x01u, 0x20u, 0x20u, 0x70u, 0x30u, 0x46u, 0x29u, 0x46u, + 0xBDu, 0xE8u, 0x70u, 0x40u, 0xFFu, 0xF7u, 0xA4u, 0xBFu, + 0x54u, 0xC1u, 0xFFu, 0x1Fu, 0x0Cu, 0x4Bu, 0x01u, 0x22u, + 0x0Cu, 0x49u, 0x1Au, 0x70u, 0x00u, 0x20u, 0x0Cu, 0x4Au, + 0x0Cu, 0x4Bu, 0x08u, 0x70u, 0x0Cu, 0x49u, 0x10u, 0x70u, + 0x18u, 0x70u, 0x0Cu, 0x4Au, 0x0Cu, 0x4Bu, 0x08u, 0x70u, + 0x0Cu, 0x49u, 0x10u, 0x70u, 0x18u, 0x70u, 0x0Cu, 0x4Bu, + 0x08u, 0x70u, 0x80u, 0x22u, 0x03u, 0x20u, 0x18u, 0x70u, + 0x03u, 0xF8u, 0x20u, 0x2Cu, 0x70u, 0x47u, 0x00u, 0xBFu, + 0xECu, 0xC1u, 0xFFu, 0x1Fu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x6Du, 0xC1u, 0xFFu, 0x1Fu, + 0x70u, 0xC1u, 0xFFu, 0x1Fu, 0x5Cu, 0xC1u, 0xFFu, 0x1Fu, + 0x6Fu, 0xC1u, 0xFFu, 0x1Fu, 0xE5u, 0xC1u, 0xFFu, 0x1Fu, + 0x28u, 0x60u, 0x00u, 0x40u, 0x01u, 0x4Bu, 0x18u, 0x78u, + 0x70u, 0x47u, 0x00u, 0xBFu, 0x71u, 0xC1u, 0xFFu, 0x1Fu, + 0x03u, 0x4Bu, 0x18u, 0x78u, 0x10u, 0xB1u, 0x00u, 0x22u, + 0x18u, 0x78u, 0x1Au, 0x70u, 0x70u, 0x47u, 0x00u, 0xBFu, + 0x70u, 0xC1u, 0xFFu, 0x1Fu, 0x02u, 0x4Bu, 0x0Cu, 0x22u, + 0x02u, 0xFBu, 0x00u, 0x30u, 0x40u, 0x78u, 0x70u, 0x47u, + 0x78u, 0xC1u, 0xFFu, 0x1Fu, 0x01u, 0x38u, 0xC3u, 0xB2u, + 0x07u, 0x2Bu, 0x0Cu, 0xD8u, 0x19u, 0x01u, 0x07u, 0x4Au, + 0xCBu, 0xB2u, 0x98u, 0x5Cu, 0x51u, 0x1Cu, 0x5Bu, 0x5Cu, + 0x00u, 0xF0u, 0x0Fu, 0x02u, 0x43u, 0xEAu, 0x02u, 0x20u, + 0x81u, 0x1Eu, 0x88u, 0xB2u, 0x70u, 0x47u, 0x00u, 0x20u, + 0x70u, 0x47u, 0x00u, 0xBFu, 0x0Cu, 0x60u, 0x00u, 0x40u, + 0x43u, 0x1Eu, 0xDBu, 0xB2u, 0x07u, 0x2Bu, 0xF0u, 0xB5u, + 0x2Fu, 0xD8u, 0x18u, 0x4Eu, 0x1Cu, 0x01u, 0x0Cu, 0x27u, + 0xE3u, 0xB2u, 0x07u, 0xFBu, 0x00u, 0x64u, 0xE7u, 0x88u, + 0x15u, 0x4Du, 0xBFu, 0xB2u, 0xC7u, 0xF5u, 0x00u, 0x77u, + 0xBAu, 0x42u, 0x1Du, 0x44u, 0x03u, 0xD9u, 0xE2u, 0x88u, + 0xC2u, 0xF5u, 0x00u, 0x74u, 0xA2u, 0xB2u, 0x0Cu, 0x24u, + 0x04u, 0xFBu, 0x00u, 0x66u, 0xF4u, 0x78u, 0x44u, 0xEAu, + 0x12u, 0x26u, 0x0Eu, 0x4Cu, 0x1Eu, 0x55u, 0xD6u, 0xB2u, + 0x01u, 0x34u, 0x1Eu, 0x55u, 0x49u, 0xB9u, 0x09u, 0x4Au, + 0x0Cu, 0x21u, 0x01u, 0xFBu, 0x00u, 0x20u, 0x00u, 0x21u, + 0x41u, 0x70u, 0x40u, 0x79u, 0x08u, 0x4Au, 0x98u, 0x54u, + 0xF0u, 0xBDu, 0x00u, 0x24u, 0xA6u, 0xB2u, 0x96u, 0x42u, + 0xF1u, 0xD2u, 0x0Eu, 0x5Du, 0x01u, 0x34u, 0x2Eu, 0x70u, + 0xF8u, 0xE7u, 0xF0u, 0xBDu, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x88u, 0x60u, 0x00u, 0x40u, 0x0Cu, 0x60u, 0x00u, 0x40u, + 0x0Eu, 0x60u, 0x00u, 0x40u, 0x43u, 0x1Eu, 0xDBu, 0xB2u, + 0x07u, 0x2Bu, 0x0Au, 0xD8u, 0x05u, 0x4Au, 0x0Cu, 0x21u, + 0x01u, 0xFBu, 0x00u, 0x20u, 0x00u, 0x21u, 0x41u, 0x70u, + 0x1Bu, 0x01u, 0x40u, 0x79u, 0x02u, 0x4Au, 0xDBu, 0xB2u, + 0x98u, 0x54u, 0x70u, 0x47u, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x0Eu, 0x60u, 0x00u, 0x40u, 0xF8u, 0xB5u, 0x43u, 0x1Eu, + 0x0Du, 0x46u, 0xD9u, 0xB2u, 0x07u, 0x29u, 0x07u, 0x46u, + 0x14u, 0x46u, 0x16u, 0xD8u, 0xBDu, 0xB1u, 0x0Au, 0x01u, + 0x0Cu, 0x4Eu, 0xD3u, 0xB2u, 0x9Eu, 0x19u, 0xFFu, 0xF7u, + 0x89u, 0xFFu, 0xA0u, 0x42u, 0x28u, 0xBFu, 0x20u, 0x46u, + 0x84u, 0xB2u, 0x00u, 0x22u, 0x90u, 0xB2u, 0xA0u, 0x42u, + 0x03u, 0xD2u, 0x31u, 0x78u, 0xA9u, 0x54u, 0x01u, 0x32u, + 0xF8u, 0xE7u, 0x38u, 0x46u, 0xFFu, 0xF7u, 0xCEu, 0xFFu, + 0x02u, 0xE0u, 0x00u, 0x24u, 0x00u, 0xE0u, 0x2Cu, 0x46u, + 0x20u, 0x46u, 0xF8u, 0xBDu, 0x88u, 0x60u, 0x00u, 0x40u, + 0x1Bu, 0x4Bu, 0x1Cu, 0x49u, 0x1Au, 0x88u, 0x08u, 0x78u, + 0x82u, 0x18u, 0x91u, 0xB2u, 0x19u, 0x80u, 0x1Au, 0x49u, + 0x1Au, 0x4Bu, 0xCAu, 0xB2u, 0x18u, 0x88u, 0x80u, 0xB2u, + 0x78u, 0xB1u, 0x19u, 0x4Au, 0x91u, 0x42u, 0x0Bu, 0xD0u, + 0x5Au, 0x68u, 0x10u, 0x78u, 0x01u, 0xF8u, 0x01u, 0x0Bu, + 0x5Au, 0x68u, 0x50u, 0x1Cu, 0x58u, 0x60u, 0x1Au, 0x88u, + 0x50u, 0x1Eu, 0x82u, 0xB2u, 0x1Au, 0x80u, 0xEBu, 0xE7u, + 0x08u, 0x22u, 0x0Eu, 0x49u, 0x0Bu, 0x78u, 0x08u, 0x2Bu, + 0x00u, 0xD0u, 0x5Au, 0xB1u, 0x0Fu, 0x48u, 0x01u, 0x78u, + 0x81u, 0xF0u, 0x80u, 0x03u, 0x0Eu, 0x49u, 0x03u, 0x70u, + 0x0Fu, 0x20u, 0x0Eu, 0x4Bu, 0x08u, 0x70u, 0x02u, 0x20u, + 0x18u, 0x70u, 0x04u, 0xE0u, 0x0Au, 0x49u, 0x0Bu, 0x4Bu, + 0x02u, 0x20u, 0x08u, 0x70u, 0x18u, 0x70u, 0x03u, 0x49u, + 0x09u, 0x48u, 0x0Au, 0x70u, 0x02u, 0x70u, 0x70u, 0x47u, + 0xE8u, 0xC1u, 0xFFu, 0x1Fu, 0xE5u, 0xC1u, 0xFFu, 0x1Fu, + 0x00u, 0x60u, 0x00u, 0x40u, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0x60u, 0x00u, 0x40u, 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0xE6u, 0xC1u, 0xFFu, 0x1Fu, 0x07u, 0x4Bu, 0x02u, 0x22u, + 0x1Au, 0x70u, 0x07u, 0x49u, 0x07u, 0x4Bu, 0x80u, 0x20u, + 0x0Fu, 0x22u, 0x08u, 0x70u, 0x1Au, 0x70u, 0x06u, 0x49u, + 0x06u, 0x4Au, 0x00u, 0x20u, 0x08u, 0x70u, 0x10u, 0x70u, + 0x01u, 0x20u, 0x70u, 0x47u, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, 0x5Du, 0xC1u, 0xFFu, 0x1Fu, + 0xE5u, 0xC1u, 0xFFu, 0x1Fu, 0xE6u, 0xC1u, 0xFFu, 0x1Fu, + 0x10u, 0xB5u, 0x15u, 0x4Bu, 0x1Au, 0x78u, 0x15u, 0x4Bu, + 0x02u, 0xF0u, 0x0Fu, 0x00u, 0x81u, 0x1Eu, 0x18u, 0x88u, + 0xCAu, 0xB2u, 0x11u, 0x18u, 0x88u, 0xB2u, 0x12u, 0x49u, + 0x18u, 0x80u, 0x12u, 0x4Bu, 0x18u, 0x88u, 0x80u, 0xB2u, + 0x70u, 0xB1u, 0x6Au, 0xB1u, 0x58u, 0x68u, 0x11u, 0xF8u, + 0x01u, 0x4Bu, 0x01u, 0x3Au, 0x04u, 0x70u, 0x58u, 0x68u, + 0xD2u, 0xB2u, 0x01u, 0x30u, 0x58u, 0x60u, 0x18u, 0x88u, + 0x01u, 0x38u, 0x80u, 0xB2u, 0x18u, 0x80u, 0xECu, 0xE7u, + 0x09u, 0x49u, 0x0Au, 0x4Bu, 0x0Au, 0x70u, 0x1Au, 0x78u, + 0x0Bu, 0x21u, 0x82u, 0xF0u, 0x80u, 0x00u, 0x18u, 0x70u, + 0x07u, 0x4Bu, 0x19u, 0x70u, 0x10u, 0xBDu, 0x00u, 0xBFu, + 0x29u, 0x60u, 0x00u, 0x40u, 0xE8u, 0xC1u, 0xFFu, 0x1Fu, + 0x00u, 0x60u, 0x00u, 0x40u, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0xE6u, 0xC1u, 0xFFu, 0x1Fu, 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x06u, 0x4Au, 0x07u, 0x48u, + 0x06u, 0x23u, 0x13u, 0x70u, 0x03u, 0x70u, 0x06u, 0x4Bu, + 0x06u, 0x48u, 0x80u, 0x21u, 0x00u, 0x22u, 0x19u, 0x70u, + 0x02u, 0x70u, 0x01u, 0x20u, 0x70u, 0x47u, 0x00u, 0xBFu, + 0x72u, 0xC1u, 0xFFu, 0x1Fu, 0x5Du, 0xC1u, 0xFFu, 0x1Fu, + 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, 0xE6u, 0xC1u, 0xFFu, 0x1Fu, + 0x05u, 0x4Bu, 0x9Au, 0x68u, 0x3Au, 0xB1u, 0x99u, 0x68u, + 0x04u, 0x4Au, 0x08u, 0x70u, 0x98u, 0x68u, 0x11u, 0x88u, + 0x41u, 0x80u, 0x00u, 0x20u, 0x98u, 0x60u, 0x70u, 0x47u, + 0x60u, 0xC1u, 0xFFu, 0x1Fu, 0xE8u, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0xB5u, 0x0Au, 0x4Bu, 0x1Au, 0x78u, 0x32u, 0xB1u, + 0x19u, 0x78u, 0x09u, 0x4Au, 0x41u, 0xF0u, 0x80u, 0x00u, + 0x00u, 0x21u, 0x10u, 0x70u, 0x19u, 0x70u, 0x07u, 0x4Bu, + 0x00u, 0x20u, 0x18u, 0x70u, 0x01u, 0x20u, 0xFFu, 0xF7u, + 0xDFu, 0xFFu, 0x05u, 0x49u, 0x03u, 0x22u, 0x0Au, 0x70u, + 0x08u, 0xBDu, 0x00u, 0xBFu, 0x5Cu, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0x60u, 0x00u, 0x40u, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x08u, 0xB5u, 0x05u, 0x4Bu, + 0x00u, 0x22u, 0x01u, 0x20u, 0x1Au, 0x70u, 0xFFu, 0xF7u, + 0xCBu, 0xFFu, 0x03u, 0x49u, 0x03u, 0x20u, 0x08u, 0x70u, + 0x08u, 0xBDu, 0x00u, 0xBFu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x07u, 0x4Bu, 0x18u, 0x78u, + 0x04u, 0x28u, 0x05u, 0xD0u, 0x06u, 0x28u, 0x05u, 0xD0u, + 0x02u, 0x28u, 0x05u, 0xD1u, 0xFFu, 0xF7u, 0x04u, 0xBFu, + 0xFFu, 0xF7u, 0xE4u, 0xBFu, 0xFFu, 0xF7u, 0xC4u, 0xBFu, + 0x70u, 0x47u, 0x00u, 0xBFu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0xB5u, 0x08u, 0x49u, 0x08u, 0x4Bu, 0x1Au, 0x88u, + 0x08u, 0x78u, 0x82u, 0x18u, 0x91u, 0xB2u, 0x19u, 0x80u, + 0x06u, 0x4Bu, 0x00u, 0x20u, 0x18u, 0x70u, 0x01u, 0x20u, + 0xFFu, 0xF7u, 0xA2u, 0xFFu, 0x04u, 0x49u, 0x03u, 0x22u, + 0x0Au, 0x70u, 0x08u, 0xBDu, 0xE5u, 0xC1u, 0xFFu, 0x1Fu, + 0xE8u, 0xC1u, 0xFFu, 0x1Fu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x08u, 0xB5u, 0x0Bu, 0x4Bu, + 0x18u, 0x78u, 0x04u, 0x28u, 0x07u, 0xD0u, 0x06u, 0x28u, + 0x09u, 0xD0u, 0x02u, 0x28u, 0x0Du, 0xD1u, 0xBDu, 0xE8u, + 0x08u, 0x40u, 0xFFu, 0xF7u, 0xD9u, 0xBFu, 0xBDu, 0xE8u, + 0x08u, 0x40u, 0xFFu, 0xF7u, 0x35u, 0xBFu, 0x03u, 0x20u, + 0xFFu, 0xF7u, 0x82u, 0xFFu, 0x02u, 0x49u, 0x03u, 0x22u, + 0x0Au, 0x70u, 0x08u, 0xBDu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x08u, 0xB5u, 0x11u, 0x4Bu, + 0x1Au, 0x78u, 0x1Au, 0x70u, 0x18u, 0x78u, 0x02u, 0x06u, + 0x02u, 0xD5u, 0x0Fu, 0x4Bu, 0x18u, 0x70u, 0x08u, 0xBDu, + 0x02u, 0x20u, 0xFFu, 0xF7u, 0x6Du, 0xFFu, 0x0Du, 0x49u, + 0x0Bu, 0x78u, 0x03u, 0xF0u, 0x60u, 0x02u, 0x20u, 0x2Au, + 0x05u, 0xD0u, 0x40u, 0x2Au, 0x06u, 0xD0u, 0x42u, 0xB9u, + 0x00u, 0xF0u, 0x4Au, 0xFCu, 0x04u, 0xE0u, 0x00u, 0xF0u, + 0x8Du, 0xFDu, 0x01u, 0xE0u, 0x00u, 0xF0u, 0x7Eu, 0xFDu, + 0x10u, 0xB9u, 0x03u, 0x49u, 0x03u, 0x20u, 0x08u, 0x70u, + 0x08u, 0xBDu, 0x00u, 0xBFu, 0x28u, 0x60u, 0x00u, 0x40u, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x00u, 0x60u, 0x00u, 0x40u, + 0x08u, 0xB5u, 0x22u, 0x4Bu, 0x1Au, 0x78u, 0xD0u, 0xB2u, + 0x00u, 0xF0u, 0x10u, 0x01u, 0xCBu, 0xB2u, 0x00u, 0x2Bu, + 0x3Bu, 0xD0u, 0x52u, 0xB2u, 0x00u, 0x2Au, 0x0Au, 0xDAu, + 0x00u, 0xF0u, 0x0Fu, 0x01u, 0x01u, 0x29u, 0x34u, 0xD1u, + 0xFFu, 0xF7u, 0xC4u, 0xFFu, 0x1Au, 0x4Bu, 0x18u, 0x78u, + 0x00u, 0x06u, 0x0Du, 0xD5u, 0x08u, 0xBDu, 0x00u, 0xF0u, + 0x40u, 0x01u, 0xCBu, 0xB2u, 0x13u, 0xB1u, 0xFFu, 0xF7u, + 0x71u, 0xFFu, 0x05u, 0xE0u, 0x00u, 0xF0u, 0x20u, 0x00u, + 0xC2u, 0xB2u, 0x12u, 0xB3u, 0xFFu, 0xF7u, 0x96u, 0xFFu, + 0x10u, 0x4Au, 0x11u, 0x78u, 0x09u, 0x06u, 0x1Cu, 0xD4u, + 0x10u, 0x4Bu, 0x11u, 0x4Au, 0x18u, 0x78u, 0x11u, 0x78u, + 0x41u, 0xEAu, 0x00u, 0x03u, 0x0Fu, 0x48u, 0x03u, 0x70u, + 0x02u, 0x78u, 0x93u, 0x42u, 0x11u, 0xD1u, 0x0Au, 0x49u, + 0x08u, 0x4Bu, 0x0Au, 0x78u, 0x18u, 0x78u, 0x00u, 0xF0u, + 0x80u, 0x00u, 0xC0u, 0xB2u, 0x20u, 0xB9u, 0x0Au, 0x78u, + 0x1Au, 0x70u, 0x19u, 0x78u, 0x01u, 0xF0u, 0x0Fu, 0x02u, + 0x03u, 0x4Bu, 0x18u, 0x78u, 0x82u, 0x42u, 0xEEu, 0xD1u, + 0x08u, 0xBDu, 0x08u, 0xBDu, 0x28u, 0x60u, 0x00u, 0x40u, + 0x5Du, 0xC1u, 0xFFu, 0x1Fu, 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, + 0xE6u, 0xC1u, 0xFFu, 0x1Fu, 0x29u, 0x60u, 0x00u, 0x40u, + 0x05u, 0x4Au, 0x00u, 0x23u, 0x13u, 0x80u, 0x05u, 0x4Au, + 0x91u, 0x68u, 0x19u, 0xB1u, 0x91u, 0x68u, 0x0Bu, 0x70u, + 0x90u, 0x68u, 0x43u, 0x80u, 0x70u, 0x47u, 0x00u, 0xBFu, + 0xE8u, 0xC1u, 0xFFu, 0x1Fu, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0xB5u, 0x0Cu, 0x49u, 0x0Cu, 0x4Bu, 0x04u, 0x22u, + 0x80u, 0x20u, 0x1Au, 0x70u, 0x08u, 0x70u, 0xFFu, 0xF7u, + 0xE7u, 0xFFu, 0x0Au, 0x4Bu, 0x1Au, 0x78u, 0x58u, 0x1Eu, + 0x09u, 0x4Bu, 0x01u, 0x78u, 0x18u, 0x88u, 0x41u, 0xEAu, + 0x02u, 0x22u, 0x81u, 0xB2u, 0x91u, 0x42u, 0x88u, 0xBFu, + 0x1Au, 0x80u, 0x06u, 0x4Bu, 0x0Bu, 0x22u, 0x1Au, 0x70u, + 0x01u, 0x20u, 0x08u, 0xBDu, 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, + 0x72u, 0xC1u, 0xFFu, 0x1Fu, 0x07u, 0x60u, 0x00u, 0x40u, + 0x60u, 0xC1u, 0xFFu, 0x1Fu, 0x5Du, 0xC1u, 0xFFu, 0x1Fu, + 0x10u, 0xB5u, 0x0Fu, 0x4Cu, 0x23u, 0x88u, 0x98u, 0xB2u, + 0x10u, 0xB9u, 0xFFu, 0xF7u, 0x5Bu, 0xFEu, 0x14u, 0xE0u, + 0x0Cu, 0x49u, 0x0Du, 0x4Bu, 0x02u, 0x22u, 0x00u, 0x20u, + 0x0Au, 0x70u, 0x18u, 0x70u, 0xFFu, 0xF7u, 0xBCu, 0xFFu, + 0x0Au, 0x49u, 0x48u, 0x1Eu, 0x0Au, 0x78u, 0x03u, 0x78u, + 0x43u, 0xEAu, 0x02u, 0x21u, 0x22u, 0x88u, 0x90u, 0xB2u, + 0x88u, 0x42u, 0x88u, 0xBFu, 0x21u, 0x80u, 0xFFu, 0xF7u, + 0xFBu, 0xFDu, 0x01u, 0x20u, 0x10u, 0xBDu, 0x00u, 0xBFu, + 0x60u, 0xC1u, 0xFFu, 0x1Fu, 0x72u, 0xC1u, 0xFFu, 0x1Fu, + 0x6Eu, 0xC1u, 0xFFu, 0x1Fu, 0x07u, 0x60u, 0x00u, 0x40u, + 0x09u, 0x4Bu, 0x0Au, 0x48u, 0x1Bu, 0x78u, 0x02u, 0x7Bu, + 0x02u, 0xF0u, 0x03u, 0x01u, 0x01u, 0x29u, 0x03u, 0xD0u, + 0xC3u, 0x7Bu, 0x83u, 0xF0u, 0x80u, 0x02u, 0xC2u, 0x73u, + 0x01u, 0x21u, 0x41u, 0x73u, 0x04u, 0x48u, 0x03u, 0x78u, + 0x03u, 0xF0u, 0xFEu, 0x02u, 0x02u, 0x70u, 0x70u, 0x47u, + 0x0Eu, 0x60u, 0x00u, 0x40u, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x0Bu, 0x60u, 0x00u, 0x40u, 0x09u, 0x4Bu, 0x0Au, 0x48u, + 0x1Bu, 0x78u, 0x02u, 0x7Eu, 0x02u, 0xF0u, 0x03u, 0x01u, + 0x01u, 0x29u, 0x03u, 0xD0u, 0xC3u, 0x7Eu, 0x83u, 0xF0u, + 0x80u, 0x02u, 0xC2u, 0x76u, 0x01u, 0x21u, 0x41u, 0x76u, + 0x04u, 0x48u, 0x03u, 0x78u, 0x03u, 0xF0u, 0xFDu, 0x02u, + 0x02u, 0x70u, 0x70u, 0x47u, 0x1Eu, 0x60u, 0x00u, 0x40u, + 0x78u, 0xC1u, 0xFFu, 0x1Fu, 0x0Bu, 0x60u, 0x00u, 0x40u, + 0x70u, 0x47u, 0xFFu, 0xF7u, 0xE7u, 0xBCu, 0x00u, 0x00u, + 0x08u, 0xB5u, 0x0Bu, 0x4Bu, 0x18u, 0x78u, 0x41u, 0x1Eu, + 0xC8u, 0xB2u, 0x00u, 0xF0u, 0x59u, 0xF9u, 0x09u, 0x4Au, + 0x09u, 0x49u, 0x13u, 0x78u, 0x00u, 0xEBu, 0xC3u, 0x00u, + 0x42u, 0x69u, 0xCBu, 0x5Cu, 0x02u, 0xEBu, 0xC3u, 0x00u, + 0x42u, 0x68u, 0x06u, 0x4Bu, 0x50u, 0x6Au, 0x01u, 0x78u, + 0x19u, 0x80u, 0x58u, 0x60u, 0x08u, 0xBDu, 0x00u, 0xBFu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x04u, 0x60u, 0x00u, 0x40u, + 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x08u, 0xB5u, 0x0Du, 0x4Bu, 0x18u, 0x78u, 0x41u, 0x1Eu, + 0xC8u, 0xB2u, 0x00u, 0xF0u, 0x39u, 0xF9u, 0x0Bu, 0x4Au, + 0x0Bu, 0x49u, 0x13u, 0x78u, 0x00u, 0xEBu, 0xC3u, 0x00u, + 0x42u, 0x69u, 0xCBu, 0x5Cu, 0x02u, 0xEBu, 0xC3u, 0x00u, + 0x42u, 0x68u, 0xD3u, 0x69u, 0x07u, 0x4Au, 0x59u, 0x78u, + 0x13u, 0xF8u, 0x02u, 0x0Bu, 0x40u, 0xEAu, 0x01u, 0x21u, + 0x11u, 0x80u, 0x53u, 0x60u, 0x08u, 0xBDu, 0x00u, 0xBFu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x04u, 0x60u, 0x00u, 0x40u, + 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x10u, 0xB5u, 0x16u, 0x4Cu, 0x00u, 0x23u, 0x16u, 0x48u, + 0x23u, 0x80u, 0x01u, 0x78u, 0x4Au, 0x1Eu, 0xD0u, 0xB2u, + 0x00u, 0xF0u, 0x12u, 0xF9u, 0x13u, 0x49u, 0x14u, 0x4Bu, + 0x1Bu, 0x78u, 0x0Au, 0x78u, 0x00u, 0xEBu, 0xC2u, 0x00u, + 0x41u, 0x69u, 0xD2u, 0xB9u, 0x11u, 0x4Au, 0x01u, 0x3Bu, + 0x10u, 0x78u, 0xDAu, 0xB2u, 0x01u, 0xEBu, 0xC0u, 0x01u, + 0x02u, 0x2Au, 0x49u, 0x68u, 0x11u, 0xD8u, 0x0Eu, 0x4Au, + 0x01u, 0xEBu, 0xC3u, 0x00u, 0x12u, 0x78u, 0x11u, 0xF8u, + 0x33u, 0x10u, 0x91u, 0x42u, 0x09u, 0xD3u, 0x0Cu, 0x23u, + 0x5Au, 0x43u, 0x41u, 0x68u, 0x8Bu, 0x18u, 0x58u, 0x68u, + 0x8Au, 0x5Au, 0x99u, 0x68u, 0x60u, 0x60u, 0x22u, 0x80u, + 0xA1u, 0x60u, 0x10u, 0xBDu, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x04u, 0x60u, 0x00u, 0x40u, + 0x03u, 0x60u, 0x00u, 0x40u, 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, + 0x02u, 0x60u, 0x00u, 0x40u, 0x10u, 0xB5u, 0x3Au, 0x4Bu, + 0x3Au, 0x4Au, 0x18u, 0x78u, 0x11u, 0x78u, 0x09u, 0x06u, + 0x34u, 0xD5u, 0x51u, 0x1Cu, 0x0Bu, 0x78u, 0x5Au, 0x1Eu, + 0x05u, 0x2Au, 0x67u, 0xD8u, 0xDFu, 0xE8u, 0x02u, 0xF0u, + 0x10u, 0x18u, 0x27u, 0x66u, 0x66u, 0x03u, 0x34u, 0x48u, + 0x01u, 0x78u, 0x21u, 0x29u, 0x02u, 0xD1u, 0xFFu, 0xF7u, + 0x6Bu, 0xFFu, 0x07u, 0xE0u, 0x03u, 0x78u, 0x22u, 0x2Bu, + 0x58u, 0xD1u, 0xFFu, 0xF7u, 0x85u, 0xFFu, 0x01u, 0xE0u, + 0xFFu, 0xF7u, 0xA6u, 0xFFu, 0x2Du, 0x49u, 0x0Bu, 0x88u, + 0x98u, 0xB2u, 0x00u, 0x28u, 0x4Eu, 0xD0u, 0x0Au, 0xE0u, + 0x00u, 0x28u, 0x4Bu, 0xD1u, 0x2Au, 0x4Bu, 0x18u, 0x78u, + 0x00u, 0x28u, 0x47u, 0xD1u, 0x27u, 0x48u, 0x01u, 0x22u, + 0x28u, 0x49u, 0x02u, 0x80u, 0x41u, 0x60u, 0xBDu, 0xE8u, + 0x10u, 0x40u, 0xFFu, 0xF7u, 0xEDu, 0xBEu, 0x00u, 0x28u, + 0x3Cu, 0xD1u, 0x22u, 0x48u, 0x01u, 0x22u, 0x02u, 0x80u, + 0x23u, 0x49u, 0xF3u, 0xE7u, 0x13u, 0x78u, 0x1Au, 0x06u, + 0x34u, 0xD4u, 0x22u, 0x4Au, 0x11u, 0x78u, 0x09u, 0x29u, + 0x05u, 0xD0u, 0x2Fu, 0xD3u, 0x0Au, 0x29u, 0x0Du, 0xD0u, + 0x0Bu, 0x29u, 0x2Bu, 0xD1u, 0x22u, 0xE0u, 0xFFu, 0xF7u, + 0x7Bu, 0xFFu, 0x18u, 0x4Bu, 0x1Au, 0x88u, 0x90u, 0xB2u, + 0x00u, 0x28u, 0x23u, 0xD0u, 0xBDu, 0xE8u, 0x10u, 0x40u, + 0xFFu, 0xF7u, 0xAAu, 0xBEu, 0xF0u, 0xB9u, 0x14u, 0x48u, + 0x01u, 0x78u, 0xD9u, 0xB9u, 0x44u, 0x1Cu, 0x23u, 0x78u, + 0x12u, 0x4Au, 0x13u, 0x70u, 0x14u, 0x4Bu, 0x14u, 0x78u, + 0x18u, 0x78u, 0x84u, 0x42u, 0x01u, 0xD2u, 0x19u, 0x70u, + 0x04u, 0xE0u, 0x19u, 0x78u, 0x01u, 0x29u, 0x01u, 0xD9u, + 0x12u, 0x78u, 0x1Au, 0x70u, 0xBDu, 0xE8u, 0x10u, 0x40u, + 0xFFu, 0xF7u, 0x6Cu, 0xBDu, 0x30u, 0xB9u, 0x08u, 0x48u, + 0x03u, 0x78u, 0x01u, 0x2Bu, 0x02u, 0xD8u, 0x02u, 0x78u, + 0x07u, 0x4Bu, 0xF2u, 0xE7u, 0x00u, 0x20u, 0x10u, 0xBDu, + 0x04u, 0x60u, 0x00u, 0x40u, 0x00u, 0x60u, 0x00u, 0x40u, + 0x03u, 0x60u, 0x00u, 0x40u, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x02u, 0x60u, 0x00u, 0x40u, 0xEAu, 0xC1u, 0xFFu, 0x1Fu, + 0xECu, 0xC1u, 0xFFu, 0x1Fu, 0x01u, 0x60u, 0x00u, 0x40u, + 0xEBu, 0xC1u, 0xFFu, 0x1Fu, 0x30u, 0xB5u, 0x01u, 0x22u, + 0x02u, 0xF1u, 0x0Fu, 0x03u, 0x18u, 0x01u, 0x09u, 0x2Au, + 0xC3u, 0xB2u, 0x3Bu, 0xD0u, 0x1Fu, 0x49u, 0x03u, 0xF1u, + 0x80u, 0x44u, 0x0Cu, 0x20u, 0x04u, 0xF5u, 0xC1u, 0x45u, + 0x00u, 0xFBu, 0x02u, 0x14u, 0x28u, 0x70u, 0x1Cu, 0x49u, + 0x65u, 0x79u, 0x59u, 0x18u, 0x25u, 0xB1u, 0x24u, 0x79u, + 0x24u, 0x06u, 0x58u, 0xBFu, 0x08u, 0x20u, 0x00u, 0xE0u, + 0x80u, 0x20u, 0x08u, 0x70u, 0x17u, 0x49u, 0x0Cu, 0x24u, + 0x58u, 0x18u, 0x14u, 0x49u, 0x04u, 0xFBu, 0x02u, 0x11u, + 0x0Cu, 0x89u, 0x01u, 0x32u, 0xC4u, 0xF3u, 0x07u, 0x24u, + 0x04u, 0x70u, 0x0Cu, 0x89u, 0x12u, 0x48u, 0xE4u, 0xB2u, + 0x18u, 0x18u, 0x04u, 0x70u, 0xCCu, 0x88u, 0x11u, 0x48u, + 0xE4u, 0xB2u, 0x18u, 0x18u, 0x04u, 0x70u, 0xCCu, 0x88u, + 0x0Fu, 0x48u, 0xC4u, 0xF3u, 0x07u, 0x24u, 0x18u, 0x18u, + 0x04u, 0x70u, 0xCCu, 0x88u, 0x0Du, 0x48u, 0xE4u, 0xB2u, + 0x18u, 0x18u, 0x04u, 0x70u, 0x0Cu, 0x48u, 0xD2u, 0xB2u, + 0x18u, 0x18u, 0xCBu, 0x88u, 0xC3u, 0xF3u, 0x07u, 0x21u, + 0x01u, 0x70u, 0xBDu, 0xE7u, 0x09u, 0x49u, 0xFFu, 0x22u, + 0x0Au, 0x70u, 0x30u, 0xBDu, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x0Eu, 0x60u, 0x00u, 0x40u, 0x0Cu, 0x60u, 0x00u, 0x40u, + 0x0Du, 0x60u, 0x00u, 0x40u, 0x86u, 0x60u, 0x00u, 0x40u, + 0x87u, 0x60u, 0x00u, 0x40u, 0x84u, 0x60u, 0x00u, 0x40u, + 0x85u, 0x60u, 0x00u, 0x40u, 0x0Au, 0x60u, 0x00u, 0x40u, + 0x04u, 0x4Bu, 0x05u, 0x49u, 0x1Au, 0x78u, 0x01u, 0xEBu, + 0xC2u, 0x03u, 0x5Au, 0x68u, 0x02u, 0xEBu, 0xC0u, 0x00u, + 0xC0u, 0x68u, 0x70u, 0x47u, 0x6Cu, 0xC1u, 0xFFu, 0x1Fu, + 0xB0u, 0x20u, 0x00u, 0x00u, 0xF8u, 0xB5u, 0x3Du, 0x4Bu, + 0x1Au, 0x78u, 0x00u, 0x2Au, 0x74u, 0xD0u, 0x18u, 0x78u, + 0x41u, 0x1Eu, 0xC8u, 0xB2u, 0xFFu, 0xF7u, 0xE8u, 0xFFu, + 0xC3u, 0x68u, 0x05u, 0x7Au, 0x08u, 0x33u, 0x00u, 0x20u, + 0xA8u, 0x42u, 0x69u, 0xD0u, 0x13u, 0xF8u, 0x08u, 0x2Cu, + 0x35u, 0x49u, 0x36u, 0x4Cu, 0x8Eu, 0x5Cu, 0xA4u, 0x5Cu, + 0xA6u, 0x42u, 0x5Du, 0xD0u, 0x89u, 0x5Cu, 0x13u, 0xF8u, + 0x07u, 0x4Cu, 0x8Cu, 0x42u, 0x58u, 0xD1u, 0x32u, 0x49u, + 0x09u, 0x78u, 0x8Au, 0x42u, 0x54u, 0xD1u, 0x13u, 0xF8u, + 0x06u, 0x7Cu, 0x07u, 0xF0u, 0x7Fu, 0x02u, 0x56u, 0x1Eu, + 0x34u, 0x01u, 0xE1u, 0xB2u, 0x13u, 0xF8u, 0x05u, 0x6Cu, + 0x2Cu, 0x4Cu, 0x17u, 0xF0u, 0x80u, 0x0Fu, 0x4Fu, 0xF0u, + 0x0Cu, 0x07u, 0x06u, 0xF0u, 0x03u, 0x06u, 0x07u, 0xFBu, + 0x02u, 0x44u, 0x06u, 0xD0u, 0x01u, 0x27u, 0x67u, 0x70u, + 0xBEu, 0x42u, 0x14u, 0xBFu, 0x0Du, 0x26u, 0x07u, 0x26u, + 0x05u, 0xE0u, 0x00u, 0x27u, 0x67u, 0x70u, 0x01u, 0x2Eu, + 0x14u, 0xBFu, 0x09u, 0x26u, 0x05u, 0x26u, 0x66u, 0x71u, + 0x21u, 0x4Cu, 0x01u, 0x26u, 0x0Fu, 0x19u, 0x0Cu, 0x24u, + 0x54u, 0x43u, 0x3Eu, 0x70u, 0x1Du, 0x4Eu, 0x33u, 0xF8u, + 0x04u, 0x7Cu, 0x32u, 0x19u, 0x17u, 0x81u, 0x13u, 0xF8u, + 0x06u, 0x7Cu, 0x17u, 0x71u, 0x13u, 0xF8u, 0x05u, 0x7Cu, + 0x37u, 0x55u, 0x00u, 0x26u, 0xD6u, 0x70u, 0x16u, 0x89u, + 0x18u, 0x4Cu, 0xC6u, 0xF3u, 0x07u, 0x26u, 0x0Cu, 0x19u, + 0x26u, 0x70u, 0x16u, 0x89u, 0x16u, 0x4Cu, 0xF6u, 0xB2u, + 0x0Cu, 0x19u, 0x26u, 0x70u, 0xD6u, 0x88u, 0x15u, 0x4Cu, + 0xF6u, 0xB2u, 0x0Cu, 0x19u, 0x26u, 0x70u, 0xD6u, 0x88u, + 0x13u, 0x4Cu, 0xC6u, 0xF3u, 0x07u, 0x26u, 0x0Cu, 0x19u, + 0x26u, 0x70u, 0xD6u, 0x88u, 0x11u, 0x4Cu, 0xF6u, 0xB2u, + 0x0Cu, 0x19u, 0x26u, 0x70u, 0x10u, 0x4Cu, 0x0Cu, 0x19u, + 0xD1u, 0x88u, 0xC1u, 0xF3u, 0x07u, 0x22u, 0x22u, 0x70u, + 0x01u, 0x30u, 0xC0u, 0xB2u, 0x08u, 0x33u, 0x93u, 0xE7u, + 0xF8u, 0xBDu, 0x00u, 0xBFu, 0x71u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, 0xE4u, 0xC1u, 0xFFu, 0x1Fu, + 0x04u, 0x60u, 0x00u, 0x40u, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x0Eu, 0x60u, 0x00u, 0x40u, 0x0Cu, 0x60u, 0x00u, 0x40u, + 0x0Du, 0x60u, 0x00u, 0x40u, 0x86u, 0x60u, 0x00u, 0x40u, + 0x87u, 0x60u, 0x00u, 0x40u, 0x84u, 0x60u, 0x00u, 0x40u, + 0x85u, 0x60u, 0x00u, 0x40u, 0x08u, 0xB5u, 0x06u, 0x4Bu, + 0x18u, 0x78u, 0x41u, 0x1Eu, 0xC8u, 0xB2u, 0xFFu, 0xF7u, + 0x57u, 0xFFu, 0x42u, 0x68u, 0x13u, 0x79u, 0x00u, 0xEBu, + 0xC3u, 0x00u, 0x40u, 0x69u, 0x08u, 0xBDu, 0x00u, 0xBFu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0xF8u, 0xB5u, 0x00u, 0x21u, + 0x0Cu, 0x24u, 0x4Cu, 0x43u, 0x51u, 0x4Du, 0x01u, 0x31u, + 0x00u, 0x23u, 0x2Au, 0x19u, 0x09u, 0x29u, 0x2Bu, 0x55u, + 0x93u, 0x70u, 0x02u, 0xF1u, 0x08u, 0x04u, 0x53u, 0x70u, + 0xD3u, 0x70u, 0x53u, 0x71u, 0x13u, 0x81u, 0x93u, 0x72u, + 0xEEu, 0xD1u, 0x18u, 0xB1u, 0x4Au, 0x48u, 0x4Bu, 0x4Au, + 0x03u, 0x70u, 0x13u, 0x70u, 0x4Au, 0x4Bu, 0x19u, 0x78u, + 0x00u, 0x29u, 0x00u, 0xF0u, 0x8Au, 0x80u, 0x1Cu, 0x78u, + 0x60u, 0x1Eu, 0xC0u, 0xB2u, 0xFFu, 0xF7u, 0x2Cu, 0xFFu, + 0x42u, 0x68u, 0xD3u, 0x79u, 0x03u, 0xF0u, 0x40u, 0x01u, + 0xCCu, 0xB2u, 0x44u, 0x4Bu, 0x1Cu, 0xB1u, 0x1Cu, 0x78u, + 0x44u, 0xF0u, 0x01u, 0x01u, 0x02u, 0xE0u, 0x1Au, 0x78u, + 0x02u, 0xF0u, 0xFEu, 0x01u, 0x04u, 0x7Au, 0x19u, 0x70u, + 0x01u, 0x22u, 0xC3u, 0x68u, 0x00u, 0x21u, 0x08u, 0x33u, + 0xA1u, 0x42u, 0x43u, 0xD0u, 0x13u, 0xF8u, 0x06u, 0x5Cu, + 0x05u, 0xF0u, 0x7Fu, 0x06u, 0xB2u, 0x42u, 0x39u, 0xD1u, + 0x34u, 0x4Eu, 0x0Cu, 0x27u, 0x07u, 0xFBu, 0x02u, 0x66u, + 0xB6u, 0xF8u, 0x08u, 0xE0u, 0x33u, 0xF8u, 0x04u, 0x7Cu, + 0x1Fu, 0xFAu, 0x8Eu, 0xFCu, 0xBCu, 0x45u, 0x38u, 0xBFu, + 0x37u, 0x81u, 0x13u, 0xF8u, 0x08u, 0x6Cu, 0x2Eu, 0x4Fu, + 0xBEu, 0x5Du, 0x13u, 0xF8u, 0x07u, 0x7Cu, 0xB7u, 0x42u, + 0x24u, 0xD1u, 0x13u, 0xF8u, 0x05u, 0x6Cu, 0x15u, 0xF0u, + 0x80u, 0x0Fu, 0x28u, 0x4Du, 0x4Fu, 0xF0u, 0x0Cu, 0x07u, + 0x06u, 0xF0u, 0x03u, 0x06u, 0x07u, 0xFBu, 0x02u, 0x55u, + 0x06u, 0xD0u, 0x01u, 0x27u, 0x6Fu, 0x70u, 0xBEu, 0x42u, + 0x14u, 0xBFu, 0x0Du, 0x26u, 0x07u, 0x26u, 0x05u, 0xE0u, + 0x00u, 0x27u, 0x6Fu, 0x70u, 0x01u, 0x2Eu, 0x14u, 0xBFu, + 0x09u, 0x26u, 0x05u, 0x26u, 0x6Eu, 0x71u, 0x0Cu, 0x25u, + 0x55u, 0x43u, 0x1Cu, 0x4Eu, 0x13u, 0xF8u, 0x06u, 0xECu, + 0x77u, 0x19u, 0x87u, 0xF8u, 0x04u, 0xE0u, 0x13u, 0xF8u, + 0x05u, 0x7Cu, 0x77u, 0x55u, 0x01u, 0x31u, 0xC9u, 0xB2u, + 0x08u, 0x33u, 0xB9u, 0xE7u, 0x01u, 0x32u, 0x09u, 0x2Au, + 0xB3u, 0xD1u, 0xC3u, 0x68u, 0x00u, 0x22u, 0x08u, 0x33u, + 0xA2u, 0x42u, 0x0Du, 0xD0u, 0x13u, 0xF8u, 0x06u, 0x5Cu, + 0x0Cu, 0x26u, 0x05u, 0xF0u, 0x7Fu, 0x01u, 0x0Fu, 0x4Du, + 0x13u, 0xF8u, 0x08u, 0x0Cu, 0x06u, 0xFBu, 0x01u, 0x51u, + 0x01u, 0x32u, 0x88u, 0x72u, 0xD2u, 0xB2u, 0xEEu, 0xE7u, + 0xFFu, 0xF7u, 0x5Cu, 0xFFu, 0x0Eu, 0x4Bu, 0x00u, 0x22u, + 0x18u, 0x60u, 0x01u, 0x23u, 0x07u, 0x49u, 0x0Cu, 0x20u, + 0x00u, 0xFBu, 0x03u, 0x10u, 0xC2u, 0x80u, 0x01u, 0x89u, + 0x01u, 0x33u, 0x52u, 0x18u, 0x09u, 0x2Bu, 0x92u, 0xB2u, + 0xF4u, 0xD1u, 0xBDu, 0xE8u, 0xF8u, 0x40u, 0xFFu, 0xF7u, + 0x4Du, 0xBEu, 0xF8u, 0xBDu, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, 0xE4u, 0xC1u, 0xFFu, 0x1Fu, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x6Fu, 0xC1u, 0xFFu, 0x1Fu, + 0x74u, 0xC1u, 0xFFu, 0x1Fu, 0x12u, 0x4Bu, 0x19u, 0x78u, + 0x01u, 0xF0u, 0x7Fu, 0x01u, 0x4Au, 0x1Eu, 0xD0u, 0xB2u, + 0x07u, 0x28u, 0x1Au, 0xD8u, 0x03u, 0x01u, 0xDAu, 0xB2u, + 0x0Eu, 0x4Bu, 0x0Cu, 0x20u, 0x00u, 0xFBu, 0x01u, 0x30u, + 0x81u, 0x78u, 0x41u, 0xF0u, 0x01u, 0x03u, 0x00u, 0x21u, + 0x83u, 0x70u, 0xC1u, 0x70u, 0x43u, 0x78u, 0x43u, 0xF0u, + 0x02u, 0x01u, 0x41u, 0x70u, 0x00u, 0x79u, 0x08u, 0x4Bu, + 0x10u, 0xF0u, 0x80u, 0x0Fu, 0x01u, 0xD0u, 0x8Du, 0x21u, + 0x00u, 0xE0u, 0x89u, 0x21u, 0xD1u, 0x54u, 0xFFu, 0xF7u, + 0x6Du, 0xBBu, 0x00u, 0x20u, 0x70u, 0x47u, 0x00u, 0xBFu, + 0x04u, 0x60u, 0x00u, 0x40u, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x0Eu, 0x60u, 0x00u, 0x40u, 0x10u, 0xB5u, 0x1Au, 0x4Bu, + 0x18u, 0x78u, 0x00u, 0xF0u, 0x7Fu, 0x03u, 0x5Au, 0x1Eu, + 0xD2u, 0xB2u, 0x07u, 0x2Au, 0x2Au, 0xD8u, 0x17u, 0x49u, + 0x0Cu, 0x20u, 0x00u, 0xFBu, 0x03u, 0x13u, 0x9Cu, 0x78u, + 0x12u, 0x01u, 0x04u, 0xF0u, 0xFEu, 0x01u, 0x99u, 0x70u, + 0x00u, 0x24u, 0x13u, 0x49u, 0xDCu, 0x70u, 0xD2u, 0xB2u, + 0x54u, 0x5Cu, 0x04u, 0xF0u, 0x7Fu, 0x04u, 0x54u, 0x54u, + 0x59u, 0x78u, 0x01u, 0xF0u, 0xFDu, 0x01u, 0x59u, 0x70u, + 0x19u, 0x79u, 0x5Bu, 0x78u, 0x11u, 0xF0u, 0x80u, 0x0Fu, + 0x0Cu, 0x49u, 0x05u, 0xD0u, 0x01u, 0x2Bu, 0x01u, 0xD1u, + 0x50u, 0x54u, 0x07u, 0xE0u, 0x0Du, 0x20u, 0x04u, 0xE0u, + 0x01u, 0x2Bu, 0x01u, 0xD1u, 0x08u, 0x20u, 0x00u, 0xE0u, + 0x09u, 0x20u, 0x50u, 0x54u, 0xBDu, 0xE8u, 0x10u, 0x40u, + 0xFFu, 0xF7u, 0x30u, 0xBBu, 0x00u, 0x20u, 0x10u, 0xBDu, + 0x04u, 0x60u, 0x00u, 0x40u, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x0Cu, 0x60u, 0x00u, 0x40u, 0x0Eu, 0x60u, 0x00u, 0x40u, + 0x10u, 0xB5u, 0x0Cu, 0x4Bu, 0x0Cu, 0x48u, 0x1Cu, 0x78u, + 0x01u, 0x78u, 0x4Au, 0x1Eu, 0xD0u, 0xB2u, 0xFFu, 0xF7u, + 0x27u, 0xFEu, 0x43u, 0x68u, 0x18u, 0x79u, 0xA0u, 0x42u, + 0x09u, 0xD9u, 0x44u, 0xB9u, 0x07u, 0x4Bu, 0x08u, 0x4Au, + 0x19u, 0x78u, 0x08u, 0x48u, 0x11u, 0x70u, 0x01u, 0x78u, + 0x01u, 0x20u, 0x19u, 0x70u, 0x10u, 0xBDu, 0x00u, 0x20u, + 0x10u, 0xBDu, 0x00u, 0xBFu, 0x04u, 0x60u, 0x00u, 0x40u, + 0x71u, 0xC1u, 0xFFu, 0x1Fu, 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, + 0xE4u, 0xC1u, 0xFFu, 0x1Fu, 0x02u, 0x60u, 0x00u, 0x40u, + 0x10u, 0xB5u, 0x7Cu, 0x4Bu, 0x00u, 0x22u, 0x1Au, 0x80u, + 0x7Bu, 0x4Au, 0x7Cu, 0x48u, 0x11u, 0x78u, 0x11u, 0xF0u, + 0x80u, 0x0Fu, 0x00u, 0xF0u, 0x8Au, 0x80u, 0x01u, 0x78u, + 0x0Au, 0x29u, 0x00u, 0xF2u, 0x22u, 0x81u, 0xDFu, 0xE8u, + 0x11u, 0xF0u, 0x58u, 0x00u, 0x20u, 0x01u, 0x20u, 0x01u, + 0x20u, 0x01u, 0x20u, 0x01u, 0x20u, 0x01u, 0x0Bu, 0x00u, + 0x20u, 0x01u, 0x78u, 0x00u, 0x20u, 0x01u, 0x7Cu, 0x00u, + 0x71u, 0x4Bu, 0x19u, 0x78u, 0x01u, 0x29u, 0x0Au, 0xD1u, + 0x70u, 0x48u, 0x71u, 0x49u, 0x02u, 0x78u, 0x01u, 0xEBu, + 0xC2u, 0x03u, 0x58u, 0x68u, 0x12u, 0x23u, 0x42u, 0x68u, + 0x68u, 0x48u, 0x42u, 0x60u, 0x0Fu, 0xE0u, 0x18u, 0x78u, + 0x02u, 0x28u, 0x11u, 0xD1u, 0x6Bu, 0x4Bu, 0x18u, 0x78u, + 0xFFu, 0xF7u, 0xDAu, 0xFDu, 0x42u, 0x68u, 0x63u, 0x48u, + 0x42u, 0x60u, 0x41u, 0x68u, 0xCBu, 0x78u, 0x42u, 0x68u, + 0x91u, 0x78u, 0x41u, 0xEAu, 0x03u, 0x23u, 0x03u, 0x80u, + 0xBDu, 0xE8u, 0x10u, 0x40u, 0xFFu, 0xF7u, 0x0Cu, 0xBCu, + 0x1Au, 0x78u, 0x03u, 0x2Au, 0x21u, 0xD1u, 0x62u, 0x4Bu, + 0x00u, 0x22u, 0x60u, 0x49u, 0x08u, 0x78u, 0x90u, 0x42u, + 0x0Au, 0xD8u, 0x0Au, 0x78u, 0x82u, 0xB1u, 0x5Du, 0x49u, + 0x5Eu, 0x48u, 0x09u, 0x78u, 0x5Eu, 0x4Au, 0x00u, 0x7Cu, + 0x88u, 0x42u, 0x08u, 0xBFu, 0x13u, 0x46u, 0x07u, 0xE0u, + 0x18u, 0x78u, 0x00u, 0x28u, 0xF1u, 0xD0u, 0x19u, 0x78u, + 0x50u, 0x1Cu, 0x5Bu, 0x18u, 0xC2u, 0xB2u, 0xE8u, 0xE7u, + 0x19u, 0x78u, 0x00u, 0x29u, 0x00u, 0xF0u, 0xD1u, 0x80u, + 0x18u, 0x78u, 0x4Cu, 0x4Au, 0x10u, 0x80u, 0x53u, 0x60u, + 0xD6u, 0xE7u, 0xBDu, 0xE8u, 0x10u, 0x40u, 0x00u, 0xF0u, + 0xDDu, 0xB8u, 0x11u, 0x78u, 0x11u, 0xF0u, 0x03u, 0x02u, + 0x11u, 0xD0u, 0x02u, 0x2Au, 0x40u, 0xF0u, 0xC1u, 0x80u, + 0x44u, 0x49u, 0x4Eu, 0x4Bu, 0x0Au, 0x80u, 0x18u, 0x78u, + 0x4Du, 0x4Au, 0x00u, 0xF0u, 0x7Fu, 0x03u, 0x0Cu, 0x20u, + 0x00u, 0xFBu, 0x03u, 0x23u, 0x98u, 0x78u, 0x4Bu, 0x4Bu, + 0x00u, 0x22u, 0x18u, 0x70u, 0x06u, 0xE0u, 0x3Du, 0x49u, + 0x02u, 0x23u, 0x49u, 0x48u, 0x0Bu, 0x80u, 0x00u, 0x78u, + 0x46u, 0x4Bu, 0x18u, 0x70u, 0x5Au, 0x70u, 0x4Bu, 0x60u, + 0xB2u, 0xE7u, 0x01u, 0x22u, 0x1Au, 0x80u, 0x45u, 0x48u, + 0x05u, 0xE0u, 0x01u, 0x22u, 0x3Fu, 0x48u, 0x1Au, 0x80u, + 0x02u, 0x78u, 0x43u, 0x49u, 0x88u, 0x18u, 0x58u, 0x60u, + 0xA6u, 0xE7u, 0x03u, 0x78u, 0x58u, 0x1Eu, 0x0Au, 0x28u, + 0x00u, 0xF2u, 0x97u, 0x80u, 0xDFu, 0xE8u, 0x10u, 0xF0u, + 0x2Bu, 0x00u, 0x95u, 0x00u, 0x44u, 0x00u, 0x95u, 0x00u, + 0x0Bu, 0x00u, 0x95u, 0x00u, 0x95u, 0x00u, 0x95u, 0x00u, + 0x0Fu, 0x00u, 0x95u, 0x00u, 0x19u, 0x00u, 0x2Fu, 0x4Bu, + 0x38u, 0x49u, 0x18u, 0x78u, 0x4Cu, 0xE0u, 0x2Du, 0x4Bu, + 0x34u, 0x4Au, 0x18u, 0x78u, 0x36u, 0x49u, 0x10u, 0x70u, + 0x01u, 0x20u, 0x08u, 0x70u, 0xFFu, 0xF7u, 0x0Au, 0xFEu, + 0x77u, 0xE0u, 0xFFu, 0xF7u, 0x25u, 0xFFu, 0x00u, 0x28u, + 0x77u, 0xD0u, 0x2Au, 0x4Cu, 0x31u, 0x4Au, 0x24u, 0x78u, + 0x2Fu, 0x4Bu, 0x01u, 0x21u, 0x14u, 0x70u, 0x19u, 0x70u, + 0xFFu, 0xF7u, 0x58u, 0xFDu, 0x2Au, 0x48u, 0x2Eu, 0x49u, + 0x02u, 0x5Du, 0x0Au, 0x55u, 0x65u, 0xE0u, 0x12u, 0x78u, + 0x02u, 0xF0u, 0x03u, 0x01u, 0x01u, 0x29u, 0x55u, 0xD0u, + 0x09u, 0xD3u, 0x02u, 0x29u, 0x61u, 0xD1u, 0x1Bu, 0x4Bu, + 0x18u, 0x78u, 0x00u, 0x28u, 0x5Du, 0xD1u, 0xBDu, 0xE8u, + 0x10u, 0x40u, 0xFFu, 0xF7u, 0xC7u, 0xBEu, 0x17u, 0x4Au, + 0x11u, 0x78u, 0x01u, 0x29u, 0x55u, 0xD1u, 0x1Cu, 0x49u, + 0x0Bu, 0x78u, 0x03u, 0xF0u, 0xFDu, 0x00u, 0x17u, 0xE0u, + 0x10u, 0x78u, 0x00u, 0xF0u, 0x03u, 0x02u, 0x01u, 0x2Au, + 0x3Cu, 0xD0u, 0x09u, 0xD3u, 0x02u, 0x2Au, 0x48u, 0xD1u, + 0x0Eu, 0x49u, 0x0Bu, 0x78u, 0x00u, 0x2Bu, 0x44u, 0xD1u, + 0xBDu, 0xE8u, 0x10u, 0x40u, 0xFFu, 0xF7u, 0x82u, 0xBEu, + 0x0Au, 0x48u, 0x02u, 0x78u, 0x01u, 0x2Au, 0x3Cu, 0xD1u, + 0x0Fu, 0x49u, 0x0Bu, 0x78u, 0x43u, 0xF0u, 0x02u, 0x00u, + 0x08u, 0x70u, 0x32u, 0xE0u, 0x60u, 0xC1u, 0xFFu, 0x1Fu, + 0x00u, 0x60u, 0x00u, 0x40u, 0x01u, 0x60u, 0x00u, 0x40u, + 0x03u, 0x60u, 0x00u, 0x40u, 0x6Cu, 0xC1u, 0xFFu, 0x1Fu, + 0xB0u, 0x20u, 0x00u, 0x00u, 0x02u, 0x60u, 0x00u, 0x40u, + 0x76u, 0x21u, 0x00u, 0x00u, 0xF2u, 0x21u, 0x00u, 0x00u, + 0x6Cu, 0x21u, 0x00u, 0x00u, 0x04u, 0x60u, 0x00u, 0x40u, + 0x78u, 0xC1u, 0xFFu, 0x1Fu, 0x55u, 0xC1u, 0xFFu, 0x1Fu, + 0x6Fu, 0xC1u, 0xFFu, 0x1Fu, 0x71u, 0xC1u, 0xFFu, 0x1Fu, + 0x5Eu, 0xC1u, 0xFFu, 0x1Fu, 0x5Cu, 0xC1u, 0xFFu, 0x1Fu, + 0x70u, 0xC1u, 0xFFu, 0x1Fu, 0x6Du, 0xC1u, 0xFFu, 0x1Fu, + 0xE4u, 0xC1u, 0xFFu, 0x1Fu, 0x08u, 0x48u, 0x02u, 0x78u, + 0x5Au, 0xB9u, 0x03u, 0x78u, 0x07u, 0x4Au, 0x08u, 0x48u, + 0xD1u, 0x5Cu, 0x00u, 0x78u, 0x21u, 0xEAu, 0x00u, 0x01u, + 0xD1u, 0x54u, 0xBDu, 0xE8u, 0x10u, 0x40u, 0xFFu, 0xF7u, + 0xD1u, 0xB9u, 0x00u, 0x20u, 0x10u, 0xBDu, 0x00u, 0xBFu, + 0x04u, 0x60u, 0x00u, 0x40u, 0x73u, 0xC1u, 0xFFu, 0x1Fu, + 0x02u, 0x60u, 0x00u, 0x40u, 0x03u, 0x4Bu, 0x18u, 0x78u, + 0x01u, 0x06u, 0x44u, 0xBFu, 0x02u, 0x49u, 0x09u, 0x78u, + 0x00u, 0x20u, 0x70u, 0x47u, 0x00u, 0x60u, 0x00u, 0x40u, + 0x01u, 0x60u, 0x00u, 0x40u, 0x0Fu, 0x4Bu, 0x18u, 0x78u, + 0x00u, 0xF0u, 0x03u, 0x01u, 0x01u, 0x29u, 0x0Cu, 0xD0u, + 0x02u, 0x29u, 0x0Du, 0xD1u, 0x0Cu, 0x4Au, 0x0Cu, 0x21u, + 0x10u, 0x78u, 0x0Cu, 0x4Au, 0x00u, 0xF0u, 0x7Fu, 0x03u, + 0x01u, 0xFBu, 0x03u, 0x20u, 0x08u, 0x30u, 0x83u, 0x78u, + 0x03u, 0xE0u, 0x07u, 0x4Bu, 0x1Bu, 0x78u, 0x00u, 0xE0u, + 0x00u, 0x23u, 0x07u, 0x49u, 0x0Au, 0x68u, 0xD0u, 0x5Cu, + 0x03u, 0x28u, 0x01u, 0xD1u, 0xFFu, 0xF7u, 0xC6u, 0xBBu, + 0x00u, 0x20u, 0x70u, 0x47u, 0x00u, 0x60u, 0x00u, 0x40u, + 0x04u, 0x60u, 0x00u, 0x40u, 0x78u, 0xC1u, 0xFFu, 0x1Fu, + 0x74u, 0xC1u, 0xFFu, 0x1Fu, 0x38u, 0xB5u, 0x0Eu, 0x4Du, + 0x0Eu, 0x4Bu, 0x00u, 0x24u, 0xE8u, 0x1Au, 0x85u, 0x10u, + 0xACu, 0x42u, 0x05u, 0xD0u, 0x0Bu, 0x49u, 0x51u, 0xF8u, + 0x24u, 0x20u, 0x90u, 0x47u, 0x01u, 0x34u, 0xF7u, 0xE7u, + 0x00u, 0xF0u, 0x34u, 0xF9u, 0x08u, 0x49u, 0x09u, 0x4Au, + 0x54u, 0x1Au, 0xA5u, 0x10u, 0x00u, 0x24u, 0xACu, 0x42u, + 0x05u, 0xD0u, 0x05u, 0x4Bu, 0x53u, 0xF8u, 0x24u, 0x00u, + 0x80u, 0x47u, 0x01u, 0x34u, 0xF7u, 0xE7u, 0x38u, 0xBDu, + 0x10u, 0x22u, 0x00u, 0x00u, 0x10u, 0x22u, 0x00u, 0x00u, + 0x10u, 0x22u, 0x00u, 0x00u, 0x18u, 0x22u, 0x00u, 0x00u, + 0x10u, 0xB5u, 0x00u, 0x23u, 0x93u, 0x42u, 0x03u, 0xD0u, + 0xCCu, 0x5Cu, 0xC4u, 0x54u, 0x01u, 0x33u, 0xF9u, 0xE7u, + 0x10u, 0xBDu, 0x82u, 0x18u, 0x03u, 0x46u, 0x93u, 0x42u, + 0x02u, 0xD0u, 0x03u, 0xF8u, 0x01u, 0x1Bu, 0xFAu, 0xE7u, + 0x70u, 0x47u, 0x00u, 0x00u, 0x58u, 0x22u, 0x00u, 0x00u, + 0x81u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x10u, 0x51u, 0x00u, 0x40u, 0x30u, 0x00u, 0x50u, 0x51u, + 0x00u, 0x40u, 0x10u, 0x00u, 0xC0u, 0x51u, 0x00u, 0x40u, + 0x10u, 0x00u, 0x00u, 0x00u, 0x01u, 0x40u, 0x00u, 0x10u, + 0x00u, 0x14u, 0x01u, 0x40u, 0x00u, 0x08u, 0x00u, 0x40u, + 0x01u, 0x40u, 0x00u, 0x0Au, 0x00u, 0x4Cu, 0x01u, 0x40u, + 0x00u, 0x02u, 0x00u, 0x50u, 0x01u, 0x40u, 0x20u, 0x00u, + 0x01u, 0x45u, 0x00u, 0x40u, 0x01u, 0x52u, 0x00u, 0x40u, + 0x01u, 0x17u, 0x01u, 0x40u, 0x01u, 0x19u, 0x01u, 0x40u, + 0x03u, 0x40u, 0x01u, 0x40u, 0x02u, 0x41u, 0x01u, 0x40u, + 0x02u, 0x42u, 0x01u, 0x40u, 0x02u, 0x43u, 0x01u, 0x40u, + 0x03u, 0x47u, 0x01u, 0x40u, 0x03u, 0x48u, 0x01u, 0x40u, + 0x02u, 0x4Cu, 0x01u, 0x40u, 0x01u, 0x51u, 0x01u, 0x40u, + 0x7Eu, 0x02u, 0x7Cu, 0x40u, 0xEEu, 0x0Au, 0xEEu, 0x0Au, + 0x33u, 0x80u, 0x36u, 0x40u, 0xCCu, 0x30u, 0xA6u, 0x40u, + 0xA7u, 0x80u, 0xA6u, 0x40u, 0xA7u, 0x80u, 0xA6u, 0x40u, + 0xA7u, 0x80u, 0x08u, 0x08u, 0x0Fu, 0x40u, 0xC2u, 0x0Cu, + 0xAEu, 0x40u, 0xAFu, 0x80u, 0xEEu, 0x50u, 0xACu, 0x08u, + 0xAFu, 0x40u, 0x00u, 0x0Au, 0x00u, 0xFFu, 0xFFu, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0xC0u, 0x00u, 0x00u, 0xFCu, + 0xFCu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x0Fu, + 0x0Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x69u, 0x30u, 0x13u, 0x2Eu, 0x00u, 0x14u, 0x01u, 0x01u, + 0x01u, 0x00u, 0x00u, 0x00u, 0xB8u, 0x20u, 0x00u, 0x00u, + 0x01u, 0x00u, 0x00u, 0x00u, 0xF2u, 0x21u, 0x00u, 0x00u, + 0x01u, 0x00u, 0x00u, 0x00u, 0xC8u, 0x20u, 0x00u, 0x00u, + 0x01u, 0x00u, 0x00u, 0x00u, 0xC9u, 0x21u, 0x00u, 0x00u, + 0x02u, 0x00u, 0x00u, 0x00u, 0xEAu, 0x20u, 0x00u, 0x00u, + 0x01u, 0x00u, 0x00u, 0x00u, 0xFCu, 0x20u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0xE8u, 0x20u, 0x00u, 0x00u, + 0x03u, 0x00u, 0x00u, 0x00u, 0x01u, 0x03u, 0x40u, 0x00u, + 0x03u, 0x00u, 0x00u, 0x00u, 0x82u, 0x03u, 0x40u, 0x00u, + 0x03u, 0x00u, 0x00u, 0x00u, 0x01u, 0x00u, 0x00u, 0x00u, + 0x04u, 0x21u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x38u, 0x21u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x2Cu, 0x21u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, 0x00u, 0x00u, 0x00u, + 0x44u, 0x21u, 0x00u, 0x00u, 0x01u, 0x00u, 0x00u, 0x00u, + 0xDBu, 0x21u, 0x00u, 0x00u, 0x41u, 0x00u, 0x00u, 0x00u, + 0x33u, 0xC2u, 0xFFu, 0x1Fu, 0x74u, 0xC2u, 0xFFu, 0x1Fu, + 0x41u, 0x00u, 0x00u, 0x00u, 0xF2u, 0xC1u, 0xFFu, 0x1Fu, + 0xEEu, 0xC1u, 0xFFu, 0x1Fu, 0x24u, 0x00u, 0x05u, 0x01u, + 0x09u, 0x00u, 0xA1u, 0x00u, 0x09u, 0x00u, 0xA1u, 0x00u, + 0x09u, 0x00u, 0x15u, 0x00u, 0x25u, 0xFFu, 0x75u, 0x08u, + 0x95u, 0x40u, 0x91u, 0x02u, 0x09u, 0x00u, 0x15u, 0x00u, + 0x25u, 0xFFu, 0x75u, 0x08u, 0x95u, 0x40u, 0x81u, 0x02u, + 0xC0u, 0xC0u, 0x00u, 0x00u, 0x0Au, 0x03u, 0x30u, 0x00u, + 0x30u, 0x00u, 0x30u, 0x00u, 0x31u, 0x00u, 0x04u, 0x03u, + 0x09u, 0x04u, 0x2Cu, 0x03u, 0x43u, 0x00u, 0x79u, 0x00u, + 0x70u, 0x00u, 0x72u, 0x00u, 0x65u, 0x00u, 0x73u, 0x00u, + 0x73u, 0x00u, 0x20u, 0x00u, 0x53u, 0x00u, 0x65u, 0x00u, + 0x6Du, 0x00u, 0x69u, 0x00u, 0x63u, 0x00u, 0x6Fu, 0x00u, + 0x6Eu, 0x00u, 0x64u, 0x00u, 0x75u, 0x00u, 0x63u, 0x00u, + 0x74u, 0x00u, 0x6Fu, 0x00u, 0x72u, 0x00u, 0x22u, 0x03u, + 0x50u, 0x00u, 0x53u, 0x00u, 0x6Fu, 0x00u, 0x43u, 0x00u, + 0x33u, 0x00u, 0x20u, 0x00u, 0x42u, 0x00u, 0x6Fu, 0x00u, + 0x6Fu, 0x00u, 0x74u, 0x00u, 0x6Cu, 0x00u, 0x6Fu, 0x00u, + 0x61u, 0x00u, 0x64u, 0x00u, 0x65u, 0x00u, 0x72u, 0x00u, + 0x00u, 0x09u, 0x02u, 0x29u, 0x00u, 0x01u, 0x01u, 0x00u, + 0x80u, 0x00u, 0x09u, 0x04u, 0x00u, 0x00u, 0x02u, 0x03u, + 0x00u, 0x00u, 0x02u, 0x09u, 0x21u, 0x11u, 0x01u, 0x00u, + 0x01u, 0x22u, 0x24u, 0x00u, 0x07u, 0x05u, 0x01u, 0x03u, + 0x40u, 0x00u, 0x01u, 0x07u, 0x05u, 0x82u, 0x03u, 0x40u, + 0x00u, 0x01u, 0x12u, 0x01u, 0x00u, 0x02u, 0x00u, 0x00u, + 0x00u, 0x08u, 0xB4u, 0x04u, 0x1Du, 0xB7u, 0x01u, 0x30u, + 0x01u, 0x02u, 0x80u, 0x01u, 0xF8u, 0xB5u, 0x00u, 0xBFu, + 0xF8u, 0xBCu, 0x08u, 0xBCu, 0x9Eu, 0x46u, 0x70u, 0x47u, + 0x51u, 0x00u, 0x00u, 0x00u, 0xB9u, 0x01u, 0x00u, 0x00u, + 0xF8u, 0xB5u, 0x00u, 0xBFu, 0xF8u, 0xBCu, 0x08u, 0xBCu, + 0x9Eu, 0x46u, 0x70u, 0x47u, 0x2Du, 0x00u, 0x00u, 0x00u, + 0x38u, 0x22u, 0x00u, 0x00u, 0x08u, 0xC1u, 0xFFu, 0x1Fu, + 0x20u, 0x00u, 0x00u, 0x00u, 0x50u, 0x01u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0xECu, 0x1Fu, 0x00u, 0x00u, + 0xF0u, 0x1Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x7Du, + 0x00u, 0xFAu, 0x00u, 0x00u, 0x40u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x90u, 0xD0u, 0x03u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cymeta"), used)) +#elif defined(__ICCARM__) +#pragma location=".cymeta" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_metadata[] = { + 0x00u, 0x01u, 0x2Eu, 0x13u, 0x30u, 0x69u, 0x00u, 0x01u, + 0x2Eu, 0x1Fu, 0x88u, 0x6Bu}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cycustnvl"), used)) +#elif defined(__ICCARM__) +#pragma location=".cycustnvl" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_custnvl[] = { + 0x00u, 0x00u, 0x40u, 0x05u}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cywolatch"), used)) +#elif defined(__ICCARM__) +#pragma location=".cywolatch" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_wonvl[] = { + 0xBCu, 0x90u, 0xACu, 0xAFu}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyflashprotect"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyflashprotect" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_flashprotect[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.icf b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.icf new file mode 100755 index 0000000..7d8b8c2 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cybootloader.icf @@ -0,0 +1,3 @@ +/* GENERATED CODE -- CHANGES WILL BE OVERWRITTEN */ + +define symbol CYDEV_BTLDR_SIZE = 0x00002300; diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h old mode 100644 new mode 100755 index b9e0788..8263e30 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h @@ -17,21 +17,21 @@ #if !defined(CYDEVICE_H) #define CYDEVICE_H #define CYDEV_FLASH_BASE 0x00000000u -#define CYDEV_FLASH_SIZE 0x00040000u +#define CYDEV_FLASH_SIZE 0x00020000u #define CYDEV_FLASH_DATA_MBASE 0x00000000u -#define CYDEV_FLASH_DATA_MSIZE 0x00040000u -#define CYDEV_SRAM_BASE 0x1fff8000u -#define CYDEV_SRAM_SIZE 0x00010000u +#define CYDEV_FLASH_DATA_MSIZE 0x00020000u +#define CYDEV_SRAM_BASE 0x1fffc000u +#define CYDEV_SRAM_SIZE 0x00008000u #define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000u #define CYDEV_SRAM_CODE64K_MSIZE 0x00004000u #define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000u #define CYDEV_SRAM_CODE32K_MSIZE 0x00002000u #define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000u #define CYDEV_SRAM_CODE16K_MSIZE 0x00001000u -#define CYDEV_SRAM_CODE_MBASE 0x1fff8000u -#define CYDEV_SRAM_CODE_MSIZE 0x00008000u +#define CYDEV_SRAM_CODE_MBASE 0x1fffc000u +#define CYDEV_SRAM_CODE_MSIZE 0x00004000u #define CYDEV_SRAM_DATA_MBASE 0x20000000u -#define CYDEV_SRAM_DATA_MSIZE 0x00008000u +#define CYDEV_SRAM_DATA_MSIZE 0x00004000u #define CYDEV_SRAM_DATA16K_MBASE 0x20001000u #define CYDEV_SRAM_DATA16K_MSIZE 0x00001000u #define CYDEV_SRAM_DATA32K_MBASE 0x20002000u @@ -5331,7 +5331,6 @@ #define CYDEV_ECC_BASE CYDEV_FLSECC_BASE #define CYDEV_FLS_SECTOR_SIZE 0x00010000u #define CYDEV_FLS_ROW_SIZE 0x00000100u -#define CYDEV_ALLOCATE_EEPROM 0x00000001u #define CYDEV_ECC_SECTOR_SIZE 0x00002000u #define CYDEV_ECC_ROW_SIZE 0x00000020u #define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h old mode 100644 new mode 100755 index 1fbd788..08d77aa --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h @@ -17,21 +17,21 @@ #if !defined(CYDEVICE_TRM_H) #define CYDEVICE_TRM_H #define CYDEV_FLASH_BASE 0x00000000u -#define CYDEV_FLASH_SIZE 0x00040000u +#define CYDEV_FLASH_SIZE 0x00020000u #define CYREG_FLASH_DATA_MBASE 0x00000000u -#define CYREG_FLASH_DATA_MSIZE 0x00040000u -#define CYDEV_SRAM_BASE 0x1fff8000u -#define CYDEV_SRAM_SIZE 0x00010000u +#define CYREG_FLASH_DATA_MSIZE 0x00020000u +#define CYDEV_SRAM_BASE 0x1fffc000u +#define CYDEV_SRAM_SIZE 0x00008000u #define CYREG_SRAM_CODE64K_MBASE 0x1fff8000u #define CYREG_SRAM_CODE64K_MSIZE 0x00004000u #define CYREG_SRAM_CODE32K_MBASE 0x1fffc000u #define CYREG_SRAM_CODE32K_MSIZE 0x00002000u #define CYREG_SRAM_CODE16K_MBASE 0x1fffe000u #define CYREG_SRAM_CODE16K_MSIZE 0x00001000u -#define CYREG_SRAM_CODE_MBASE 0x1fff8000u -#define CYREG_SRAM_CODE_MSIZE 0x00008000u +#define CYREG_SRAM_CODE_MBASE 0x1fffc000u +#define CYREG_SRAM_CODE_MSIZE 0x00004000u #define CYREG_SRAM_DATA_MBASE 0x20000000u -#define CYREG_SRAM_DATA_MSIZE 0x00008000u +#define CYREG_SRAM_DATA_MSIZE 0x00004000u #define CYREG_SRAM_DATA16K_MBASE 0x20001000u #define CYREG_SRAM_DATA16K_MSIZE 0x00001000u #define CYREG_SRAM_DATA32K_MBASE 0x20002000u @@ -5331,7 +5331,6 @@ #define CYDEV_ECC_BASE CYDEV_FLSECC_BASE #define CYDEV_FLS_SECTOR_SIZE 0x00010000u #define CYDEV_FLS_ROW_SIZE 0x00000100u -#define CYDEV_ALLOCATE_EEPROM 0x00000001u #define CYDEV_ECC_SECTOR_SIZE 0x00002000u #define CYDEV_ECC_ROW_SIZE 0x00000020u #define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc old mode 100644 new mode 100755 index 88db2ec..833c2b6 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc @@ -15,21 +15,21 @@ ********************************************************************************/ .set CYDEV_FLASH_BASE, 0x00000000 -.set CYDEV_FLASH_SIZE, 0x00040000 +.set CYDEV_FLASH_SIZE, 0x00020000 .set CYDEV_FLASH_DATA_MBASE, 0x00000000 -.set CYDEV_FLASH_DATA_MSIZE, 0x00040000 -.set CYDEV_SRAM_BASE, 0x1fff8000 -.set CYDEV_SRAM_SIZE, 0x00010000 +.set CYDEV_FLASH_DATA_MSIZE, 0x00020000 +.set CYDEV_SRAM_BASE, 0x1fffc000 +.set CYDEV_SRAM_SIZE, 0x00008000 .set CYDEV_SRAM_CODE64K_MBASE, 0x1fff8000 .set CYDEV_SRAM_CODE64K_MSIZE, 0x00004000 .set CYDEV_SRAM_CODE32K_MBASE, 0x1fffc000 .set CYDEV_SRAM_CODE32K_MSIZE, 0x00002000 .set CYDEV_SRAM_CODE16K_MBASE, 0x1fffe000 .set CYDEV_SRAM_CODE16K_MSIZE, 0x00001000 -.set CYDEV_SRAM_CODE_MBASE, 0x1fff8000 -.set CYDEV_SRAM_CODE_MSIZE, 0x00008000 +.set CYDEV_SRAM_CODE_MBASE, 0x1fffc000 +.set CYDEV_SRAM_CODE_MSIZE, 0x00004000 .set CYDEV_SRAM_DATA_MBASE, 0x20000000 -.set CYDEV_SRAM_DATA_MSIZE, 0x00008000 +.set CYDEV_SRAM_DATA_MSIZE, 0x00004000 .set CYDEV_SRAM_DATA16K_MBASE, 0x20001000 .set CYDEV_SRAM_DATA16K_MSIZE, 0x00001000 .set CYDEV_SRAM_DATA32K_MBASE, 0x20002000 @@ -5329,7 +5329,6 @@ .set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE .set CYDEV_FLS_SECTOR_SIZE, 0x00010000 .set CYDEV_FLS_ROW_SIZE, 0x00000100 -.set CYDEV_ALLOCATE_EEPROM, 0x00000001 .set CYDEV_ECC_SECTOR_SIZE, 0x00002000 .set CYDEV_ECC_ROW_SIZE, 0x00000020 .set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc old mode 100644 new mode 100755 index f7aaccf..ffbe68b --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc @@ -15,21 +15,21 @@ ********************************************************************************/ .set CYDEV_FLASH_BASE, 0x00000000 -.set CYDEV_FLASH_SIZE, 0x00040000 +.set CYDEV_FLASH_SIZE, 0x00020000 .set CYREG_FLASH_DATA_MBASE, 0x00000000 -.set CYREG_FLASH_DATA_MSIZE, 0x00040000 -.set CYDEV_SRAM_BASE, 0x1fff8000 -.set CYDEV_SRAM_SIZE, 0x00010000 +.set CYREG_FLASH_DATA_MSIZE, 0x00020000 +.set CYDEV_SRAM_BASE, 0x1fffc000 +.set CYDEV_SRAM_SIZE, 0x00008000 .set CYREG_SRAM_CODE64K_MBASE, 0x1fff8000 .set CYREG_SRAM_CODE64K_MSIZE, 0x00004000 .set CYREG_SRAM_CODE32K_MBASE, 0x1fffc000 .set CYREG_SRAM_CODE32K_MSIZE, 0x00002000 .set CYREG_SRAM_CODE16K_MBASE, 0x1fffe000 .set CYREG_SRAM_CODE16K_MSIZE, 0x00001000 -.set CYREG_SRAM_CODE_MBASE, 0x1fff8000 -.set CYREG_SRAM_CODE_MSIZE, 0x00008000 +.set CYREG_SRAM_CODE_MBASE, 0x1fffc000 +.set CYREG_SRAM_CODE_MSIZE, 0x00004000 .set CYREG_SRAM_DATA_MBASE, 0x20000000 -.set CYREG_SRAM_DATA_MSIZE, 0x00008000 +.set CYREG_SRAM_DATA_MSIZE, 0x00004000 .set CYREG_SRAM_DATA16K_MBASE, 0x20001000 .set CYREG_SRAM_DATA16K_MSIZE, 0x00001000 .set CYREG_SRAM_DATA32K_MBASE, 0x20002000 @@ -5329,7 +5329,6 @@ .set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE .set CYDEV_FLS_SECTOR_SIZE, 0x00010000 .set CYDEV_FLS_ROW_SIZE, 0x00000100 -.set CYDEV_ALLOCATE_EEPROM, 0x00000001 .set CYDEV_ECC_SECTOR_SIZE, 0x00002000 .set CYDEV_ECC_ROW_SIZE, 0x00000020 .set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar.inc old mode 100644 new mode 100755 index 84d3a34..8556d0a --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar.inc @@ -14,21 +14,21 @@ ;------------------------------------------------------------------------------- #define CYDEV_FLASH_BASE 0x00000000 -#define CYDEV_FLASH_SIZE 0x00040000 +#define CYDEV_FLASH_SIZE 0x00020000 #define CYDEV_FLASH_DATA_MBASE 0x00000000 -#define CYDEV_FLASH_DATA_MSIZE 0x00040000 -#define CYDEV_SRAM_BASE 0x1fff8000 -#define CYDEV_SRAM_SIZE 0x00010000 +#define CYDEV_FLASH_DATA_MSIZE 0x00020000 +#define CYDEV_SRAM_BASE 0x1fffc000 +#define CYDEV_SRAM_SIZE 0x00008000 #define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000 #define CYDEV_SRAM_CODE64K_MSIZE 0x00004000 #define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000 #define CYDEV_SRAM_CODE32K_MSIZE 0x00002000 #define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000 #define CYDEV_SRAM_CODE16K_MSIZE 0x00001000 -#define CYDEV_SRAM_CODE_MBASE 0x1fff8000 -#define CYDEV_SRAM_CODE_MSIZE 0x00008000 +#define CYDEV_SRAM_CODE_MBASE 0x1fffc000 +#define CYDEV_SRAM_CODE_MSIZE 0x00004000 #define CYDEV_SRAM_DATA_MBASE 0x20000000 -#define CYDEV_SRAM_DATA_MSIZE 0x00008000 +#define CYDEV_SRAM_DATA_MSIZE 0x00004000 #define CYDEV_SRAM_DATA16K_MBASE 0x20001000 #define CYDEV_SRAM_DATA16K_MSIZE 0x00001000 #define CYDEV_SRAM_DATA32K_MBASE 0x20002000 @@ -5328,7 +5328,6 @@ #define CYDEV_ECC_BASE CYDEV_FLSECC_BASE #define CYDEV_FLS_SECTOR_SIZE 0x00010000 #define CYDEV_FLS_ROW_SIZE 0x00000100 -#define CYDEV_ALLOCATE_EEPROM 0x00000001 #define CYDEV_ECC_SECTOR_SIZE 0x00002000 #define CYDEV_ECC_ROW_SIZE 0x00000020 #define CYDEV_EEPROM_SECTOR_SIZE 0x00000400 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc old mode 100644 new mode 100755 index ed08149..ea4e01d --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc @@ -14,21 +14,21 @@ ;------------------------------------------------------------------------------- #define CYDEV_FLASH_BASE 0x00000000 -#define CYDEV_FLASH_SIZE 0x00040000 +#define CYDEV_FLASH_SIZE 0x00020000 #define CYREG_FLASH_DATA_MBASE 0x00000000 -#define CYREG_FLASH_DATA_MSIZE 0x00040000 -#define CYDEV_SRAM_BASE 0x1fff8000 -#define CYDEV_SRAM_SIZE 0x00010000 +#define CYREG_FLASH_DATA_MSIZE 0x00020000 +#define CYDEV_SRAM_BASE 0x1fffc000 +#define CYDEV_SRAM_SIZE 0x00008000 #define CYREG_SRAM_CODE64K_MBASE 0x1fff8000 #define CYREG_SRAM_CODE64K_MSIZE 0x00004000 #define CYREG_SRAM_CODE32K_MBASE 0x1fffc000 #define CYREG_SRAM_CODE32K_MSIZE 0x00002000 #define CYREG_SRAM_CODE16K_MBASE 0x1fffe000 #define CYREG_SRAM_CODE16K_MSIZE 0x00001000 -#define CYREG_SRAM_CODE_MBASE 0x1fff8000 -#define CYREG_SRAM_CODE_MSIZE 0x00008000 +#define CYREG_SRAM_CODE_MBASE 0x1fffc000 +#define CYREG_SRAM_CODE_MSIZE 0x00004000 #define CYREG_SRAM_DATA_MBASE 0x20000000 -#define CYREG_SRAM_DATA_MSIZE 0x00008000 +#define CYREG_SRAM_DATA_MSIZE 0x00004000 #define CYREG_SRAM_DATA16K_MBASE 0x20001000 #define CYREG_SRAM_DATA16K_MSIZE 0x00001000 #define CYREG_SRAM_DATA32K_MBASE 0x20002000 @@ -5328,7 +5328,6 @@ #define CYDEV_ECC_BASE CYDEV_FLSECC_BASE #define CYDEV_FLS_SECTOR_SIZE 0x00010000 #define CYDEV_FLS_ROW_SIZE 0x00000100 -#define CYDEV_ALLOCATE_EEPROM 0x00000001 #define CYDEV_ECC_SECTOR_SIZE 0x00002000 #define CYDEV_ECC_ROW_SIZE 0x00000020 #define CYDEV_EEPROM_SECTOR_SIZE 0x00000400 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc old mode 100644 new mode 100755 index 27c28f5..4c8a537 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc @@ -17,19 +17,19 @@ CYDEV_FLASH_BASE EQU 0x00000000 ENDIF IF :LNOT::DEF:CYDEV_FLASH_SIZE -CYDEV_FLASH_SIZE EQU 0x00040000 +CYDEV_FLASH_SIZE EQU 0x00020000 ENDIF IF :LNOT::DEF:CYDEV_FLASH_DATA_MBASE CYDEV_FLASH_DATA_MBASE EQU 0x00000000 ENDIF IF :LNOT::DEF:CYDEV_FLASH_DATA_MSIZE -CYDEV_FLASH_DATA_MSIZE EQU 0x00040000 +CYDEV_FLASH_DATA_MSIZE EQU 0x00020000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_BASE -CYDEV_SRAM_BASE EQU 0x1fff8000 +CYDEV_SRAM_BASE EQU 0x1fffc000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_SIZE -CYDEV_SRAM_SIZE EQU 0x00010000 +CYDEV_SRAM_SIZE EQU 0x00008000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MBASE CYDEV_SRAM_CODE64K_MBASE EQU 0x1fff8000 @@ -50,16 +50,16 @@ CYDEV_SRAM_CODE16K_MBASE EQU 0x1fffe000 CYDEV_SRAM_CODE16K_MSIZE EQU 0x00001000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_CODE_MBASE -CYDEV_SRAM_CODE_MBASE EQU 0x1fff8000 +CYDEV_SRAM_CODE_MBASE EQU 0x1fffc000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_CODE_MSIZE -CYDEV_SRAM_CODE_MSIZE EQU 0x00008000 +CYDEV_SRAM_CODE_MSIZE EQU 0x00004000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_DATA_MBASE CYDEV_SRAM_DATA_MBASE EQU 0x20000000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_DATA_MSIZE -CYDEV_SRAM_DATA_MSIZE EQU 0x00008000 +CYDEV_SRAM_DATA_MSIZE EQU 0x00004000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MBASE CYDEV_SRAM_DATA16K_MBASE EQU 0x20001000 @@ -15957,9 +15957,6 @@ CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 ENDIF IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE CYDEV_FLS_ROW_SIZE EQU 0x00000100 - ENDIF - IF :LNOT::DEF:CYDEV_ALLOCATE_EEPROM -CYDEV_ALLOCATE_EEPROM EQU 0x00000001 ENDIF IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc old mode 100644 new mode 100755 index ac134b9..232c2fc --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc @@ -17,19 +17,19 @@ CYDEV_FLASH_BASE EQU 0x00000000 ENDIF IF :LNOT::DEF:CYDEV_FLASH_SIZE -CYDEV_FLASH_SIZE EQU 0x00040000 +CYDEV_FLASH_SIZE EQU 0x00020000 ENDIF IF :LNOT::DEF:CYREG_FLASH_DATA_MBASE CYREG_FLASH_DATA_MBASE EQU 0x00000000 ENDIF IF :LNOT::DEF:CYREG_FLASH_DATA_MSIZE -CYREG_FLASH_DATA_MSIZE EQU 0x00040000 +CYREG_FLASH_DATA_MSIZE EQU 0x00020000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_BASE -CYDEV_SRAM_BASE EQU 0x1fff8000 +CYDEV_SRAM_BASE EQU 0x1fffc000 ENDIF IF :LNOT::DEF:CYDEV_SRAM_SIZE -CYDEV_SRAM_SIZE EQU 0x00010000 +CYDEV_SRAM_SIZE EQU 0x00008000 ENDIF IF :LNOT::DEF:CYREG_SRAM_CODE64K_MBASE CYREG_SRAM_CODE64K_MBASE EQU 0x1fff8000 @@ -50,16 +50,16 @@ CYREG_SRAM_CODE16K_MBASE EQU 0x1fffe000 CYREG_SRAM_CODE16K_MSIZE EQU 0x00001000 ENDIF IF :LNOT::DEF:CYREG_SRAM_CODE_MBASE -CYREG_SRAM_CODE_MBASE EQU 0x1fff8000 +CYREG_SRAM_CODE_MBASE EQU 0x1fffc000 ENDIF IF :LNOT::DEF:CYREG_SRAM_CODE_MSIZE -CYREG_SRAM_CODE_MSIZE EQU 0x00008000 +CYREG_SRAM_CODE_MSIZE EQU 0x00004000 ENDIF IF :LNOT::DEF:CYREG_SRAM_DATA_MBASE CYREG_SRAM_DATA_MBASE EQU 0x20000000 ENDIF IF :LNOT::DEF:CYREG_SRAM_DATA_MSIZE -CYREG_SRAM_DATA_MSIZE EQU 0x00008000 +CYREG_SRAM_DATA_MSIZE EQU 0x00004000 ENDIF IF :LNOT::DEF:CYREG_SRAM_DATA16K_MBASE CYREG_SRAM_DATA16K_MBASE EQU 0x20001000 @@ -15957,9 +15957,6 @@ CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 ENDIF IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE CYDEV_FLS_ROW_SIZE EQU 0x00000100 - ENDIF - IF :LNOT::DEF:CYDEV_ALLOCATE_EEPROM -CYDEV_ALLOCATE_EEPROM EQU 0x00000001 ENDIF IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h old mode 100644 new mode 100755 index 2bdffa5..5deeff6 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h @@ -3,6 +3,46 @@ #include #include +/* USBFS_1_bus_reset */ +#define USBFS_1_bus_reset__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_1_bus_reset__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_1_bus_reset__INTC_MASK 0x800000u +#define USBFS_1_bus_reset__INTC_NUMBER 23u +#define USBFS_1_bus_reset__INTC_PRIOR_NUM 7u +#define USBFS_1_bus_reset__INTC_PRIOR_REG CYREG_NVIC_PRI_23 +#define USBFS_1_bus_reset__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_1_bus_reset__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_1_arb_int */ +#define USBFS_1_arb_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_1_arb_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_1_arb_int__INTC_MASK 0x400000u +#define USBFS_1_arb_int__INTC_NUMBER 22u +#define USBFS_1_arb_int__INTC_PRIOR_NUM 7u +#define USBFS_1_arb_int__INTC_PRIOR_REG CYREG_NVIC_PRI_22 +#define USBFS_1_arb_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_1_arb_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_1_sof_int */ +#define USBFS_1_sof_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_1_sof_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_1_sof_int__INTC_MASK 0x200000u +#define USBFS_1_sof_int__INTC_NUMBER 21u +#define USBFS_1_sof_int__INTC_PRIOR_NUM 7u +#define USBFS_1_sof_int__INTC_PRIOR_REG CYREG_NVIC_PRI_21 +#define USBFS_1_sof_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_1_sof_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_1_dp_int */ +#define USBFS_1_dp_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_1_dp_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_1_dp_int__INTC_MASK 0x1000u +#define USBFS_1_dp_int__INTC_NUMBER 12u +#define USBFS_1_dp_int__INTC_PRIOR_NUM 7u +#define USBFS_1_dp_int__INTC_PRIOR_REG CYREG_NVIC_PRI_12 +#define USBFS_1_dp_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_1_dp_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + /* SCSI_ATN_ISR */ #define SCSI_ATN_ISR__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 #define SCSI_ATN_ISR__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 @@ -14,96 +54,438 @@ #define SCSI_ATN_ISR__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 /* SCSI_Out_DBx */ -#define SCSI_Out_DBx__0__MASK 0x01u -#define SCSI_Out_DBx__0__PC CYREG_PRT0_PC0 -#define SCSI_Out_DBx__0__PORT 0u -#define SCSI_Out_DBx__0__SHIFT 0 -#define SCSI_Out_DBx__1__MASK 0x02u -#define SCSI_Out_DBx__1__PC CYREG_PRT0_PC1 -#define SCSI_Out_DBx__1__PORT 0u -#define SCSI_Out_DBx__1__SHIFT 1 -#define SCSI_Out_DBx__2__MASK 0x04u -#define SCSI_Out_DBx__2__PC CYREG_PRT0_PC2 -#define SCSI_Out_DBx__2__PORT 0u -#define SCSI_Out_DBx__2__SHIFT 2 -#define SCSI_Out_DBx__3__MASK 0x08u -#define SCSI_Out_DBx__3__PC CYREG_PRT0_PC3 -#define SCSI_Out_DBx__3__PORT 0u -#define SCSI_Out_DBx__3__SHIFT 3 -#define SCSI_Out_DBx__4__MASK 0x10u -#define SCSI_Out_DBx__4__PC CYREG_PRT0_PC4 -#define SCSI_Out_DBx__4__PORT 0u -#define SCSI_Out_DBx__4__SHIFT 4 -#define SCSI_Out_DBx__5__MASK 0x20u -#define SCSI_Out_DBx__5__PC CYREG_PRT0_PC5 -#define SCSI_Out_DBx__5__PORT 0u -#define SCSI_Out_DBx__5__SHIFT 5 -#define SCSI_Out_DBx__6__MASK 0x40u -#define SCSI_Out_DBx__6__PC CYREG_PRT0_PC6 -#define SCSI_Out_DBx__6__PORT 0u -#define SCSI_Out_DBx__6__SHIFT 6 -#define SCSI_Out_DBx__7__MASK 0x80u -#define SCSI_Out_DBx__7__PC CYREG_PRT0_PC7 -#define SCSI_Out_DBx__7__PORT 0u -#define SCSI_Out_DBx__7__SHIFT 7 -#define SCSI_Out_DBx__AG CYREG_PRT0_AG -#define SCSI_Out_DBx__AMUX CYREG_PRT0_AMUX -#define SCSI_Out_DBx__BIE CYREG_PRT0_BIE -#define SCSI_Out_DBx__BIT_MASK CYREG_PRT0_BIT_MASK -#define SCSI_Out_DBx__BYP CYREG_PRT0_BYP -#define SCSI_Out_DBx__CTL CYREG_PRT0_CTL -#define SCSI_Out_DBx__DB0__MASK 0x01u -#define SCSI_Out_DBx__DB0__PC CYREG_PRT0_PC0 -#define SCSI_Out_DBx__DB0__PORT 0u -#define SCSI_Out_DBx__DB0__SHIFT 0 -#define SCSI_Out_DBx__DB1__MASK 0x02u -#define SCSI_Out_DBx__DB1__PC CYREG_PRT0_PC1 -#define SCSI_Out_DBx__DB1__PORT 0u -#define SCSI_Out_DBx__DB1__SHIFT 1 -#define SCSI_Out_DBx__DB2__MASK 0x04u -#define SCSI_Out_DBx__DB2__PC CYREG_PRT0_PC2 -#define SCSI_Out_DBx__DB2__PORT 0u -#define SCSI_Out_DBx__DB2__SHIFT 2 -#define SCSI_Out_DBx__DB3__MASK 0x08u -#define SCSI_Out_DBx__DB3__PC CYREG_PRT0_PC3 -#define SCSI_Out_DBx__DB3__PORT 0u -#define SCSI_Out_DBx__DB3__SHIFT 3 -#define SCSI_Out_DBx__DB4__MASK 0x10u -#define SCSI_Out_DBx__DB4__PC CYREG_PRT0_PC4 -#define SCSI_Out_DBx__DB4__PORT 0u -#define SCSI_Out_DBx__DB4__SHIFT 4 -#define SCSI_Out_DBx__DB5__MASK 0x20u -#define SCSI_Out_DBx__DB5__PC CYREG_PRT0_PC5 -#define SCSI_Out_DBx__DB5__PORT 0u -#define SCSI_Out_DBx__DB5__SHIFT 5 -#define SCSI_Out_DBx__DB6__MASK 0x40u -#define SCSI_Out_DBx__DB6__PC CYREG_PRT0_PC6 -#define SCSI_Out_DBx__DB6__PORT 0u -#define SCSI_Out_DBx__DB6__SHIFT 6 -#define SCSI_Out_DBx__DB7__MASK 0x80u -#define SCSI_Out_DBx__DB7__PC CYREG_PRT0_PC7 -#define SCSI_Out_DBx__DB7__PORT 0u -#define SCSI_Out_DBx__DB7__SHIFT 7 -#define SCSI_Out_DBx__DM0 CYREG_PRT0_DM0 -#define SCSI_Out_DBx__DM1 CYREG_PRT0_DM1 -#define SCSI_Out_DBx__DM2 CYREG_PRT0_DM2 -#define SCSI_Out_DBx__DR CYREG_PRT0_DR -#define SCSI_Out_DBx__INP_DIS CYREG_PRT0_INP_DIS -#define SCSI_Out_DBx__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG -#define SCSI_Out_DBx__LCD_EN CYREG_PRT0_LCD_EN -#define SCSI_Out_DBx__MASK 0xFFu -#define SCSI_Out_DBx__PORT 0u -#define SCSI_Out_DBx__PRT CYREG_PRT0_PRT -#define SCSI_Out_DBx__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL -#define SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN -#define SCSI_Out_DBx__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 -#define SCSI_Out_DBx__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 -#define SCSI_Out_DBx__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 -#define SCSI_Out_DBx__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 -#define SCSI_Out_DBx__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT -#define SCSI_Out_DBx__PS CYREG_PRT0_PS -#define SCSI_Out_DBx__SHIFT 0 -#define SCSI_Out_DBx__SLW CYREG_PRT0_SLW +#define SCSI_Out_DBx__0__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__0__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__0__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__0__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__0__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__0__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__0__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__0__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__0__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__0__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__0__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__0__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__0__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__0__MASK 0x08u +#define SCSI_Out_DBx__0__PC CYREG_PRT6_PC3 +#define SCSI_Out_DBx__0__PORT 6u +#define SCSI_Out_DBx__0__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__0__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__0__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__0__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__0__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__0__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__0__SHIFT 3 +#define SCSI_Out_DBx__0__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__1__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__1__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__1__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__1__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__1__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__1__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__1__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__1__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__1__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__1__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__1__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__1__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__1__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__1__MASK 0x04u +#define SCSI_Out_DBx__1__PC CYREG_PRT6_PC2 +#define SCSI_Out_DBx__1__PORT 6u +#define SCSI_Out_DBx__1__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__1__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__1__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__1__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__1__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__1__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__1__SHIFT 2 +#define SCSI_Out_DBx__1__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__2__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__2__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__2__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__2__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__2__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__2__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__2__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__2__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__2__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__2__MASK 0x02u +#define SCSI_Out_DBx__2__PC CYREG_PRT6_PC1 +#define SCSI_Out_DBx__2__PORT 6u +#define SCSI_Out_DBx__2__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__2__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__2__SHIFT 1 +#define SCSI_Out_DBx__2__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__3__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__3__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__3__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__3__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__3__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__3__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__3__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__3__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__3__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__3__MASK 0x01u +#define SCSI_Out_DBx__3__PC CYREG_PRT6_PC0 +#define SCSI_Out_DBx__3__PORT 6u +#define SCSI_Out_DBx__3__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__3__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__3__SHIFT 0 +#define SCSI_Out_DBx__3__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__4__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__4__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__4__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__4__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__4__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__4__MASK 0x80u +#define SCSI_Out_DBx__4__PC CYREG_PRT4_PC7 +#define SCSI_Out_DBx__4__PORT 4u +#define SCSI_Out_DBx__4__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__4__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__4__SHIFT 7 +#define SCSI_Out_DBx__4__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__5__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__5__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__5__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__5__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__5__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__5__MASK 0x40u +#define SCSI_Out_DBx__5__PC CYREG_PRT4_PC6 +#define SCSI_Out_DBx__5__PORT 4u +#define SCSI_Out_DBx__5__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__5__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__5__SHIFT 6 +#define SCSI_Out_DBx__5__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__6__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__6__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__6__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__6__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__6__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__6__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__6__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__6__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__6__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__6__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__6__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__6__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__6__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__6__MASK 0x20u +#define SCSI_Out_DBx__6__PC CYREG_PRT4_PC5 +#define SCSI_Out_DBx__6__PORT 4u +#define SCSI_Out_DBx__6__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__6__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__6__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__6__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__6__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__6__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__6__SHIFT 5 +#define SCSI_Out_DBx__6__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__7__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__7__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__7__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__7__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__7__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__7__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__7__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__7__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__7__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__7__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__7__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__7__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__7__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__7__MASK 0x10u +#define SCSI_Out_DBx__7__PC CYREG_PRT4_PC4 +#define SCSI_Out_DBx__7__PORT 4u +#define SCSI_Out_DBx__7__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__7__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__7__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__7__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__7__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__7__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__7__SHIFT 4 +#define SCSI_Out_DBx__7__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB0__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB0__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB0__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB0__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB0__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB0__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB0__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB0__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB0__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB0__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB0__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB0__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB0__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB0__MASK 0x08u +#define SCSI_Out_DBx__DB0__PC CYREG_PRT6_PC3 +#define SCSI_Out_DBx__DB0__PORT 6u +#define SCSI_Out_DBx__DB0__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB0__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB0__SHIFT 3 +#define SCSI_Out_DBx__DB0__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB1__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB1__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB1__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB1__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB1__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB1__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB1__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB1__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB1__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB1__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB1__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB1__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB1__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB1__MASK 0x04u +#define SCSI_Out_DBx__DB1__PC CYREG_PRT6_PC2 +#define SCSI_Out_DBx__DB1__PORT 6u +#define SCSI_Out_DBx__DB1__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB1__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB1__SHIFT 2 +#define SCSI_Out_DBx__DB1__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB2__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB2__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB2__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB2__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB2__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB2__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB2__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB2__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB2__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB2__MASK 0x02u +#define SCSI_Out_DBx__DB2__PC CYREG_PRT6_PC1 +#define SCSI_Out_DBx__DB2__PORT 6u +#define SCSI_Out_DBx__DB2__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB2__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB2__SHIFT 1 +#define SCSI_Out_DBx__DB2__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB3__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB3__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB3__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB3__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB3__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB3__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB3__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB3__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB3__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB3__MASK 0x01u +#define SCSI_Out_DBx__DB3__PC CYREG_PRT6_PC0 +#define SCSI_Out_DBx__DB3__PORT 6u +#define SCSI_Out_DBx__DB3__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB3__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB3__SHIFT 0 +#define SCSI_Out_DBx__DB3__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB4__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB4__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB4__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB4__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB4__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB4__MASK 0x80u +#define SCSI_Out_DBx__DB4__PC CYREG_PRT4_PC7 +#define SCSI_Out_DBx__DB4__PORT 4u +#define SCSI_Out_DBx__DB4__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB4__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB4__SHIFT 7 +#define SCSI_Out_DBx__DB4__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB5__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB5__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB5__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB5__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB5__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB5__MASK 0x40u +#define SCSI_Out_DBx__DB5__PC CYREG_PRT4_PC6 +#define SCSI_Out_DBx__DB5__PORT 4u +#define SCSI_Out_DBx__DB5__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB5__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB5__SHIFT 6 +#define SCSI_Out_DBx__DB5__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB6__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB6__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB6__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB6__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB6__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB6__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB6__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB6__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB6__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB6__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB6__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB6__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB6__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB6__MASK 0x20u +#define SCSI_Out_DBx__DB6__PC CYREG_PRT4_PC5 +#define SCSI_Out_DBx__DB6__PORT 4u +#define SCSI_Out_DBx__DB6__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB6__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB6__SHIFT 5 +#define SCSI_Out_DBx__DB6__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB7__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB7__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB7__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB7__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB7__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB7__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB7__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB7__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB7__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB7__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB7__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB7__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB7__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB7__MASK 0x10u +#define SCSI_Out_DBx__DB7__PC CYREG_PRT4_PC4 +#define SCSI_Out_DBx__DB7__PORT 4u +#define SCSI_Out_DBx__DB7__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB7__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB7__SHIFT 4 +#define SCSI_Out_DBx__DB7__SLW CYREG_PRT4_SLW /* SCSI_RST_ISR */ #define SCSI_RST_ISR__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 @@ -116,34 +498,34 @@ #define SCSI_RST_ISR__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 /* SDCard_BSPIM */ -#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL -#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B0_UDB02_03_ST -#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B0_UDB02_MSK -#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B0_UDB02_ACTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B0_UDB02_ST_CTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B0_UDB02_ST_CTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B0_UDB02_ST -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB02_03_CTL -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB02_03_CTL -#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB02_03_CTL -#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B0_UDB02_03_CTL -#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B0_UDB02_03_MSK -#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B0_UDB02_03_MSK -#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B0_UDB02_03_MSK -#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB02_03_MSK -#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B0_UDB02_ACTL -#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B0_UDB02_CTL -#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B0_UDB02_ST_CTL -#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B0_UDB02_CTL -#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B0_UDB02_ST_CTL -#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B0_UDB02_MSK -#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B0_UDB03_04_ST +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B0_UDB07_08_ST +#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B0_UDB07_MSK +#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B0_UDB07_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B0_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B0_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B0_UDB07_ST +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B0_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B0_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B0_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B0_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B0_UDB07_ACTL +#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B0_UDB07_CTL +#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B0_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B0_UDB07_CTL +#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B0_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B0_UDB07_MSK +#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB06_07_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B1_UDB06_07_ST #define SDCard_BSPIM_RxStsReg__4__MASK 0x10u #define SDCard_BSPIM_RxStsReg__4__POS 4 #define SDCard_BSPIM_RxStsReg__5__MASK 0x20u @@ -151,9 +533,9 @@ #define SDCard_BSPIM_RxStsReg__6__MASK 0x40u #define SDCard_BSPIM_RxStsReg__6__POS 6 #define SDCard_BSPIM_RxStsReg__MASK 0x70u -#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B0_UDB03_MSK -#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB03_ACTL -#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B0_UDB03_ST +#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B1_UDB06_MSK +#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB06_ACTL +#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B1_UDB06_ST #define SDCard_BSPIM_TxStsReg__0__MASK 0x01u #define SDCard_BSPIM_TxStsReg__0__POS 0 #define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL @@ -187,120 +569,482 @@ #define SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG CYREG_B0_UDB07_F0_F1 #define SDCard_BSPIM_sR8_Dp_u0__F0_REG CYREG_B0_UDB07_F0 #define SDCard_BSPIM_sR8_Dp_u0__F1_REG CYREG_B0_UDB07_F1 +#define SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL + +/* USBFS_1_ep_0 */ +#define USBFS_1_ep_0__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_1_ep_0__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_1_ep_0__INTC_MASK 0x1000000u +#define USBFS_1_ep_0__INTC_NUMBER 24u +#define USBFS_1_ep_0__INTC_PRIOR_NUM 7u +#define USBFS_1_ep_0__INTC_PRIOR_REG CYREG_NVIC_PRI_24 +#define USBFS_1_ep_0__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_1_ep_0__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_1_ep_1 */ +#define USBFS_1_ep_1__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_1_ep_1__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_1_ep_1__INTC_MASK 0x01u +#define USBFS_1_ep_1__INTC_NUMBER 0u +#define USBFS_1_ep_1__INTC_PRIOR_NUM 7u +#define USBFS_1_ep_1__INTC_PRIOR_REG CYREG_NVIC_PRI_0 +#define USBFS_1_ep_1__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_1_ep_1__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 /* SCSI_CTL_IO */ #define SCSI_CTL_IO_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_CTL_IO_Sync_ctrl_reg__0__POS 0 -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB00_01_ACTL -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB00_01_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB00_01_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB00_01_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB00_01_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB00_01_MSK -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB00_01_MSK -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB00_01_MSK -#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB00_01_MSK -#define SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB00_ACTL -#define SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB00_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB00_ST_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB00_CTL -#define SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB00_ST_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB02_03_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB02_03_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB02_03_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB02_03_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB02_03_MSK +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB02_03_MSK +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB02_03_MSK +#define SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB02_03_MSK +#define SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB02_ACTL +#define SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB02_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB02_ST_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB02_CTL +#define SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB02_ST_CTL #define SCSI_CTL_IO_Sync_ctrl_reg__MASK 0x01u -#define SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB00_MSK_ACTL -#define SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB00_MSK -#define SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB00_MSK_ACTL +#define SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL +#define SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB02_MSK +#define SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL /* SCSI_In_DBx */ -#define SCSI_In_DBx__0__MASK 0x01u -#define SCSI_In_DBx__0__PC CYREG_PRT2_PC0 -#define SCSI_In_DBx__0__PORT 2u -#define SCSI_In_DBx__0__SHIFT 0 -#define SCSI_In_DBx__1__MASK 0x02u -#define SCSI_In_DBx__1__PC CYREG_PRT2_PC1 +#define SCSI_In_DBx__0__AG CYREG_PRT12_AG +#define SCSI_In_DBx__0__BIE CYREG_PRT12_BIE +#define SCSI_In_DBx__0__BIT_MASK CYREG_PRT12_BIT_MASK +#define SCSI_In_DBx__0__BYP CYREG_PRT12_BYP +#define SCSI_In_DBx__0__DM0 CYREG_PRT12_DM0 +#define SCSI_In_DBx__0__DM1 CYREG_PRT12_DM1 +#define SCSI_In_DBx__0__DM2 CYREG_PRT12_DM2 +#define SCSI_In_DBx__0__DR CYREG_PRT12_DR +#define SCSI_In_DBx__0__INP_DIS CYREG_PRT12_INP_DIS +#define SCSI_In_DBx__0__MASK 0x10u +#define SCSI_In_DBx__0__PC CYREG_PRT12_PC4 +#define SCSI_In_DBx__0__PORT 12u +#define SCSI_In_DBx__0__PRT CYREG_PRT12_PRT +#define SCSI_In_DBx__0__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define SCSI_In_DBx__0__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define SCSI_In_DBx__0__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define SCSI_In_DBx__0__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define SCSI_In_DBx__0__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define SCSI_In_DBx__0__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define SCSI_In_DBx__0__PS CYREG_PRT12_PS +#define SCSI_In_DBx__0__SHIFT 4 +#define SCSI_In_DBx__0__SIO_CFG CYREG_PRT12_SIO_CFG +#define SCSI_In_DBx__0__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define SCSI_In_DBx__0__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define SCSI_In_DBx__0__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define SCSI_In_DBx__0__SLW CYREG_PRT12_SLW +#define SCSI_In_DBx__1__AG CYREG_PRT2_AG +#define SCSI_In_DBx__1__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__1__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__1__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__1__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__1__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__1__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__1__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__1__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__1__DR CYREG_PRT2_DR +#define SCSI_In_DBx__1__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__1__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__1__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__1__MASK 0x80u +#define SCSI_In_DBx__1__PC CYREG_PRT2_PC7 #define SCSI_In_DBx__1__PORT 2u -#define SCSI_In_DBx__1__SHIFT 1 -#define SCSI_In_DBx__2__MASK 0x04u -#define SCSI_In_DBx__2__PC CYREG_PRT2_PC2 +#define SCSI_In_DBx__1__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__1__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__1__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__1__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__1__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__1__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__1__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__1__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__1__PS CYREG_PRT2_PS +#define SCSI_In_DBx__1__SHIFT 7 +#define SCSI_In_DBx__1__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__2__AG CYREG_PRT2_AG +#define SCSI_In_DBx__2__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__2__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__2__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__2__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__2__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__2__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__2__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__2__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__2__DR CYREG_PRT2_DR +#define SCSI_In_DBx__2__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__2__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__2__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__2__MASK 0x40u +#define SCSI_In_DBx__2__PC CYREG_PRT2_PC6 #define SCSI_In_DBx__2__PORT 2u -#define SCSI_In_DBx__2__SHIFT 2 -#define SCSI_In_DBx__3__MASK 0x08u -#define SCSI_In_DBx__3__PC CYREG_PRT2_PC3 +#define SCSI_In_DBx__2__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__2__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__2__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__2__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__2__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__2__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__2__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__2__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__2__PS CYREG_PRT2_PS +#define SCSI_In_DBx__2__SHIFT 6 +#define SCSI_In_DBx__2__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__3__AG CYREG_PRT2_AG +#define SCSI_In_DBx__3__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__3__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__3__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__3__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__3__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__3__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__3__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__3__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__3__DR CYREG_PRT2_DR +#define SCSI_In_DBx__3__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__3__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__3__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__3__MASK 0x20u +#define SCSI_In_DBx__3__PC CYREG_PRT2_PC5 #define SCSI_In_DBx__3__PORT 2u -#define SCSI_In_DBx__3__SHIFT 3 +#define SCSI_In_DBx__3__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__3__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__3__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__3__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__3__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__3__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__3__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__3__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__3__PS CYREG_PRT2_PS +#define SCSI_In_DBx__3__SHIFT 5 +#define SCSI_In_DBx__3__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__4__AG CYREG_PRT2_AG +#define SCSI_In_DBx__4__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__4__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__4__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__4__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__4__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__4__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__4__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__4__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__4__DR CYREG_PRT2_DR +#define SCSI_In_DBx__4__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__4__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__4__LCD_EN CYREG_PRT2_LCD_EN #define SCSI_In_DBx__4__MASK 0x10u #define SCSI_In_DBx__4__PC CYREG_PRT2_PC4 #define SCSI_In_DBx__4__PORT 2u +#define SCSI_In_DBx__4__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__4__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__4__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__4__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__4__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__4__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__4__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__4__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__4__PS CYREG_PRT2_PS #define SCSI_In_DBx__4__SHIFT 4 -#define SCSI_In_DBx__5__MASK 0x20u -#define SCSI_In_DBx__5__PC CYREG_PRT2_PC5 +#define SCSI_In_DBx__4__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__5__AG CYREG_PRT2_AG +#define SCSI_In_DBx__5__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__5__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__5__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__5__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__5__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__5__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__5__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__5__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__5__DR CYREG_PRT2_DR +#define SCSI_In_DBx__5__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__5__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__5__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__5__MASK 0x08u +#define SCSI_In_DBx__5__PC CYREG_PRT2_PC3 #define SCSI_In_DBx__5__PORT 2u -#define SCSI_In_DBx__5__SHIFT 5 -#define SCSI_In_DBx__6__MASK 0x40u -#define SCSI_In_DBx__6__PC CYREG_PRT2_PC6 +#define SCSI_In_DBx__5__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__5__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__5__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__5__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__5__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__5__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__5__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__5__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__5__PS CYREG_PRT2_PS +#define SCSI_In_DBx__5__SHIFT 3 +#define SCSI_In_DBx__5__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__6__AG CYREG_PRT2_AG +#define SCSI_In_DBx__6__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__6__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__6__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__6__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__6__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__6__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__6__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__6__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__6__DR CYREG_PRT2_DR +#define SCSI_In_DBx__6__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__6__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__6__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__6__MASK 0x04u +#define SCSI_In_DBx__6__PC CYREG_PRT2_PC2 #define SCSI_In_DBx__6__PORT 2u -#define SCSI_In_DBx__6__SHIFT 6 -#define SCSI_In_DBx__7__MASK 0x80u -#define SCSI_In_DBx__7__PC CYREG_PRT2_PC7 +#define SCSI_In_DBx__6__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__6__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__6__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__6__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__6__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__6__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__6__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__6__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__6__PS CYREG_PRT2_PS +#define SCSI_In_DBx__6__SHIFT 2 +#define SCSI_In_DBx__6__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__7__AG CYREG_PRT2_AG +#define SCSI_In_DBx__7__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__7__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__7__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__7__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__7__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__7__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__7__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__7__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__7__DR CYREG_PRT2_DR +#define SCSI_In_DBx__7__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__7__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__7__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__7__MASK 0x02u +#define SCSI_In_DBx__7__PC CYREG_PRT2_PC1 #define SCSI_In_DBx__7__PORT 2u -#define SCSI_In_DBx__7__SHIFT 7 -#define SCSI_In_DBx__AG CYREG_PRT2_AG -#define SCSI_In_DBx__AMUX CYREG_PRT2_AMUX -#define SCSI_In_DBx__BIE CYREG_PRT2_BIE -#define SCSI_In_DBx__BIT_MASK CYREG_PRT2_BIT_MASK -#define SCSI_In_DBx__BYP CYREG_PRT2_BYP -#define SCSI_In_DBx__CTL CYREG_PRT2_CTL -#define SCSI_In_DBx__DB0__MASK 0x01u -#define SCSI_In_DBx__DB0__PC CYREG_PRT2_PC0 -#define SCSI_In_DBx__DB0__PORT 2u -#define SCSI_In_DBx__DB0__SHIFT 0 -#define SCSI_In_DBx__DB1__MASK 0x02u -#define SCSI_In_DBx__DB1__PC CYREG_PRT2_PC1 +#define SCSI_In_DBx__7__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__7__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__7__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__7__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__7__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__7__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__7__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__7__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__7__PS CYREG_PRT2_PS +#define SCSI_In_DBx__7__SHIFT 1 +#define SCSI_In_DBx__7__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB0__AG CYREG_PRT12_AG +#define SCSI_In_DBx__DB0__BIE CYREG_PRT12_BIE +#define SCSI_In_DBx__DB0__BIT_MASK CYREG_PRT12_BIT_MASK +#define SCSI_In_DBx__DB0__BYP CYREG_PRT12_BYP +#define SCSI_In_DBx__DB0__DM0 CYREG_PRT12_DM0 +#define SCSI_In_DBx__DB0__DM1 CYREG_PRT12_DM1 +#define SCSI_In_DBx__DB0__DM2 CYREG_PRT12_DM2 +#define SCSI_In_DBx__DB0__DR CYREG_PRT12_DR +#define SCSI_In_DBx__DB0__INP_DIS CYREG_PRT12_INP_DIS +#define SCSI_In_DBx__DB0__MASK 0x10u +#define SCSI_In_DBx__DB0__PC CYREG_PRT12_PC4 +#define SCSI_In_DBx__DB0__PORT 12u +#define SCSI_In_DBx__DB0__PRT CYREG_PRT12_PRT +#define SCSI_In_DBx__DB0__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define SCSI_In_DBx__DB0__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define SCSI_In_DBx__DB0__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define SCSI_In_DBx__DB0__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define SCSI_In_DBx__DB0__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define SCSI_In_DBx__DB0__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define SCSI_In_DBx__DB0__PS CYREG_PRT12_PS +#define SCSI_In_DBx__DB0__SHIFT 4 +#define SCSI_In_DBx__DB0__SIO_CFG CYREG_PRT12_SIO_CFG +#define SCSI_In_DBx__DB0__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define SCSI_In_DBx__DB0__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define SCSI_In_DBx__DB0__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define SCSI_In_DBx__DB0__SLW CYREG_PRT12_SLW +#define SCSI_In_DBx__DB1__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB1__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB1__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB1__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB1__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB1__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB1__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB1__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB1__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB1__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB1__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB1__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB1__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__DB1__MASK 0x80u +#define SCSI_In_DBx__DB1__PC CYREG_PRT2_PC7 #define SCSI_In_DBx__DB1__PORT 2u -#define SCSI_In_DBx__DB1__SHIFT 1 -#define SCSI_In_DBx__DB2__MASK 0x04u -#define SCSI_In_DBx__DB2__PC CYREG_PRT2_PC2 +#define SCSI_In_DBx__DB1__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB1__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB1__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB1__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB1__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB1__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB1__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB1__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB1__PS CYREG_PRT2_PS +#define SCSI_In_DBx__DB1__SHIFT 7 +#define SCSI_In_DBx__DB1__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB2__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB2__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB2__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB2__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB2__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB2__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB2__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB2__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB2__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB2__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB2__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB2__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB2__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__DB2__MASK 0x40u +#define SCSI_In_DBx__DB2__PC CYREG_PRT2_PC6 #define SCSI_In_DBx__DB2__PORT 2u -#define SCSI_In_DBx__DB2__SHIFT 2 -#define SCSI_In_DBx__DB3__MASK 0x08u -#define SCSI_In_DBx__DB3__PC CYREG_PRT2_PC3 +#define SCSI_In_DBx__DB2__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB2__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB2__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB2__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB2__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB2__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB2__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB2__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB2__PS CYREG_PRT2_PS +#define SCSI_In_DBx__DB2__SHIFT 6 +#define SCSI_In_DBx__DB2__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB3__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB3__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB3__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB3__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB3__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB3__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB3__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB3__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB3__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB3__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB3__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB3__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB3__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__DB3__MASK 0x20u +#define SCSI_In_DBx__DB3__PC CYREG_PRT2_PC5 #define SCSI_In_DBx__DB3__PORT 2u -#define SCSI_In_DBx__DB3__SHIFT 3 +#define SCSI_In_DBx__DB3__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB3__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB3__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB3__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB3__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB3__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB3__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB3__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB3__PS CYREG_PRT2_PS +#define SCSI_In_DBx__DB3__SHIFT 5 +#define SCSI_In_DBx__DB3__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB4__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB4__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB4__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB4__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB4__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB4__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB4__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB4__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB4__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB4__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB4__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB4__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB4__LCD_EN CYREG_PRT2_LCD_EN #define SCSI_In_DBx__DB4__MASK 0x10u #define SCSI_In_DBx__DB4__PC CYREG_PRT2_PC4 #define SCSI_In_DBx__DB4__PORT 2u +#define SCSI_In_DBx__DB4__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB4__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB4__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB4__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB4__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB4__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB4__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB4__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB4__PS CYREG_PRT2_PS #define SCSI_In_DBx__DB4__SHIFT 4 -#define SCSI_In_DBx__DB5__MASK 0x20u -#define SCSI_In_DBx__DB5__PC CYREG_PRT2_PC5 +#define SCSI_In_DBx__DB4__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB5__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB5__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB5__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB5__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB5__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB5__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB5__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB5__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB5__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB5__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB5__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB5__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB5__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__DB5__MASK 0x08u +#define SCSI_In_DBx__DB5__PC CYREG_PRT2_PC3 #define SCSI_In_DBx__DB5__PORT 2u -#define SCSI_In_DBx__DB5__SHIFT 5 -#define SCSI_In_DBx__DB6__MASK 0x40u -#define SCSI_In_DBx__DB6__PC CYREG_PRT2_PC6 +#define SCSI_In_DBx__DB5__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB5__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB5__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB5__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB5__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB5__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB5__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB5__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB5__PS CYREG_PRT2_PS +#define SCSI_In_DBx__DB5__SHIFT 3 +#define SCSI_In_DBx__DB5__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB6__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB6__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB6__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB6__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB6__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB6__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB6__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB6__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB6__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB6__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB6__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB6__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB6__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__DB6__MASK 0x04u +#define SCSI_In_DBx__DB6__PC CYREG_PRT2_PC2 #define SCSI_In_DBx__DB6__PORT 2u -#define SCSI_In_DBx__DB6__SHIFT 6 -#define SCSI_In_DBx__DB7__MASK 0x80u -#define SCSI_In_DBx__DB7__PC CYREG_PRT2_PC7 +#define SCSI_In_DBx__DB6__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB6__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB6__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB6__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB6__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB6__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB6__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB6__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB6__PS CYREG_PRT2_PS +#define SCSI_In_DBx__DB6__SHIFT 2 +#define SCSI_In_DBx__DB6__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB7__AG CYREG_PRT2_AG +#define SCSI_In_DBx__DB7__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__DB7__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__DB7__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__DB7__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__DB7__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DB7__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DB7__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DB7__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DB7__DR CYREG_PRT2_DR +#define SCSI_In_DBx__DB7__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__DB7__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__DB7__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__DB7__MASK 0x02u +#define SCSI_In_DBx__DB7__PC CYREG_PRT2_PC1 #define SCSI_In_DBx__DB7__PORT 2u -#define SCSI_In_DBx__DB7__SHIFT 7 -#define SCSI_In_DBx__DM0 CYREG_PRT2_DM0 -#define SCSI_In_DBx__DM1 CYREG_PRT2_DM1 -#define SCSI_In_DBx__DM2 CYREG_PRT2_DM2 -#define SCSI_In_DBx__DR CYREG_PRT2_DR -#define SCSI_In_DBx__INP_DIS CYREG_PRT2_INP_DIS -#define SCSI_In_DBx__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG -#define SCSI_In_DBx__LCD_EN CYREG_PRT2_LCD_EN -#define SCSI_In_DBx__MASK 0xFFu -#define SCSI_In_DBx__PORT 2u -#define SCSI_In_DBx__PRT CYREG_PRT2_PRT -#define SCSI_In_DBx__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL -#define SCSI_In_DBx__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN -#define SCSI_In_DBx__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 -#define SCSI_In_DBx__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 -#define SCSI_In_DBx__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 -#define SCSI_In_DBx__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 -#define SCSI_In_DBx__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT -#define SCSI_In_DBx__PS CYREG_PRT2_PS -#define SCSI_In_DBx__SHIFT 0 -#define SCSI_In_DBx__SLW CYREG_PRT2_SLW +#define SCSI_In_DBx__DB7__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__DB7__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__DB7__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__DB7__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__DB7__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__DB7__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__DB7__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__DB7__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__DB7__PS CYREG_PRT2_PS +#define SCSI_In_DBx__DB7__SHIFT 1 +#define SCSI_In_DBx__DB7__SLW CYREG_PRT2_SLW /* SD_Data_Clk */ #define SD_Data_Clk__CFG0 CYREG_CLKDIST_DCFG0_CFG0 @@ -324,11 +1068,137 @@ #define SD_Init_Clk__PM_STBY_CFG CYREG_PM_STBY_CFG2 #define SD_Init_Clk__PM_STBY_MSK 0x02u +/* USBFS_1_USB */ +#define USBFS_1_USB__ARB_CFG CYREG_USB_ARB_CFG +#define USBFS_1_USB__ARB_EP1_CFG CYREG_USB_ARB_EP1_CFG +#define USBFS_1_USB__ARB_EP1_INT_EN CYREG_USB_ARB_EP1_INT_EN +#define USBFS_1_USB__ARB_EP1_SR CYREG_USB_ARB_EP1_SR +#define USBFS_1_USB__ARB_EP2_CFG CYREG_USB_ARB_EP2_CFG +#define USBFS_1_USB__ARB_EP2_INT_EN CYREG_USB_ARB_EP2_INT_EN +#define USBFS_1_USB__ARB_EP2_SR CYREG_USB_ARB_EP2_SR +#define USBFS_1_USB__ARB_EP3_CFG CYREG_USB_ARB_EP3_CFG +#define USBFS_1_USB__ARB_EP3_INT_EN CYREG_USB_ARB_EP3_INT_EN +#define USBFS_1_USB__ARB_EP3_SR CYREG_USB_ARB_EP3_SR +#define USBFS_1_USB__ARB_EP4_CFG CYREG_USB_ARB_EP4_CFG +#define USBFS_1_USB__ARB_EP4_INT_EN CYREG_USB_ARB_EP4_INT_EN +#define USBFS_1_USB__ARB_EP4_SR CYREG_USB_ARB_EP4_SR +#define USBFS_1_USB__ARB_EP5_CFG CYREG_USB_ARB_EP5_CFG +#define USBFS_1_USB__ARB_EP5_INT_EN CYREG_USB_ARB_EP5_INT_EN +#define USBFS_1_USB__ARB_EP5_SR CYREG_USB_ARB_EP5_SR +#define USBFS_1_USB__ARB_EP6_CFG CYREG_USB_ARB_EP6_CFG +#define USBFS_1_USB__ARB_EP6_INT_EN CYREG_USB_ARB_EP6_INT_EN +#define USBFS_1_USB__ARB_EP6_SR CYREG_USB_ARB_EP6_SR +#define USBFS_1_USB__ARB_EP7_CFG CYREG_USB_ARB_EP7_CFG +#define USBFS_1_USB__ARB_EP7_INT_EN CYREG_USB_ARB_EP7_INT_EN +#define USBFS_1_USB__ARB_EP7_SR CYREG_USB_ARB_EP7_SR +#define USBFS_1_USB__ARB_EP8_CFG CYREG_USB_ARB_EP8_CFG +#define USBFS_1_USB__ARB_EP8_INT_EN CYREG_USB_ARB_EP8_INT_EN +#define USBFS_1_USB__ARB_EP8_SR CYREG_USB_ARB_EP8_SR +#define USBFS_1_USB__ARB_INT_EN CYREG_USB_ARB_INT_EN +#define USBFS_1_USB__ARB_INT_SR CYREG_USB_ARB_INT_SR +#define USBFS_1_USB__ARB_RW1_DR CYREG_USB_ARB_RW1_DR +#define USBFS_1_USB__ARB_RW1_RA CYREG_USB_ARB_RW1_RA +#define USBFS_1_USB__ARB_RW1_RA_MSB CYREG_USB_ARB_RW1_RA_MSB +#define USBFS_1_USB__ARB_RW1_WA CYREG_USB_ARB_RW1_WA +#define USBFS_1_USB__ARB_RW1_WA_MSB CYREG_USB_ARB_RW1_WA_MSB +#define USBFS_1_USB__ARB_RW2_DR CYREG_USB_ARB_RW2_DR +#define USBFS_1_USB__ARB_RW2_RA CYREG_USB_ARB_RW2_RA +#define USBFS_1_USB__ARB_RW2_RA_MSB CYREG_USB_ARB_RW2_RA_MSB +#define USBFS_1_USB__ARB_RW2_WA CYREG_USB_ARB_RW2_WA +#define USBFS_1_USB__ARB_RW2_WA_MSB CYREG_USB_ARB_RW2_WA_MSB +#define USBFS_1_USB__ARB_RW3_DR CYREG_USB_ARB_RW3_DR +#define USBFS_1_USB__ARB_RW3_RA CYREG_USB_ARB_RW3_RA +#define USBFS_1_USB__ARB_RW3_RA_MSB CYREG_USB_ARB_RW3_RA_MSB +#define USBFS_1_USB__ARB_RW3_WA CYREG_USB_ARB_RW3_WA +#define USBFS_1_USB__ARB_RW3_WA_MSB CYREG_USB_ARB_RW3_WA_MSB +#define USBFS_1_USB__ARB_RW4_DR CYREG_USB_ARB_RW4_DR +#define USBFS_1_USB__ARB_RW4_RA CYREG_USB_ARB_RW4_RA +#define USBFS_1_USB__ARB_RW4_RA_MSB CYREG_USB_ARB_RW4_RA_MSB +#define USBFS_1_USB__ARB_RW4_WA CYREG_USB_ARB_RW4_WA +#define USBFS_1_USB__ARB_RW4_WA_MSB CYREG_USB_ARB_RW4_WA_MSB +#define USBFS_1_USB__ARB_RW5_DR CYREG_USB_ARB_RW5_DR +#define USBFS_1_USB__ARB_RW5_RA CYREG_USB_ARB_RW5_RA +#define USBFS_1_USB__ARB_RW5_RA_MSB CYREG_USB_ARB_RW5_RA_MSB +#define USBFS_1_USB__ARB_RW5_WA CYREG_USB_ARB_RW5_WA +#define USBFS_1_USB__ARB_RW5_WA_MSB CYREG_USB_ARB_RW5_WA_MSB +#define USBFS_1_USB__ARB_RW6_DR CYREG_USB_ARB_RW6_DR +#define USBFS_1_USB__ARB_RW6_RA CYREG_USB_ARB_RW6_RA +#define USBFS_1_USB__ARB_RW6_RA_MSB CYREG_USB_ARB_RW6_RA_MSB +#define USBFS_1_USB__ARB_RW6_WA CYREG_USB_ARB_RW6_WA +#define USBFS_1_USB__ARB_RW6_WA_MSB CYREG_USB_ARB_RW6_WA_MSB +#define USBFS_1_USB__ARB_RW7_DR CYREG_USB_ARB_RW7_DR +#define USBFS_1_USB__ARB_RW7_RA CYREG_USB_ARB_RW7_RA +#define USBFS_1_USB__ARB_RW7_RA_MSB CYREG_USB_ARB_RW7_RA_MSB +#define USBFS_1_USB__ARB_RW7_WA CYREG_USB_ARB_RW7_WA +#define USBFS_1_USB__ARB_RW7_WA_MSB CYREG_USB_ARB_RW7_WA_MSB +#define USBFS_1_USB__ARB_RW8_DR CYREG_USB_ARB_RW8_DR +#define USBFS_1_USB__ARB_RW8_RA CYREG_USB_ARB_RW8_RA +#define USBFS_1_USB__ARB_RW8_RA_MSB CYREG_USB_ARB_RW8_RA_MSB +#define USBFS_1_USB__ARB_RW8_WA CYREG_USB_ARB_RW8_WA +#define USBFS_1_USB__ARB_RW8_WA_MSB CYREG_USB_ARB_RW8_WA_MSB +#define USBFS_1_USB__BUF_SIZE CYREG_USB_BUF_SIZE +#define USBFS_1_USB__BUS_RST_CNT CYREG_USB_BUS_RST_CNT +#define USBFS_1_USB__CR0 CYREG_USB_CR0 +#define USBFS_1_USB__CR1 CYREG_USB_CR1 +#define USBFS_1_USB__CWA CYREG_USB_CWA +#define USBFS_1_USB__CWA_MSB CYREG_USB_CWA_MSB +#define USBFS_1_USB__DMA_THRES CYREG_USB_DMA_THRES +#define USBFS_1_USB__DMA_THRES_MSB CYREG_USB_DMA_THRES_MSB +#define USBFS_1_USB__DYN_RECONFIG CYREG_USB_DYN_RECONFIG +#define USBFS_1_USB__EP0_CNT CYREG_USB_EP0_CNT +#define USBFS_1_USB__EP0_CR CYREG_USB_EP0_CR +#define USBFS_1_USB__EP0_DR0 CYREG_USB_EP0_DR0 +#define USBFS_1_USB__EP0_DR1 CYREG_USB_EP0_DR1 +#define USBFS_1_USB__EP0_DR2 CYREG_USB_EP0_DR2 +#define USBFS_1_USB__EP0_DR3 CYREG_USB_EP0_DR3 +#define USBFS_1_USB__EP0_DR4 CYREG_USB_EP0_DR4 +#define USBFS_1_USB__EP0_DR5 CYREG_USB_EP0_DR5 +#define USBFS_1_USB__EP0_DR6 CYREG_USB_EP0_DR6 +#define USBFS_1_USB__EP0_DR7 CYREG_USB_EP0_DR7 +#define USBFS_1_USB__EP_ACTIVE CYREG_USB_EP_ACTIVE +#define USBFS_1_USB__EP_TYPE CYREG_USB_EP_TYPE +#define USBFS_1_USB__MEM_DATA CYREG_USB_MEM_DATA_MBASE +#define USBFS_1_USB__PM_ACT_CFG CYREG_PM_ACT_CFG5 +#define USBFS_1_USB__PM_ACT_MSK 0x01u +#define USBFS_1_USB__PM_STBY_CFG CYREG_PM_STBY_CFG5 +#define USBFS_1_USB__PM_STBY_MSK 0x01u +#define USBFS_1_USB__SIE_EP1_CNT0 CYREG_USB_SIE_EP1_CNT0 +#define USBFS_1_USB__SIE_EP1_CNT1 CYREG_USB_SIE_EP1_CNT1 +#define USBFS_1_USB__SIE_EP1_CR0 CYREG_USB_SIE_EP1_CR0 +#define USBFS_1_USB__SIE_EP2_CNT0 CYREG_USB_SIE_EP2_CNT0 +#define USBFS_1_USB__SIE_EP2_CNT1 CYREG_USB_SIE_EP2_CNT1 +#define USBFS_1_USB__SIE_EP2_CR0 CYREG_USB_SIE_EP2_CR0 +#define USBFS_1_USB__SIE_EP3_CNT0 CYREG_USB_SIE_EP3_CNT0 +#define USBFS_1_USB__SIE_EP3_CNT1 CYREG_USB_SIE_EP3_CNT1 +#define USBFS_1_USB__SIE_EP3_CR0 CYREG_USB_SIE_EP3_CR0 +#define USBFS_1_USB__SIE_EP4_CNT0 CYREG_USB_SIE_EP4_CNT0 +#define USBFS_1_USB__SIE_EP4_CNT1 CYREG_USB_SIE_EP4_CNT1 +#define USBFS_1_USB__SIE_EP4_CR0 CYREG_USB_SIE_EP4_CR0 +#define USBFS_1_USB__SIE_EP5_CNT0 CYREG_USB_SIE_EP5_CNT0 +#define USBFS_1_USB__SIE_EP5_CNT1 CYREG_USB_SIE_EP5_CNT1 +#define USBFS_1_USB__SIE_EP5_CR0 CYREG_USB_SIE_EP5_CR0 +#define USBFS_1_USB__SIE_EP6_CNT0 CYREG_USB_SIE_EP6_CNT0 +#define USBFS_1_USB__SIE_EP6_CNT1 CYREG_USB_SIE_EP6_CNT1 +#define USBFS_1_USB__SIE_EP6_CR0 CYREG_USB_SIE_EP6_CR0 +#define USBFS_1_USB__SIE_EP7_CNT0 CYREG_USB_SIE_EP7_CNT0 +#define USBFS_1_USB__SIE_EP7_CNT1 CYREG_USB_SIE_EP7_CNT1 +#define USBFS_1_USB__SIE_EP7_CR0 CYREG_USB_SIE_EP7_CR0 +#define USBFS_1_USB__SIE_EP8_CNT0 CYREG_USB_SIE_EP8_CNT0 +#define USBFS_1_USB__SIE_EP8_CNT1 CYREG_USB_SIE_EP8_CNT1 +#define USBFS_1_USB__SIE_EP8_CR0 CYREG_USB_SIE_EP8_CR0 +#define USBFS_1_USB__SIE_EP_INT_EN CYREG_USB_SIE_EP_INT_EN +#define USBFS_1_USB__SIE_EP_INT_SR CYREG_USB_SIE_EP_INT_SR +#define USBFS_1_USB__SOF0 CYREG_USB_SOF0 +#define USBFS_1_USB__SOF1 CYREG_USB_SOF1 +#define USBFS_1_USB__USBIO_CR0 CYREG_USB_USBIO_CR0 +#define USBFS_1_USB__USBIO_CR1 CYREG_USB_USBIO_CR1 +#define USBFS_1_USB__USB_CLK_EN CYREG_USB_USB_CLK_EN + /* scsiTarget */ #define scsiTarget_StatusReg__0__MASK 0x01u #define scsiTarget_StatusReg__0__POS 0 -#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB11_12_ACTL -#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB11_12_ST +#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB00_01_ACTL +#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB00_01_ST #define scsiTarget_StatusReg__1__MASK 0x02u #define scsiTarget_StatusReg__1__POS 1 #define scsiTarget_StatusReg__2__MASK 0x04u @@ -336,82 +1206,142 @@ #define scsiTarget_StatusReg__3__MASK 0x08u #define scsiTarget_StatusReg__3__POS 3 #define scsiTarget_StatusReg__MASK 0x0Fu -#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB11_MSK -#define scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL -#define scsiTarget_StatusReg__PER_ST_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL -#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB11_ACTL -#define scsiTarget_StatusReg__STATUS_CNT_REG CYREG_B0_UDB11_ST_CTL -#define scsiTarget_StatusReg__STATUS_CONTROL_REG CYREG_B0_UDB11_ST_CTL -#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB11_ST -#define scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL -#define scsiTarget_datapath_PI__16BIT_STATUS_REG CYREG_B0_UDB04_05_ST -#define scsiTarget_datapath_PI__MASK_REG CYREG_B0_UDB04_MSK -#define scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL -#define scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL -#define scsiTarget_datapath_PI__STATUS_AUX_CTL_REG CYREG_B0_UDB04_ACTL -#define scsiTarget_datapath_PI__STATUS_CNT_REG CYREG_B0_UDB04_ST_CTL -#define scsiTarget_datapath_PI__STATUS_CONTROL_REG CYREG_B0_UDB04_ST_CTL -#define scsiTarget_datapath_PI__STATUS_REG CYREG_B0_UDB04_ST -#define scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL -#define scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB04_05_CTL -#define scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB04_05_CTL -#define scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB04_05_CTL -#define scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG CYREG_B0_UDB04_05_CTL -#define scsiTarget_datapath_PO__16BIT_MASK_MASK_REG CYREG_B0_UDB04_05_MSK -#define scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG CYREG_B0_UDB04_05_MSK -#define scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG CYREG_B0_UDB04_05_MSK -#define scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB04_05_MSK -#define scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG CYREG_B0_UDB04_ACTL -#define scsiTarget_datapath_PO__CONTROL_REG CYREG_B0_UDB04_CTL -#define scsiTarget_datapath_PO__CONTROL_ST_REG CYREG_B0_UDB04_ST_CTL -#define scsiTarget_datapath_PO__COUNT_REG CYREG_B0_UDB04_CTL -#define scsiTarget_datapath_PO__COUNT_ST_REG CYREG_B0_UDB04_ST_CTL -#define scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL -#define scsiTarget_datapath_PO__PERIOD_REG CYREG_B0_UDB04_MSK -#define scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL -#define scsiTarget_datapath__16BIT_A0_REG CYREG_B0_UDB04_05_A0 -#define scsiTarget_datapath__16BIT_A1_REG CYREG_B0_UDB04_05_A1 -#define scsiTarget_datapath__16BIT_D0_REG CYREG_B0_UDB04_05_D0 -#define scsiTarget_datapath__16BIT_D1_REG CYREG_B0_UDB04_05_D1 -#define scsiTarget_datapath__16BIT_DP_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL -#define scsiTarget_datapath__16BIT_F0_REG CYREG_B0_UDB04_05_F0 -#define scsiTarget_datapath__16BIT_F1_REG CYREG_B0_UDB04_05_F1 -#define scsiTarget_datapath__A0_A1_REG CYREG_B0_UDB04_A0_A1 -#define scsiTarget_datapath__A0_REG CYREG_B0_UDB04_A0 -#define scsiTarget_datapath__A1_REG CYREG_B0_UDB04_A1 -#define scsiTarget_datapath__D0_D1_REG CYREG_B0_UDB04_D0_D1 -#define scsiTarget_datapath__D0_REG CYREG_B0_UDB04_D0 -#define scsiTarget_datapath__D1_REG CYREG_B0_UDB04_D1 -#define scsiTarget_datapath__DP_AUX_CTL_REG CYREG_B0_UDB04_ACTL -#define scsiTarget_datapath__F0_F1_REG CYREG_B0_UDB04_F0_F1 -#define scsiTarget_datapath__F0_REG CYREG_B0_UDB04_F0 -#define scsiTarget_datapath__F1_REG CYREG_B0_UDB04_F1 -#define scsiTarget_datapath__MSK_DP_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL -#define scsiTarget_datapath__PER_DP_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB00_MSK +#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB00_ACTL +#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB00_ST +#define scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL +#define scsiTarget_datapath_PI__16BIT_STATUS_REG CYREG_B0_UDB03_04_ST +#define scsiTarget_datapath_PI__MASK_REG CYREG_B0_UDB03_MSK +#define scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define scsiTarget_datapath_PI__STATUS_AUX_CTL_REG CYREG_B0_UDB03_ACTL +#define scsiTarget_datapath_PI__STATUS_CNT_REG CYREG_B0_UDB03_ST_CTL +#define scsiTarget_datapath_PI__STATUS_CONTROL_REG CYREG_B0_UDB03_ST_CTL +#define scsiTarget_datapath_PI__STATUS_REG CYREG_B0_UDB03_ST +#define scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL +#define scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB03_04_CTL +#define scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB03_04_CTL +#define scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB03_04_CTL +#define scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG CYREG_B0_UDB03_04_CTL +#define scsiTarget_datapath_PO__16BIT_MASK_MASK_REG CYREG_B0_UDB03_04_MSK +#define scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG CYREG_B0_UDB03_04_MSK +#define scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG CYREG_B0_UDB03_04_MSK +#define scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB03_04_MSK +#define scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG CYREG_B0_UDB03_ACTL +#define scsiTarget_datapath_PO__CONTROL_REG CYREG_B0_UDB03_CTL +#define scsiTarget_datapath_PO__CONTROL_ST_REG CYREG_B0_UDB03_ST_CTL +#define scsiTarget_datapath_PO__COUNT_REG CYREG_B0_UDB03_CTL +#define scsiTarget_datapath_PO__COUNT_ST_REG CYREG_B0_UDB03_ST_CTL +#define scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define scsiTarget_datapath_PO__PERIOD_REG CYREG_B0_UDB03_MSK +#define scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define scsiTarget_datapath__16BIT_A0_REG CYREG_B0_UDB03_04_A0 +#define scsiTarget_datapath__16BIT_A1_REG CYREG_B0_UDB03_04_A1 +#define scsiTarget_datapath__16BIT_D0_REG CYREG_B0_UDB03_04_D0 +#define scsiTarget_datapath__16BIT_D1_REG CYREG_B0_UDB03_04_D1 +#define scsiTarget_datapath__16BIT_DP_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL +#define scsiTarget_datapath__16BIT_F0_REG CYREG_B0_UDB03_04_F0 +#define scsiTarget_datapath__16BIT_F1_REG CYREG_B0_UDB03_04_F1 +#define scsiTarget_datapath__A0_A1_REG CYREG_B0_UDB03_A0_A1 +#define scsiTarget_datapath__A0_REG CYREG_B0_UDB03_A0 +#define scsiTarget_datapath__A1_REG CYREG_B0_UDB03_A1 +#define scsiTarget_datapath__D0_D1_REG CYREG_B0_UDB03_D0_D1 +#define scsiTarget_datapath__D0_REG CYREG_B0_UDB03_D0 +#define scsiTarget_datapath__D1_REG CYREG_B0_UDB03_D1 +#define scsiTarget_datapath__DP_AUX_CTL_REG CYREG_B0_UDB03_ACTL +#define scsiTarget_datapath__F0_F1_REG CYREG_B0_UDB03_F0_F1 +#define scsiTarget_datapath__F0_REG CYREG_B0_UDB03_F0 +#define scsiTarget_datapath__F1_REG CYREG_B0_UDB03_F1 +#define scsiTarget_datapath__MSK_DP_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define scsiTarget_datapath__PER_DP_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL /* SD_Clk_Ctl */ #define SD_Clk_Ctl_Sync_ctrl_reg__0__MASK 0x01u #define SD_Clk_Ctl_Sync_ctrl_reg__0__POS 0 -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB11_12_ACTL -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB11_12_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB11_12_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB11_12_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB11_12_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB11_12_MSK -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB11_12_MSK -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB11_12_MSK -#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB11_12_MSK -#define SD_Clk_Ctl_Sync_ctrl_reg__1__MASK 0x02u -#define SD_Clk_Ctl_Sync_ctrl_reg__1__POS 1 -#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB11_ACTL -#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB11_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB11_ST_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB11_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB11_ST_CTL -#define SD_Clk_Ctl_Sync_ctrl_reg__MASK 0x03u -#define SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL -#define SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB11_MSK -#define SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB01_02_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB01_02_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB01_02_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB01_02_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB01_02_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB01_02_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB01_02_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB01_02_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB01_02_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB01_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB01_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB01_ST_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB01_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB01_ST_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__MASK 0x01u +#define SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB01_MSK_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB01_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB01_MSK_ACTL + +/* USBFS_1_Dm */ +#define USBFS_1_Dm__0__MASK 0x80u +#define USBFS_1_Dm__0__PC CYREG_IO_PC_PRT15_7_6_PC1 +#define USBFS_1_Dm__0__PORT 15u +#define USBFS_1_Dm__0__SHIFT 7 +#define USBFS_1_Dm__AG CYREG_PRT15_AG +#define USBFS_1_Dm__AMUX CYREG_PRT15_AMUX +#define USBFS_1_Dm__BIE CYREG_PRT15_BIE +#define USBFS_1_Dm__BIT_MASK CYREG_PRT15_BIT_MASK +#define USBFS_1_Dm__BYP CYREG_PRT15_BYP +#define USBFS_1_Dm__CTL CYREG_PRT15_CTL +#define USBFS_1_Dm__DM0 CYREG_PRT15_DM0 +#define USBFS_1_Dm__DM1 CYREG_PRT15_DM1 +#define USBFS_1_Dm__DM2 CYREG_PRT15_DM2 +#define USBFS_1_Dm__DR CYREG_PRT15_DR +#define USBFS_1_Dm__INP_DIS CYREG_PRT15_INP_DIS +#define USBFS_1_Dm__LCD_COM_SEG CYREG_PRT15_LCD_COM_SEG +#define USBFS_1_Dm__LCD_EN CYREG_PRT15_LCD_EN +#define USBFS_1_Dm__MASK 0x80u +#define USBFS_1_Dm__PORT 15u +#define USBFS_1_Dm__PRT CYREG_PRT15_PRT +#define USBFS_1_Dm__PRTDSI__CAPS_SEL CYREG_PRT15_CAPS_SEL +#define USBFS_1_Dm__PRTDSI__DBL_SYNC_IN CYREG_PRT15_DBL_SYNC_IN +#define USBFS_1_Dm__PRTDSI__OE_SEL0 CYREG_PRT15_OE_SEL0 +#define USBFS_1_Dm__PRTDSI__OE_SEL1 CYREG_PRT15_OE_SEL1 +#define USBFS_1_Dm__PRTDSI__OUT_SEL0 CYREG_PRT15_OUT_SEL0 +#define USBFS_1_Dm__PRTDSI__OUT_SEL1 CYREG_PRT15_OUT_SEL1 +#define USBFS_1_Dm__PRTDSI__SYNC_OUT CYREG_PRT15_SYNC_OUT +#define USBFS_1_Dm__PS CYREG_PRT15_PS +#define USBFS_1_Dm__SHIFT 7 +#define USBFS_1_Dm__SLW CYREG_PRT15_SLW + +/* USBFS_1_Dp */ +#define USBFS_1_Dp__0__MASK 0x40u +#define USBFS_1_Dp__0__PC CYREG_IO_PC_PRT15_7_6_PC0 +#define USBFS_1_Dp__0__PORT 15u +#define USBFS_1_Dp__0__SHIFT 6 +#define USBFS_1_Dp__AG CYREG_PRT15_AG +#define USBFS_1_Dp__AMUX CYREG_PRT15_AMUX +#define USBFS_1_Dp__BIE CYREG_PRT15_BIE +#define USBFS_1_Dp__BIT_MASK CYREG_PRT15_BIT_MASK +#define USBFS_1_Dp__BYP CYREG_PRT15_BYP +#define USBFS_1_Dp__CTL CYREG_PRT15_CTL +#define USBFS_1_Dp__DM0 CYREG_PRT15_DM0 +#define USBFS_1_Dp__DM1 CYREG_PRT15_DM1 +#define USBFS_1_Dp__DM2 CYREG_PRT15_DM2 +#define USBFS_1_Dp__DR CYREG_PRT15_DR +#define USBFS_1_Dp__INP_DIS CYREG_PRT15_INP_DIS +#define USBFS_1_Dp__INTSTAT CYREG_PICU15_INTSTAT +#define USBFS_1_Dp__LCD_COM_SEG CYREG_PRT15_LCD_COM_SEG +#define USBFS_1_Dp__LCD_EN CYREG_PRT15_LCD_EN +#define USBFS_1_Dp__MASK 0x40u +#define USBFS_1_Dp__PORT 15u +#define USBFS_1_Dp__PRT CYREG_PRT15_PRT +#define USBFS_1_Dp__PRTDSI__CAPS_SEL CYREG_PRT15_CAPS_SEL +#define USBFS_1_Dp__PRTDSI__DBL_SYNC_IN CYREG_PRT15_DBL_SYNC_IN +#define USBFS_1_Dp__PRTDSI__OE_SEL0 CYREG_PRT15_OE_SEL0 +#define USBFS_1_Dp__PRTDSI__OE_SEL1 CYREG_PRT15_OE_SEL1 +#define USBFS_1_Dp__PRTDSI__OUT_SEL0 CYREG_PRT15_OUT_SEL0 +#define USBFS_1_Dp__PRTDSI__OUT_SEL1 CYREG_PRT15_OUT_SEL1 +#define USBFS_1_Dp__PRTDSI__SYNC_OUT CYREG_PRT15_SYNC_OUT +#define USBFS_1_Dp__PS CYREG_PRT15_PS +#define USBFS_1_Dp__SHIFT 6 +#define USBFS_1_Dp__SLW CYREG_PRT15_SLW +#define USBFS_1_Dp__SNAP CYREG_PICU_15_SNAP_15 /* SCSI_ATN */ #define SCSI_ATN__0__MASK 0x20u @@ -464,8 +1394,8 @@ #define SCSI_Out__0__INP_DIS CYREG_PRT4_INP_DIS #define SCSI_Out__0__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG #define SCSI_Out__0__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__0__MASK 0x04u -#define SCSI_Out__0__PC CYREG_PRT4_PC2 +#define SCSI_Out__0__MASK 0x08u +#define SCSI_Out__0__PC CYREG_PRT4_PC3 #define SCSI_Out__0__PORT 4u #define SCSI_Out__0__PRT CYREG_PRT4_PRT #define SCSI_Out__0__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL @@ -476,7 +1406,7 @@ #define SCSI_Out__0__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 #define SCSI_Out__0__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT #define SCSI_Out__0__PS CYREG_PRT4_PS -#define SCSI_Out__0__SHIFT 2 +#define SCSI_Out__0__SHIFT 3 #define SCSI_Out__0__SLW CYREG_PRT4_SLW #define SCSI_Out__1__AG CYREG_PRT4_AG #define SCSI_Out__1__AMUX CYREG_PRT4_AMUX @@ -491,8 +1421,8 @@ #define SCSI_Out__1__INP_DIS CYREG_PRT4_INP_DIS #define SCSI_Out__1__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG #define SCSI_Out__1__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__1__MASK 0x08u -#define SCSI_Out__1__PC CYREG_PRT4_PC3 +#define SCSI_Out__1__MASK 0x04u +#define SCSI_Out__1__PC CYREG_PRT4_PC2 #define SCSI_Out__1__PORT 4u #define SCSI_Out__1__PRT CYREG_PRT4_PRT #define SCSI_Out__1__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL @@ -503,251 +1433,251 @@ #define SCSI_Out__1__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 #define SCSI_Out__1__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT #define SCSI_Out__1__PS CYREG_PRT4_PS -#define SCSI_Out__1__SHIFT 3 +#define SCSI_Out__1__SHIFT 2 #define SCSI_Out__1__SLW CYREG_PRT4_SLW -#define SCSI_Out__2__AG CYREG_PRT4_AG -#define SCSI_Out__2__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__2__BIE CYREG_PRT4_BIE -#define SCSI_Out__2__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__2__BYP CYREG_PRT4_BYP -#define SCSI_Out__2__CTL CYREG_PRT4_CTL -#define SCSI_Out__2__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__2__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__2__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__2__DR CYREG_PRT4_DR -#define SCSI_Out__2__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__2__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__2__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__2__MASK 0x10u -#define SCSI_Out__2__PC CYREG_PRT4_PC4 -#define SCSI_Out__2__PORT 4u -#define SCSI_Out__2__PRT CYREG_PRT4_PRT -#define SCSI_Out__2__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__2__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__2__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__2__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__2__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__2__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__2__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__2__PS CYREG_PRT4_PS -#define SCSI_Out__2__SHIFT 4 -#define SCSI_Out__2__SLW CYREG_PRT4_SLW -#define SCSI_Out__3__AG CYREG_PRT4_AG -#define SCSI_Out__3__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__3__BIE CYREG_PRT4_BIE -#define SCSI_Out__3__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__3__BYP CYREG_PRT4_BYP -#define SCSI_Out__3__CTL CYREG_PRT4_CTL -#define SCSI_Out__3__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__3__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__3__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__3__DR CYREG_PRT4_DR -#define SCSI_Out__3__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__3__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__3__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__3__MASK 0x20u -#define SCSI_Out__3__PC CYREG_PRT4_PC5 -#define SCSI_Out__3__PORT 4u -#define SCSI_Out__3__PRT CYREG_PRT4_PRT -#define SCSI_Out__3__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__3__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__3__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__3__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__3__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__3__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__3__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__3__PS CYREG_PRT4_PS -#define SCSI_Out__3__SHIFT 5 -#define SCSI_Out__3__SLW CYREG_PRT4_SLW -#define SCSI_Out__4__AG CYREG_PRT4_AG -#define SCSI_Out__4__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__4__BIE CYREG_PRT4_BIE -#define SCSI_Out__4__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__4__BYP CYREG_PRT4_BYP -#define SCSI_Out__4__CTL CYREG_PRT4_CTL -#define SCSI_Out__4__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__4__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__4__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__4__DR CYREG_PRT4_DR -#define SCSI_Out__4__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__4__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__4__MASK 0x40u -#define SCSI_Out__4__PC CYREG_PRT4_PC6 -#define SCSI_Out__4__PORT 4u -#define SCSI_Out__4__PRT CYREG_PRT4_PRT -#define SCSI_Out__4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__4__PS CYREG_PRT4_PS -#define SCSI_Out__4__SHIFT 6 -#define SCSI_Out__4__SLW CYREG_PRT4_SLW -#define SCSI_Out__5__AG CYREG_PRT4_AG -#define SCSI_Out__5__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__5__BIE CYREG_PRT4_BIE -#define SCSI_Out__5__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__5__BYP CYREG_PRT4_BYP -#define SCSI_Out__5__CTL CYREG_PRT4_CTL -#define SCSI_Out__5__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__5__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__5__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__5__DR CYREG_PRT4_DR -#define SCSI_Out__5__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__5__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__5__MASK 0x80u -#define SCSI_Out__5__PC CYREG_PRT4_PC7 -#define SCSI_Out__5__PORT 4u -#define SCSI_Out__5__PRT CYREG_PRT4_PRT -#define SCSI_Out__5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__5__PS CYREG_PRT4_PS -#define SCSI_Out__5__SHIFT 7 -#define SCSI_Out__5__SLW CYREG_PRT4_SLW -#define SCSI_Out__6__AG CYREG_PRT6_AG -#define SCSI_Out__6__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__6__BIE CYREG_PRT6_BIE -#define SCSI_Out__6__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__6__BYP CYREG_PRT6_BYP -#define SCSI_Out__6__CTL CYREG_PRT6_CTL -#define SCSI_Out__6__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__6__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__6__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__6__DR CYREG_PRT6_DR -#define SCSI_Out__6__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__6__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__6__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__6__MASK 0x01u -#define SCSI_Out__6__PC CYREG_PRT6_PC0 -#define SCSI_Out__6__PORT 6u -#define SCSI_Out__6__PRT CYREG_PRT6_PRT -#define SCSI_Out__6__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__6__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__6__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__6__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__6__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__6__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__6__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__6__PS CYREG_PRT6_PS -#define SCSI_Out__6__SHIFT 0 -#define SCSI_Out__6__SLW CYREG_PRT6_SLW -#define SCSI_Out__7__AG CYREG_PRT6_AG -#define SCSI_Out__7__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__7__BIE CYREG_PRT6_BIE -#define SCSI_Out__7__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__7__BYP CYREG_PRT6_BYP -#define SCSI_Out__7__CTL CYREG_PRT6_CTL -#define SCSI_Out__7__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__7__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__7__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__7__DR CYREG_PRT6_DR -#define SCSI_Out__7__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__7__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__7__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__7__MASK 0x02u -#define SCSI_Out__7__PC CYREG_PRT6_PC1 -#define SCSI_Out__7__PORT 6u -#define SCSI_Out__7__PRT CYREG_PRT6_PRT -#define SCSI_Out__7__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__7__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__7__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__7__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__7__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__7__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__7__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__7__PS CYREG_PRT6_PS -#define SCSI_Out__7__SHIFT 1 -#define SCSI_Out__7__SLW CYREG_PRT6_SLW -#define SCSI_Out__8__AG CYREG_PRT6_AG -#define SCSI_Out__8__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__8__BIE CYREG_PRT6_BIE -#define SCSI_Out__8__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__8__BYP CYREG_PRT6_BYP -#define SCSI_Out__8__CTL CYREG_PRT6_CTL -#define SCSI_Out__8__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__8__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__8__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__8__DR CYREG_PRT6_DR -#define SCSI_Out__8__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__8__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__8__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__8__MASK 0x04u -#define SCSI_Out__8__PC CYREG_PRT6_PC2 -#define SCSI_Out__8__PORT 6u -#define SCSI_Out__8__PRT CYREG_PRT6_PRT -#define SCSI_Out__8__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__8__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__8__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__8__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__8__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__8__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__8__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__8__PS CYREG_PRT6_PS -#define SCSI_Out__8__SHIFT 2 -#define SCSI_Out__8__SLW CYREG_PRT6_SLW -#define SCSI_Out__9__AG CYREG_PRT6_AG -#define SCSI_Out__9__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__9__BIE CYREG_PRT6_BIE -#define SCSI_Out__9__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__9__BYP CYREG_PRT6_BYP -#define SCSI_Out__9__CTL CYREG_PRT6_CTL -#define SCSI_Out__9__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__9__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__9__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__9__DR CYREG_PRT6_DR -#define SCSI_Out__9__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__9__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__9__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__9__MASK 0x08u -#define SCSI_Out__9__PC CYREG_PRT6_PC3 -#define SCSI_Out__9__PORT 6u -#define SCSI_Out__9__PRT CYREG_PRT6_PRT -#define SCSI_Out__9__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__9__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__9__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__9__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__9__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__9__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__9__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__9__PS CYREG_PRT6_PS -#define SCSI_Out__9__SHIFT 3 -#define SCSI_Out__9__SLW CYREG_PRT6_SLW -#define SCSI_Out__ACK__AG CYREG_PRT4_AG -#define SCSI_Out__ACK__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__ACK__BIE CYREG_PRT4_BIE -#define SCSI_Out__ACK__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__ACK__BYP CYREG_PRT4_BYP -#define SCSI_Out__ACK__CTL CYREG_PRT4_CTL -#define SCSI_Out__ACK__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__ACK__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__ACK__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__ACK__DR CYREG_PRT4_DR -#define SCSI_Out__ACK__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__ACK__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__ACK__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__ACK__MASK 0x20u -#define SCSI_Out__ACK__PC CYREG_PRT4_PC5 -#define SCSI_Out__ACK__PORT 4u -#define SCSI_Out__ACK__PRT CYREG_PRT4_PRT -#define SCSI_Out__ACK__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__ACK__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__ACK__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__ACK__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__ACK__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__ACK__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__ACK__PS CYREG_PRT4_PS -#define SCSI_Out__ACK__SHIFT 5 -#define SCSI_Out__ACK__SLW CYREG_PRT4_SLW +#define SCSI_Out__2__AG CYREG_PRT0_AG +#define SCSI_Out__2__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__2__BIE CYREG_PRT0_BIE +#define SCSI_Out__2__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__2__BYP CYREG_PRT0_BYP +#define SCSI_Out__2__CTL CYREG_PRT0_CTL +#define SCSI_Out__2__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__2__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__2__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__2__DR CYREG_PRT0_DR +#define SCSI_Out__2__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__2__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__2__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__2__MASK 0x80u +#define SCSI_Out__2__PC CYREG_PRT0_PC7 +#define SCSI_Out__2__PORT 0u +#define SCSI_Out__2__PRT CYREG_PRT0_PRT +#define SCSI_Out__2__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__2__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__2__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__2__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__2__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__2__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__2__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__2__PS CYREG_PRT0_PS +#define SCSI_Out__2__SHIFT 7 +#define SCSI_Out__2__SLW CYREG_PRT0_SLW +#define SCSI_Out__3__AG CYREG_PRT0_AG +#define SCSI_Out__3__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__3__BIE CYREG_PRT0_BIE +#define SCSI_Out__3__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__3__BYP CYREG_PRT0_BYP +#define SCSI_Out__3__CTL CYREG_PRT0_CTL +#define SCSI_Out__3__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__3__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__3__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__3__DR CYREG_PRT0_DR +#define SCSI_Out__3__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__3__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__3__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__3__MASK 0x40u +#define SCSI_Out__3__PC CYREG_PRT0_PC6 +#define SCSI_Out__3__PORT 0u +#define SCSI_Out__3__PRT CYREG_PRT0_PRT +#define SCSI_Out__3__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__3__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__3__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__3__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__3__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__3__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__3__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__3__PS CYREG_PRT0_PS +#define SCSI_Out__3__SHIFT 6 +#define SCSI_Out__3__SLW CYREG_PRT0_SLW +#define SCSI_Out__4__AG CYREG_PRT0_AG +#define SCSI_Out__4__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__4__BIE CYREG_PRT0_BIE +#define SCSI_Out__4__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__4__BYP CYREG_PRT0_BYP +#define SCSI_Out__4__CTL CYREG_PRT0_CTL +#define SCSI_Out__4__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__4__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__4__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__4__DR CYREG_PRT0_DR +#define SCSI_Out__4__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__4__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__4__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__4__MASK 0x20u +#define SCSI_Out__4__PC CYREG_PRT0_PC5 +#define SCSI_Out__4__PORT 0u +#define SCSI_Out__4__PRT CYREG_PRT0_PRT +#define SCSI_Out__4__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__4__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__4__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__4__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__4__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__4__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__4__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__4__PS CYREG_PRT0_PS +#define SCSI_Out__4__SHIFT 5 +#define SCSI_Out__4__SLW CYREG_PRT0_SLW +#define SCSI_Out__5__AG CYREG_PRT0_AG +#define SCSI_Out__5__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__5__BIE CYREG_PRT0_BIE +#define SCSI_Out__5__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__5__BYP CYREG_PRT0_BYP +#define SCSI_Out__5__CTL CYREG_PRT0_CTL +#define SCSI_Out__5__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__5__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__5__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__5__DR CYREG_PRT0_DR +#define SCSI_Out__5__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__5__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__5__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__5__MASK 0x10u +#define SCSI_Out__5__PC CYREG_PRT0_PC4 +#define SCSI_Out__5__PORT 0u +#define SCSI_Out__5__PRT CYREG_PRT0_PRT +#define SCSI_Out__5__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__5__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__5__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__5__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__5__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__5__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__5__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__5__PS CYREG_PRT0_PS +#define SCSI_Out__5__SHIFT 4 +#define SCSI_Out__5__SLW CYREG_PRT0_SLW +#define SCSI_Out__6__AG CYREG_PRT0_AG +#define SCSI_Out__6__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__6__BIE CYREG_PRT0_BIE +#define SCSI_Out__6__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__6__BYP CYREG_PRT0_BYP +#define SCSI_Out__6__CTL CYREG_PRT0_CTL +#define SCSI_Out__6__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__6__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__6__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__6__DR CYREG_PRT0_DR +#define SCSI_Out__6__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__6__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__6__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__6__MASK 0x08u +#define SCSI_Out__6__PC CYREG_PRT0_PC3 +#define SCSI_Out__6__PORT 0u +#define SCSI_Out__6__PRT CYREG_PRT0_PRT +#define SCSI_Out__6__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__6__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__6__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__6__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__6__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__6__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__6__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__6__PS CYREG_PRT0_PS +#define SCSI_Out__6__SHIFT 3 +#define SCSI_Out__6__SLW CYREG_PRT0_SLW +#define SCSI_Out__7__AG CYREG_PRT0_AG +#define SCSI_Out__7__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__7__BIE CYREG_PRT0_BIE +#define SCSI_Out__7__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__7__BYP CYREG_PRT0_BYP +#define SCSI_Out__7__CTL CYREG_PRT0_CTL +#define SCSI_Out__7__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__7__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__7__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__7__DR CYREG_PRT0_DR +#define SCSI_Out__7__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__7__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__7__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__7__MASK 0x04u +#define SCSI_Out__7__PC CYREG_PRT0_PC2 +#define SCSI_Out__7__PORT 0u +#define SCSI_Out__7__PRT CYREG_PRT0_PRT +#define SCSI_Out__7__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__7__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__7__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__7__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__7__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__7__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__7__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__7__PS CYREG_PRT0_PS +#define SCSI_Out__7__SHIFT 2 +#define SCSI_Out__7__SLW CYREG_PRT0_SLW +#define SCSI_Out__8__AG CYREG_PRT0_AG +#define SCSI_Out__8__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__8__BIE CYREG_PRT0_BIE +#define SCSI_Out__8__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__8__BYP CYREG_PRT0_BYP +#define SCSI_Out__8__CTL CYREG_PRT0_CTL +#define SCSI_Out__8__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__8__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__8__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__8__DR CYREG_PRT0_DR +#define SCSI_Out__8__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__8__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__8__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__8__MASK 0x02u +#define SCSI_Out__8__PC CYREG_PRT0_PC1 +#define SCSI_Out__8__PORT 0u +#define SCSI_Out__8__PRT CYREG_PRT0_PRT +#define SCSI_Out__8__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__8__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__8__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__8__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__8__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__8__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__8__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__8__PS CYREG_PRT0_PS +#define SCSI_Out__8__SHIFT 1 +#define SCSI_Out__8__SLW CYREG_PRT0_SLW +#define SCSI_Out__9__AG CYREG_PRT0_AG +#define SCSI_Out__9__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__9__BIE CYREG_PRT0_BIE +#define SCSI_Out__9__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__9__BYP CYREG_PRT0_BYP +#define SCSI_Out__9__CTL CYREG_PRT0_CTL +#define SCSI_Out__9__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__9__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__9__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__9__DR CYREG_PRT0_DR +#define SCSI_Out__9__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__9__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__9__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__9__MASK 0x01u +#define SCSI_Out__9__PC CYREG_PRT0_PC0 +#define SCSI_Out__9__PORT 0u +#define SCSI_Out__9__PRT CYREG_PRT0_PRT +#define SCSI_Out__9__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__9__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__9__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__9__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__9__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__9__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__9__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__9__PS CYREG_PRT0_PS +#define SCSI_Out__9__SHIFT 0 +#define SCSI_Out__9__SLW CYREG_PRT0_SLW +#define SCSI_Out__ACK__AG CYREG_PRT0_AG +#define SCSI_Out__ACK__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__ACK__BIE CYREG_PRT0_BIE +#define SCSI_Out__ACK__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__ACK__BYP CYREG_PRT0_BYP +#define SCSI_Out__ACK__CTL CYREG_PRT0_CTL +#define SCSI_Out__ACK__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__ACK__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__ACK__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__ACK__DR CYREG_PRT0_DR +#define SCSI_Out__ACK__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__ACK__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__ACK__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__ACK__MASK 0x40u +#define SCSI_Out__ACK__PC CYREG_PRT0_PC6 +#define SCSI_Out__ACK__PORT 0u +#define SCSI_Out__ACK__PRT CYREG_PRT0_PRT +#define SCSI_Out__ACK__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__ACK__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__ACK__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__ACK__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__ACK__PS CYREG_PRT0_PS +#define SCSI_Out__ACK__SHIFT 6 +#define SCSI_Out__ACK__SLW CYREG_PRT0_SLW #define SCSI_Out__ATN__AG CYREG_PRT4_AG #define SCSI_Out__ATN__AMUX CYREG_PRT4_AMUX #define SCSI_Out__ATN__BIE CYREG_PRT4_BIE @@ -761,8 +1691,8 @@ #define SCSI_Out__ATN__INP_DIS CYREG_PRT4_INP_DIS #define SCSI_Out__ATN__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG #define SCSI_Out__ATN__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__ATN__MASK 0x08u -#define SCSI_Out__ATN__PC CYREG_PRT4_PC3 +#define SCSI_Out__ATN__MASK 0x04u +#define SCSI_Out__ATN__PC CYREG_PRT4_PC2 #define SCSI_Out__ATN__PORT 4u #define SCSI_Out__ATN__PRT CYREG_PRT4_PRT #define SCSI_Out__ATN__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL @@ -773,62 +1703,62 @@ #define SCSI_Out__ATN__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 #define SCSI_Out__ATN__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT #define SCSI_Out__ATN__PS CYREG_PRT4_PS -#define SCSI_Out__ATN__SHIFT 3 +#define SCSI_Out__ATN__SHIFT 2 #define SCSI_Out__ATN__SLW CYREG_PRT4_SLW -#define SCSI_Out__BSY__AG CYREG_PRT4_AG -#define SCSI_Out__BSY__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__BSY__BIE CYREG_PRT4_BIE -#define SCSI_Out__BSY__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__BSY__BYP CYREG_PRT4_BYP -#define SCSI_Out__BSY__CTL CYREG_PRT4_CTL -#define SCSI_Out__BSY__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__BSY__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__BSY__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__BSY__DR CYREG_PRT4_DR -#define SCSI_Out__BSY__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__BSY__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__BSY__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__BSY__MASK 0x10u -#define SCSI_Out__BSY__PC CYREG_PRT4_PC4 -#define SCSI_Out__BSY__PORT 4u -#define SCSI_Out__BSY__PRT CYREG_PRT4_PRT -#define SCSI_Out__BSY__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__BSY__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__BSY__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__BSY__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__BSY__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__BSY__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__BSY__PS CYREG_PRT4_PS -#define SCSI_Out__BSY__SHIFT 4 -#define SCSI_Out__BSY__SLW CYREG_PRT4_SLW -#define SCSI_Out__CD__AG CYREG_PRT6_AG -#define SCSI_Out__CD__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__CD__BIE CYREG_PRT6_BIE -#define SCSI_Out__CD__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__CD__BYP CYREG_PRT6_BYP -#define SCSI_Out__CD__CTL CYREG_PRT6_CTL -#define SCSI_Out__CD__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__CD__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__CD__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__CD__DR CYREG_PRT6_DR -#define SCSI_Out__CD__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__CD__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__CD__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__CD__MASK 0x02u -#define SCSI_Out__CD__PC CYREG_PRT6_PC1 -#define SCSI_Out__CD__PORT 6u -#define SCSI_Out__CD__PRT CYREG_PRT6_PRT -#define SCSI_Out__CD__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__CD__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__CD__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__CD__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__CD__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__CD__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__CD__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__CD__PS CYREG_PRT6_PS -#define SCSI_Out__CD__SHIFT 1 -#define SCSI_Out__CD__SLW CYREG_PRT6_SLW +#define SCSI_Out__BSY__AG CYREG_PRT0_AG +#define SCSI_Out__BSY__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__BSY__BIE CYREG_PRT0_BIE +#define SCSI_Out__BSY__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__BSY__BYP CYREG_PRT0_BYP +#define SCSI_Out__BSY__CTL CYREG_PRT0_CTL +#define SCSI_Out__BSY__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__BSY__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__BSY__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__BSY__DR CYREG_PRT0_DR +#define SCSI_Out__BSY__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__BSY__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__BSY__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__BSY__MASK 0x80u +#define SCSI_Out__BSY__PC CYREG_PRT0_PC7 +#define SCSI_Out__BSY__PORT 0u +#define SCSI_Out__BSY__PRT CYREG_PRT0_PRT +#define SCSI_Out__BSY__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__BSY__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__BSY__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__BSY__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__BSY__PS CYREG_PRT0_PS +#define SCSI_Out__BSY__SHIFT 7 +#define SCSI_Out__BSY__SLW CYREG_PRT0_SLW +#define SCSI_Out__CD__AG CYREG_PRT0_AG +#define SCSI_Out__CD__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__CD__BIE CYREG_PRT0_BIE +#define SCSI_Out__CD__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__CD__BYP CYREG_PRT0_BYP +#define SCSI_Out__CD__CTL CYREG_PRT0_CTL +#define SCSI_Out__CD__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__CD__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__CD__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__CD__DR CYREG_PRT0_DR +#define SCSI_Out__CD__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__CD__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__CD__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__CD__MASK 0x04u +#define SCSI_Out__CD__PC CYREG_PRT0_PC2 +#define SCSI_Out__CD__PORT 0u +#define SCSI_Out__CD__PRT CYREG_PRT0_PRT +#define SCSI_Out__CD__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__CD__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__CD__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__CD__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__CD__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__CD__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__CD__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__CD__PS CYREG_PRT0_PS +#define SCSI_Out__CD__SHIFT 2 +#define SCSI_Out__CD__SLW CYREG_PRT0_SLW #define SCSI_Out__DBP_raw__AG CYREG_PRT4_AG #define SCSI_Out__DBP_raw__AMUX CYREG_PRT4_AMUX #define SCSI_Out__DBP_raw__BIE CYREG_PRT4_BIE @@ -842,8 +1772,8 @@ #define SCSI_Out__DBP_raw__INP_DIS CYREG_PRT4_INP_DIS #define SCSI_Out__DBP_raw__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG #define SCSI_Out__DBP_raw__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__DBP_raw__MASK 0x04u -#define SCSI_Out__DBP_raw__PC CYREG_PRT4_PC2 +#define SCSI_Out__DBP_raw__MASK 0x08u +#define SCSI_Out__DBP_raw__PC CYREG_PRT4_PC3 #define SCSI_Out__DBP_raw__PORT 4u #define SCSI_Out__DBP_raw__PRT CYREG_PRT4_PRT #define SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL @@ -854,143 +1784,143 @@ #define SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 #define SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT #define SCSI_Out__DBP_raw__PS CYREG_PRT4_PS -#define SCSI_Out__DBP_raw__SHIFT 2 +#define SCSI_Out__DBP_raw__SHIFT 3 #define SCSI_Out__DBP_raw__SLW CYREG_PRT4_SLW -#define SCSI_Out__IO_raw__AG CYREG_PRT6_AG -#define SCSI_Out__IO_raw__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__IO_raw__BIE CYREG_PRT6_BIE -#define SCSI_Out__IO_raw__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__IO_raw__BYP CYREG_PRT6_BYP -#define SCSI_Out__IO_raw__CTL CYREG_PRT6_CTL -#define SCSI_Out__IO_raw__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__IO_raw__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__IO_raw__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__IO_raw__DR CYREG_PRT6_DR -#define SCSI_Out__IO_raw__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__IO_raw__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__IO_raw__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__IO_raw__MASK 0x08u -#define SCSI_Out__IO_raw__PC CYREG_PRT6_PC3 -#define SCSI_Out__IO_raw__PORT 6u -#define SCSI_Out__IO_raw__PRT CYREG_PRT6_PRT -#define SCSI_Out__IO_raw__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__IO_raw__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__IO_raw__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__IO_raw__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__IO_raw__PS CYREG_PRT6_PS -#define SCSI_Out__IO_raw__SHIFT 3 -#define SCSI_Out__IO_raw__SLW CYREG_PRT6_SLW -#define SCSI_Out__MSG__AG CYREG_PRT4_AG -#define SCSI_Out__MSG__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__MSG__BIE CYREG_PRT4_BIE -#define SCSI_Out__MSG__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__MSG__BYP CYREG_PRT4_BYP -#define SCSI_Out__MSG__CTL CYREG_PRT4_CTL -#define SCSI_Out__MSG__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__MSG__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__MSG__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__MSG__DR CYREG_PRT4_DR -#define SCSI_Out__MSG__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__MSG__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__MSG__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__MSG__MASK 0x80u -#define SCSI_Out__MSG__PC CYREG_PRT4_PC7 -#define SCSI_Out__MSG__PORT 4u -#define SCSI_Out__MSG__PRT CYREG_PRT4_PRT -#define SCSI_Out__MSG__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__MSG__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__MSG__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__MSG__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__MSG__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__MSG__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__MSG__PS CYREG_PRT4_PS -#define SCSI_Out__MSG__SHIFT 7 -#define SCSI_Out__MSG__SLW CYREG_PRT4_SLW -#define SCSI_Out__REQ__AG CYREG_PRT6_AG -#define SCSI_Out__REQ__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__REQ__BIE CYREG_PRT6_BIE -#define SCSI_Out__REQ__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__REQ__BYP CYREG_PRT6_BYP -#define SCSI_Out__REQ__CTL CYREG_PRT6_CTL -#define SCSI_Out__REQ__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__REQ__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__REQ__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__REQ__DR CYREG_PRT6_DR -#define SCSI_Out__REQ__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__REQ__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__REQ__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__REQ__MASK 0x04u -#define SCSI_Out__REQ__PC CYREG_PRT6_PC2 -#define SCSI_Out__REQ__PORT 6u -#define SCSI_Out__REQ__PRT CYREG_PRT6_PRT -#define SCSI_Out__REQ__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__REQ__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__REQ__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__REQ__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__REQ__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__REQ__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__REQ__PS CYREG_PRT6_PS -#define SCSI_Out__REQ__SHIFT 2 -#define SCSI_Out__REQ__SLW CYREG_PRT6_SLW -#define SCSI_Out__RST__AG CYREG_PRT4_AG -#define SCSI_Out__RST__AMUX CYREG_PRT4_AMUX -#define SCSI_Out__RST__BIE CYREG_PRT4_BIE -#define SCSI_Out__RST__BIT_MASK CYREG_PRT4_BIT_MASK -#define SCSI_Out__RST__BYP CYREG_PRT4_BYP -#define SCSI_Out__RST__CTL CYREG_PRT4_CTL -#define SCSI_Out__RST__DM0 CYREG_PRT4_DM0 -#define SCSI_Out__RST__DM1 CYREG_PRT4_DM1 -#define SCSI_Out__RST__DM2 CYREG_PRT4_DM2 -#define SCSI_Out__RST__DR CYREG_PRT4_DR -#define SCSI_Out__RST__INP_DIS CYREG_PRT4_INP_DIS -#define SCSI_Out__RST__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG -#define SCSI_Out__RST__LCD_EN CYREG_PRT4_LCD_EN -#define SCSI_Out__RST__MASK 0x40u -#define SCSI_Out__RST__PC CYREG_PRT4_PC6 -#define SCSI_Out__RST__PORT 4u -#define SCSI_Out__RST__PRT CYREG_PRT4_PRT -#define SCSI_Out__RST__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL -#define SCSI_Out__RST__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN -#define SCSI_Out__RST__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 -#define SCSI_Out__RST__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 -#define SCSI_Out__RST__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 -#define SCSI_Out__RST__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 -#define SCSI_Out__RST__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT -#define SCSI_Out__RST__PS CYREG_PRT4_PS -#define SCSI_Out__RST__SHIFT 6 -#define SCSI_Out__RST__SLW CYREG_PRT4_SLW -#define SCSI_Out__SEL__AG CYREG_PRT6_AG -#define SCSI_Out__SEL__AMUX CYREG_PRT6_AMUX -#define SCSI_Out__SEL__BIE CYREG_PRT6_BIE -#define SCSI_Out__SEL__BIT_MASK CYREG_PRT6_BIT_MASK -#define SCSI_Out__SEL__BYP CYREG_PRT6_BYP -#define SCSI_Out__SEL__CTL CYREG_PRT6_CTL -#define SCSI_Out__SEL__DM0 CYREG_PRT6_DM0 -#define SCSI_Out__SEL__DM1 CYREG_PRT6_DM1 -#define SCSI_Out__SEL__DM2 CYREG_PRT6_DM2 -#define SCSI_Out__SEL__DR CYREG_PRT6_DR -#define SCSI_Out__SEL__INP_DIS CYREG_PRT6_INP_DIS -#define SCSI_Out__SEL__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG -#define SCSI_Out__SEL__LCD_EN CYREG_PRT6_LCD_EN -#define SCSI_Out__SEL__MASK 0x01u -#define SCSI_Out__SEL__PC CYREG_PRT6_PC0 -#define SCSI_Out__SEL__PORT 6u -#define SCSI_Out__SEL__PRT CYREG_PRT6_PRT -#define SCSI_Out__SEL__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL -#define SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN -#define SCSI_Out__SEL__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 -#define SCSI_Out__SEL__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 -#define SCSI_Out__SEL__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 -#define SCSI_Out__SEL__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 -#define SCSI_Out__SEL__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT -#define SCSI_Out__SEL__PS CYREG_PRT6_PS -#define SCSI_Out__SEL__SHIFT 0 -#define SCSI_Out__SEL__SLW CYREG_PRT6_SLW +#define SCSI_Out__IO_raw__AG CYREG_PRT0_AG +#define SCSI_Out__IO_raw__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__IO_raw__BIE CYREG_PRT0_BIE +#define SCSI_Out__IO_raw__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__IO_raw__BYP CYREG_PRT0_BYP +#define SCSI_Out__IO_raw__CTL CYREG_PRT0_CTL +#define SCSI_Out__IO_raw__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__IO_raw__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__IO_raw__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__IO_raw__DR CYREG_PRT0_DR +#define SCSI_Out__IO_raw__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__IO_raw__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__IO_raw__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__IO_raw__MASK 0x01u +#define SCSI_Out__IO_raw__PC CYREG_PRT0_PC0 +#define SCSI_Out__IO_raw__PORT 0u +#define SCSI_Out__IO_raw__PRT CYREG_PRT0_PRT +#define SCSI_Out__IO_raw__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__IO_raw__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__IO_raw__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__IO_raw__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__IO_raw__PS CYREG_PRT0_PS +#define SCSI_Out__IO_raw__SHIFT 0 +#define SCSI_Out__IO_raw__SLW CYREG_PRT0_SLW +#define SCSI_Out__MSG__AG CYREG_PRT0_AG +#define SCSI_Out__MSG__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__MSG__BIE CYREG_PRT0_BIE +#define SCSI_Out__MSG__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__MSG__BYP CYREG_PRT0_BYP +#define SCSI_Out__MSG__CTL CYREG_PRT0_CTL +#define SCSI_Out__MSG__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__MSG__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__MSG__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__MSG__DR CYREG_PRT0_DR +#define SCSI_Out__MSG__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__MSG__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__MSG__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__MSG__MASK 0x10u +#define SCSI_Out__MSG__PC CYREG_PRT0_PC4 +#define SCSI_Out__MSG__PORT 0u +#define SCSI_Out__MSG__PRT CYREG_PRT0_PRT +#define SCSI_Out__MSG__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__MSG__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__MSG__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__MSG__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__MSG__PS CYREG_PRT0_PS +#define SCSI_Out__MSG__SHIFT 4 +#define SCSI_Out__MSG__SLW CYREG_PRT0_SLW +#define SCSI_Out__REQ__AG CYREG_PRT0_AG +#define SCSI_Out__REQ__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__REQ__BIE CYREG_PRT0_BIE +#define SCSI_Out__REQ__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__REQ__BYP CYREG_PRT0_BYP +#define SCSI_Out__REQ__CTL CYREG_PRT0_CTL +#define SCSI_Out__REQ__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__REQ__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__REQ__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__REQ__DR CYREG_PRT0_DR +#define SCSI_Out__REQ__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__REQ__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__REQ__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__REQ__MASK 0x02u +#define SCSI_Out__REQ__PC CYREG_PRT0_PC1 +#define SCSI_Out__REQ__PORT 0u +#define SCSI_Out__REQ__PRT CYREG_PRT0_PRT +#define SCSI_Out__REQ__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__REQ__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__REQ__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__REQ__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__REQ__PS CYREG_PRT0_PS +#define SCSI_Out__REQ__SHIFT 1 +#define SCSI_Out__REQ__SLW CYREG_PRT0_SLW +#define SCSI_Out__RST__AG CYREG_PRT0_AG +#define SCSI_Out__RST__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__RST__BIE CYREG_PRT0_BIE +#define SCSI_Out__RST__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__RST__BYP CYREG_PRT0_BYP +#define SCSI_Out__RST__CTL CYREG_PRT0_CTL +#define SCSI_Out__RST__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__RST__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__RST__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__RST__DR CYREG_PRT0_DR +#define SCSI_Out__RST__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__RST__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__RST__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__RST__MASK 0x20u +#define SCSI_Out__RST__PC CYREG_PRT0_PC5 +#define SCSI_Out__RST__PORT 0u +#define SCSI_Out__RST__PRT CYREG_PRT0_PRT +#define SCSI_Out__RST__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__RST__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__RST__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__RST__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__RST__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__RST__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__RST__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__RST__PS CYREG_PRT0_PS +#define SCSI_Out__RST__SHIFT 5 +#define SCSI_Out__RST__SLW CYREG_PRT0_SLW +#define SCSI_Out__SEL__AG CYREG_PRT0_AG +#define SCSI_Out__SEL__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__SEL__BIE CYREG_PRT0_BIE +#define SCSI_Out__SEL__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__SEL__BYP CYREG_PRT0_BYP +#define SCSI_Out__SEL__CTL CYREG_PRT0_CTL +#define SCSI_Out__SEL__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__SEL__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__SEL__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__SEL__DR CYREG_PRT0_DR +#define SCSI_Out__SEL__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__SEL__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__SEL__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__SEL__MASK 0x08u +#define SCSI_Out__SEL__PC CYREG_PRT0_PC3 +#define SCSI_Out__SEL__PORT 0u +#define SCSI_Out__SEL__PRT CYREG_PRT0_PRT +#define SCSI_Out__SEL__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__SEL__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__SEL__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__SEL__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__SEL__PS CYREG_PRT0_PS +#define SCSI_Out__SEL__SHIFT 3 +#define SCSI_Out__SEL__SLW CYREG_PRT0_SLW /* SCSI_RST */ #define SCSI_RST__0__MASK 0x40u @@ -1031,32 +1961,33 @@ #define SCSI_RST__SNAP CYREG_PICU6_SNAP /* SCSI_In */ -#define SCSI_In__0__AG CYREG_PRT12_AG -#define SCSI_In__0__BIE CYREG_PRT12_BIE -#define SCSI_In__0__BIT_MASK CYREG_PRT12_BIT_MASK -#define SCSI_In__0__BYP CYREG_PRT12_BYP -#define SCSI_In__0__DM0 CYREG_PRT12_DM0 -#define SCSI_In__0__DM1 CYREG_PRT12_DM1 -#define SCSI_In__0__DM2 CYREG_PRT12_DM2 -#define SCSI_In__0__DR CYREG_PRT12_DR -#define SCSI_In__0__INP_DIS CYREG_PRT12_INP_DIS -#define SCSI_In__0__MASK 0x10u -#define SCSI_In__0__PC CYREG_PRT12_PC4 -#define SCSI_In__0__PORT 12u -#define SCSI_In__0__PRT CYREG_PRT12_PRT -#define SCSI_In__0__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN -#define SCSI_In__0__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 -#define SCSI_In__0__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 -#define SCSI_In__0__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 -#define SCSI_In__0__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 -#define SCSI_In__0__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT -#define SCSI_In__0__PS CYREG_PRT12_PS -#define SCSI_In__0__SHIFT 4 -#define SCSI_In__0__SIO_CFG CYREG_PRT12_SIO_CFG -#define SCSI_In__0__SIO_DIFF CYREG_PRT12_SIO_DIFF -#define SCSI_In__0__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN -#define SCSI_In__0__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ -#define SCSI_In__0__SLW CYREG_PRT12_SLW +#define SCSI_In__0__AG CYREG_PRT2_AG +#define SCSI_In__0__AMUX CYREG_PRT2_AMUX +#define SCSI_In__0__BIE CYREG_PRT2_BIE +#define SCSI_In__0__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In__0__BYP CYREG_PRT2_BYP +#define SCSI_In__0__CTL CYREG_PRT2_CTL +#define SCSI_In__0__DM0 CYREG_PRT2_DM0 +#define SCSI_In__0__DM1 CYREG_PRT2_DM1 +#define SCSI_In__0__DM2 CYREG_PRT2_DM2 +#define SCSI_In__0__DR CYREG_PRT2_DR +#define SCSI_In__0__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In__0__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In__0__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In__0__MASK 0x01u +#define SCSI_In__0__PC CYREG_PRT2_PC0 +#define SCSI_In__0__PORT 2u +#define SCSI_In__0__PRT CYREG_PRT2_PRT +#define SCSI_In__0__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In__0__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In__0__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In__0__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In__0__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In__0__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In__0__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In__0__PS CYREG_PRT2_PS +#define SCSI_In__0__SHIFT 0 +#define SCSI_In__0__SLW CYREG_PRT2_SLW #define SCSI_In__1__AG CYREG_PRT6_AG #define SCSI_In__1__AMUX CYREG_PRT6_AMUX #define SCSI_In__1__BIE CYREG_PRT6_BIE @@ -1327,32 +2258,33 @@ #define SCSI_In__CD__PS CYREG_PRT5_PS #define SCSI_In__CD__SHIFT 1 #define SCSI_In__CD__SLW CYREG_PRT5_SLW -#define SCSI_In__DBP__AG CYREG_PRT12_AG -#define SCSI_In__DBP__BIE CYREG_PRT12_BIE -#define SCSI_In__DBP__BIT_MASK CYREG_PRT12_BIT_MASK -#define SCSI_In__DBP__BYP CYREG_PRT12_BYP -#define SCSI_In__DBP__DM0 CYREG_PRT12_DM0 -#define SCSI_In__DBP__DM1 CYREG_PRT12_DM1 -#define SCSI_In__DBP__DM2 CYREG_PRT12_DM2 -#define SCSI_In__DBP__DR CYREG_PRT12_DR -#define SCSI_In__DBP__INP_DIS CYREG_PRT12_INP_DIS -#define SCSI_In__DBP__MASK 0x10u -#define SCSI_In__DBP__PC CYREG_PRT12_PC4 -#define SCSI_In__DBP__PORT 12u -#define SCSI_In__DBP__PRT CYREG_PRT12_PRT -#define SCSI_In__DBP__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN -#define SCSI_In__DBP__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 -#define SCSI_In__DBP__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 -#define SCSI_In__DBP__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 -#define SCSI_In__DBP__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 -#define SCSI_In__DBP__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT -#define SCSI_In__DBP__PS CYREG_PRT12_PS -#define SCSI_In__DBP__SHIFT 4 -#define SCSI_In__DBP__SIO_CFG CYREG_PRT12_SIO_CFG -#define SCSI_In__DBP__SIO_DIFF CYREG_PRT12_SIO_DIFF -#define SCSI_In__DBP__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN -#define SCSI_In__DBP__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ -#define SCSI_In__DBP__SLW CYREG_PRT12_SLW +#define SCSI_In__DBP__AG CYREG_PRT2_AG +#define SCSI_In__DBP__AMUX CYREG_PRT2_AMUX +#define SCSI_In__DBP__BIE CYREG_PRT2_BIE +#define SCSI_In__DBP__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In__DBP__BYP CYREG_PRT2_BYP +#define SCSI_In__DBP__CTL CYREG_PRT2_CTL +#define SCSI_In__DBP__DM0 CYREG_PRT2_DM0 +#define SCSI_In__DBP__DM1 CYREG_PRT2_DM1 +#define SCSI_In__DBP__DM2 CYREG_PRT2_DM2 +#define SCSI_In__DBP__DR CYREG_PRT2_DR +#define SCSI_In__DBP__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In__DBP__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In__DBP__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In__DBP__MASK 0x01u +#define SCSI_In__DBP__PC CYREG_PRT2_PC0 +#define SCSI_In__DBP__PORT 2u +#define SCSI_In__DBP__PRT CYREG_PRT2_PRT +#define SCSI_In__DBP__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In__DBP__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In__DBP__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In__DBP__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In__DBP__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In__DBP__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In__DBP__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In__DBP__PS CYREG_PRT2_PS +#define SCSI_In__DBP__SHIFT 0 +#define SCSI_In__DBP__SLW CYREG_PRT2_SLW #define SCSI_In__IO__AG CYREG_PRT5_AG #define SCSI_In__IO__AMUX CYREG_PRT5_AMUX #define SCSI_In__IO__BIE CYREG_PRT5_BIE @@ -1463,10 +2395,10 @@ #define SCSI_In__SEL__SLW CYREG_PRT5_SLW /* SD_DAT1 */ -#define SD_DAT1__0__MASK 0x20u -#define SD_DAT1__0__PC CYREG_PRT3_PC5 +#define SD_DAT1__0__MASK 0x01u +#define SD_DAT1__0__PC CYREG_PRT3_PC0 #define SD_DAT1__0__PORT 3u -#define SD_DAT1__0__SHIFT 5 +#define SD_DAT1__0__SHIFT 0 #define SD_DAT1__AG CYREG_PRT3_AG #define SD_DAT1__AMUX CYREG_PRT3_AMUX #define SD_DAT1__BIE CYREG_PRT3_BIE @@ -1480,7 +2412,7 @@ #define SD_DAT1__INP_DIS CYREG_PRT3_INP_DIS #define SD_DAT1__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG #define SD_DAT1__LCD_EN CYREG_PRT3_LCD_EN -#define SD_DAT1__MASK 0x20u +#define SD_DAT1__MASK 0x01u #define SD_DAT1__PORT 3u #define SD_DAT1__PRT CYREG_PRT3_PRT #define SD_DAT1__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL @@ -1491,14 +2423,14 @@ #define SD_DAT1__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 #define SD_DAT1__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT #define SD_DAT1__PS CYREG_PRT3_PS -#define SD_DAT1__SHIFT 5 +#define SD_DAT1__SHIFT 0 #define SD_DAT1__SLW CYREG_PRT3_SLW /* SD_DAT2 */ -#define SD_DAT2__0__MASK 0x01u -#define SD_DAT2__0__PC CYREG_PRT3_PC0 +#define SD_DAT2__0__MASK 0x20u +#define SD_DAT2__0__PC CYREG_PRT3_PC5 #define SD_DAT2__0__PORT 3u -#define SD_DAT2__0__SHIFT 0 +#define SD_DAT2__0__SHIFT 5 #define SD_DAT2__AG CYREG_PRT3_AG #define SD_DAT2__AMUX CYREG_PRT3_AMUX #define SD_DAT2__BIE CYREG_PRT3_BIE @@ -1512,7 +2444,7 @@ #define SD_DAT2__INP_DIS CYREG_PRT3_INP_DIS #define SD_DAT2__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG #define SD_DAT2__LCD_EN CYREG_PRT3_LCD_EN -#define SD_DAT2__MASK 0x01u +#define SD_DAT2__MASK 0x20u #define SD_DAT2__PORT 3u #define SD_DAT2__PRT CYREG_PRT3_PRT #define SD_DAT2__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL @@ -1523,14 +2455,14 @@ #define SD_DAT2__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 #define SD_DAT2__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT #define SD_DAT2__PS CYREG_PRT3_PS -#define SD_DAT2__SHIFT 0 +#define SD_DAT2__SHIFT 5 #define SD_DAT2__SLW CYREG_PRT3_SLW /* SD_MISO */ -#define SD_MISO__0__MASK 0x10u -#define SD_MISO__0__PC CYREG_PRT3_PC4 +#define SD_MISO__0__MASK 0x02u +#define SD_MISO__0__PC CYREG_PRT3_PC1 #define SD_MISO__0__PORT 3u -#define SD_MISO__0__SHIFT 4 +#define SD_MISO__0__SHIFT 1 #define SD_MISO__AG CYREG_PRT3_AG #define SD_MISO__AMUX CYREG_PRT3_AMUX #define SD_MISO__BIE CYREG_PRT3_BIE @@ -1544,7 +2476,7 @@ #define SD_MISO__INP_DIS CYREG_PRT3_INP_DIS #define SD_MISO__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG #define SD_MISO__LCD_EN CYREG_PRT3_LCD_EN -#define SD_MISO__MASK 0x10u +#define SD_MISO__MASK 0x02u #define SD_MISO__PORT 3u #define SD_MISO__PRT CYREG_PRT3_PRT #define SD_MISO__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL @@ -1555,14 +2487,14 @@ #define SD_MISO__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 #define SD_MISO__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT #define SD_MISO__PS CYREG_PRT3_PS -#define SD_MISO__SHIFT 4 +#define SD_MISO__SHIFT 1 #define SD_MISO__SLW CYREG_PRT3_SLW /* SD_MOSI */ -#define SD_MOSI__0__MASK 0x04u -#define SD_MOSI__0__PC CYREG_PRT3_PC2 +#define SD_MOSI__0__MASK 0x08u +#define SD_MOSI__0__PC CYREG_PRT3_PC3 #define SD_MOSI__0__PORT 3u -#define SD_MOSI__0__SHIFT 2 +#define SD_MOSI__0__SHIFT 3 #define SD_MOSI__AG CYREG_PRT3_AG #define SD_MOSI__AMUX CYREG_PRT3_AMUX #define SD_MOSI__BIE CYREG_PRT3_BIE @@ -1576,7 +2508,7 @@ #define SD_MOSI__INP_DIS CYREG_PRT3_INP_DIS #define SD_MOSI__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG #define SD_MOSI__LCD_EN CYREG_PRT3_LCD_EN -#define SD_MOSI__MASK 0x04u +#define SD_MOSI__MASK 0x08u #define SD_MOSI__PORT 3u #define SD_MOSI__PRT CYREG_PRT3_PRT #define SD_MOSI__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL @@ -1587,14 +2519,14 @@ #define SD_MOSI__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 #define SD_MOSI__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT #define SD_MOSI__PS CYREG_PRT3_PS -#define SD_MOSI__SHIFT 2 +#define SD_MOSI__SHIFT 3 #define SD_MOSI__SLW CYREG_PRT3_SLW /* SD_SCK */ -#define SD_SCK__0__MASK 0x08u -#define SD_SCK__0__PC CYREG_PRT3_PC3 +#define SD_SCK__0__MASK 0x04u +#define SD_SCK__0__PC CYREG_PRT3_PC2 #define SD_SCK__0__PORT 3u -#define SD_SCK__0__SHIFT 3 +#define SD_SCK__0__SHIFT 2 #define SD_SCK__AG CYREG_PRT3_AG #define SD_SCK__AMUX CYREG_PRT3_AMUX #define SD_SCK__BIE CYREG_PRT3_BIE @@ -1608,7 +2540,7 @@ #define SD_SCK__INP_DIS CYREG_PRT3_INP_DIS #define SD_SCK__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG #define SD_SCK__LCD_EN CYREG_PRT3_LCD_EN -#define SD_SCK__MASK 0x08u +#define SD_SCK__MASK 0x04u #define SD_SCK__PORT 3u #define SD_SCK__PRT CYREG_PRT3_PRT #define SD_SCK__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL @@ -1619,7 +2551,7 @@ #define SD_SCK__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 #define SD_SCK__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT #define SD_SCK__PS CYREG_PRT3_PS -#define SD_SCK__SHIFT 3 +#define SD_SCK__SHIFT 2 #define SD_SCK__SLW CYREG_PRT3_SLW /* SD_CD */ @@ -1655,10 +2587,10 @@ #define SD_CD__SLW CYREG_PRT3_SLW /* SD_CS */ -#define SD_CS__0__MASK 0x02u -#define SD_CS__0__PC CYREG_PRT3_PC1 +#define SD_CS__0__MASK 0x10u +#define SD_CS__0__PC CYREG_PRT3_PC4 #define SD_CS__0__PORT 3u -#define SD_CS__0__SHIFT 1 +#define SD_CS__0__SHIFT 4 #define SD_CS__AG CYREG_PRT3_AG #define SD_CS__AMUX CYREG_PRT3_AMUX #define SD_CS__BIE CYREG_PRT3_BIE @@ -1672,7 +2604,7 @@ #define SD_CS__INP_DIS CYREG_PRT3_INP_DIS #define SD_CS__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG #define SD_CS__LCD_EN CYREG_PRT3_LCD_EN -#define SD_CS__MASK 0x02u +#define SD_CS__MASK 0x10u #define SD_CS__PORT 3u #define SD_CS__PRT CYREG_PRT3_PRT #define SD_CS__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL @@ -1683,41 +2615,9 @@ #define SD_CS__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 #define SD_CS__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT #define SD_CS__PS CYREG_PRT3_PS -#define SD_CS__SHIFT 1 +#define SD_CS__SHIFT 4 #define SD_CS__SLW CYREG_PRT3_SLW -/* SD_WP */ -#define SD_WP__0__MASK 0x80u -#define SD_WP__0__PC CYREG_PRT3_PC7 -#define SD_WP__0__PORT 3u -#define SD_WP__0__SHIFT 7 -#define SD_WP__AG CYREG_PRT3_AG -#define SD_WP__AMUX CYREG_PRT3_AMUX -#define SD_WP__BIE CYREG_PRT3_BIE -#define SD_WP__BIT_MASK CYREG_PRT3_BIT_MASK -#define SD_WP__BYP CYREG_PRT3_BYP -#define SD_WP__CTL CYREG_PRT3_CTL -#define SD_WP__DM0 CYREG_PRT3_DM0 -#define SD_WP__DM1 CYREG_PRT3_DM1 -#define SD_WP__DM2 CYREG_PRT3_DM2 -#define SD_WP__DR CYREG_PRT3_DR -#define SD_WP__INP_DIS CYREG_PRT3_INP_DIS -#define SD_WP__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG -#define SD_WP__LCD_EN CYREG_PRT3_LCD_EN -#define SD_WP__MASK 0x80u -#define SD_WP__PORT 3u -#define SD_WP__PRT CYREG_PRT3_PRT -#define SD_WP__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL -#define SD_WP__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN -#define SD_WP__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 -#define SD_WP__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 -#define SD_WP__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 -#define SD_WP__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 -#define SD_WP__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT -#define SD_WP__PS CYREG_PRT3_PS -#define SD_WP__SHIFT 7 -#define SD_WP__SLW CYREG_PRT3_SLW - /* LED1 */ #define LED1__0__MASK 0x08u #define LED1__0__PC CYREG_PRT12_PC3 @@ -1761,9 +2661,9 @@ #define CYDEV_CHIP_FAMILY_PSOC5 3u #define CYDEV_CHIP_DIE_PSOC5LP 4u #define CYDEV_CHIP_DIE_EXPECT CYDEV_CHIP_DIE_PSOC5LP -#define BCLK__BUS_CLK__HZ 63000000U -#define BCLK__BUS_CLK__KHZ 63000U -#define BCLK__BUS_CLK__MHZ 63U +#define BCLK__BUS_CLK__HZ 64000000U +#define BCLK__BUS_CLK__KHZ 64000U +#define BCLK__BUS_CLK__MHZ 64U #define CYDEV_CHIP_DIE_ACTUAL CYDEV_CHIP_DIE_EXPECT #define CYDEV_CHIP_DIE_LEOPARD 1u #define CYDEV_CHIP_DIE_PANTHER 3u @@ -1773,7 +2673,7 @@ #define CYDEV_CHIP_FAMILY_PSOC4 2u #define CYDEV_CHIP_FAMILY_UNKNOWN 0u #define CYDEV_CHIP_FAMILY_USED CYDEV_CHIP_FAMILY_PSOC5 -#define CYDEV_CHIP_JTAG_ID 0x2E12F069u +#define CYDEV_CHIP_JTAG_ID 0x2E133069u #define CYDEV_CHIP_MEMBER_3A 1u #define CYDEV_CHIP_MEMBER_4A 2u #define CYDEV_CHIP_MEMBER_5A 3u @@ -1803,7 +2703,7 @@ #define CYDEV_CHIP_REV_PSOC5LP_ES0 0u #define CYDEV_CONFIGURATION_COMPRESSED 1 #define CYDEV_CONFIGURATION_DMA 0 -#define CYDEV_CONFIGURATION_ECC 1 +#define CYDEV_CONFIGURATION_ECC 0 #define CYDEV_CONFIGURATION_IMOENABLED CYDEV_CONFIG_FASTBOOT_ENABLED #define CYDEV_CONFIGURATION_MODE CYDEV_CONFIGURATION_MODE_COMPRESSED #define CYDEV_CONFIGURATION_MODE_DMA 2 @@ -1825,13 +2725,14 @@ #define CYDEV_HEAP_SIZE 0x1000 #define CYDEV_INSTRUCT_CACHE_ENABLED 1 #define CYDEV_INTR_RISING 0x00000000u -#define CYDEV_PROJ_TYPE 0 +#define CYDEV_PROJ_TYPE 2 #define CYDEV_PROJ_TYPE_BOOTLOADER 1 #define CYDEV_PROJ_TYPE_LOADABLE 2 #define CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER 3 #define CYDEV_PROJ_TYPE_STANDARD 0 #define CYDEV_PROTECTION_ENABLE 0 #define CYDEV_STACK_SIZE 0x4000 +#define CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP #define CYDEV_USE_BUNDLED_CMSIS 1 #define CYDEV_VARIABLE_VDDA 0 #define CYDEV_VDDA 5.0 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c old mode 100644 new mode 100755 index bbee0d7..17b57aa --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c @@ -121,42 +121,13 @@ static void CyClockStartupError(uint8 errorCode) } #endif -#define CY_CFG_BASE_ADDR_COUNT 32u +#define CY_CFG_BASE_ADDR_COUNT 34u CYPACKED typedef struct { uint8 offset; uint8 value; } CYPACKED_ATTR cy_cfg_addrvalue_t; -#define cy_cfg_addr_table ((const uint32 CYFAR *)0x48000000u) -#define cy_cfg_data_table ((const cy_cfg_addrvalue_t CYFAR *)0x48000080u) - -/* UDB_1_2_0_CONFIG Address: CYDEV_UCFG_B0_P3_U1_BASE Size (bytes): 128 */ -#define BS_UDB_1_2_0_CONFIG_VAL ((const uint8 CYFAR *)0x480007A8u) - -/* IOPINS0_0 Address: CYREG_PRT0_DM0 Size (bytes): 8 */ -#define BS_IOPINS0_0_VAL ((const uint8 CYFAR *)0x48000828u) - -/* IOPINS0_7 Address: CYREG_PRT12_DR Size (bytes): 10 */ -#define BS_IOPINS0_7_VAL ((const uint8 CYFAR *)0x48000830u) - -/* IOPINS1_7 Address: CYREG_PRT12_DR + 0x0000000Bu Size (bytes): 5 */ -#define BS_IOPINS1_7_VAL ((const uint8 CYFAR *)0x4800083Cu) - -/* IOPINS0_2 Address: CYREG_PRT2_DM0 Size (bytes): 8 */ -#define BS_IOPINS0_2_VAL ((const uint8 CYFAR *)0x48000844u) - -/* IOPINS0_3 Address: CYREG_PRT3_DR Size (bytes): 10 */ -#define BS_IOPINS0_3_VAL ((const uint8 CYFAR *)0x4800084Cu) - -/* IOPINS0_4 Address: CYREG_PRT4_DM0 Size (bytes): 8 */ -#define BS_IOPINS0_4_VAL ((const uint8 CYFAR *)0x48000858u) - -/* IOPINS0_5 Address: CYREG_PRT5_DM0 Size (bytes): 8 */ -#define BS_IOPINS0_5_VAL ((const uint8 CYFAR *)0x48000860u) - -/* IOPINS0_6 Address: CYREG_PRT6_DM0 Size (bytes): 8 */ -#define BS_IOPINS0_6_VAL ((const uint8 CYFAR *)0x48000868u) /******************************************************************************* @@ -216,21 +187,20 @@ static void ClockSetup(void) /* Configure Digital Clocks based on settings from Clock DWR */ - CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_DCFG0_CFG0), 0x0000u); - CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_DCFG0_CFG0 + 0x2u), 0x11u); - CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_DCFG1_CFG0), 0x003Bu); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_DCFG0_CFG0), 0x0001u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_DCFG0_CFG0 + 0x2u), 0x10u); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_DCFG1_CFG0), 0x001Du); CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_DCFG1_CFG0 + 0x2u), 0x19u); /* Configure ILO based on settings from Clock DWR */ - CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x02u); - CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_CR), 0x08u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); /* Configure IMO based on settings from Clock DWR */ - CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x04u); - CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)))); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_TABLES_IMO_USB))); /* Configure PLL based on settings from Clock DWR */ - CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0F15u); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); /* Wait up to 250us for the PLL to lock */ pllLock = 0u; @@ -251,6 +221,9 @@ static void ClockSetup(void) CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x00u); + + /* Configure USB Clock based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_UCFG), 0x00u); CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); CY_SET_XTND_REG8((void CYFAR *)(CYREG_PM_ACT_CFG2), ((CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG2) | 0x02u))); @@ -338,6 +311,42 @@ void SetAnalogRoutingPumps(uint8 enabled) void cyfitter_cfg(void) { + /* IOPINS0_0 Address: CYREG_PRT0_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_0_VAL[] = { + 0x00u, 0xFFu, 0xFFu, 0x00u, 0x03u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_7 Address: CYREG_PRT12_DR Size (bytes): 10 */ + static const uint8 CYCODE BS_IOPINS0_7_VAL[] = { + 0x08u, 0x00u, 0x30u, 0x00u, 0x08u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS1_7 Address: CYREG_PRT12_DR + 0x0000000Bu Size (bytes): 5 */ + static const uint8 CYCODE BS_IOPINS1_7_VAL[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x10u}; + + /* IOPINS0_8 Address: CYREG_PRT15_DR Size (bytes): 10 */ + static const uint8 CYCODE BS_IOPINS0_8_VAL[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0xC0u, 0x00u}; + + /* IOPINS0_2 Address: CYREG_PRT2_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_2_VAL[] = { + 0xFFu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x01u}; + + /* IOPINS0_3 Address: CYREG_PRT3_DR Size (bytes): 10 */ + static const uint8 CYCODE BS_IOPINS0_3_VAL[] = { + 0x10u, 0x00u, 0x63u, 0x1Cu, 0x1Cu, 0x00u, 0x0Cu, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_4 Address: CYREG_PRT4_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_4_VAL[] = { + 0x00u, 0xFCu, 0xFCu, 0x00u, 0xF8u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_5 Address: CYREG_PRT5_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_5_VAL[] = { + 0x0Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x01u}; + + /* IOPINS0_6 Address: CYREG_PRT6_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_6_VAL[] = { + 0xF0u, 0x0Fu, 0x0Fu, 0x00u, 0x0Fu, 0x00u, 0x00u, 0x01u}; + #ifdef CYGlobalIntDisable /* Disable interrupts by default. Let user enable if/when they want. */ CYGlobalIntDisable @@ -352,6 +361,977 @@ void cyfitter_cfg(void) CY_SET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG, (CY_GET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG) | 0x04u)); { + static const uint32 CYCODE cy_cfg_addr_table[] = { + 0x40004503u, /* Base address: 0x40004500 Count: 3 */ + 0x40005209u, /* Base address: 0x40005200 Count: 9 */ + 0x40006402u, /* Base address: 0x40006400 Count: 2 */ + 0x40010044u, /* Base address: 0x40010000 Count: 68 */ + 0x40010136u, /* Base address: 0x40010100 Count: 54 */ + 0x4001023Eu, /* Base address: 0x40010200 Count: 62 */ + 0x4001034Eu, /* Base address: 0x40010300 Count: 78 */ + 0x4001044Bu, /* Base address: 0x40010400 Count: 75 */ + 0x40010557u, /* Base address: 0x40010500 Count: 87 */ + 0x40010605u, /* Base address: 0x40010600 Count: 5 */ + 0x4001074Au, /* Base address: 0x40010700 Count: 74 */ + 0x40010914u, /* Base address: 0x40010900 Count: 20 */ + 0x40010A37u, /* Base address: 0x40010A00 Count: 55 */ + 0x40010B38u, /* Base address: 0x40010B00 Count: 56 */ + 0x40010D0Fu, /* Base address: 0x40010D00 Count: 15 */ + 0x40010F02u, /* Base address: 0x40010F00 Count: 2 */ + 0x40011505u, /* Base address: 0x40011500 Count: 5 */ + 0x40011642u, /* Base address: 0x40011600 Count: 66 */ + 0x40011744u, /* Base address: 0x40011700 Count: 68 */ + 0x40011908u, /* Base address: 0x40011900 Count: 8 */ + 0x40011B04u, /* Base address: 0x40011B00 Count: 4 */ + 0x4001400Eu, /* Base address: 0x40014000 Count: 14 */ + 0x4001410Eu, /* Base address: 0x40014100 Count: 14 */ + 0x40014205u, /* Base address: 0x40014200 Count: 5 */ + 0x40014305u, /* Base address: 0x40014300 Count: 5 */ + 0x4001440Au, /* Base address: 0x40014400 Count: 10 */ + 0x40014516u, /* Base address: 0x40014500 Count: 22 */ + 0x40014608u, /* Base address: 0x40014600 Count: 8 */ + 0x40014708u, /* Base address: 0x40014700 Count: 8 */ + 0x40014808u, /* Base address: 0x40014800 Count: 8 */ + 0x4001490Cu, /* Base address: 0x40014900 Count: 12 */ + 0x40014C01u, /* Base address: 0x40014C00 Count: 1 */ + 0x40015006u, /* Base address: 0x40015000 Count: 6 */ + 0x40015101u, /* Base address: 0x40015100 Count: 1 */ + }; + + static const cy_cfg_addrvalue_t CYCODE cy_cfg_data_table[] = { + {0x36u, 0x02u}, + {0x65u, 0x02u}, + {0x7Eu, 0x02u}, + {0x01u, 0x01u}, + {0x18u, 0x04u}, + {0x19u, 0x04u}, + {0x1Cu, 0x61u}, + {0x20u, 0x50u}, + {0x21u, 0x98u}, + {0x30u, 0x05u}, + {0x31u, 0x09u}, + {0x7Cu, 0x40u}, + {0x33u, 0x03u}, + {0x87u, 0x0Fu}, + {0x03u, 0x04u}, + {0x04u, 0x01u}, + {0x06u, 0x32u}, + {0x07u, 0x24u}, + {0x08u, 0x02u}, + {0x09u, 0x24u}, + {0x0Au, 0x54u}, + {0x0Bu, 0x12u}, + {0x0Du, 0x24u}, + {0x0Eu, 0x10u}, + {0x0Fu, 0x09u}, + {0x10u, 0x0Du}, + {0x14u, 0x62u}, + {0x16u, 0x08u}, + {0x1Bu, 0x03u}, + {0x1Cu, 0x02u}, + {0x1Eu, 0x0Du}, + {0x1Fu, 0x18u}, + {0x20u, 0x0Du}, + {0x23u, 0x20u}, + {0x24u, 0x0Du}, + {0x28u, 0x0Du}, + {0x29u, 0x40u}, + {0x2Cu, 0x0Du}, + {0x30u, 0x70u}, + {0x33u, 0x40u}, + {0x35u, 0x07u}, + {0x36u, 0x0Fu}, + {0x37u, 0x38u}, + {0x3Au, 0x80u}, + {0x3Fu, 0x04u}, + {0x58u, 0x0Bu}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x99u}, + {0x5Fu, 0x01u}, + {0x82u, 0x80u}, + {0x8Au, 0x18u}, + {0x8Bu, 0x09u}, + {0x8Eu, 0x03u}, + {0x91u, 0x08u}, + {0x93u, 0x03u}, + {0x96u, 0x24u}, + {0x98u, 0x24u}, + {0x99u, 0x08u}, + {0x9Au, 0x12u}, + {0x9Bu, 0x04u}, + {0x9Eu, 0x20u}, + {0x9Fu, 0x06u}, + {0xA0u, 0x24u}, + {0xA2u, 0x09u}, + {0xA6u, 0x04u}, + {0xAAu, 0x40u}, + {0xACu, 0x40u}, + {0xAEu, 0x80u}, + {0xAFu, 0x08u}, + {0xB0u, 0xC0u}, + {0xB1u, 0x0Eu}, + {0xB3u, 0x01u}, + {0xB4u, 0x38u}, + {0xB6u, 0x07u}, + {0xBEu, 0x01u}, + {0xBFu, 0x04u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x99u}, + {0xDFu, 0x01u}, + {0x00u, 0x40u}, + {0x02u, 0x08u}, + {0x03u, 0x20u}, + {0x05u, 0x50u}, + {0x06u, 0x80u}, + {0x08u, 0x01u}, + {0x0Au, 0x22u}, + {0x0Du, 0x14u}, + {0x0Eu, 0x01u}, + {0x10u, 0xA0u}, + {0x11u, 0x10u}, + {0x13u, 0x40u}, + {0x15u, 0x40u}, + {0x16u, 0x28u}, + {0x17u, 0x01u}, + {0x18u, 0x40u}, + {0x1Au, 0x02u}, + {0x1Du, 0x50u}, + {0x1Eu, 0x44u}, + {0x21u, 0x20u}, + {0x22u, 0x84u}, + {0x23u, 0x08u}, + {0x27u, 0xA0u}, + {0x29u, 0x01u}, + {0x2Au, 0x10u}, + {0x2Cu, 0x02u}, + {0x31u, 0x20u}, + {0x32u, 0x80u}, + {0x36u, 0x21u}, + {0x37u, 0x81u}, + {0x39u, 0x28u}, + {0x3Au, 0x02u}, + {0x3Bu, 0x80u}, + {0x3Cu, 0x20u}, + {0x58u, 0x84u}, + {0x59u, 0x02u}, + {0x5Bu, 0x10u}, + {0x6Du, 0x40u}, + {0x78u, 0x02u}, + {0x7Cu, 0x80u}, + {0x7Fu, 0x01u}, + {0x80u, 0x40u}, + {0x81u, 0x20u}, + {0x86u, 0x80u}, + {0xC0u, 0xD7u}, + {0xC2u, 0xEDu}, + {0xC4u, 0xFFu}, + {0xCAu, 0x15u}, + {0xCCu, 0xBCu}, + {0xCEu, 0x2Fu}, + {0xD6u, 0x0Fu}, + {0xDEu, 0x01u}, + {0xE0u, 0x04u}, + {0xE4u, 0x08u}, + {0x14u, 0x01u}, + {0x18u, 0x02u}, + {0x1Cu, 0x01u}, + {0x2Eu, 0x01u}, + {0x36u, 0x03u}, + {0x3Au, 0xC0u}, + {0x58u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x09u}, + {0x5Fu, 0x01u}, + {0x84u, 0x96u}, + {0x85u, 0x10u}, + {0x86u, 0x69u}, + {0x87u, 0x2Du}, + {0x89u, 0x67u}, + {0x8Bu, 0x18u}, + {0x8Cu, 0x33u}, + {0x8Du, 0x02u}, + {0x8Eu, 0xCCu}, + {0x94u, 0x55u}, + {0x96u, 0xAAu}, + {0x9Au, 0xFFu}, + {0x9Du, 0x02u}, + {0xA0u, 0x0Fu}, + {0xA1u, 0x16u}, + {0xA2u, 0xF0u}, + {0xA3u, 0x48u}, + {0xA4u, 0xFFu}, + {0xA7u, 0x40u}, + {0xADu, 0x01u}, + {0xAEu, 0xFFu}, + {0xAFu, 0x02u}, + {0xB1u, 0x08u}, + {0xB2u, 0xFFu}, + {0xB3u, 0x70u}, + {0xB5u, 0x07u}, + {0xBEu, 0x04u}, + {0xBFu, 0x01u}, + {0xC0u, 0x32u}, + {0xC1u, 0x05u}, + {0xC2u, 0x40u}, + {0xC5u, 0xD2u}, + {0xC6u, 0x0Cu}, + {0xC7u, 0xEFu}, + {0xC8u, 0x37u}, + {0xC9u, 0xFFu}, + {0xCAu, 0xFFu}, + {0xCBu, 0xFFu}, + {0xCFu, 0x2Cu}, + {0xD6u, 0x01u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDAu, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x90u}, + {0xDDu, 0x09u}, + {0xDFu, 0x01u}, + {0xE2u, 0xC0u}, + {0xE6u, 0x80u}, + {0xE8u, 0x40u}, + {0xE9u, 0x40u}, + {0xEEu, 0x08u}, + {0x01u, 0x80u}, + {0x02u, 0x10u}, + {0x09u, 0x08u}, + {0x0Au, 0x04u}, + {0x0Du, 0x10u}, + {0x0Eu, 0x02u}, + {0x0Fu, 0x10u}, + {0x11u, 0x40u}, + {0x13u, 0x0Au}, + {0x15u, 0x40u}, + {0x16u, 0x04u}, + {0x17u, 0x10u}, + {0x1Bu, 0x08u}, + {0x1Eu, 0x02u}, + {0x1Fu, 0xC0u}, + {0x20u, 0x60u}, + {0x21u, 0x14u}, + {0x22u, 0x20u}, + {0x23u, 0x10u}, + {0x29u, 0x04u}, + {0x2Bu, 0x82u}, + {0x32u, 0x80u}, + {0x38u, 0x80u}, + {0x39u, 0x28u}, + {0x40u, 0x40u}, + {0x41u, 0x14u}, + {0x49u, 0x06u}, + {0x4Au, 0x81u}, + {0x50u, 0x40u}, + {0x52u, 0x44u}, + {0x53u, 0x01u}, + {0x58u, 0x50u}, + {0x5Au, 0x08u}, + {0x5Bu, 0x01u}, + {0x60u, 0x18u}, + {0x63u, 0x82u}, + {0x68u, 0x06u}, + {0x6Au, 0x01u}, + {0x6Bu, 0x20u}, + {0x6Du, 0x40u}, + {0x71u, 0x21u}, + {0x72u, 0x22u}, + {0x81u, 0x40u}, + {0x83u, 0x40u}, + {0x87u, 0x01u}, + {0x88u, 0x10u}, + {0x8Bu, 0x02u}, + {0x8Du, 0x10u}, + {0x8Eu, 0x10u}, + {0x92u, 0x4Cu}, + {0x95u, 0x38u}, + {0x96u, 0x21u}, + {0x97u, 0xC2u}, + {0x99u, 0x10u}, + {0x9Bu, 0x88u}, + {0x9Cu, 0x07u}, + {0x9Du, 0x03u}, + {0x9Eu, 0xAAu}, + {0x9Fu, 0x10u}, + {0xA4u, 0xB2u}, + {0xA6u, 0x29u}, + {0xA7u, 0x20u}, + {0xADu, 0x40u}, + {0xAFu, 0x20u}, + {0xB2u, 0x10u}, + {0xC0u, 0x05u}, + {0xC2u, 0xE6u}, + {0xC4u, 0x8Du}, + {0xCAu, 0x0Bu}, + {0xCCu, 0x08u}, + {0xCEu, 0x0Eu}, + {0xD0u, 0x07u}, + {0xD2u, 0x08u}, + {0xD6u, 0x0Fu}, + {0xD8u, 0x0Fu}, + {0xE2u, 0x06u}, + {0xE6u, 0x10u}, + {0xECu, 0x08u}, + {0x03u, 0x04u}, + {0x06u, 0x04u}, + {0x07u, 0x30u}, + {0x08u, 0x40u}, + {0x09u, 0x41u}, + {0x0Eu, 0x03u}, + {0x0Fu, 0x41u}, + {0x10u, 0x24u}, + {0x11u, 0x41u}, + {0x12u, 0x09u}, + {0x15u, 0x12u}, + {0x16u, 0x18u}, + {0x17u, 0x0Du}, + {0x19u, 0x41u}, + {0x1Au, 0x20u}, + {0x1Cu, 0x40u}, + {0x1Du, 0x02u}, + {0x1Fu, 0x01u}, + {0x20u, 0x40u}, + {0x21u, 0x41u}, + {0x24u, 0x24u}, + {0x25u, 0x05u}, + {0x26u, 0x12u}, + {0x27u, 0x0Au}, + {0x29u, 0x23u}, + {0x2Au, 0x24u}, + {0x2Bu, 0x0Cu}, + {0x2Cu, 0x40u}, + {0x2Fu, 0x08u}, + {0x31u, 0x40u}, + {0x32u, 0x38u}, + {0x34u, 0x40u}, + {0x35u, 0x3Cu}, + {0x36u, 0x07u}, + {0x37u, 0x03u}, + {0x38u, 0x20u}, + {0x3Bu, 0x80u}, + {0x3Eu, 0x10u}, + {0x3Fu, 0x01u}, + {0x58u, 0x04u}, + {0x59u, 0x0Bu}, + {0x5Cu, 0x99u}, + {0x5Fu, 0x01u}, + {0x82u, 0xFFu}, + {0x84u, 0x96u}, + {0x85u, 0x69u}, + {0x86u, 0x69u}, + {0x87u, 0x96u}, + {0x88u, 0xFFu}, + {0x8Cu, 0x0Fu}, + {0x8Du, 0x33u}, + {0x8Eu, 0xF0u}, + {0x8Fu, 0xCCu}, + {0x90u, 0x33u}, + {0x91u, 0x0Fu}, + {0x92u, 0xCCu}, + {0x93u, 0xF0u}, + {0x95u, 0xFFu}, + {0x99u, 0xFFu}, + {0x9Du, 0x55u}, + {0x9Fu, 0xAAu}, + {0xA6u, 0xFFu}, + {0xACu, 0x55u}, + {0xAEu, 0xAAu}, + {0xAFu, 0xFFu}, + {0xB6u, 0xFFu}, + {0xB7u, 0xFFu}, + {0xBEu, 0x40u}, + {0xBFu, 0x40u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x00u, 0x08u}, + {0x01u, 0x80u}, + {0x03u, 0x08u}, + {0x04u, 0x02u}, + {0x05u, 0x50u}, + {0x06u, 0x10u}, + {0x07u, 0x02u}, + {0x09u, 0x20u}, + {0x0Au, 0x11u}, + {0x0Bu, 0x80u}, + {0x0Fu, 0x80u}, + {0x11u, 0x80u}, + {0x12u, 0x60u}, + {0x13u, 0x10u}, + {0x17u, 0x88u}, + {0x19u, 0x80u}, + {0x1Au, 0x10u}, + {0x1Bu, 0x50u}, + {0x1Eu, 0x01u}, + {0x20u, 0x04u}, + {0x22u, 0x43u}, + {0x25u, 0x40u}, + {0x28u, 0x04u}, + {0x2Au, 0x02u}, + {0x2Bu, 0x22u}, + {0x2Du, 0x40u}, + {0x30u, 0x01u}, + {0x32u, 0x48u}, + {0x33u, 0x10u}, + {0x35u, 0x10u}, + {0x37u, 0x8Au}, + {0x38u, 0x04u}, + {0x39u, 0x90u}, + {0x3Bu, 0x41u}, + {0x3Fu, 0x84u}, + {0x5Eu, 0x40u}, + {0x64u, 0x02u}, + {0x66u, 0x80u}, + {0x78u, 0x02u}, + {0x80u, 0x08u}, + {0x82u, 0x10u}, + {0x84u, 0x40u}, + {0x8Au, 0x80u}, + {0x8Cu, 0x01u}, + {0x8Du, 0x40u}, + {0x91u, 0x68u}, + {0x92u, 0x40u}, + {0x93u, 0x90u}, + {0x95u, 0x10u}, + {0x96u, 0x30u}, + {0x97u, 0x40u}, + {0x99u, 0x10u}, + {0x9Au, 0x01u}, + {0x9Bu, 0xA8u}, + {0x9Cu, 0x4Bu}, + {0x9Du, 0x80u}, + {0x9Eu, 0x18u}, + {0x9Fu, 0x02u}, + {0xA0u, 0x04u}, + {0xA1u, 0x20u}, + {0xA2u, 0x21u}, + {0xA3u, 0x08u}, + {0xA4u, 0xA0u}, + {0xA6u, 0x08u}, + {0xA7u, 0x31u}, + {0xA8u, 0x10u}, + {0xA9u, 0x08u}, + {0xABu, 0x80u}, + {0xACu, 0x04u}, + {0xAEu, 0x40u}, + {0xB6u, 0x81u}, + {0xB7u, 0x10u}, + {0xC0u, 0xF7u}, + {0xC2u, 0x1Fu}, + {0xC4u, 0xAFu}, + {0xCAu, 0x1Fu}, + {0xCCu, 0xFFu}, + {0xCEu, 0x5Fu}, + {0xD6u, 0x10u}, + {0xD8u, 0x10u}, + {0xDEu, 0x01u}, + {0xE0u, 0x01u}, + {0xE6u, 0x0Cu}, + {0xE8u, 0x01u}, + {0xEAu, 0x10u}, + {0xECu, 0x10u}, + {0xEEu, 0x01u}, + {0x2Cu, 0x01u}, + {0x36u, 0x01u}, + {0x3Eu, 0x40u}, + {0x58u, 0x04u}, + {0x5Fu, 0x01u}, + {0x01u, 0x50u}, + {0x03u, 0x60u}, + {0x08u, 0x04u}, + {0x0Au, 0xA8u}, + {0x0Eu, 0x01u}, + {0x0Fu, 0x02u}, + {0x10u, 0x22u}, + {0x12u, 0x08u}, + {0x13u, 0x02u}, + {0x16u, 0x01u}, + {0x19u, 0x01u}, + {0x1Eu, 0x01u}, + {0x20u, 0x04u}, + {0x22u, 0x04u}, + {0x23u, 0x01u}, + {0x28u, 0x21u}, + {0x29u, 0x11u}, + {0x30u, 0x22u}, + {0x32u, 0x44u}, + {0x38u, 0x04u}, + {0x39u, 0x40u}, + {0x3Au, 0x02u}, + {0x3Bu, 0x10u}, + {0x42u, 0x60u}, + {0x43u, 0x20u}, + {0x44u, 0x01u}, + {0x47u, 0x40u}, + {0x49u, 0x04u}, + {0x4Au, 0x0Au}, + {0x4Bu, 0x02u}, + {0x50u, 0x02u}, + {0x52u, 0x14u}, + {0x53u, 0x02u}, + {0x62u, 0x80u}, + {0x68u, 0x60u}, + {0x69u, 0xD4u}, + {0x6Bu, 0x50u}, + {0x70u, 0x80u}, + {0x72u, 0x02u}, + {0x73u, 0x02u}, + {0x78u, 0x02u}, + {0x89u, 0x02u}, + {0x91u, 0x28u}, + {0x92u, 0x82u}, + {0x95u, 0x90u}, + {0x96u, 0x20u}, + {0x97u, 0x01u}, + {0x98u, 0x02u}, + {0x9Au, 0x01u}, + {0x9Bu, 0x20u}, + {0x9Cu, 0x05u}, + {0x9Du, 0x40u}, + {0x9Eu, 0x0Au}, + {0x9Fu, 0x10u}, + {0xA2u, 0x83u}, + {0xA4u, 0xA0u}, + {0xA6u, 0x08u}, + {0xA7u, 0x23u}, + {0xADu, 0x80u}, + {0xB2u, 0xD0u}, + {0xB3u, 0x04u}, + {0xB4u, 0x04u}, + {0xC0u, 0x0Fu}, + {0xC2u, 0x0Eu}, + {0xC4u, 0x8Fu}, + {0xCAu, 0x0Fu}, + {0xCCu, 0x0Fu}, + {0xCEu, 0x0Fu}, + {0xD0u, 0x07u}, + {0xD2u, 0x0Cu}, + {0xD8u, 0x08u}, + {0xDEu, 0x01u}, + {0xE6u, 0x01u}, + {0xE8u, 0x08u}, + {0x80u, 0x10u}, + {0x88u, 0x01u}, + {0x90u, 0x10u}, + {0x97u, 0x80u}, + {0x9Cu, 0x40u}, + {0x9Du, 0x08u}, + {0x9Eu, 0x20u}, + {0xA4u, 0x01u}, + {0xA9u, 0x08u}, + {0xADu, 0x01u}, + {0xAFu, 0x80u}, + {0xB1u, 0x22u}, + {0xB2u, 0x11u}, + {0xB4u, 0x04u}, + {0xB7u, 0x40u}, + {0xE0u, 0x80u}, + {0xE8u, 0x08u}, + {0xEAu, 0x83u}, + {0xECu, 0x40u}, + {0xEEu, 0xA0u}, + {0x00u, 0x33u}, + {0x02u, 0xCCu}, + {0x08u, 0x55u}, + {0x0Au, 0xAAu}, + {0x0Du, 0x01u}, + {0x10u, 0x69u}, + {0x12u, 0x96u}, + {0x16u, 0xFFu}, + {0x1Au, 0xFFu}, + {0x1Cu, 0x0Fu}, + {0x1Eu, 0xF0u}, + {0x26u, 0xFFu}, + {0x29u, 0x02u}, + {0x33u, 0x01u}, + {0x35u, 0x02u}, + {0x36u, 0xFFu}, + {0x3Eu, 0x40u}, + {0x3Fu, 0x14u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Fu, 0x01u}, + {0x80u, 0x05u}, + {0x81u, 0x38u}, + {0x82u, 0x0Au}, + {0x84u, 0x20u}, + {0x89u, 0x25u}, + {0x8Bu, 0x02u}, + {0x8Cu, 0x03u}, + {0x8Eu, 0x0Cu}, + {0x8Fu, 0x38u}, + {0x94u, 0x10u}, + {0x95u, 0x09u}, + {0x97u, 0x06u}, + {0x99u, 0x04u}, + {0x9Bu, 0x03u}, + {0x9Cu, 0x06u}, + {0x9Du, 0x40u}, + {0x9Eu, 0x09u}, + {0xA1u, 0x03u}, + {0xA3u, 0x14u}, + {0xACu, 0x40u}, + {0xB0u, 0x0Fu}, + {0xB2u, 0x40u}, + {0xB3u, 0x38u}, + {0xB4u, 0x20u}, + {0xB5u, 0x07u}, + {0xB6u, 0x10u}, + {0xB7u, 0x40u}, + {0xBBu, 0x20u}, + {0xBEu, 0x55u}, + {0xBFu, 0x44u}, + {0xD8u, 0x04u}, + {0xD9u, 0x08u}, + {0xDCu, 0x90u}, + {0xDFu, 0x01u}, + {0x01u, 0x01u}, + {0x02u, 0x04u}, + {0x04u, 0x08u}, + {0x05u, 0x42u}, + {0x08u, 0x68u}, + {0x09u, 0x02u}, + {0x0Du, 0x08u}, + {0x0Fu, 0x01u}, + {0x13u, 0x08u}, + {0x16u, 0x01u}, + {0x1Au, 0x01u}, + {0x1Cu, 0x08u}, + {0x1Du, 0x02u}, + {0x1Fu, 0x84u}, + {0x22u, 0x08u}, + {0x23u, 0x04u}, + {0x24u, 0x10u}, + {0x26u, 0x10u}, + {0x27u, 0x02u}, + {0x29u, 0x20u}, + {0x2Fu, 0x40u}, + {0x35u, 0x08u}, + {0x36u, 0x10u}, + {0x37u, 0x80u}, + {0x38u, 0x80u}, + {0x3Cu, 0x02u}, + {0x3Eu, 0x20u}, + {0x3Fu, 0x80u}, + {0x7Eu, 0x02u}, + {0x83u, 0x81u}, + {0x87u, 0x04u}, + {0x8Au, 0x02u}, + {0x8Cu, 0x08u}, + {0x90u, 0x80u}, + {0x97u, 0x04u}, + {0x98u, 0x20u}, + {0x99u, 0x42u}, + {0x9Au, 0x05u}, + {0x9Bu, 0x08u}, + {0x9Cu, 0x40u}, + {0x9Fu, 0x02u}, + {0xA4u, 0x04u}, + {0xA6u, 0x08u}, + {0xAAu, 0x04u}, + {0xABu, 0x02u}, + {0xC0u, 0xBAu}, + {0xC2u, 0xAFu}, + {0xC4u, 0x84u}, + {0xCAu, 0x84u}, + {0xCCu, 0x70u}, + {0xCEu, 0xB8u}, + {0xDEu, 0x10u}, + {0xE0u, 0x40u}, + {0xE4u, 0x20u}, + {0xE8u, 0x01u}, + {0xEEu, 0x40u}, + {0x82u, 0x08u}, + {0x84u, 0x40u}, + {0x87u, 0x04u}, + {0x88u, 0x04u}, + {0x8Cu, 0x60u}, + {0x99u, 0x42u}, + {0x9Au, 0x01u}, + {0x9Bu, 0x08u}, + {0xADu, 0x02u}, + {0xB6u, 0x01u}, + {0xE0u, 0x40u}, + {0xE2u, 0x20u}, + {0xE4u, 0x08u}, + {0xE6u, 0x80u}, + {0xEEu, 0x20u}, + {0x83u, 0x08u}, + {0x85u, 0x40u}, + {0x82u, 0x20u}, + {0x9Eu, 0x20u}, + {0xAEu, 0x08u}, + {0xB2u, 0x08u}, + {0xB5u, 0x10u}, + {0x06u, 0x04u}, + {0x0Eu, 0x03u}, + {0x16u, 0x04u}, + {0x18u, 0x04u}, + {0x1Au, 0x02u}, + {0x1Cu, 0x04u}, + {0x1Eu, 0x01u}, + {0x36u, 0x07u}, + {0x54u, 0x40u}, + {0x58u, 0x04u}, + {0x5Bu, 0x0Bu}, + {0x5Cu, 0x09u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x80u, 0x30u}, + {0x84u, 0x10u}, + {0x85u, 0x42u}, + {0x86u, 0x0Fu}, + {0x87u, 0x04u}, + {0x88u, 0x40u}, + {0x8Au, 0x0Cu}, + {0x8Bu, 0x46u}, + {0x8Cu, 0x4Cu}, + {0x8Du, 0x46u}, + {0x90u, 0x04u}, + {0x94u, 0x01u}, + {0x95u, 0x39u}, + {0x96u, 0x2Eu}, + {0x97u, 0x06u}, + {0x98u, 0x01u}, + {0x99u, 0x01u}, + {0x9Au, 0x12u}, + {0x9Bu, 0x5Eu}, + {0x9Du, 0x42u}, + {0x9Eu, 0x40u}, + {0xA0u, 0x4Cu}, + {0xA1u, 0x46u}, + {0xA4u, 0x44u}, + {0xA5u, 0x04u}, + {0xA6u, 0x08u}, + {0xA7u, 0x20u}, + {0xA8u, 0x08u}, + {0xA9u, 0x77u}, + {0xABu, 0x08u}, + {0xACu, 0x0Cu}, + {0xADu, 0x46u}, + {0xAEu, 0x40u}, + {0xB0u, 0x31u}, + {0xB1u, 0x08u}, + {0xB2u, 0x40u}, + {0xB3u, 0x0Fu}, + {0xB4u, 0x0Fu}, + {0xB5u, 0x70u}, + {0xB7u, 0x01u}, + {0xB8u, 0x02u}, + {0xB9u, 0x08u}, + {0xBBu, 0x30u}, + {0xBEu, 0x04u}, + {0xBFu, 0x41u}, + {0xD4u, 0x09u}, + {0xD8u, 0x0Bu}, + {0xD9u, 0x0Bu}, + {0xDBu, 0x0Bu}, + {0xDCu, 0x99u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x00u, 0x84u}, + {0x01u, 0x12u}, + {0x05u, 0x40u}, + {0x07u, 0x20u}, + {0x0Au, 0x84u}, + {0x0Bu, 0x12u}, + {0x0Du, 0x14u}, + {0x0Eu, 0x02u}, + {0x10u, 0x20u}, + {0x11u, 0x40u}, + {0x12u, 0x04u}, + {0x13u, 0x02u}, + {0x19u, 0x1Au}, + {0x1Au, 0x04u}, + {0x1Bu, 0x20u}, + {0x1Du, 0x40u}, + {0x20u, 0x04u}, + {0x21u, 0x20u}, + {0x22u, 0x98u}, + {0x23u, 0x98u}, + {0x28u, 0x11u}, + {0x29u, 0x10u}, + {0x2Au, 0x06u}, + {0x31u, 0x20u}, + {0x32u, 0x48u}, + {0x39u, 0x54u}, + {0x44u, 0x20u}, + {0x47u, 0x08u}, + {0x58u, 0x10u}, + {0x59u, 0x04u}, + {0x5Au, 0x02u}, + {0x5Bu, 0x80u}, + {0x62u, 0x80u}, + {0x65u, 0x08u}, + {0x66u, 0x10u}, + {0x67u, 0x02u}, + {0x78u, 0x02u}, + {0x7Cu, 0x02u}, + {0x81u, 0x20u}, + {0x82u, 0x40u}, + {0x89u, 0x40u}, + {0x91u, 0x7Cu}, + {0x92u, 0x82u}, + {0x93u, 0x02u}, + {0x96u, 0x60u}, + {0x97u, 0x10u}, + {0x98u, 0x03u}, + {0x99u, 0x04u}, + {0x9Au, 0x16u}, + {0x9Bu, 0x22u}, + {0x9Cu, 0x20u}, + {0x9Du, 0x52u}, + {0x9Eu, 0x28u}, + {0xA0u, 0x60u}, + {0xA2u, 0x01u}, + {0xA6u, 0x08u}, + {0xA7u, 0x20u}, + {0xC0u, 0xAFu}, + {0xC2u, 0xEFu}, + {0xC4u, 0x0Fu}, + {0xCAu, 0x0Fu}, + {0xCCu, 0x0Eu}, + {0xCEu, 0x0Eu}, + {0xD6u, 0x0Fu}, + {0xD8u, 0x78u}, + {0xDEu, 0x81u}, + {0xE0u, 0x04u}, + {0xEEu, 0x08u}, + {0x82u, 0x20u}, + {0x88u, 0x40u}, + {0x97u, 0x80u}, + {0x9Cu, 0x40u}, + {0x9Du, 0x08u}, + {0x9Eu, 0x20u}, + {0xE0u, 0x80u}, + {0xEEu, 0x08u}, + {0xB3u, 0x40u}, + {0xB5u, 0x08u}, + {0xE8u, 0x40u}, + {0xECu, 0x80u}, + {0x33u, 0x80u}, + {0x5Bu, 0x40u}, + {0x62u, 0x01u}, + {0x63u, 0x02u}, + {0x66u, 0x80u}, + {0x67u, 0x08u}, + {0x82u, 0x03u}, + {0x87u, 0x04u}, + {0xCCu, 0x10u}, + {0xD4u, 0x80u}, + {0xD6u, 0xC0u}, + {0xD8u, 0xC0u}, + {0xE2u, 0x20u}, + {0xE6u, 0x30u}, + {0x52u, 0x10u}, + {0x53u, 0x01u}, + {0x83u, 0x01u}, + {0x8Eu, 0x80u}, + {0x9Au, 0x12u}, + {0x9Eu, 0x80u}, + {0x9Fu, 0x40u}, + {0xA7u, 0x80u}, + {0xAEu, 0x10u}, + {0xAFu, 0x01u}, + {0xD4u, 0xA0u}, + {0xE6u, 0x20u}, + {0xEAu, 0x40u}, + {0xEEu, 0x10u}, + {0x94u, 0x01u}, + {0x9Au, 0x02u}, + {0xA7u, 0x80u}, + {0xB4u, 0x01u}, + {0xB7u, 0x40u}, + {0x82u, 0x20u}, + {0x94u, 0x01u}, + {0x9Au, 0x02u}, + {0xA7u, 0x80u}, + {0xE6u, 0x80u}, + {0x0Cu, 0x04u}, + {0x10u, 0x80u}, + {0x53u, 0x80u}, + {0x54u, 0x10u}, + {0x5Au, 0x10u}, + {0x5Cu, 0x40u}, + {0xC2u, 0x04u}, + {0xC4u, 0x08u}, + {0xD4u, 0x07u}, + {0xD6u, 0x04u}, + {0x03u, 0x01u}, + {0x04u, 0x81u}, + {0x09u, 0x04u}, + {0x0Bu, 0x04u}, + {0x0Eu, 0x02u}, + {0x0Fu, 0x40u}, + {0x87u, 0x80u}, + {0x88u, 0x04u}, + {0x8Cu, 0x41u}, + {0x94u, 0x40u}, + {0x96u, 0x10u}, + {0x97u, 0x04u}, + {0x98u, 0x04u}, + {0xA4u, 0x10u}, + {0xA7u, 0x80u}, + {0xB3u, 0x04u}, + {0xB4u, 0x80u}, + {0xC0u, 0x07u}, + {0xC2u, 0x0Fu}, + {0xE0u, 0x02u}, + {0xE6u, 0x09u}, + {0xEEu, 0x06u}, + {0x90u, 0x80u}, + {0x93u, 0x40u}, + {0x9Bu, 0x01u}, + {0xA2u, 0x01u}, + {0xA4u, 0x10u}, + {0xAAu, 0x10u}, + {0xB5u, 0x04u}, + {0xEEu, 0x02u}, + {0x08u, 0x08u}, + {0xA8u, 0x40u}, + {0xACu, 0x10u}, + {0xAFu, 0x01u}, + {0xB3u, 0x40u}, + {0xB6u, 0x01u}, + {0xC2u, 0x08u}, + {0xEAu, 0x0Cu}, + {0x23u, 0x40u}, + {0x24u, 0x01u}, + {0x94u, 0x01u}, + {0x9Au, 0x02u}, + {0xA2u, 0x20u}, + {0xAFu, 0x80u}, + {0xC8u, 0x60u}, + {0xEEu, 0x10u}, + {0x07u, 0x10u}, + {0x52u, 0x20u}, + {0x56u, 0x02u}, + {0x83u, 0x10u}, + {0x9Au, 0x02u}, + {0xA2u, 0x20u}, + {0xAFu, 0x40u}, + {0xC0u, 0x20u}, + {0xD4u, 0x20u}, + {0xD6u, 0x20u}, + {0xE0u, 0x20u}, + {0xECu, 0x40u}, + {0xACu, 0x08u}, + {0x01u, 0x01u}, + {0x09u, 0x01u}, + {0x0Bu, 0x01u}, + {0x0Du, 0x01u}, + {0x11u, 0x01u}, + {0x1Bu, 0x01u}, + {0x00u, 0x02u}, + }; + + CYPACKED typedef struct { void CYFAR *address; @@ -361,14 +1341,13 @@ void cyfitter_cfg(void) CYPACKED typedef struct { void CYFAR *dest; - const void CYFAR *src; + const void CYCODE *src; uint16 size; } CYPACKED_ATTR cfg_memcpy_t; static const cfg_memset_t CYCODE cfg_memset_list [] = { /* address, size */ {(void CYFAR *)(CYREG_PRT1_DR), 16u}, - {(void CYFAR *)(CYREG_PRT15_DR), 16u}, {(void CYFAR *)(CYDEV_UCFG_B0_P0_U0_BASE), 1664u}, {(void CYFAR *)(CYDEV_UCFG_B0_P3_ROUTE_BASE), 2304u}, {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 2048u}, @@ -377,6 +1356,17 @@ void cyfitter_cfg(void) {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), 32u}, }; + /* UDB_1_2_0_CONFIG Address: CYDEV_UCFG_B0_P3_U1_BASE Size (bytes): 128 */ + static const uint8 CYCODE BS_UDB_1_2_0_CONFIG_VAL[] = { + 0xC0u, 0x01u, 0x02u, 0x00u, 0x1Fu, 0x40u, 0x20u, 0x00u, 0xC0u, 0x08u, 0x08u, 0x21u, 0x90u, 0x01u, 0x40u, 0x00u, + 0x80u, 0x10u, 0x00u, 0x80u, 0x7Fu, 0x04u, 0x80u, 0x00u, 0x00u, 0x01u, 0x60u, 0x00u, 0x00u, 0x01u, 0x00u, 0x00u, + 0xC0u, 0x40u, 0x01u, 0x00u, 0xC0u, 0x22u, 0x04u, 0x08u, 0x00u, 0x07u, 0xFFu, 0x18u, 0x00u, 0x01u, 0x9Fu, 0x00u, + 0xFFu, 0x00u, 0x00u, 0x40u, 0x00u, 0x3Fu, 0x00u, 0x80u, 0x00u, 0x28u, 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, 0x10u, + 0x64u, 0x02u, 0x50u, 0x00u, 0x03u, 0xDEu, 0xF0u, 0xBCu, 0x3Bu, 0xFFu, 0xFFu, 0xFFu, 0x22u, 0x00u, 0xF0u, 0x08u, + 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x02u, 0x20u, 0x04u, 0x0Bu, 0x0Bu, 0x0Bu, 0x90u, 0x99u, 0x00u, 0x01u, + 0x00u, 0x00u, 0xC0u, 0x00u, 0x40u, 0x01u, 0x10u, 0x11u, 0xC0u, 0x01u, 0x00u, 0x11u, 0x40u, 0x01u, 0x40u, 0x01u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + static const cfg_memcpy_t CYCODE cfg_memcpy_list [] = { /* dest, src, size */ {(void CYFAR *)(CYDEV_UCFG_B0_P3_U1_BASE), BS_UDB_1_2_0_CONFIG_VAL, 128u}, @@ -396,9 +1386,9 @@ void cyfitter_cfg(void) { const cfg_memcpy_t CYCODE * CYDATA mc = &cfg_memcpy_list[i]; void * CYDATA destPtr = mc->dest; - const void * CYDATA srcPtr = mc->src; + const void CYCODE * CYDATA srcPtr = mc->src; uint16 CYDATA numBytes = mc->size; - CYCONFIGCPY(destPtr, srcPtr, numBytes); + CYCONFIGCPYCODE(destPtr, srcPtr, numBytes); } cfg_write_bytes32(cy_cfg_addr_table, cy_cfg_data_table); @@ -413,14 +1403,15 @@ void cyfitter_cfg(void) } /* Perform second pass device configuration. These items must be configured in specific order after the regular configuration is done. */ - CYCONFIGCPY((void CYFAR *)(CYREG_PRT0_DM0), (const void CYFAR *)(BS_IOPINS0_0_VAL), 8u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT12_DR), (const void CYFAR *)(BS_IOPINS0_7_VAL), 10u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT12_DR + 0x0000000Bu), (const void CYFAR *)(BS_IOPINS1_7_VAL), 5u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT2_DM0), (const void CYFAR *)(BS_IOPINS0_2_VAL), 8u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT3_DR), (const void CYFAR *)(BS_IOPINS0_3_VAL), 10u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT4_DM0), (const void CYFAR *)(BS_IOPINS0_4_VAL), 8u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT5_DM0), (const void CYFAR *)(BS_IOPINS0_5_VAL), 8u); - CYCONFIGCPY((void CYFAR *)(CYREG_PRT6_DM0), (const void CYFAR *)(BS_IOPINS0_6_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT0_DM0), (const void CYCODE *)(BS_IOPINS0_0_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT12_DR), (const void CYCODE *)(BS_IOPINS0_7_VAL), 10u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT12_DR + 0x0000000Bu), (const void CYCODE *)(BS_IOPINS1_7_VAL), 5u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT15_DR), (const void CYCODE *)(BS_IOPINS0_8_VAL), 10u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT2_DM0), (const void CYCODE *)(BS_IOPINS0_2_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT3_DR), (const void CYCODE *)(BS_IOPINS0_3_VAL), 10u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT4_DM0), (const void CYCODE *)(BS_IOPINS0_4_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT5_DM0), (const void CYCODE *)(BS_IOPINS0_5_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT6_DM0), (const void CYCODE *)(BS_IOPINS0_6_VAL), 8u); /* Switch Boost to the precision bandgap reference from its internal reference */ CY_SET_REG8((void CYXDATA *)CYREG_BOOST_CR2, (CY_GET_REG8((void CYXDATA *)CYREG_BOOST_CR2) | 0x08u)); diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc old mode 100644 new mode 100755 index e0aa8c4..3558ef1 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc @@ -3,6 +3,46 @@ .include "cydevicegnu.inc" .include "cydevicegnu_trm.inc" +/* USBFS_1_bus_reset */ +.set USBFS_1_bus_reset__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_1_bus_reset__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_1_bus_reset__INTC_MASK, 0x800000 +.set USBFS_1_bus_reset__INTC_NUMBER, 23 +.set USBFS_1_bus_reset__INTC_PRIOR_NUM, 7 +.set USBFS_1_bus_reset__INTC_PRIOR_REG, CYREG_NVIC_PRI_23 +.set USBFS_1_bus_reset__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_1_bus_reset__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_1_arb_int */ +.set USBFS_1_arb_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_1_arb_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_1_arb_int__INTC_MASK, 0x400000 +.set USBFS_1_arb_int__INTC_NUMBER, 22 +.set USBFS_1_arb_int__INTC_PRIOR_NUM, 7 +.set USBFS_1_arb_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_22 +.set USBFS_1_arb_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_1_arb_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_1_sof_int */ +.set USBFS_1_sof_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_1_sof_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_1_sof_int__INTC_MASK, 0x200000 +.set USBFS_1_sof_int__INTC_NUMBER, 21 +.set USBFS_1_sof_int__INTC_PRIOR_NUM, 7 +.set USBFS_1_sof_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_21 +.set USBFS_1_sof_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_1_sof_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_1_dp_int */ +.set USBFS_1_dp_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_1_dp_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_1_dp_int__INTC_MASK, 0x1000 +.set USBFS_1_dp_int__INTC_NUMBER, 12 +.set USBFS_1_dp_int__INTC_PRIOR_NUM, 7 +.set USBFS_1_dp_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_12 +.set USBFS_1_dp_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_1_dp_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + /* SCSI_ATN_ISR */ .set SCSI_ATN_ISR__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 .set SCSI_ATN_ISR__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 @@ -14,96 +54,438 @@ .set SCSI_ATN_ISR__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 /* SCSI_Out_DBx */ -.set SCSI_Out_DBx__0__MASK, 0x01 -.set SCSI_Out_DBx__0__PC, CYREG_PRT0_PC0 -.set SCSI_Out_DBx__0__PORT, 0 -.set SCSI_Out_DBx__0__SHIFT, 0 -.set SCSI_Out_DBx__1__MASK, 0x02 -.set SCSI_Out_DBx__1__PC, CYREG_PRT0_PC1 -.set SCSI_Out_DBx__1__PORT, 0 -.set SCSI_Out_DBx__1__SHIFT, 1 -.set SCSI_Out_DBx__2__MASK, 0x04 -.set SCSI_Out_DBx__2__PC, CYREG_PRT0_PC2 -.set SCSI_Out_DBx__2__PORT, 0 -.set SCSI_Out_DBx__2__SHIFT, 2 -.set SCSI_Out_DBx__3__MASK, 0x08 -.set SCSI_Out_DBx__3__PC, CYREG_PRT0_PC3 -.set SCSI_Out_DBx__3__PORT, 0 -.set SCSI_Out_DBx__3__SHIFT, 3 -.set SCSI_Out_DBx__4__MASK, 0x10 -.set SCSI_Out_DBx__4__PC, CYREG_PRT0_PC4 -.set SCSI_Out_DBx__4__PORT, 0 -.set SCSI_Out_DBx__4__SHIFT, 4 -.set SCSI_Out_DBx__5__MASK, 0x20 -.set SCSI_Out_DBx__5__PC, CYREG_PRT0_PC5 -.set SCSI_Out_DBx__5__PORT, 0 -.set SCSI_Out_DBx__5__SHIFT, 5 -.set SCSI_Out_DBx__6__MASK, 0x40 -.set SCSI_Out_DBx__6__PC, CYREG_PRT0_PC6 -.set SCSI_Out_DBx__6__PORT, 0 -.set SCSI_Out_DBx__6__SHIFT, 6 -.set SCSI_Out_DBx__7__MASK, 0x80 -.set SCSI_Out_DBx__7__PC, CYREG_PRT0_PC7 -.set SCSI_Out_DBx__7__PORT, 0 -.set SCSI_Out_DBx__7__SHIFT, 7 -.set SCSI_Out_DBx__AG, CYREG_PRT0_AG -.set SCSI_Out_DBx__AMUX, CYREG_PRT0_AMUX -.set SCSI_Out_DBx__BIE, CYREG_PRT0_BIE -.set SCSI_Out_DBx__BIT_MASK, CYREG_PRT0_BIT_MASK -.set SCSI_Out_DBx__BYP, CYREG_PRT0_BYP -.set SCSI_Out_DBx__CTL, CYREG_PRT0_CTL -.set SCSI_Out_DBx__DB0__MASK, 0x01 -.set SCSI_Out_DBx__DB0__PC, CYREG_PRT0_PC0 -.set SCSI_Out_DBx__DB0__PORT, 0 -.set SCSI_Out_DBx__DB0__SHIFT, 0 -.set SCSI_Out_DBx__DB1__MASK, 0x02 -.set SCSI_Out_DBx__DB1__PC, CYREG_PRT0_PC1 -.set SCSI_Out_DBx__DB1__PORT, 0 -.set SCSI_Out_DBx__DB1__SHIFT, 1 -.set SCSI_Out_DBx__DB2__MASK, 0x04 -.set SCSI_Out_DBx__DB2__PC, CYREG_PRT0_PC2 -.set SCSI_Out_DBx__DB2__PORT, 0 -.set SCSI_Out_DBx__DB2__SHIFT, 2 -.set SCSI_Out_DBx__DB3__MASK, 0x08 -.set SCSI_Out_DBx__DB3__PC, CYREG_PRT0_PC3 -.set SCSI_Out_DBx__DB3__PORT, 0 -.set SCSI_Out_DBx__DB3__SHIFT, 3 -.set SCSI_Out_DBx__DB4__MASK, 0x10 -.set SCSI_Out_DBx__DB4__PC, CYREG_PRT0_PC4 -.set SCSI_Out_DBx__DB4__PORT, 0 -.set SCSI_Out_DBx__DB4__SHIFT, 4 -.set SCSI_Out_DBx__DB5__MASK, 0x20 -.set SCSI_Out_DBx__DB5__PC, CYREG_PRT0_PC5 -.set SCSI_Out_DBx__DB5__PORT, 0 -.set SCSI_Out_DBx__DB5__SHIFT, 5 -.set SCSI_Out_DBx__DB6__MASK, 0x40 -.set SCSI_Out_DBx__DB6__PC, CYREG_PRT0_PC6 -.set SCSI_Out_DBx__DB6__PORT, 0 -.set SCSI_Out_DBx__DB6__SHIFT, 6 -.set SCSI_Out_DBx__DB7__MASK, 0x80 -.set SCSI_Out_DBx__DB7__PC, CYREG_PRT0_PC7 -.set SCSI_Out_DBx__DB7__PORT, 0 -.set SCSI_Out_DBx__DB7__SHIFT, 7 -.set SCSI_Out_DBx__DM0, CYREG_PRT0_DM0 -.set SCSI_Out_DBx__DM1, CYREG_PRT0_DM1 -.set SCSI_Out_DBx__DM2, CYREG_PRT0_DM2 -.set SCSI_Out_DBx__DR, CYREG_PRT0_DR -.set SCSI_Out_DBx__INP_DIS, CYREG_PRT0_INP_DIS -.set SCSI_Out_DBx__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG -.set SCSI_Out_DBx__LCD_EN, CYREG_PRT0_LCD_EN -.set SCSI_Out_DBx__MASK, 0xFF -.set SCSI_Out_DBx__PORT, 0 -.set SCSI_Out_DBx__PRT, CYREG_PRT0_PRT -.set SCSI_Out_DBx__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL -.set SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN -.set SCSI_Out_DBx__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 -.set SCSI_Out_DBx__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 -.set SCSI_Out_DBx__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 -.set SCSI_Out_DBx__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 -.set SCSI_Out_DBx__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT -.set SCSI_Out_DBx__PS, CYREG_PRT0_PS -.set SCSI_Out_DBx__SHIFT, 0 -.set SCSI_Out_DBx__SLW, CYREG_PRT0_SLW +.set SCSI_Out_DBx__0__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__0__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__0__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__0__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__0__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__0__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__0__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__0__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__0__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__0__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__0__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__0__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__0__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__0__MASK, 0x08 +.set SCSI_Out_DBx__0__PC, CYREG_PRT6_PC3 +.set SCSI_Out_DBx__0__PORT, 6 +.set SCSI_Out_DBx__0__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__0__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__0__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__0__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__0__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__0__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__0__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__0__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__0__SHIFT, 3 +.set SCSI_Out_DBx__0__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__1__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__1__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__1__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__1__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__1__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__1__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__1__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__1__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__1__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__1__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__1__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__1__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__1__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__1__MASK, 0x04 +.set SCSI_Out_DBx__1__PC, CYREG_PRT6_PC2 +.set SCSI_Out_DBx__1__PORT, 6 +.set SCSI_Out_DBx__1__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__1__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__1__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__1__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__1__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__1__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__1__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__1__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__1__SHIFT, 2 +.set SCSI_Out_DBx__1__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__2__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__2__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__2__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__2__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__2__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__2__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__2__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__2__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__2__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__2__MASK, 0x02 +.set SCSI_Out_DBx__2__PC, CYREG_PRT6_PC1 +.set SCSI_Out_DBx__2__PORT, 6 +.set SCSI_Out_DBx__2__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__2__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__2__SHIFT, 1 +.set SCSI_Out_DBx__2__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__3__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__3__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__3__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__3__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__3__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__3__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__3__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__3__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__3__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__3__MASK, 0x01 +.set SCSI_Out_DBx__3__PC, CYREG_PRT6_PC0 +.set SCSI_Out_DBx__3__PORT, 6 +.set SCSI_Out_DBx__3__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__3__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__3__SHIFT, 0 +.set SCSI_Out_DBx__3__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__4__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__4__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__4__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__4__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__4__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__4__MASK, 0x80 +.set SCSI_Out_DBx__4__PC, CYREG_PRT4_PC7 +.set SCSI_Out_DBx__4__PORT, 4 +.set SCSI_Out_DBx__4__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__4__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__4__SHIFT, 7 +.set SCSI_Out_DBx__4__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__5__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__5__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__5__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__5__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__5__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__5__MASK, 0x40 +.set SCSI_Out_DBx__5__PC, CYREG_PRT4_PC6 +.set SCSI_Out_DBx__5__PORT, 4 +.set SCSI_Out_DBx__5__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__5__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__5__SHIFT, 6 +.set SCSI_Out_DBx__5__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__6__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__6__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__6__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__6__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__6__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__6__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__6__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__6__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__6__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__6__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__6__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__6__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__6__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__6__MASK, 0x20 +.set SCSI_Out_DBx__6__PC, CYREG_PRT4_PC5 +.set SCSI_Out_DBx__6__PORT, 4 +.set SCSI_Out_DBx__6__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__6__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__6__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__6__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__6__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__6__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__6__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__6__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__6__SHIFT, 5 +.set SCSI_Out_DBx__6__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__7__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__7__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__7__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__7__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__7__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__7__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__7__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__7__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__7__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__7__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__7__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__7__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__7__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__7__MASK, 0x10 +.set SCSI_Out_DBx__7__PC, CYREG_PRT4_PC4 +.set SCSI_Out_DBx__7__PORT, 4 +.set SCSI_Out_DBx__7__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__7__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__7__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__7__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__7__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__7__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__7__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__7__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__7__SHIFT, 4 +.set SCSI_Out_DBx__7__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB0__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB0__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB0__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB0__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB0__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB0__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB0__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB0__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB0__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB0__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB0__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB0__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB0__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB0__MASK, 0x08 +.set SCSI_Out_DBx__DB0__PC, CYREG_PRT6_PC3 +.set SCSI_Out_DBx__DB0__PORT, 6 +.set SCSI_Out_DBx__DB0__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB0__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB0__SHIFT, 3 +.set SCSI_Out_DBx__DB0__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB1__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB1__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB1__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB1__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB1__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB1__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB1__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB1__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB1__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB1__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB1__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB1__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB1__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB1__MASK, 0x04 +.set SCSI_Out_DBx__DB1__PC, CYREG_PRT6_PC2 +.set SCSI_Out_DBx__DB1__PORT, 6 +.set SCSI_Out_DBx__DB1__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB1__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB1__SHIFT, 2 +.set SCSI_Out_DBx__DB1__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB2__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB2__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB2__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB2__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB2__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB2__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB2__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB2__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB2__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB2__MASK, 0x02 +.set SCSI_Out_DBx__DB2__PC, CYREG_PRT6_PC1 +.set SCSI_Out_DBx__DB2__PORT, 6 +.set SCSI_Out_DBx__DB2__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB2__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB2__SHIFT, 1 +.set SCSI_Out_DBx__DB2__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB3__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB3__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB3__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB3__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB3__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB3__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB3__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB3__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB3__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB3__MASK, 0x01 +.set SCSI_Out_DBx__DB3__PC, CYREG_PRT6_PC0 +.set SCSI_Out_DBx__DB3__PORT, 6 +.set SCSI_Out_DBx__DB3__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB3__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB3__SHIFT, 0 +.set SCSI_Out_DBx__DB3__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB4__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB4__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB4__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB4__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB4__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB4__MASK, 0x80 +.set SCSI_Out_DBx__DB4__PC, CYREG_PRT4_PC7 +.set SCSI_Out_DBx__DB4__PORT, 4 +.set SCSI_Out_DBx__DB4__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB4__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB4__SHIFT, 7 +.set SCSI_Out_DBx__DB4__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB5__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB5__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB5__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB5__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB5__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB5__MASK, 0x40 +.set SCSI_Out_DBx__DB5__PC, CYREG_PRT4_PC6 +.set SCSI_Out_DBx__DB5__PORT, 4 +.set SCSI_Out_DBx__DB5__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB5__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB5__SHIFT, 6 +.set SCSI_Out_DBx__DB5__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB6__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB6__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB6__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB6__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB6__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB6__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB6__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB6__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB6__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB6__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB6__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB6__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB6__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB6__MASK, 0x20 +.set SCSI_Out_DBx__DB6__PC, CYREG_PRT4_PC5 +.set SCSI_Out_DBx__DB6__PORT, 4 +.set SCSI_Out_DBx__DB6__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB6__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB6__SHIFT, 5 +.set SCSI_Out_DBx__DB6__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB7__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB7__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB7__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB7__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB7__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB7__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB7__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB7__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB7__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB7__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB7__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB7__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB7__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB7__MASK, 0x10 +.set SCSI_Out_DBx__DB7__PC, CYREG_PRT4_PC4 +.set SCSI_Out_DBx__DB7__PORT, 4 +.set SCSI_Out_DBx__DB7__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB7__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB7__SHIFT, 4 +.set SCSI_Out_DBx__DB7__SLW, CYREG_PRT4_SLW /* SCSI_RST_ISR */ .set SCSI_RST_ISR__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 @@ -116,34 +498,34 @@ .set SCSI_RST_ISR__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 /* SDCard_BSPIM */ -.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL -.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B0_UDB02_03_ST -.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B0_UDB02_MSK -.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B0_UDB02_ACTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B0_UDB02_ST_CTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B0_UDB02_ST_CTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B0_UDB02_ST -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB02_03_CTL -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB02_03_CTL -.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB02_03_CTL -.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB02_03_CTL -.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B0_UDB02_03_MSK -.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB02_03_MSK -.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB02_03_MSK -.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB02_03_MSK -.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B0_UDB02_ACTL -.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B0_UDB02_CTL -.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B0_UDB02_ST_CTL -.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B0_UDB02_CTL -.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B0_UDB02_ST_CTL -.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B0_UDB02_MSK -.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB03_04_ST +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B0_UDB07_08_ST +.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B0_UDB07_MSK +.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B0_UDB07_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B0_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B0_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B0_UDB07_ST +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B0_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B0_UDB07_ACTL +.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B0_UDB07_CTL +.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B0_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B0_UDB07_CTL +.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B0_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B0_UDB07_MSK +.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB06_07_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB06_07_ST .set SDCard_BSPIM_RxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_RxStsReg__4__POS, 4 .set SDCard_BSPIM_RxStsReg__5__MASK, 0x20 @@ -151,9 +533,9 @@ .set SDCard_BSPIM_RxStsReg__6__MASK, 0x40 .set SDCard_BSPIM_RxStsReg__6__POS, 6 .set SDCard_BSPIM_RxStsReg__MASK, 0x70 -.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B0_UDB03_MSK -.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB03_ACTL -.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B0_UDB03_ST +.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B1_UDB06_MSK +.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB06_ACTL +.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B1_UDB06_ST .set SDCard_BSPIM_TxStsReg__0__MASK, 0x01 .set SDCard_BSPIM_TxStsReg__0__POS, 0 .set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL @@ -187,120 +569,482 @@ .set SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG, CYREG_B0_UDB07_F0_F1 .set SDCard_BSPIM_sR8_Dp_u0__F0_REG, CYREG_B0_UDB07_F0 .set SDCard_BSPIM_sR8_Dp_u0__F1_REG, CYREG_B0_UDB07_F1 +.set SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL + +/* USBFS_1_ep_0 */ +.set USBFS_1_ep_0__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_1_ep_0__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_1_ep_0__INTC_MASK, 0x1000000 +.set USBFS_1_ep_0__INTC_NUMBER, 24 +.set USBFS_1_ep_0__INTC_PRIOR_NUM, 7 +.set USBFS_1_ep_0__INTC_PRIOR_REG, CYREG_NVIC_PRI_24 +.set USBFS_1_ep_0__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_1_ep_0__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_1_ep_1 */ +.set USBFS_1_ep_1__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_1_ep_1__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_1_ep_1__INTC_MASK, 0x01 +.set USBFS_1_ep_1__INTC_NUMBER, 0 +.set USBFS_1_ep_1__INTC_PRIOR_NUM, 7 +.set USBFS_1_ep_1__INTC_PRIOR_REG, CYREG_NVIC_PRI_0 +.set USBFS_1_ep_1__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_1_ep_1__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 /* SCSI_CTL_IO */ .set SCSI_CTL_IO_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_CTL_IO_Sync_ctrl_reg__0__POS, 0 -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB00_01_ACTL -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB00_01_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB00_01_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB00_01_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB00_01_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB00_01_MSK -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB00_01_MSK -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB00_01_MSK -.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB00_01_MSK -.set SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB00_ACTL -.set SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB00_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB00_ST_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB00_CTL -.set SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB00_ST_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB02_03_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB02_03_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB02_03_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB02_03_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB02_03_MSK +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB02_03_MSK +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB02_03_MSK +.set SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB02_03_MSK +.set SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB02_ACTL +.set SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB02_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB02_ST_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB02_CTL +.set SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB02_ST_CTL .set SCSI_CTL_IO_Sync_ctrl_reg__MASK, 0x01 -.set SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB00_MSK_ACTL -.set SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB00_MSK -.set SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB00_MSK_ACTL +.set SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL +.set SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB02_MSK +.set SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL /* SCSI_In_DBx */ -.set SCSI_In_DBx__0__MASK, 0x01 -.set SCSI_In_DBx__0__PC, CYREG_PRT2_PC0 -.set SCSI_In_DBx__0__PORT, 2 -.set SCSI_In_DBx__0__SHIFT, 0 -.set SCSI_In_DBx__1__MASK, 0x02 -.set SCSI_In_DBx__1__PC, CYREG_PRT2_PC1 +.set SCSI_In_DBx__0__AG, CYREG_PRT12_AG +.set SCSI_In_DBx__0__BIE, CYREG_PRT12_BIE +.set SCSI_In_DBx__0__BIT_MASK, CYREG_PRT12_BIT_MASK +.set SCSI_In_DBx__0__BYP, CYREG_PRT12_BYP +.set SCSI_In_DBx__0__DM0, CYREG_PRT12_DM0 +.set SCSI_In_DBx__0__DM1, CYREG_PRT12_DM1 +.set SCSI_In_DBx__0__DM2, CYREG_PRT12_DM2 +.set SCSI_In_DBx__0__DR, CYREG_PRT12_DR +.set SCSI_In_DBx__0__INP_DIS, CYREG_PRT12_INP_DIS +.set SCSI_In_DBx__0__MASK, 0x10 +.set SCSI_In_DBx__0__PC, CYREG_PRT12_PC4 +.set SCSI_In_DBx__0__PORT, 12 +.set SCSI_In_DBx__0__PRT, CYREG_PRT12_PRT +.set SCSI_In_DBx__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set SCSI_In_DBx__0__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set SCSI_In_DBx__0__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set SCSI_In_DBx__0__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set SCSI_In_DBx__0__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set SCSI_In_DBx__0__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set SCSI_In_DBx__0__PS, CYREG_PRT12_PS +.set SCSI_In_DBx__0__SHIFT, 4 +.set SCSI_In_DBx__0__SIO_CFG, CYREG_PRT12_SIO_CFG +.set SCSI_In_DBx__0__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set SCSI_In_DBx__0__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set SCSI_In_DBx__0__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set SCSI_In_DBx__0__SLW, CYREG_PRT12_SLW +.set SCSI_In_DBx__1__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__1__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__1__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__1__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__1__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__1__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__1__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__1__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__1__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__1__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__1__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__1__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__1__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__1__MASK, 0x80 +.set SCSI_In_DBx__1__PC, CYREG_PRT2_PC7 .set SCSI_In_DBx__1__PORT, 2 -.set SCSI_In_DBx__1__SHIFT, 1 -.set SCSI_In_DBx__2__MASK, 0x04 -.set SCSI_In_DBx__2__PC, CYREG_PRT2_PC2 +.set SCSI_In_DBx__1__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__1__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__1__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__1__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__1__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__1__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__1__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__1__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__1__SHIFT, 7 +.set SCSI_In_DBx__1__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__2__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__2__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__2__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__2__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__2__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__2__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__2__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__2__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__2__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__2__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__2__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__2__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__2__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__2__MASK, 0x40 +.set SCSI_In_DBx__2__PC, CYREG_PRT2_PC6 .set SCSI_In_DBx__2__PORT, 2 -.set SCSI_In_DBx__2__SHIFT, 2 -.set SCSI_In_DBx__3__MASK, 0x08 -.set SCSI_In_DBx__3__PC, CYREG_PRT2_PC3 +.set SCSI_In_DBx__2__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__2__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__2__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__2__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__2__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__2__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__2__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__2__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__2__SHIFT, 6 +.set SCSI_In_DBx__2__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__3__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__3__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__3__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__3__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__3__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__3__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__3__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__3__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__3__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__3__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__3__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__3__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__3__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__3__MASK, 0x20 +.set SCSI_In_DBx__3__PC, CYREG_PRT2_PC5 .set SCSI_In_DBx__3__PORT, 2 -.set SCSI_In_DBx__3__SHIFT, 3 +.set SCSI_In_DBx__3__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__3__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__3__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__3__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__3__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__3__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__3__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__3__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__3__SHIFT, 5 +.set SCSI_In_DBx__3__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__4__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__4__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__4__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__4__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__4__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__4__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__4__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__4__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__4__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__4__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__4__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__4__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__4__LCD_EN, CYREG_PRT2_LCD_EN .set SCSI_In_DBx__4__MASK, 0x10 .set SCSI_In_DBx__4__PC, CYREG_PRT2_PC4 .set SCSI_In_DBx__4__PORT, 2 +.set SCSI_In_DBx__4__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__4__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__4__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__4__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__4__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__4__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__4__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__4__PS, CYREG_PRT2_PS .set SCSI_In_DBx__4__SHIFT, 4 -.set SCSI_In_DBx__5__MASK, 0x20 -.set SCSI_In_DBx__5__PC, CYREG_PRT2_PC5 +.set SCSI_In_DBx__4__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__5__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__5__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__5__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__5__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__5__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__5__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__5__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__5__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__5__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__5__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__5__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__5__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__5__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__5__MASK, 0x08 +.set SCSI_In_DBx__5__PC, CYREG_PRT2_PC3 .set SCSI_In_DBx__5__PORT, 2 -.set SCSI_In_DBx__5__SHIFT, 5 -.set SCSI_In_DBx__6__MASK, 0x40 -.set SCSI_In_DBx__6__PC, CYREG_PRT2_PC6 +.set SCSI_In_DBx__5__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__5__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__5__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__5__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__5__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__5__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__5__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__5__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__5__SHIFT, 3 +.set SCSI_In_DBx__5__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__6__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__6__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__6__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__6__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__6__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__6__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__6__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__6__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__6__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__6__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__6__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__6__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__6__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__6__MASK, 0x04 +.set SCSI_In_DBx__6__PC, CYREG_PRT2_PC2 .set SCSI_In_DBx__6__PORT, 2 -.set SCSI_In_DBx__6__SHIFT, 6 -.set SCSI_In_DBx__7__MASK, 0x80 -.set SCSI_In_DBx__7__PC, CYREG_PRT2_PC7 +.set SCSI_In_DBx__6__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__6__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__6__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__6__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__6__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__6__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__6__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__6__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__6__SHIFT, 2 +.set SCSI_In_DBx__6__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__7__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__7__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__7__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__7__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__7__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__7__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__7__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__7__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__7__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__7__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__7__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__7__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__7__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__7__MASK, 0x02 +.set SCSI_In_DBx__7__PC, CYREG_PRT2_PC1 .set SCSI_In_DBx__7__PORT, 2 -.set SCSI_In_DBx__7__SHIFT, 7 -.set SCSI_In_DBx__AG, CYREG_PRT2_AG -.set SCSI_In_DBx__AMUX, CYREG_PRT2_AMUX -.set SCSI_In_DBx__BIE, CYREG_PRT2_BIE -.set SCSI_In_DBx__BIT_MASK, CYREG_PRT2_BIT_MASK -.set SCSI_In_DBx__BYP, CYREG_PRT2_BYP -.set SCSI_In_DBx__CTL, CYREG_PRT2_CTL -.set SCSI_In_DBx__DB0__MASK, 0x01 -.set SCSI_In_DBx__DB0__PC, CYREG_PRT2_PC0 -.set SCSI_In_DBx__DB0__PORT, 2 -.set SCSI_In_DBx__DB0__SHIFT, 0 -.set SCSI_In_DBx__DB1__MASK, 0x02 -.set SCSI_In_DBx__DB1__PC, CYREG_PRT2_PC1 +.set SCSI_In_DBx__7__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__7__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__7__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__7__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__7__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__7__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__7__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__7__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__7__SHIFT, 1 +.set SCSI_In_DBx__7__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB0__AG, CYREG_PRT12_AG +.set SCSI_In_DBx__DB0__BIE, CYREG_PRT12_BIE +.set SCSI_In_DBx__DB0__BIT_MASK, CYREG_PRT12_BIT_MASK +.set SCSI_In_DBx__DB0__BYP, CYREG_PRT12_BYP +.set SCSI_In_DBx__DB0__DM0, CYREG_PRT12_DM0 +.set SCSI_In_DBx__DB0__DM1, CYREG_PRT12_DM1 +.set SCSI_In_DBx__DB0__DM2, CYREG_PRT12_DM2 +.set SCSI_In_DBx__DB0__DR, CYREG_PRT12_DR +.set SCSI_In_DBx__DB0__INP_DIS, CYREG_PRT12_INP_DIS +.set SCSI_In_DBx__DB0__MASK, 0x10 +.set SCSI_In_DBx__DB0__PC, CYREG_PRT12_PC4 +.set SCSI_In_DBx__DB0__PORT, 12 +.set SCSI_In_DBx__DB0__PRT, CYREG_PRT12_PRT +.set SCSI_In_DBx__DB0__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set SCSI_In_DBx__DB0__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set SCSI_In_DBx__DB0__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set SCSI_In_DBx__DB0__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set SCSI_In_DBx__DB0__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set SCSI_In_DBx__DB0__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set SCSI_In_DBx__DB0__PS, CYREG_PRT12_PS +.set SCSI_In_DBx__DB0__SHIFT, 4 +.set SCSI_In_DBx__DB0__SIO_CFG, CYREG_PRT12_SIO_CFG +.set SCSI_In_DBx__DB0__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set SCSI_In_DBx__DB0__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set SCSI_In_DBx__DB0__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set SCSI_In_DBx__DB0__SLW, CYREG_PRT12_SLW +.set SCSI_In_DBx__DB1__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB1__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB1__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB1__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB1__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB1__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB1__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB1__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB1__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB1__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB1__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB1__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB1__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__DB1__MASK, 0x80 +.set SCSI_In_DBx__DB1__PC, CYREG_PRT2_PC7 .set SCSI_In_DBx__DB1__PORT, 2 -.set SCSI_In_DBx__DB1__SHIFT, 1 -.set SCSI_In_DBx__DB2__MASK, 0x04 -.set SCSI_In_DBx__DB2__PC, CYREG_PRT2_PC2 +.set SCSI_In_DBx__DB1__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB1__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB1__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB1__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB1__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB1__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB1__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB1__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB1__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__DB1__SHIFT, 7 +.set SCSI_In_DBx__DB1__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB2__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB2__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB2__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB2__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB2__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB2__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB2__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB2__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB2__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB2__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB2__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB2__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB2__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__DB2__MASK, 0x40 +.set SCSI_In_DBx__DB2__PC, CYREG_PRT2_PC6 .set SCSI_In_DBx__DB2__PORT, 2 -.set SCSI_In_DBx__DB2__SHIFT, 2 -.set SCSI_In_DBx__DB3__MASK, 0x08 -.set SCSI_In_DBx__DB3__PC, CYREG_PRT2_PC3 +.set SCSI_In_DBx__DB2__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB2__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB2__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB2__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB2__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB2__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB2__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB2__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB2__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__DB2__SHIFT, 6 +.set SCSI_In_DBx__DB2__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB3__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB3__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB3__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB3__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB3__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB3__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB3__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB3__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB3__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB3__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB3__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB3__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB3__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__DB3__MASK, 0x20 +.set SCSI_In_DBx__DB3__PC, CYREG_PRT2_PC5 .set SCSI_In_DBx__DB3__PORT, 2 -.set SCSI_In_DBx__DB3__SHIFT, 3 +.set SCSI_In_DBx__DB3__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB3__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB3__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB3__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB3__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB3__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB3__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB3__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB3__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__DB3__SHIFT, 5 +.set SCSI_In_DBx__DB3__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB4__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB4__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB4__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB4__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB4__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB4__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB4__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB4__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB4__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB4__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB4__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB4__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB4__LCD_EN, CYREG_PRT2_LCD_EN .set SCSI_In_DBx__DB4__MASK, 0x10 .set SCSI_In_DBx__DB4__PC, CYREG_PRT2_PC4 .set SCSI_In_DBx__DB4__PORT, 2 +.set SCSI_In_DBx__DB4__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB4__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB4__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB4__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB4__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB4__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB4__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB4__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB4__PS, CYREG_PRT2_PS .set SCSI_In_DBx__DB4__SHIFT, 4 -.set SCSI_In_DBx__DB5__MASK, 0x20 -.set SCSI_In_DBx__DB5__PC, CYREG_PRT2_PC5 +.set SCSI_In_DBx__DB4__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB5__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB5__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB5__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB5__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB5__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB5__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB5__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB5__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB5__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB5__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB5__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB5__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB5__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__DB5__MASK, 0x08 +.set SCSI_In_DBx__DB5__PC, CYREG_PRT2_PC3 .set SCSI_In_DBx__DB5__PORT, 2 -.set SCSI_In_DBx__DB5__SHIFT, 5 -.set SCSI_In_DBx__DB6__MASK, 0x40 -.set SCSI_In_DBx__DB6__PC, CYREG_PRT2_PC6 +.set SCSI_In_DBx__DB5__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB5__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB5__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB5__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB5__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB5__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB5__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB5__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB5__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__DB5__SHIFT, 3 +.set SCSI_In_DBx__DB5__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB6__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB6__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB6__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB6__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB6__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB6__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB6__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB6__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB6__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB6__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB6__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB6__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB6__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__DB6__MASK, 0x04 +.set SCSI_In_DBx__DB6__PC, CYREG_PRT2_PC2 .set SCSI_In_DBx__DB6__PORT, 2 -.set SCSI_In_DBx__DB6__SHIFT, 6 -.set SCSI_In_DBx__DB7__MASK, 0x80 -.set SCSI_In_DBx__DB7__PC, CYREG_PRT2_PC7 +.set SCSI_In_DBx__DB6__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB6__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB6__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB6__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB6__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB6__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB6__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB6__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB6__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__DB6__SHIFT, 2 +.set SCSI_In_DBx__DB6__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB7__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__DB7__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__DB7__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__DB7__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__DB7__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__DB7__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DB7__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DB7__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DB7__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DB7__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__DB7__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__DB7__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__DB7__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__DB7__MASK, 0x02 +.set SCSI_In_DBx__DB7__PC, CYREG_PRT2_PC1 .set SCSI_In_DBx__DB7__PORT, 2 -.set SCSI_In_DBx__DB7__SHIFT, 7 -.set SCSI_In_DBx__DM0, CYREG_PRT2_DM0 -.set SCSI_In_DBx__DM1, CYREG_PRT2_DM1 -.set SCSI_In_DBx__DM2, CYREG_PRT2_DM2 -.set SCSI_In_DBx__DR, CYREG_PRT2_DR -.set SCSI_In_DBx__INP_DIS, CYREG_PRT2_INP_DIS -.set SCSI_In_DBx__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG -.set SCSI_In_DBx__LCD_EN, CYREG_PRT2_LCD_EN -.set SCSI_In_DBx__MASK, 0xFF -.set SCSI_In_DBx__PORT, 2 -.set SCSI_In_DBx__PRT, CYREG_PRT2_PRT -.set SCSI_In_DBx__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL -.set SCSI_In_DBx__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN -.set SCSI_In_DBx__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 -.set SCSI_In_DBx__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 -.set SCSI_In_DBx__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 -.set SCSI_In_DBx__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 -.set SCSI_In_DBx__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT -.set SCSI_In_DBx__PS, CYREG_PRT2_PS -.set SCSI_In_DBx__SHIFT, 0 -.set SCSI_In_DBx__SLW, CYREG_PRT2_SLW +.set SCSI_In_DBx__DB7__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__DB7__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__DB7__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__DB7__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__DB7__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__DB7__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__DB7__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__DB7__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__DB7__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__DB7__SHIFT, 1 +.set SCSI_In_DBx__DB7__SLW, CYREG_PRT2_SLW /* SD_Data_Clk */ .set SD_Data_Clk__CFG0, CYREG_CLKDIST_DCFG0_CFG0 @@ -324,11 +1068,137 @@ .set SD_Init_Clk__PM_STBY_CFG, CYREG_PM_STBY_CFG2 .set SD_Init_Clk__PM_STBY_MSK, 0x02 +/* USBFS_1_USB */ +.set USBFS_1_USB__ARB_CFG, CYREG_USB_ARB_CFG +.set USBFS_1_USB__ARB_EP1_CFG, CYREG_USB_ARB_EP1_CFG +.set USBFS_1_USB__ARB_EP1_INT_EN, CYREG_USB_ARB_EP1_INT_EN +.set USBFS_1_USB__ARB_EP1_SR, CYREG_USB_ARB_EP1_SR +.set USBFS_1_USB__ARB_EP2_CFG, CYREG_USB_ARB_EP2_CFG +.set USBFS_1_USB__ARB_EP2_INT_EN, CYREG_USB_ARB_EP2_INT_EN +.set USBFS_1_USB__ARB_EP2_SR, CYREG_USB_ARB_EP2_SR +.set USBFS_1_USB__ARB_EP3_CFG, CYREG_USB_ARB_EP3_CFG +.set USBFS_1_USB__ARB_EP3_INT_EN, CYREG_USB_ARB_EP3_INT_EN +.set USBFS_1_USB__ARB_EP3_SR, CYREG_USB_ARB_EP3_SR +.set USBFS_1_USB__ARB_EP4_CFG, CYREG_USB_ARB_EP4_CFG +.set USBFS_1_USB__ARB_EP4_INT_EN, CYREG_USB_ARB_EP4_INT_EN +.set USBFS_1_USB__ARB_EP4_SR, CYREG_USB_ARB_EP4_SR +.set USBFS_1_USB__ARB_EP5_CFG, CYREG_USB_ARB_EP5_CFG +.set USBFS_1_USB__ARB_EP5_INT_EN, CYREG_USB_ARB_EP5_INT_EN +.set USBFS_1_USB__ARB_EP5_SR, CYREG_USB_ARB_EP5_SR +.set USBFS_1_USB__ARB_EP6_CFG, CYREG_USB_ARB_EP6_CFG +.set USBFS_1_USB__ARB_EP6_INT_EN, CYREG_USB_ARB_EP6_INT_EN +.set USBFS_1_USB__ARB_EP6_SR, CYREG_USB_ARB_EP6_SR +.set USBFS_1_USB__ARB_EP7_CFG, CYREG_USB_ARB_EP7_CFG +.set USBFS_1_USB__ARB_EP7_INT_EN, CYREG_USB_ARB_EP7_INT_EN +.set USBFS_1_USB__ARB_EP7_SR, CYREG_USB_ARB_EP7_SR +.set USBFS_1_USB__ARB_EP8_CFG, CYREG_USB_ARB_EP8_CFG +.set USBFS_1_USB__ARB_EP8_INT_EN, CYREG_USB_ARB_EP8_INT_EN +.set USBFS_1_USB__ARB_EP8_SR, CYREG_USB_ARB_EP8_SR +.set USBFS_1_USB__ARB_INT_EN, CYREG_USB_ARB_INT_EN +.set USBFS_1_USB__ARB_INT_SR, CYREG_USB_ARB_INT_SR +.set USBFS_1_USB__ARB_RW1_DR, CYREG_USB_ARB_RW1_DR +.set USBFS_1_USB__ARB_RW1_RA, CYREG_USB_ARB_RW1_RA +.set USBFS_1_USB__ARB_RW1_RA_MSB, CYREG_USB_ARB_RW1_RA_MSB +.set USBFS_1_USB__ARB_RW1_WA, CYREG_USB_ARB_RW1_WA +.set USBFS_1_USB__ARB_RW1_WA_MSB, CYREG_USB_ARB_RW1_WA_MSB +.set USBFS_1_USB__ARB_RW2_DR, CYREG_USB_ARB_RW2_DR +.set USBFS_1_USB__ARB_RW2_RA, CYREG_USB_ARB_RW2_RA +.set USBFS_1_USB__ARB_RW2_RA_MSB, CYREG_USB_ARB_RW2_RA_MSB +.set USBFS_1_USB__ARB_RW2_WA, CYREG_USB_ARB_RW2_WA +.set USBFS_1_USB__ARB_RW2_WA_MSB, CYREG_USB_ARB_RW2_WA_MSB +.set USBFS_1_USB__ARB_RW3_DR, CYREG_USB_ARB_RW3_DR +.set USBFS_1_USB__ARB_RW3_RA, CYREG_USB_ARB_RW3_RA +.set USBFS_1_USB__ARB_RW3_RA_MSB, CYREG_USB_ARB_RW3_RA_MSB +.set USBFS_1_USB__ARB_RW3_WA, CYREG_USB_ARB_RW3_WA +.set USBFS_1_USB__ARB_RW3_WA_MSB, CYREG_USB_ARB_RW3_WA_MSB +.set USBFS_1_USB__ARB_RW4_DR, CYREG_USB_ARB_RW4_DR +.set USBFS_1_USB__ARB_RW4_RA, CYREG_USB_ARB_RW4_RA +.set USBFS_1_USB__ARB_RW4_RA_MSB, CYREG_USB_ARB_RW4_RA_MSB +.set USBFS_1_USB__ARB_RW4_WA, CYREG_USB_ARB_RW4_WA +.set USBFS_1_USB__ARB_RW4_WA_MSB, CYREG_USB_ARB_RW4_WA_MSB +.set USBFS_1_USB__ARB_RW5_DR, CYREG_USB_ARB_RW5_DR +.set USBFS_1_USB__ARB_RW5_RA, CYREG_USB_ARB_RW5_RA +.set USBFS_1_USB__ARB_RW5_RA_MSB, CYREG_USB_ARB_RW5_RA_MSB +.set USBFS_1_USB__ARB_RW5_WA, CYREG_USB_ARB_RW5_WA +.set USBFS_1_USB__ARB_RW5_WA_MSB, CYREG_USB_ARB_RW5_WA_MSB +.set USBFS_1_USB__ARB_RW6_DR, CYREG_USB_ARB_RW6_DR +.set USBFS_1_USB__ARB_RW6_RA, CYREG_USB_ARB_RW6_RA +.set USBFS_1_USB__ARB_RW6_RA_MSB, CYREG_USB_ARB_RW6_RA_MSB +.set USBFS_1_USB__ARB_RW6_WA, CYREG_USB_ARB_RW6_WA +.set USBFS_1_USB__ARB_RW6_WA_MSB, CYREG_USB_ARB_RW6_WA_MSB +.set USBFS_1_USB__ARB_RW7_DR, CYREG_USB_ARB_RW7_DR +.set USBFS_1_USB__ARB_RW7_RA, CYREG_USB_ARB_RW7_RA +.set USBFS_1_USB__ARB_RW7_RA_MSB, CYREG_USB_ARB_RW7_RA_MSB +.set USBFS_1_USB__ARB_RW7_WA, CYREG_USB_ARB_RW7_WA +.set USBFS_1_USB__ARB_RW7_WA_MSB, CYREG_USB_ARB_RW7_WA_MSB +.set USBFS_1_USB__ARB_RW8_DR, CYREG_USB_ARB_RW8_DR +.set USBFS_1_USB__ARB_RW8_RA, CYREG_USB_ARB_RW8_RA +.set USBFS_1_USB__ARB_RW8_RA_MSB, CYREG_USB_ARB_RW8_RA_MSB +.set USBFS_1_USB__ARB_RW8_WA, CYREG_USB_ARB_RW8_WA +.set USBFS_1_USB__ARB_RW8_WA_MSB, CYREG_USB_ARB_RW8_WA_MSB +.set USBFS_1_USB__BUF_SIZE, CYREG_USB_BUF_SIZE +.set USBFS_1_USB__BUS_RST_CNT, CYREG_USB_BUS_RST_CNT +.set USBFS_1_USB__CR0, CYREG_USB_CR0 +.set USBFS_1_USB__CR1, CYREG_USB_CR1 +.set USBFS_1_USB__CWA, CYREG_USB_CWA +.set USBFS_1_USB__CWA_MSB, CYREG_USB_CWA_MSB +.set USBFS_1_USB__DMA_THRES, CYREG_USB_DMA_THRES +.set USBFS_1_USB__DMA_THRES_MSB, CYREG_USB_DMA_THRES_MSB +.set USBFS_1_USB__DYN_RECONFIG, CYREG_USB_DYN_RECONFIG +.set USBFS_1_USB__EP0_CNT, CYREG_USB_EP0_CNT +.set USBFS_1_USB__EP0_CR, CYREG_USB_EP0_CR +.set USBFS_1_USB__EP0_DR0, CYREG_USB_EP0_DR0 +.set USBFS_1_USB__EP0_DR1, CYREG_USB_EP0_DR1 +.set USBFS_1_USB__EP0_DR2, CYREG_USB_EP0_DR2 +.set USBFS_1_USB__EP0_DR3, CYREG_USB_EP0_DR3 +.set USBFS_1_USB__EP0_DR4, CYREG_USB_EP0_DR4 +.set USBFS_1_USB__EP0_DR5, CYREG_USB_EP0_DR5 +.set USBFS_1_USB__EP0_DR6, CYREG_USB_EP0_DR6 +.set USBFS_1_USB__EP0_DR7, CYREG_USB_EP0_DR7 +.set USBFS_1_USB__EP_ACTIVE, CYREG_USB_EP_ACTIVE +.set USBFS_1_USB__EP_TYPE, CYREG_USB_EP_TYPE +.set USBFS_1_USB__MEM_DATA, CYREG_USB_MEM_DATA_MBASE +.set USBFS_1_USB__PM_ACT_CFG, CYREG_PM_ACT_CFG5 +.set USBFS_1_USB__PM_ACT_MSK, 0x01 +.set USBFS_1_USB__PM_STBY_CFG, CYREG_PM_STBY_CFG5 +.set USBFS_1_USB__PM_STBY_MSK, 0x01 +.set USBFS_1_USB__SIE_EP1_CNT0, CYREG_USB_SIE_EP1_CNT0 +.set USBFS_1_USB__SIE_EP1_CNT1, CYREG_USB_SIE_EP1_CNT1 +.set USBFS_1_USB__SIE_EP1_CR0, CYREG_USB_SIE_EP1_CR0 +.set USBFS_1_USB__SIE_EP2_CNT0, CYREG_USB_SIE_EP2_CNT0 +.set USBFS_1_USB__SIE_EP2_CNT1, CYREG_USB_SIE_EP2_CNT1 +.set USBFS_1_USB__SIE_EP2_CR0, CYREG_USB_SIE_EP2_CR0 +.set USBFS_1_USB__SIE_EP3_CNT0, CYREG_USB_SIE_EP3_CNT0 +.set USBFS_1_USB__SIE_EP3_CNT1, CYREG_USB_SIE_EP3_CNT1 +.set USBFS_1_USB__SIE_EP3_CR0, CYREG_USB_SIE_EP3_CR0 +.set USBFS_1_USB__SIE_EP4_CNT0, CYREG_USB_SIE_EP4_CNT0 +.set USBFS_1_USB__SIE_EP4_CNT1, CYREG_USB_SIE_EP4_CNT1 +.set USBFS_1_USB__SIE_EP4_CR0, CYREG_USB_SIE_EP4_CR0 +.set USBFS_1_USB__SIE_EP5_CNT0, CYREG_USB_SIE_EP5_CNT0 +.set USBFS_1_USB__SIE_EP5_CNT1, CYREG_USB_SIE_EP5_CNT1 +.set USBFS_1_USB__SIE_EP5_CR0, CYREG_USB_SIE_EP5_CR0 +.set USBFS_1_USB__SIE_EP6_CNT0, CYREG_USB_SIE_EP6_CNT0 +.set USBFS_1_USB__SIE_EP6_CNT1, CYREG_USB_SIE_EP6_CNT1 +.set USBFS_1_USB__SIE_EP6_CR0, CYREG_USB_SIE_EP6_CR0 +.set USBFS_1_USB__SIE_EP7_CNT0, CYREG_USB_SIE_EP7_CNT0 +.set USBFS_1_USB__SIE_EP7_CNT1, CYREG_USB_SIE_EP7_CNT1 +.set USBFS_1_USB__SIE_EP7_CR0, CYREG_USB_SIE_EP7_CR0 +.set USBFS_1_USB__SIE_EP8_CNT0, CYREG_USB_SIE_EP8_CNT0 +.set USBFS_1_USB__SIE_EP8_CNT1, CYREG_USB_SIE_EP8_CNT1 +.set USBFS_1_USB__SIE_EP8_CR0, CYREG_USB_SIE_EP8_CR0 +.set USBFS_1_USB__SIE_EP_INT_EN, CYREG_USB_SIE_EP_INT_EN +.set USBFS_1_USB__SIE_EP_INT_SR, CYREG_USB_SIE_EP_INT_SR +.set USBFS_1_USB__SOF0, CYREG_USB_SOF0 +.set USBFS_1_USB__SOF1, CYREG_USB_SOF1 +.set USBFS_1_USB__USBIO_CR0, CYREG_USB_USBIO_CR0 +.set USBFS_1_USB__USBIO_CR1, CYREG_USB_USBIO_CR1 +.set USBFS_1_USB__USB_CLK_EN, CYREG_USB_USB_CLK_EN + /* scsiTarget */ .set scsiTarget_StatusReg__0__MASK, 0x01 .set scsiTarget_StatusReg__0__POS, 0 -.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB11_12_ACTL -.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB11_12_ST +.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB00_01_ACTL +.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB00_01_ST .set scsiTarget_StatusReg__1__MASK, 0x02 .set scsiTarget_StatusReg__1__POS, 1 .set scsiTarget_StatusReg__2__MASK, 0x04 @@ -336,82 +1206,142 @@ .set scsiTarget_StatusReg__3__MASK, 0x08 .set scsiTarget_StatusReg__3__POS, 3 .set scsiTarget_StatusReg__MASK, 0x0F -.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB11_MSK -.set scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL -.set scsiTarget_StatusReg__PER_ST_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL -.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB11_ACTL -.set scsiTarget_StatusReg__STATUS_CNT_REG, CYREG_B0_UDB11_ST_CTL -.set scsiTarget_StatusReg__STATUS_CONTROL_REG, CYREG_B0_UDB11_ST_CTL -.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB11_ST -.set scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL -.set scsiTarget_datapath_PI__16BIT_STATUS_REG, CYREG_B0_UDB04_05_ST -.set scsiTarget_datapath_PI__MASK_REG, CYREG_B0_UDB04_MSK -.set scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL -.set scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL -.set scsiTarget_datapath_PI__STATUS_AUX_CTL_REG, CYREG_B0_UDB04_ACTL -.set scsiTarget_datapath_PI__STATUS_CNT_REG, CYREG_B0_UDB04_ST_CTL -.set scsiTarget_datapath_PI__STATUS_CONTROL_REG, CYREG_B0_UDB04_ST_CTL -.set scsiTarget_datapath_PI__STATUS_REG, CYREG_B0_UDB04_ST -.set scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL -.set scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB04_05_CTL -.set scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB04_05_CTL -.set scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB04_05_CTL -.set scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB04_05_CTL -.set scsiTarget_datapath_PO__16BIT_MASK_MASK_REG, CYREG_B0_UDB04_05_MSK -.set scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB04_05_MSK -.set scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB04_05_MSK -.set scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB04_05_MSK -.set scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_ACTL -.set scsiTarget_datapath_PO__CONTROL_REG, CYREG_B0_UDB04_CTL -.set scsiTarget_datapath_PO__CONTROL_ST_REG, CYREG_B0_UDB04_ST_CTL -.set scsiTarget_datapath_PO__COUNT_REG, CYREG_B0_UDB04_CTL -.set scsiTarget_datapath_PO__COUNT_ST_REG, CYREG_B0_UDB04_ST_CTL -.set scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL -.set scsiTarget_datapath_PO__PERIOD_REG, CYREG_B0_UDB04_MSK -.set scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL -.set scsiTarget_datapath__16BIT_A0_REG, CYREG_B0_UDB04_05_A0 -.set scsiTarget_datapath__16BIT_A1_REG, CYREG_B0_UDB04_05_A1 -.set scsiTarget_datapath__16BIT_D0_REG, CYREG_B0_UDB04_05_D0 -.set scsiTarget_datapath__16BIT_D1_REG, CYREG_B0_UDB04_05_D1 -.set scsiTarget_datapath__16BIT_DP_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL -.set scsiTarget_datapath__16BIT_F0_REG, CYREG_B0_UDB04_05_F0 -.set scsiTarget_datapath__16BIT_F1_REG, CYREG_B0_UDB04_05_F1 -.set scsiTarget_datapath__A0_A1_REG, CYREG_B0_UDB04_A0_A1 -.set scsiTarget_datapath__A0_REG, CYREG_B0_UDB04_A0 -.set scsiTarget_datapath__A1_REG, CYREG_B0_UDB04_A1 -.set scsiTarget_datapath__D0_D1_REG, CYREG_B0_UDB04_D0_D1 -.set scsiTarget_datapath__D0_REG, CYREG_B0_UDB04_D0 -.set scsiTarget_datapath__D1_REG, CYREG_B0_UDB04_D1 -.set scsiTarget_datapath__DP_AUX_CTL_REG, CYREG_B0_UDB04_ACTL -.set scsiTarget_datapath__F0_F1_REG, CYREG_B0_UDB04_F0_F1 -.set scsiTarget_datapath__F0_REG, CYREG_B0_UDB04_F0 -.set scsiTarget_datapath__F1_REG, CYREG_B0_UDB04_F1 -.set scsiTarget_datapath__MSK_DP_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL -.set scsiTarget_datapath__PER_DP_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB00_MSK +.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB00_ACTL +.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB00_ST +.set scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL +.set scsiTarget_datapath_PI__16BIT_STATUS_REG, CYREG_B0_UDB03_04_ST +.set scsiTarget_datapath_PI__MASK_REG, CYREG_B0_UDB03_MSK +.set scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set scsiTarget_datapath_PI__STATUS_AUX_CTL_REG, CYREG_B0_UDB03_ACTL +.set scsiTarget_datapath_PI__STATUS_CNT_REG, CYREG_B0_UDB03_ST_CTL +.set scsiTarget_datapath_PI__STATUS_CONTROL_REG, CYREG_B0_UDB03_ST_CTL +.set scsiTarget_datapath_PI__STATUS_REG, CYREG_B0_UDB03_ST +.set scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL +.set scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB03_04_CTL +.set scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB03_04_CTL +.set scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB03_04_CTL +.set scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB03_04_CTL +.set scsiTarget_datapath_PO__16BIT_MASK_MASK_REG, CYREG_B0_UDB03_04_MSK +.set scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB03_04_MSK +.set scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB03_04_MSK +.set scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB03_04_MSK +.set scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG, CYREG_B0_UDB03_ACTL +.set scsiTarget_datapath_PO__CONTROL_REG, CYREG_B0_UDB03_CTL +.set scsiTarget_datapath_PO__CONTROL_ST_REG, CYREG_B0_UDB03_ST_CTL +.set scsiTarget_datapath_PO__COUNT_REG, CYREG_B0_UDB03_CTL +.set scsiTarget_datapath_PO__COUNT_ST_REG, CYREG_B0_UDB03_ST_CTL +.set scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set scsiTarget_datapath_PO__PERIOD_REG, CYREG_B0_UDB03_MSK +.set scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set scsiTarget_datapath__16BIT_A0_REG, CYREG_B0_UDB03_04_A0 +.set scsiTarget_datapath__16BIT_A1_REG, CYREG_B0_UDB03_04_A1 +.set scsiTarget_datapath__16BIT_D0_REG, CYREG_B0_UDB03_04_D0 +.set scsiTarget_datapath__16BIT_D1_REG, CYREG_B0_UDB03_04_D1 +.set scsiTarget_datapath__16BIT_DP_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL +.set scsiTarget_datapath__16BIT_F0_REG, CYREG_B0_UDB03_04_F0 +.set scsiTarget_datapath__16BIT_F1_REG, CYREG_B0_UDB03_04_F1 +.set scsiTarget_datapath__A0_A1_REG, CYREG_B0_UDB03_A0_A1 +.set scsiTarget_datapath__A0_REG, CYREG_B0_UDB03_A0 +.set scsiTarget_datapath__A1_REG, CYREG_B0_UDB03_A1 +.set scsiTarget_datapath__D0_D1_REG, CYREG_B0_UDB03_D0_D1 +.set scsiTarget_datapath__D0_REG, CYREG_B0_UDB03_D0 +.set scsiTarget_datapath__D1_REG, CYREG_B0_UDB03_D1 +.set scsiTarget_datapath__DP_AUX_CTL_REG, CYREG_B0_UDB03_ACTL +.set scsiTarget_datapath__F0_F1_REG, CYREG_B0_UDB03_F0_F1 +.set scsiTarget_datapath__F0_REG, CYREG_B0_UDB03_F0 +.set scsiTarget_datapath__F1_REG, CYREG_B0_UDB03_F1 +.set scsiTarget_datapath__MSK_DP_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set scsiTarget_datapath__PER_DP_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL /* SD_Clk_Ctl */ .set SD_Clk_Ctl_Sync_ctrl_reg__0__MASK, 0x01 .set SD_Clk_Ctl_Sync_ctrl_reg__0__POS, 0 -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB11_12_ACTL -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB11_12_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB11_12_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB11_12_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB11_12_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB11_12_MSK -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB11_12_MSK -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB11_12_MSK -.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB11_12_MSK -.set SD_Clk_Ctl_Sync_ctrl_reg__1__MASK, 0x02 -.set SD_Clk_Ctl_Sync_ctrl_reg__1__POS, 1 -.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB11_ACTL -.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB11_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB11_ST_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB11_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB11_ST_CTL -.set SD_Clk_Ctl_Sync_ctrl_reg__MASK, 0x03 -.set SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL -.set SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB11_MSK -.set SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB01_02_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB01_02_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB01_02_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB01_02_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB01_02_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB01_02_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB01_02_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB01_02_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB01_02_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB01_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB01_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB01_ST_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB01_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB01_ST_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__MASK, 0x01 +.set SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB01_MSK_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB01_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB01_MSK_ACTL + +/* USBFS_1_Dm */ +.set USBFS_1_Dm__0__MASK, 0x80 +.set USBFS_1_Dm__0__PC, CYREG_IO_PC_PRT15_7_6_PC1 +.set USBFS_1_Dm__0__PORT, 15 +.set USBFS_1_Dm__0__SHIFT, 7 +.set USBFS_1_Dm__AG, CYREG_PRT15_AG +.set USBFS_1_Dm__AMUX, CYREG_PRT15_AMUX +.set USBFS_1_Dm__BIE, CYREG_PRT15_BIE +.set USBFS_1_Dm__BIT_MASK, CYREG_PRT15_BIT_MASK +.set USBFS_1_Dm__BYP, CYREG_PRT15_BYP +.set USBFS_1_Dm__CTL, CYREG_PRT15_CTL +.set USBFS_1_Dm__DM0, CYREG_PRT15_DM0 +.set USBFS_1_Dm__DM1, CYREG_PRT15_DM1 +.set USBFS_1_Dm__DM2, CYREG_PRT15_DM2 +.set USBFS_1_Dm__DR, CYREG_PRT15_DR +.set USBFS_1_Dm__INP_DIS, CYREG_PRT15_INP_DIS +.set USBFS_1_Dm__LCD_COM_SEG, CYREG_PRT15_LCD_COM_SEG +.set USBFS_1_Dm__LCD_EN, CYREG_PRT15_LCD_EN +.set USBFS_1_Dm__MASK, 0x80 +.set USBFS_1_Dm__PORT, 15 +.set USBFS_1_Dm__PRT, CYREG_PRT15_PRT +.set USBFS_1_Dm__PRTDSI__CAPS_SEL, CYREG_PRT15_CAPS_SEL +.set USBFS_1_Dm__PRTDSI__DBL_SYNC_IN, CYREG_PRT15_DBL_SYNC_IN +.set USBFS_1_Dm__PRTDSI__OE_SEL0, CYREG_PRT15_OE_SEL0 +.set USBFS_1_Dm__PRTDSI__OE_SEL1, CYREG_PRT15_OE_SEL1 +.set USBFS_1_Dm__PRTDSI__OUT_SEL0, CYREG_PRT15_OUT_SEL0 +.set USBFS_1_Dm__PRTDSI__OUT_SEL1, CYREG_PRT15_OUT_SEL1 +.set USBFS_1_Dm__PRTDSI__SYNC_OUT, CYREG_PRT15_SYNC_OUT +.set USBFS_1_Dm__PS, CYREG_PRT15_PS +.set USBFS_1_Dm__SHIFT, 7 +.set USBFS_1_Dm__SLW, CYREG_PRT15_SLW + +/* USBFS_1_Dp */ +.set USBFS_1_Dp__0__MASK, 0x40 +.set USBFS_1_Dp__0__PC, CYREG_IO_PC_PRT15_7_6_PC0 +.set USBFS_1_Dp__0__PORT, 15 +.set USBFS_1_Dp__0__SHIFT, 6 +.set USBFS_1_Dp__AG, CYREG_PRT15_AG +.set USBFS_1_Dp__AMUX, CYREG_PRT15_AMUX +.set USBFS_1_Dp__BIE, CYREG_PRT15_BIE +.set USBFS_1_Dp__BIT_MASK, CYREG_PRT15_BIT_MASK +.set USBFS_1_Dp__BYP, CYREG_PRT15_BYP +.set USBFS_1_Dp__CTL, CYREG_PRT15_CTL +.set USBFS_1_Dp__DM0, CYREG_PRT15_DM0 +.set USBFS_1_Dp__DM1, CYREG_PRT15_DM1 +.set USBFS_1_Dp__DM2, CYREG_PRT15_DM2 +.set USBFS_1_Dp__DR, CYREG_PRT15_DR +.set USBFS_1_Dp__INP_DIS, CYREG_PRT15_INP_DIS +.set USBFS_1_Dp__INTSTAT, CYREG_PICU15_INTSTAT +.set USBFS_1_Dp__LCD_COM_SEG, CYREG_PRT15_LCD_COM_SEG +.set USBFS_1_Dp__LCD_EN, CYREG_PRT15_LCD_EN +.set USBFS_1_Dp__MASK, 0x40 +.set USBFS_1_Dp__PORT, 15 +.set USBFS_1_Dp__PRT, CYREG_PRT15_PRT +.set USBFS_1_Dp__PRTDSI__CAPS_SEL, CYREG_PRT15_CAPS_SEL +.set USBFS_1_Dp__PRTDSI__DBL_SYNC_IN, CYREG_PRT15_DBL_SYNC_IN +.set USBFS_1_Dp__PRTDSI__OE_SEL0, CYREG_PRT15_OE_SEL0 +.set USBFS_1_Dp__PRTDSI__OE_SEL1, CYREG_PRT15_OE_SEL1 +.set USBFS_1_Dp__PRTDSI__OUT_SEL0, CYREG_PRT15_OUT_SEL0 +.set USBFS_1_Dp__PRTDSI__OUT_SEL1, CYREG_PRT15_OUT_SEL1 +.set USBFS_1_Dp__PRTDSI__SYNC_OUT, CYREG_PRT15_SYNC_OUT +.set USBFS_1_Dp__PS, CYREG_PRT15_PS +.set USBFS_1_Dp__SHIFT, 6 +.set USBFS_1_Dp__SLW, CYREG_PRT15_SLW +.set USBFS_1_Dp__SNAP, CYREG_PICU_15_SNAP_15 /* SCSI_ATN */ .set SCSI_ATN__0__MASK, 0x20 @@ -464,8 +1394,8 @@ .set SCSI_Out__0__INP_DIS, CYREG_PRT4_INP_DIS .set SCSI_Out__0__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG .set SCSI_Out__0__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__0__MASK, 0x04 -.set SCSI_Out__0__PC, CYREG_PRT4_PC2 +.set SCSI_Out__0__MASK, 0x08 +.set SCSI_Out__0__PC, CYREG_PRT4_PC3 .set SCSI_Out__0__PORT, 4 .set SCSI_Out__0__PRT, CYREG_PRT4_PRT .set SCSI_Out__0__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL @@ -476,7 +1406,7 @@ .set SCSI_Out__0__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 .set SCSI_Out__0__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT .set SCSI_Out__0__PS, CYREG_PRT4_PS -.set SCSI_Out__0__SHIFT, 2 +.set SCSI_Out__0__SHIFT, 3 .set SCSI_Out__0__SLW, CYREG_PRT4_SLW .set SCSI_Out__1__AG, CYREG_PRT4_AG .set SCSI_Out__1__AMUX, CYREG_PRT4_AMUX @@ -491,8 +1421,8 @@ .set SCSI_Out__1__INP_DIS, CYREG_PRT4_INP_DIS .set SCSI_Out__1__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG .set SCSI_Out__1__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__1__MASK, 0x08 -.set SCSI_Out__1__PC, CYREG_PRT4_PC3 +.set SCSI_Out__1__MASK, 0x04 +.set SCSI_Out__1__PC, CYREG_PRT4_PC2 .set SCSI_Out__1__PORT, 4 .set SCSI_Out__1__PRT, CYREG_PRT4_PRT .set SCSI_Out__1__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL @@ -503,251 +1433,251 @@ .set SCSI_Out__1__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 .set SCSI_Out__1__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT .set SCSI_Out__1__PS, CYREG_PRT4_PS -.set SCSI_Out__1__SHIFT, 3 +.set SCSI_Out__1__SHIFT, 2 .set SCSI_Out__1__SLW, CYREG_PRT4_SLW -.set SCSI_Out__2__AG, CYREG_PRT4_AG -.set SCSI_Out__2__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__2__BIE, CYREG_PRT4_BIE -.set SCSI_Out__2__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__2__BYP, CYREG_PRT4_BYP -.set SCSI_Out__2__CTL, CYREG_PRT4_CTL -.set SCSI_Out__2__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__2__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__2__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__2__DR, CYREG_PRT4_DR -.set SCSI_Out__2__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__2__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__2__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__2__MASK, 0x10 -.set SCSI_Out__2__PC, CYREG_PRT4_PC4 -.set SCSI_Out__2__PORT, 4 -.set SCSI_Out__2__PRT, CYREG_PRT4_PRT -.set SCSI_Out__2__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__2__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__2__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__2__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__2__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__2__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__2__PS, CYREG_PRT4_PS -.set SCSI_Out__2__SHIFT, 4 -.set SCSI_Out__2__SLW, CYREG_PRT4_SLW -.set SCSI_Out__3__AG, CYREG_PRT4_AG -.set SCSI_Out__3__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__3__BIE, CYREG_PRT4_BIE -.set SCSI_Out__3__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__3__BYP, CYREG_PRT4_BYP -.set SCSI_Out__3__CTL, CYREG_PRT4_CTL -.set SCSI_Out__3__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__3__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__3__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__3__DR, CYREG_PRT4_DR -.set SCSI_Out__3__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__3__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__3__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__3__MASK, 0x20 -.set SCSI_Out__3__PC, CYREG_PRT4_PC5 -.set SCSI_Out__3__PORT, 4 -.set SCSI_Out__3__PRT, CYREG_PRT4_PRT -.set SCSI_Out__3__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__3__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__3__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__3__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__3__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__3__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__3__PS, CYREG_PRT4_PS -.set SCSI_Out__3__SHIFT, 5 -.set SCSI_Out__3__SLW, CYREG_PRT4_SLW -.set SCSI_Out__4__AG, CYREG_PRT4_AG -.set SCSI_Out__4__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__4__BIE, CYREG_PRT4_BIE -.set SCSI_Out__4__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__4__BYP, CYREG_PRT4_BYP -.set SCSI_Out__4__CTL, CYREG_PRT4_CTL -.set SCSI_Out__4__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__4__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__4__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__4__DR, CYREG_PRT4_DR -.set SCSI_Out__4__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__4__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__4__MASK, 0x40 -.set SCSI_Out__4__PC, CYREG_PRT4_PC6 -.set SCSI_Out__4__PORT, 4 -.set SCSI_Out__4__PRT, CYREG_PRT4_PRT -.set SCSI_Out__4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__4__PS, CYREG_PRT4_PS -.set SCSI_Out__4__SHIFT, 6 -.set SCSI_Out__4__SLW, CYREG_PRT4_SLW -.set SCSI_Out__5__AG, CYREG_PRT4_AG -.set SCSI_Out__5__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__5__BIE, CYREG_PRT4_BIE -.set SCSI_Out__5__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__5__BYP, CYREG_PRT4_BYP -.set SCSI_Out__5__CTL, CYREG_PRT4_CTL -.set SCSI_Out__5__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__5__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__5__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__5__DR, CYREG_PRT4_DR -.set SCSI_Out__5__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__5__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__5__MASK, 0x80 -.set SCSI_Out__5__PC, CYREG_PRT4_PC7 -.set SCSI_Out__5__PORT, 4 -.set SCSI_Out__5__PRT, CYREG_PRT4_PRT -.set SCSI_Out__5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__5__PS, CYREG_PRT4_PS -.set SCSI_Out__5__SHIFT, 7 -.set SCSI_Out__5__SLW, CYREG_PRT4_SLW -.set SCSI_Out__6__AG, CYREG_PRT6_AG -.set SCSI_Out__6__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__6__BIE, CYREG_PRT6_BIE -.set SCSI_Out__6__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__6__BYP, CYREG_PRT6_BYP -.set SCSI_Out__6__CTL, CYREG_PRT6_CTL -.set SCSI_Out__6__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__6__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__6__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__6__DR, CYREG_PRT6_DR -.set SCSI_Out__6__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__6__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__6__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__6__MASK, 0x01 -.set SCSI_Out__6__PC, CYREG_PRT6_PC0 -.set SCSI_Out__6__PORT, 6 -.set SCSI_Out__6__PRT, CYREG_PRT6_PRT -.set SCSI_Out__6__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__6__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__6__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__6__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__6__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__6__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__6__PS, CYREG_PRT6_PS -.set SCSI_Out__6__SHIFT, 0 -.set SCSI_Out__6__SLW, CYREG_PRT6_SLW -.set SCSI_Out__7__AG, CYREG_PRT6_AG -.set SCSI_Out__7__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__7__BIE, CYREG_PRT6_BIE -.set SCSI_Out__7__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__7__BYP, CYREG_PRT6_BYP -.set SCSI_Out__7__CTL, CYREG_PRT6_CTL -.set SCSI_Out__7__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__7__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__7__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__7__DR, CYREG_PRT6_DR -.set SCSI_Out__7__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__7__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__7__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__7__MASK, 0x02 -.set SCSI_Out__7__PC, CYREG_PRT6_PC1 -.set SCSI_Out__7__PORT, 6 -.set SCSI_Out__7__PRT, CYREG_PRT6_PRT -.set SCSI_Out__7__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__7__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__7__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__7__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__7__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__7__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__7__PS, CYREG_PRT6_PS -.set SCSI_Out__7__SHIFT, 1 -.set SCSI_Out__7__SLW, CYREG_PRT6_SLW -.set SCSI_Out__8__AG, CYREG_PRT6_AG -.set SCSI_Out__8__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__8__BIE, CYREG_PRT6_BIE -.set SCSI_Out__8__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__8__BYP, CYREG_PRT6_BYP -.set SCSI_Out__8__CTL, CYREG_PRT6_CTL -.set SCSI_Out__8__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__8__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__8__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__8__DR, CYREG_PRT6_DR -.set SCSI_Out__8__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__8__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__8__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__8__MASK, 0x04 -.set SCSI_Out__8__PC, CYREG_PRT6_PC2 -.set SCSI_Out__8__PORT, 6 -.set SCSI_Out__8__PRT, CYREG_PRT6_PRT -.set SCSI_Out__8__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__8__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__8__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__8__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__8__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__8__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__8__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__8__PS, CYREG_PRT6_PS -.set SCSI_Out__8__SHIFT, 2 -.set SCSI_Out__8__SLW, CYREG_PRT6_SLW -.set SCSI_Out__9__AG, CYREG_PRT6_AG -.set SCSI_Out__9__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__9__BIE, CYREG_PRT6_BIE -.set SCSI_Out__9__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__9__BYP, CYREG_PRT6_BYP -.set SCSI_Out__9__CTL, CYREG_PRT6_CTL -.set SCSI_Out__9__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__9__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__9__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__9__DR, CYREG_PRT6_DR -.set SCSI_Out__9__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__9__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__9__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__9__MASK, 0x08 -.set SCSI_Out__9__PC, CYREG_PRT6_PC3 -.set SCSI_Out__9__PORT, 6 -.set SCSI_Out__9__PRT, CYREG_PRT6_PRT -.set SCSI_Out__9__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__9__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__9__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__9__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__9__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__9__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__9__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__9__PS, CYREG_PRT6_PS -.set SCSI_Out__9__SHIFT, 3 -.set SCSI_Out__9__SLW, CYREG_PRT6_SLW -.set SCSI_Out__ACK__AG, CYREG_PRT4_AG -.set SCSI_Out__ACK__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__ACK__BIE, CYREG_PRT4_BIE -.set SCSI_Out__ACK__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__ACK__BYP, CYREG_PRT4_BYP -.set SCSI_Out__ACK__CTL, CYREG_PRT4_CTL -.set SCSI_Out__ACK__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__ACK__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__ACK__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__ACK__DR, CYREG_PRT4_DR -.set SCSI_Out__ACK__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__ACK__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__ACK__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__ACK__MASK, 0x20 -.set SCSI_Out__ACK__PC, CYREG_PRT4_PC5 -.set SCSI_Out__ACK__PORT, 4 -.set SCSI_Out__ACK__PRT, CYREG_PRT4_PRT -.set SCSI_Out__ACK__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__ACK__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__ACK__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__ACK__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__ACK__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__ACK__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__ACK__PS, CYREG_PRT4_PS -.set SCSI_Out__ACK__SHIFT, 5 -.set SCSI_Out__ACK__SLW, CYREG_PRT4_SLW +.set SCSI_Out__2__AG, CYREG_PRT0_AG +.set SCSI_Out__2__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__2__BIE, CYREG_PRT0_BIE +.set SCSI_Out__2__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__2__BYP, CYREG_PRT0_BYP +.set SCSI_Out__2__CTL, CYREG_PRT0_CTL +.set SCSI_Out__2__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__2__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__2__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__2__DR, CYREG_PRT0_DR +.set SCSI_Out__2__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__2__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__2__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__2__MASK, 0x80 +.set SCSI_Out__2__PC, CYREG_PRT0_PC7 +.set SCSI_Out__2__PORT, 0 +.set SCSI_Out__2__PRT, CYREG_PRT0_PRT +.set SCSI_Out__2__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__2__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__2__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__2__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__2__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__2__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__2__PS, CYREG_PRT0_PS +.set SCSI_Out__2__SHIFT, 7 +.set SCSI_Out__2__SLW, CYREG_PRT0_SLW +.set SCSI_Out__3__AG, CYREG_PRT0_AG +.set SCSI_Out__3__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__3__BIE, CYREG_PRT0_BIE +.set SCSI_Out__3__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__3__BYP, CYREG_PRT0_BYP +.set SCSI_Out__3__CTL, CYREG_PRT0_CTL +.set SCSI_Out__3__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__3__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__3__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__3__DR, CYREG_PRT0_DR +.set SCSI_Out__3__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__3__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__3__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__3__MASK, 0x40 +.set SCSI_Out__3__PC, CYREG_PRT0_PC6 +.set SCSI_Out__3__PORT, 0 +.set SCSI_Out__3__PRT, CYREG_PRT0_PRT +.set SCSI_Out__3__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__3__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__3__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__3__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__3__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__3__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__3__PS, CYREG_PRT0_PS +.set SCSI_Out__3__SHIFT, 6 +.set SCSI_Out__3__SLW, CYREG_PRT0_SLW +.set SCSI_Out__4__AG, CYREG_PRT0_AG +.set SCSI_Out__4__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__4__BIE, CYREG_PRT0_BIE +.set SCSI_Out__4__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__4__BYP, CYREG_PRT0_BYP +.set SCSI_Out__4__CTL, CYREG_PRT0_CTL +.set SCSI_Out__4__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__4__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__4__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__4__DR, CYREG_PRT0_DR +.set SCSI_Out__4__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__4__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__4__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__4__MASK, 0x20 +.set SCSI_Out__4__PC, CYREG_PRT0_PC5 +.set SCSI_Out__4__PORT, 0 +.set SCSI_Out__4__PRT, CYREG_PRT0_PRT +.set SCSI_Out__4__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__4__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__4__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__4__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__4__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__4__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__4__PS, CYREG_PRT0_PS +.set SCSI_Out__4__SHIFT, 5 +.set SCSI_Out__4__SLW, CYREG_PRT0_SLW +.set SCSI_Out__5__AG, CYREG_PRT0_AG +.set SCSI_Out__5__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__5__BIE, CYREG_PRT0_BIE +.set SCSI_Out__5__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__5__BYP, CYREG_PRT0_BYP +.set SCSI_Out__5__CTL, CYREG_PRT0_CTL +.set SCSI_Out__5__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__5__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__5__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__5__DR, CYREG_PRT0_DR +.set SCSI_Out__5__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__5__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__5__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__5__MASK, 0x10 +.set SCSI_Out__5__PC, CYREG_PRT0_PC4 +.set SCSI_Out__5__PORT, 0 +.set SCSI_Out__5__PRT, CYREG_PRT0_PRT +.set SCSI_Out__5__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__5__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__5__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__5__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__5__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__5__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__5__PS, CYREG_PRT0_PS +.set SCSI_Out__5__SHIFT, 4 +.set SCSI_Out__5__SLW, CYREG_PRT0_SLW +.set SCSI_Out__6__AG, CYREG_PRT0_AG +.set SCSI_Out__6__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__6__BIE, CYREG_PRT0_BIE +.set SCSI_Out__6__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__6__BYP, CYREG_PRT0_BYP +.set SCSI_Out__6__CTL, CYREG_PRT0_CTL +.set SCSI_Out__6__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__6__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__6__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__6__DR, CYREG_PRT0_DR +.set SCSI_Out__6__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__6__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__6__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__6__MASK, 0x08 +.set SCSI_Out__6__PC, CYREG_PRT0_PC3 +.set SCSI_Out__6__PORT, 0 +.set SCSI_Out__6__PRT, CYREG_PRT0_PRT +.set SCSI_Out__6__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__6__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__6__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__6__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__6__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__6__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__6__PS, CYREG_PRT0_PS +.set SCSI_Out__6__SHIFT, 3 +.set SCSI_Out__6__SLW, CYREG_PRT0_SLW +.set SCSI_Out__7__AG, CYREG_PRT0_AG +.set SCSI_Out__7__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__7__BIE, CYREG_PRT0_BIE +.set SCSI_Out__7__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__7__BYP, CYREG_PRT0_BYP +.set SCSI_Out__7__CTL, CYREG_PRT0_CTL +.set SCSI_Out__7__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__7__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__7__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__7__DR, CYREG_PRT0_DR +.set SCSI_Out__7__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__7__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__7__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__7__MASK, 0x04 +.set SCSI_Out__7__PC, CYREG_PRT0_PC2 +.set SCSI_Out__7__PORT, 0 +.set SCSI_Out__7__PRT, CYREG_PRT0_PRT +.set SCSI_Out__7__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__7__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__7__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__7__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__7__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__7__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__7__PS, CYREG_PRT0_PS +.set SCSI_Out__7__SHIFT, 2 +.set SCSI_Out__7__SLW, CYREG_PRT0_SLW +.set SCSI_Out__8__AG, CYREG_PRT0_AG +.set SCSI_Out__8__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__8__BIE, CYREG_PRT0_BIE +.set SCSI_Out__8__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__8__BYP, CYREG_PRT0_BYP +.set SCSI_Out__8__CTL, CYREG_PRT0_CTL +.set SCSI_Out__8__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__8__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__8__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__8__DR, CYREG_PRT0_DR +.set SCSI_Out__8__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__8__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__8__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__8__MASK, 0x02 +.set SCSI_Out__8__PC, CYREG_PRT0_PC1 +.set SCSI_Out__8__PORT, 0 +.set SCSI_Out__8__PRT, CYREG_PRT0_PRT +.set SCSI_Out__8__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__8__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__8__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__8__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__8__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__8__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__8__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__8__PS, CYREG_PRT0_PS +.set SCSI_Out__8__SHIFT, 1 +.set SCSI_Out__8__SLW, CYREG_PRT0_SLW +.set SCSI_Out__9__AG, CYREG_PRT0_AG +.set SCSI_Out__9__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__9__BIE, CYREG_PRT0_BIE +.set SCSI_Out__9__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__9__BYP, CYREG_PRT0_BYP +.set SCSI_Out__9__CTL, CYREG_PRT0_CTL +.set SCSI_Out__9__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__9__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__9__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__9__DR, CYREG_PRT0_DR +.set SCSI_Out__9__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__9__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__9__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__9__MASK, 0x01 +.set SCSI_Out__9__PC, CYREG_PRT0_PC0 +.set SCSI_Out__9__PORT, 0 +.set SCSI_Out__9__PRT, CYREG_PRT0_PRT +.set SCSI_Out__9__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__9__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__9__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__9__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__9__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__9__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__9__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__9__PS, CYREG_PRT0_PS +.set SCSI_Out__9__SHIFT, 0 +.set SCSI_Out__9__SLW, CYREG_PRT0_SLW +.set SCSI_Out__ACK__AG, CYREG_PRT0_AG +.set SCSI_Out__ACK__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__ACK__BIE, CYREG_PRT0_BIE +.set SCSI_Out__ACK__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__ACK__BYP, CYREG_PRT0_BYP +.set SCSI_Out__ACK__CTL, CYREG_PRT0_CTL +.set SCSI_Out__ACK__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__ACK__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__ACK__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__ACK__DR, CYREG_PRT0_DR +.set SCSI_Out__ACK__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__ACK__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__ACK__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__ACK__MASK, 0x40 +.set SCSI_Out__ACK__PC, CYREG_PRT0_PC6 +.set SCSI_Out__ACK__PORT, 0 +.set SCSI_Out__ACK__PRT, CYREG_PRT0_PRT +.set SCSI_Out__ACK__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__ACK__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__ACK__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__ACK__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__ACK__PS, CYREG_PRT0_PS +.set SCSI_Out__ACK__SHIFT, 6 +.set SCSI_Out__ACK__SLW, CYREG_PRT0_SLW .set SCSI_Out__ATN__AG, CYREG_PRT4_AG .set SCSI_Out__ATN__AMUX, CYREG_PRT4_AMUX .set SCSI_Out__ATN__BIE, CYREG_PRT4_BIE @@ -761,8 +1691,8 @@ .set SCSI_Out__ATN__INP_DIS, CYREG_PRT4_INP_DIS .set SCSI_Out__ATN__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG .set SCSI_Out__ATN__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__ATN__MASK, 0x08 -.set SCSI_Out__ATN__PC, CYREG_PRT4_PC3 +.set SCSI_Out__ATN__MASK, 0x04 +.set SCSI_Out__ATN__PC, CYREG_PRT4_PC2 .set SCSI_Out__ATN__PORT, 4 .set SCSI_Out__ATN__PRT, CYREG_PRT4_PRT .set SCSI_Out__ATN__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL @@ -773,62 +1703,62 @@ .set SCSI_Out__ATN__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 .set SCSI_Out__ATN__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT .set SCSI_Out__ATN__PS, CYREG_PRT4_PS -.set SCSI_Out__ATN__SHIFT, 3 +.set SCSI_Out__ATN__SHIFT, 2 .set SCSI_Out__ATN__SLW, CYREG_PRT4_SLW -.set SCSI_Out__BSY__AG, CYREG_PRT4_AG -.set SCSI_Out__BSY__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__BSY__BIE, CYREG_PRT4_BIE -.set SCSI_Out__BSY__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__BSY__BYP, CYREG_PRT4_BYP -.set SCSI_Out__BSY__CTL, CYREG_PRT4_CTL -.set SCSI_Out__BSY__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__BSY__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__BSY__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__BSY__DR, CYREG_PRT4_DR -.set SCSI_Out__BSY__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__BSY__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__BSY__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__BSY__MASK, 0x10 -.set SCSI_Out__BSY__PC, CYREG_PRT4_PC4 -.set SCSI_Out__BSY__PORT, 4 -.set SCSI_Out__BSY__PRT, CYREG_PRT4_PRT -.set SCSI_Out__BSY__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__BSY__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__BSY__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__BSY__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__BSY__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__BSY__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__BSY__PS, CYREG_PRT4_PS -.set SCSI_Out__BSY__SHIFT, 4 -.set SCSI_Out__BSY__SLW, CYREG_PRT4_SLW -.set SCSI_Out__CD__AG, CYREG_PRT6_AG -.set SCSI_Out__CD__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__CD__BIE, CYREG_PRT6_BIE -.set SCSI_Out__CD__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__CD__BYP, CYREG_PRT6_BYP -.set SCSI_Out__CD__CTL, CYREG_PRT6_CTL -.set SCSI_Out__CD__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__CD__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__CD__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__CD__DR, CYREG_PRT6_DR -.set SCSI_Out__CD__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__CD__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__CD__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__CD__MASK, 0x02 -.set SCSI_Out__CD__PC, CYREG_PRT6_PC1 -.set SCSI_Out__CD__PORT, 6 -.set SCSI_Out__CD__PRT, CYREG_PRT6_PRT -.set SCSI_Out__CD__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__CD__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__CD__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__CD__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__CD__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__CD__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__CD__PS, CYREG_PRT6_PS -.set SCSI_Out__CD__SHIFT, 1 -.set SCSI_Out__CD__SLW, CYREG_PRT6_SLW +.set SCSI_Out__BSY__AG, CYREG_PRT0_AG +.set SCSI_Out__BSY__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__BSY__BIE, CYREG_PRT0_BIE +.set SCSI_Out__BSY__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__BSY__BYP, CYREG_PRT0_BYP +.set SCSI_Out__BSY__CTL, CYREG_PRT0_CTL +.set SCSI_Out__BSY__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__BSY__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__BSY__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__BSY__DR, CYREG_PRT0_DR +.set SCSI_Out__BSY__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__BSY__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__BSY__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__BSY__MASK, 0x80 +.set SCSI_Out__BSY__PC, CYREG_PRT0_PC7 +.set SCSI_Out__BSY__PORT, 0 +.set SCSI_Out__BSY__PRT, CYREG_PRT0_PRT +.set SCSI_Out__BSY__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__BSY__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__BSY__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__BSY__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__BSY__PS, CYREG_PRT0_PS +.set SCSI_Out__BSY__SHIFT, 7 +.set SCSI_Out__BSY__SLW, CYREG_PRT0_SLW +.set SCSI_Out__CD__AG, CYREG_PRT0_AG +.set SCSI_Out__CD__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__CD__BIE, CYREG_PRT0_BIE +.set SCSI_Out__CD__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__CD__BYP, CYREG_PRT0_BYP +.set SCSI_Out__CD__CTL, CYREG_PRT0_CTL +.set SCSI_Out__CD__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__CD__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__CD__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__CD__DR, CYREG_PRT0_DR +.set SCSI_Out__CD__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__CD__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__CD__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__CD__MASK, 0x04 +.set SCSI_Out__CD__PC, CYREG_PRT0_PC2 +.set SCSI_Out__CD__PORT, 0 +.set SCSI_Out__CD__PRT, CYREG_PRT0_PRT +.set SCSI_Out__CD__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__CD__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__CD__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__CD__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__CD__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__CD__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__CD__PS, CYREG_PRT0_PS +.set SCSI_Out__CD__SHIFT, 2 +.set SCSI_Out__CD__SLW, CYREG_PRT0_SLW .set SCSI_Out__DBP_raw__AG, CYREG_PRT4_AG .set SCSI_Out__DBP_raw__AMUX, CYREG_PRT4_AMUX .set SCSI_Out__DBP_raw__BIE, CYREG_PRT4_BIE @@ -842,8 +1772,8 @@ .set SCSI_Out__DBP_raw__INP_DIS, CYREG_PRT4_INP_DIS .set SCSI_Out__DBP_raw__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG .set SCSI_Out__DBP_raw__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__DBP_raw__MASK, 0x04 -.set SCSI_Out__DBP_raw__PC, CYREG_PRT4_PC2 +.set SCSI_Out__DBP_raw__MASK, 0x08 +.set SCSI_Out__DBP_raw__PC, CYREG_PRT4_PC3 .set SCSI_Out__DBP_raw__PORT, 4 .set SCSI_Out__DBP_raw__PRT, CYREG_PRT4_PRT .set SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL @@ -854,143 +1784,143 @@ .set SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 .set SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT .set SCSI_Out__DBP_raw__PS, CYREG_PRT4_PS -.set SCSI_Out__DBP_raw__SHIFT, 2 +.set SCSI_Out__DBP_raw__SHIFT, 3 .set SCSI_Out__DBP_raw__SLW, CYREG_PRT4_SLW -.set SCSI_Out__IO_raw__AG, CYREG_PRT6_AG -.set SCSI_Out__IO_raw__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__IO_raw__BIE, CYREG_PRT6_BIE -.set SCSI_Out__IO_raw__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__IO_raw__BYP, CYREG_PRT6_BYP -.set SCSI_Out__IO_raw__CTL, CYREG_PRT6_CTL -.set SCSI_Out__IO_raw__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__IO_raw__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__IO_raw__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__IO_raw__DR, CYREG_PRT6_DR -.set SCSI_Out__IO_raw__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__IO_raw__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__IO_raw__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__IO_raw__MASK, 0x08 -.set SCSI_Out__IO_raw__PC, CYREG_PRT6_PC3 -.set SCSI_Out__IO_raw__PORT, 6 -.set SCSI_Out__IO_raw__PRT, CYREG_PRT6_PRT -.set SCSI_Out__IO_raw__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__IO_raw__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__IO_raw__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__IO_raw__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__IO_raw__PS, CYREG_PRT6_PS -.set SCSI_Out__IO_raw__SHIFT, 3 -.set SCSI_Out__IO_raw__SLW, CYREG_PRT6_SLW -.set SCSI_Out__MSG__AG, CYREG_PRT4_AG -.set SCSI_Out__MSG__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__MSG__BIE, CYREG_PRT4_BIE -.set SCSI_Out__MSG__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__MSG__BYP, CYREG_PRT4_BYP -.set SCSI_Out__MSG__CTL, CYREG_PRT4_CTL -.set SCSI_Out__MSG__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__MSG__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__MSG__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__MSG__DR, CYREG_PRT4_DR -.set SCSI_Out__MSG__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__MSG__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__MSG__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__MSG__MASK, 0x80 -.set SCSI_Out__MSG__PC, CYREG_PRT4_PC7 -.set SCSI_Out__MSG__PORT, 4 -.set SCSI_Out__MSG__PRT, CYREG_PRT4_PRT -.set SCSI_Out__MSG__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__MSG__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__MSG__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__MSG__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__MSG__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__MSG__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__MSG__PS, CYREG_PRT4_PS -.set SCSI_Out__MSG__SHIFT, 7 -.set SCSI_Out__MSG__SLW, CYREG_PRT4_SLW -.set SCSI_Out__REQ__AG, CYREG_PRT6_AG -.set SCSI_Out__REQ__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__REQ__BIE, CYREG_PRT6_BIE -.set SCSI_Out__REQ__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__REQ__BYP, CYREG_PRT6_BYP -.set SCSI_Out__REQ__CTL, CYREG_PRT6_CTL -.set SCSI_Out__REQ__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__REQ__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__REQ__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__REQ__DR, CYREG_PRT6_DR -.set SCSI_Out__REQ__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__REQ__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__REQ__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__REQ__MASK, 0x04 -.set SCSI_Out__REQ__PC, CYREG_PRT6_PC2 -.set SCSI_Out__REQ__PORT, 6 -.set SCSI_Out__REQ__PRT, CYREG_PRT6_PRT -.set SCSI_Out__REQ__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__REQ__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__REQ__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__REQ__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__REQ__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__REQ__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__REQ__PS, CYREG_PRT6_PS -.set SCSI_Out__REQ__SHIFT, 2 -.set SCSI_Out__REQ__SLW, CYREG_PRT6_SLW -.set SCSI_Out__RST__AG, CYREG_PRT4_AG -.set SCSI_Out__RST__AMUX, CYREG_PRT4_AMUX -.set SCSI_Out__RST__BIE, CYREG_PRT4_BIE -.set SCSI_Out__RST__BIT_MASK, CYREG_PRT4_BIT_MASK -.set SCSI_Out__RST__BYP, CYREG_PRT4_BYP -.set SCSI_Out__RST__CTL, CYREG_PRT4_CTL -.set SCSI_Out__RST__DM0, CYREG_PRT4_DM0 -.set SCSI_Out__RST__DM1, CYREG_PRT4_DM1 -.set SCSI_Out__RST__DM2, CYREG_PRT4_DM2 -.set SCSI_Out__RST__DR, CYREG_PRT4_DR -.set SCSI_Out__RST__INP_DIS, CYREG_PRT4_INP_DIS -.set SCSI_Out__RST__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG -.set SCSI_Out__RST__LCD_EN, CYREG_PRT4_LCD_EN -.set SCSI_Out__RST__MASK, 0x40 -.set SCSI_Out__RST__PC, CYREG_PRT4_PC6 -.set SCSI_Out__RST__PORT, 4 -.set SCSI_Out__RST__PRT, CYREG_PRT4_PRT -.set SCSI_Out__RST__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL -.set SCSI_Out__RST__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN -.set SCSI_Out__RST__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 -.set SCSI_Out__RST__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 -.set SCSI_Out__RST__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 -.set SCSI_Out__RST__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 -.set SCSI_Out__RST__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT -.set SCSI_Out__RST__PS, CYREG_PRT4_PS -.set SCSI_Out__RST__SHIFT, 6 -.set SCSI_Out__RST__SLW, CYREG_PRT4_SLW -.set SCSI_Out__SEL__AG, CYREG_PRT6_AG -.set SCSI_Out__SEL__AMUX, CYREG_PRT6_AMUX -.set SCSI_Out__SEL__BIE, CYREG_PRT6_BIE -.set SCSI_Out__SEL__BIT_MASK, CYREG_PRT6_BIT_MASK -.set SCSI_Out__SEL__BYP, CYREG_PRT6_BYP -.set SCSI_Out__SEL__CTL, CYREG_PRT6_CTL -.set SCSI_Out__SEL__DM0, CYREG_PRT6_DM0 -.set SCSI_Out__SEL__DM1, CYREG_PRT6_DM1 -.set SCSI_Out__SEL__DM2, CYREG_PRT6_DM2 -.set SCSI_Out__SEL__DR, CYREG_PRT6_DR -.set SCSI_Out__SEL__INP_DIS, CYREG_PRT6_INP_DIS -.set SCSI_Out__SEL__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG -.set SCSI_Out__SEL__LCD_EN, CYREG_PRT6_LCD_EN -.set SCSI_Out__SEL__MASK, 0x01 -.set SCSI_Out__SEL__PC, CYREG_PRT6_PC0 -.set SCSI_Out__SEL__PORT, 6 -.set SCSI_Out__SEL__PRT, CYREG_PRT6_PRT -.set SCSI_Out__SEL__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL -.set SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN -.set SCSI_Out__SEL__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 -.set SCSI_Out__SEL__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 -.set SCSI_Out__SEL__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 -.set SCSI_Out__SEL__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 -.set SCSI_Out__SEL__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT -.set SCSI_Out__SEL__PS, CYREG_PRT6_PS -.set SCSI_Out__SEL__SHIFT, 0 -.set SCSI_Out__SEL__SLW, CYREG_PRT6_SLW +.set SCSI_Out__IO_raw__AG, CYREG_PRT0_AG +.set SCSI_Out__IO_raw__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__IO_raw__BIE, CYREG_PRT0_BIE +.set SCSI_Out__IO_raw__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__IO_raw__BYP, CYREG_PRT0_BYP +.set SCSI_Out__IO_raw__CTL, CYREG_PRT0_CTL +.set SCSI_Out__IO_raw__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__IO_raw__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__IO_raw__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__IO_raw__DR, CYREG_PRT0_DR +.set SCSI_Out__IO_raw__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__IO_raw__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__IO_raw__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__IO_raw__MASK, 0x01 +.set SCSI_Out__IO_raw__PC, CYREG_PRT0_PC0 +.set SCSI_Out__IO_raw__PORT, 0 +.set SCSI_Out__IO_raw__PRT, CYREG_PRT0_PRT +.set SCSI_Out__IO_raw__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__IO_raw__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__IO_raw__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__IO_raw__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__IO_raw__PS, CYREG_PRT0_PS +.set SCSI_Out__IO_raw__SHIFT, 0 +.set SCSI_Out__IO_raw__SLW, CYREG_PRT0_SLW +.set SCSI_Out__MSG__AG, CYREG_PRT0_AG +.set SCSI_Out__MSG__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__MSG__BIE, CYREG_PRT0_BIE +.set SCSI_Out__MSG__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__MSG__BYP, CYREG_PRT0_BYP +.set SCSI_Out__MSG__CTL, CYREG_PRT0_CTL +.set SCSI_Out__MSG__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__MSG__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__MSG__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__MSG__DR, CYREG_PRT0_DR +.set SCSI_Out__MSG__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__MSG__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__MSG__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__MSG__MASK, 0x10 +.set SCSI_Out__MSG__PC, CYREG_PRT0_PC4 +.set SCSI_Out__MSG__PORT, 0 +.set SCSI_Out__MSG__PRT, CYREG_PRT0_PRT +.set SCSI_Out__MSG__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__MSG__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__MSG__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__MSG__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__MSG__PS, CYREG_PRT0_PS +.set SCSI_Out__MSG__SHIFT, 4 +.set SCSI_Out__MSG__SLW, CYREG_PRT0_SLW +.set SCSI_Out__REQ__AG, CYREG_PRT0_AG +.set SCSI_Out__REQ__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__REQ__BIE, CYREG_PRT0_BIE +.set SCSI_Out__REQ__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__REQ__BYP, CYREG_PRT0_BYP +.set SCSI_Out__REQ__CTL, CYREG_PRT0_CTL +.set SCSI_Out__REQ__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__REQ__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__REQ__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__REQ__DR, CYREG_PRT0_DR +.set SCSI_Out__REQ__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__REQ__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__REQ__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__REQ__MASK, 0x02 +.set SCSI_Out__REQ__PC, CYREG_PRT0_PC1 +.set SCSI_Out__REQ__PORT, 0 +.set SCSI_Out__REQ__PRT, CYREG_PRT0_PRT +.set SCSI_Out__REQ__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__REQ__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__REQ__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__REQ__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__REQ__PS, CYREG_PRT0_PS +.set SCSI_Out__REQ__SHIFT, 1 +.set SCSI_Out__REQ__SLW, CYREG_PRT0_SLW +.set SCSI_Out__RST__AG, CYREG_PRT0_AG +.set SCSI_Out__RST__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__RST__BIE, CYREG_PRT0_BIE +.set SCSI_Out__RST__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__RST__BYP, CYREG_PRT0_BYP +.set SCSI_Out__RST__CTL, CYREG_PRT0_CTL +.set SCSI_Out__RST__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__RST__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__RST__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__RST__DR, CYREG_PRT0_DR +.set SCSI_Out__RST__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__RST__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__RST__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__RST__MASK, 0x20 +.set SCSI_Out__RST__PC, CYREG_PRT0_PC5 +.set SCSI_Out__RST__PORT, 0 +.set SCSI_Out__RST__PRT, CYREG_PRT0_PRT +.set SCSI_Out__RST__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__RST__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__RST__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__RST__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__RST__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__RST__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__RST__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__RST__PS, CYREG_PRT0_PS +.set SCSI_Out__RST__SHIFT, 5 +.set SCSI_Out__RST__SLW, CYREG_PRT0_SLW +.set SCSI_Out__SEL__AG, CYREG_PRT0_AG +.set SCSI_Out__SEL__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__SEL__BIE, CYREG_PRT0_BIE +.set SCSI_Out__SEL__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__SEL__BYP, CYREG_PRT0_BYP +.set SCSI_Out__SEL__CTL, CYREG_PRT0_CTL +.set SCSI_Out__SEL__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__SEL__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__SEL__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__SEL__DR, CYREG_PRT0_DR +.set SCSI_Out__SEL__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__SEL__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__SEL__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__SEL__MASK, 0x08 +.set SCSI_Out__SEL__PC, CYREG_PRT0_PC3 +.set SCSI_Out__SEL__PORT, 0 +.set SCSI_Out__SEL__PRT, CYREG_PRT0_PRT +.set SCSI_Out__SEL__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__SEL__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__SEL__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__SEL__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__SEL__PS, CYREG_PRT0_PS +.set SCSI_Out__SEL__SHIFT, 3 +.set SCSI_Out__SEL__SLW, CYREG_PRT0_SLW /* SCSI_RST */ .set SCSI_RST__0__MASK, 0x40 @@ -1031,32 +1961,33 @@ .set SCSI_RST__SNAP, CYREG_PICU6_SNAP /* SCSI_In */ -.set SCSI_In__0__AG, CYREG_PRT12_AG -.set SCSI_In__0__BIE, CYREG_PRT12_BIE -.set SCSI_In__0__BIT_MASK, CYREG_PRT12_BIT_MASK -.set SCSI_In__0__BYP, CYREG_PRT12_BYP -.set SCSI_In__0__DM0, CYREG_PRT12_DM0 -.set SCSI_In__0__DM1, CYREG_PRT12_DM1 -.set SCSI_In__0__DM2, CYREG_PRT12_DM2 -.set SCSI_In__0__DR, CYREG_PRT12_DR -.set SCSI_In__0__INP_DIS, CYREG_PRT12_INP_DIS -.set SCSI_In__0__MASK, 0x10 -.set SCSI_In__0__PC, CYREG_PRT12_PC4 -.set SCSI_In__0__PORT, 12 -.set SCSI_In__0__PRT, CYREG_PRT12_PRT -.set SCSI_In__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN -.set SCSI_In__0__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 -.set SCSI_In__0__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 -.set SCSI_In__0__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 -.set SCSI_In__0__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 -.set SCSI_In__0__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT -.set SCSI_In__0__PS, CYREG_PRT12_PS -.set SCSI_In__0__SHIFT, 4 -.set SCSI_In__0__SIO_CFG, CYREG_PRT12_SIO_CFG -.set SCSI_In__0__SIO_DIFF, CYREG_PRT12_SIO_DIFF -.set SCSI_In__0__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN -.set SCSI_In__0__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ -.set SCSI_In__0__SLW, CYREG_PRT12_SLW +.set SCSI_In__0__AG, CYREG_PRT2_AG +.set SCSI_In__0__AMUX, CYREG_PRT2_AMUX +.set SCSI_In__0__BIE, CYREG_PRT2_BIE +.set SCSI_In__0__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In__0__BYP, CYREG_PRT2_BYP +.set SCSI_In__0__CTL, CYREG_PRT2_CTL +.set SCSI_In__0__DM0, CYREG_PRT2_DM0 +.set SCSI_In__0__DM1, CYREG_PRT2_DM1 +.set SCSI_In__0__DM2, CYREG_PRT2_DM2 +.set SCSI_In__0__DR, CYREG_PRT2_DR +.set SCSI_In__0__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In__0__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In__0__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In__0__MASK, 0x01 +.set SCSI_In__0__PC, CYREG_PRT2_PC0 +.set SCSI_In__0__PORT, 2 +.set SCSI_In__0__PRT, CYREG_PRT2_PRT +.set SCSI_In__0__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In__0__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In__0__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In__0__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In__0__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In__0__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In__0__PS, CYREG_PRT2_PS +.set SCSI_In__0__SHIFT, 0 +.set SCSI_In__0__SLW, CYREG_PRT2_SLW .set SCSI_In__1__AG, CYREG_PRT6_AG .set SCSI_In__1__AMUX, CYREG_PRT6_AMUX .set SCSI_In__1__BIE, CYREG_PRT6_BIE @@ -1327,32 +2258,33 @@ .set SCSI_In__CD__PS, CYREG_PRT5_PS .set SCSI_In__CD__SHIFT, 1 .set SCSI_In__CD__SLW, CYREG_PRT5_SLW -.set SCSI_In__DBP__AG, CYREG_PRT12_AG -.set SCSI_In__DBP__BIE, CYREG_PRT12_BIE -.set SCSI_In__DBP__BIT_MASK, CYREG_PRT12_BIT_MASK -.set SCSI_In__DBP__BYP, CYREG_PRT12_BYP -.set SCSI_In__DBP__DM0, CYREG_PRT12_DM0 -.set SCSI_In__DBP__DM1, CYREG_PRT12_DM1 -.set SCSI_In__DBP__DM2, CYREG_PRT12_DM2 -.set SCSI_In__DBP__DR, CYREG_PRT12_DR -.set SCSI_In__DBP__INP_DIS, CYREG_PRT12_INP_DIS -.set SCSI_In__DBP__MASK, 0x10 -.set SCSI_In__DBP__PC, CYREG_PRT12_PC4 -.set SCSI_In__DBP__PORT, 12 -.set SCSI_In__DBP__PRT, CYREG_PRT12_PRT -.set SCSI_In__DBP__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN -.set SCSI_In__DBP__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 -.set SCSI_In__DBP__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 -.set SCSI_In__DBP__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 -.set SCSI_In__DBP__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 -.set SCSI_In__DBP__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT -.set SCSI_In__DBP__PS, CYREG_PRT12_PS -.set SCSI_In__DBP__SHIFT, 4 -.set SCSI_In__DBP__SIO_CFG, CYREG_PRT12_SIO_CFG -.set SCSI_In__DBP__SIO_DIFF, CYREG_PRT12_SIO_DIFF -.set SCSI_In__DBP__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN -.set SCSI_In__DBP__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ -.set SCSI_In__DBP__SLW, CYREG_PRT12_SLW +.set SCSI_In__DBP__AG, CYREG_PRT2_AG +.set SCSI_In__DBP__AMUX, CYREG_PRT2_AMUX +.set SCSI_In__DBP__BIE, CYREG_PRT2_BIE +.set SCSI_In__DBP__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In__DBP__BYP, CYREG_PRT2_BYP +.set SCSI_In__DBP__CTL, CYREG_PRT2_CTL +.set SCSI_In__DBP__DM0, CYREG_PRT2_DM0 +.set SCSI_In__DBP__DM1, CYREG_PRT2_DM1 +.set SCSI_In__DBP__DM2, CYREG_PRT2_DM2 +.set SCSI_In__DBP__DR, CYREG_PRT2_DR +.set SCSI_In__DBP__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In__DBP__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In__DBP__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In__DBP__MASK, 0x01 +.set SCSI_In__DBP__PC, CYREG_PRT2_PC0 +.set SCSI_In__DBP__PORT, 2 +.set SCSI_In__DBP__PRT, CYREG_PRT2_PRT +.set SCSI_In__DBP__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In__DBP__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In__DBP__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In__DBP__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In__DBP__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In__DBP__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In__DBP__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In__DBP__PS, CYREG_PRT2_PS +.set SCSI_In__DBP__SHIFT, 0 +.set SCSI_In__DBP__SLW, CYREG_PRT2_SLW .set SCSI_In__IO__AG, CYREG_PRT5_AG .set SCSI_In__IO__AMUX, CYREG_PRT5_AMUX .set SCSI_In__IO__BIE, CYREG_PRT5_BIE @@ -1463,10 +2395,10 @@ .set SCSI_In__SEL__SLW, CYREG_PRT5_SLW /* SD_DAT1 */ -.set SD_DAT1__0__MASK, 0x20 -.set SD_DAT1__0__PC, CYREG_PRT3_PC5 +.set SD_DAT1__0__MASK, 0x01 +.set SD_DAT1__0__PC, CYREG_PRT3_PC0 .set SD_DAT1__0__PORT, 3 -.set SD_DAT1__0__SHIFT, 5 +.set SD_DAT1__0__SHIFT, 0 .set SD_DAT1__AG, CYREG_PRT3_AG .set SD_DAT1__AMUX, CYREG_PRT3_AMUX .set SD_DAT1__BIE, CYREG_PRT3_BIE @@ -1480,7 +2412,7 @@ .set SD_DAT1__INP_DIS, CYREG_PRT3_INP_DIS .set SD_DAT1__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG .set SD_DAT1__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_DAT1__MASK, 0x20 +.set SD_DAT1__MASK, 0x01 .set SD_DAT1__PORT, 3 .set SD_DAT1__PRT, CYREG_PRT3_PRT .set SD_DAT1__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL @@ -1491,14 +2423,14 @@ .set SD_DAT1__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 .set SD_DAT1__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT .set SD_DAT1__PS, CYREG_PRT3_PS -.set SD_DAT1__SHIFT, 5 +.set SD_DAT1__SHIFT, 0 .set SD_DAT1__SLW, CYREG_PRT3_SLW /* SD_DAT2 */ -.set SD_DAT2__0__MASK, 0x01 -.set SD_DAT2__0__PC, CYREG_PRT3_PC0 +.set SD_DAT2__0__MASK, 0x20 +.set SD_DAT2__0__PC, CYREG_PRT3_PC5 .set SD_DAT2__0__PORT, 3 -.set SD_DAT2__0__SHIFT, 0 +.set SD_DAT2__0__SHIFT, 5 .set SD_DAT2__AG, CYREG_PRT3_AG .set SD_DAT2__AMUX, CYREG_PRT3_AMUX .set SD_DAT2__BIE, CYREG_PRT3_BIE @@ -1512,7 +2444,7 @@ .set SD_DAT2__INP_DIS, CYREG_PRT3_INP_DIS .set SD_DAT2__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG .set SD_DAT2__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_DAT2__MASK, 0x01 +.set SD_DAT2__MASK, 0x20 .set SD_DAT2__PORT, 3 .set SD_DAT2__PRT, CYREG_PRT3_PRT .set SD_DAT2__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL @@ -1523,14 +2455,14 @@ .set SD_DAT2__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 .set SD_DAT2__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT .set SD_DAT2__PS, CYREG_PRT3_PS -.set SD_DAT2__SHIFT, 0 +.set SD_DAT2__SHIFT, 5 .set SD_DAT2__SLW, CYREG_PRT3_SLW /* SD_MISO */ -.set SD_MISO__0__MASK, 0x10 -.set SD_MISO__0__PC, CYREG_PRT3_PC4 +.set SD_MISO__0__MASK, 0x02 +.set SD_MISO__0__PC, CYREG_PRT3_PC1 .set SD_MISO__0__PORT, 3 -.set SD_MISO__0__SHIFT, 4 +.set SD_MISO__0__SHIFT, 1 .set SD_MISO__AG, CYREG_PRT3_AG .set SD_MISO__AMUX, CYREG_PRT3_AMUX .set SD_MISO__BIE, CYREG_PRT3_BIE @@ -1544,7 +2476,7 @@ .set SD_MISO__INP_DIS, CYREG_PRT3_INP_DIS .set SD_MISO__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG .set SD_MISO__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_MISO__MASK, 0x10 +.set SD_MISO__MASK, 0x02 .set SD_MISO__PORT, 3 .set SD_MISO__PRT, CYREG_PRT3_PRT .set SD_MISO__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL @@ -1555,14 +2487,14 @@ .set SD_MISO__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 .set SD_MISO__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT .set SD_MISO__PS, CYREG_PRT3_PS -.set SD_MISO__SHIFT, 4 +.set SD_MISO__SHIFT, 1 .set SD_MISO__SLW, CYREG_PRT3_SLW /* SD_MOSI */ -.set SD_MOSI__0__MASK, 0x04 -.set SD_MOSI__0__PC, CYREG_PRT3_PC2 +.set SD_MOSI__0__MASK, 0x08 +.set SD_MOSI__0__PC, CYREG_PRT3_PC3 .set SD_MOSI__0__PORT, 3 -.set SD_MOSI__0__SHIFT, 2 +.set SD_MOSI__0__SHIFT, 3 .set SD_MOSI__AG, CYREG_PRT3_AG .set SD_MOSI__AMUX, CYREG_PRT3_AMUX .set SD_MOSI__BIE, CYREG_PRT3_BIE @@ -1576,7 +2508,7 @@ .set SD_MOSI__INP_DIS, CYREG_PRT3_INP_DIS .set SD_MOSI__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG .set SD_MOSI__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_MOSI__MASK, 0x04 +.set SD_MOSI__MASK, 0x08 .set SD_MOSI__PORT, 3 .set SD_MOSI__PRT, CYREG_PRT3_PRT .set SD_MOSI__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL @@ -1587,14 +2519,14 @@ .set SD_MOSI__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 .set SD_MOSI__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT .set SD_MOSI__PS, CYREG_PRT3_PS -.set SD_MOSI__SHIFT, 2 +.set SD_MOSI__SHIFT, 3 .set SD_MOSI__SLW, CYREG_PRT3_SLW /* SD_SCK */ -.set SD_SCK__0__MASK, 0x08 -.set SD_SCK__0__PC, CYREG_PRT3_PC3 +.set SD_SCK__0__MASK, 0x04 +.set SD_SCK__0__PC, CYREG_PRT3_PC2 .set SD_SCK__0__PORT, 3 -.set SD_SCK__0__SHIFT, 3 +.set SD_SCK__0__SHIFT, 2 .set SD_SCK__AG, CYREG_PRT3_AG .set SD_SCK__AMUX, CYREG_PRT3_AMUX .set SD_SCK__BIE, CYREG_PRT3_BIE @@ -1608,7 +2540,7 @@ .set SD_SCK__INP_DIS, CYREG_PRT3_INP_DIS .set SD_SCK__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG .set SD_SCK__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_SCK__MASK, 0x08 +.set SD_SCK__MASK, 0x04 .set SD_SCK__PORT, 3 .set SD_SCK__PRT, CYREG_PRT3_PRT .set SD_SCK__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL @@ -1619,7 +2551,7 @@ .set SD_SCK__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 .set SD_SCK__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT .set SD_SCK__PS, CYREG_PRT3_PS -.set SD_SCK__SHIFT, 3 +.set SD_SCK__SHIFT, 2 .set SD_SCK__SLW, CYREG_PRT3_SLW /* SD_CD */ @@ -1655,10 +2587,10 @@ .set SD_CD__SLW, CYREG_PRT3_SLW /* SD_CS */ -.set SD_CS__0__MASK, 0x02 -.set SD_CS__0__PC, CYREG_PRT3_PC1 +.set SD_CS__0__MASK, 0x10 +.set SD_CS__0__PC, CYREG_PRT3_PC4 .set SD_CS__0__PORT, 3 -.set SD_CS__0__SHIFT, 1 +.set SD_CS__0__SHIFT, 4 .set SD_CS__AG, CYREG_PRT3_AG .set SD_CS__AMUX, CYREG_PRT3_AMUX .set SD_CS__BIE, CYREG_PRT3_BIE @@ -1672,7 +2604,7 @@ .set SD_CS__INP_DIS, CYREG_PRT3_INP_DIS .set SD_CS__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG .set SD_CS__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_CS__MASK, 0x02 +.set SD_CS__MASK, 0x10 .set SD_CS__PORT, 3 .set SD_CS__PRT, CYREG_PRT3_PRT .set SD_CS__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL @@ -1683,41 +2615,9 @@ .set SD_CS__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 .set SD_CS__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT .set SD_CS__PS, CYREG_PRT3_PS -.set SD_CS__SHIFT, 1 +.set SD_CS__SHIFT, 4 .set SD_CS__SLW, CYREG_PRT3_SLW -/* SD_WP */ -.set SD_WP__0__MASK, 0x80 -.set SD_WP__0__PC, CYREG_PRT3_PC7 -.set SD_WP__0__PORT, 3 -.set SD_WP__0__SHIFT, 7 -.set SD_WP__AG, CYREG_PRT3_AG -.set SD_WP__AMUX, CYREG_PRT3_AMUX -.set SD_WP__BIE, CYREG_PRT3_BIE -.set SD_WP__BIT_MASK, CYREG_PRT3_BIT_MASK -.set SD_WP__BYP, CYREG_PRT3_BYP -.set SD_WP__CTL, CYREG_PRT3_CTL -.set SD_WP__DM0, CYREG_PRT3_DM0 -.set SD_WP__DM1, CYREG_PRT3_DM1 -.set SD_WP__DM2, CYREG_PRT3_DM2 -.set SD_WP__DR, CYREG_PRT3_DR -.set SD_WP__INP_DIS, CYREG_PRT3_INP_DIS -.set SD_WP__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG -.set SD_WP__LCD_EN, CYREG_PRT3_LCD_EN -.set SD_WP__MASK, 0x80 -.set SD_WP__PORT, 3 -.set SD_WP__PRT, CYREG_PRT3_PRT -.set SD_WP__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL -.set SD_WP__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN -.set SD_WP__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 -.set SD_WP__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 -.set SD_WP__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 -.set SD_WP__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 -.set SD_WP__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT -.set SD_WP__PS, CYREG_PRT3_PS -.set SD_WP__SHIFT, 7 -.set SD_WP__SLW, CYREG_PRT3_SLW - /* LED1 */ .set LED1__0__MASK, 0x08 .set LED1__0__PC, CYREG_PRT12_PC3 @@ -1761,9 +2661,9 @@ .set CYDEV_CHIP_FAMILY_PSOC5, 3 .set CYDEV_CHIP_DIE_PSOC5LP, 4 .set CYDEV_CHIP_DIE_EXPECT, CYDEV_CHIP_DIE_PSOC5LP -.set BCLK__BUS_CLK__HZ, 63000000 -.set BCLK__BUS_CLK__KHZ, 63000 -.set BCLK__BUS_CLK__MHZ, 63 +.set BCLK__BUS_CLK__HZ, 64000000 +.set BCLK__BUS_CLK__KHZ, 64000 +.set BCLK__BUS_CLK__MHZ, 64 .set CYDEV_CHIP_DIE_ACTUAL, CYDEV_CHIP_DIE_EXPECT .set CYDEV_CHIP_DIE_LEOPARD, 1 .set CYDEV_CHIP_DIE_PANTHER, 3 @@ -1773,7 +2673,7 @@ .set CYDEV_CHIP_FAMILY_PSOC4, 2 .set CYDEV_CHIP_FAMILY_UNKNOWN, 0 .set CYDEV_CHIP_FAMILY_USED, CYDEV_CHIP_FAMILY_PSOC5 -.set CYDEV_CHIP_JTAG_ID, 0x2E12F069 +.set CYDEV_CHIP_JTAG_ID, 0x2E133069 .set CYDEV_CHIP_MEMBER_3A, 1 .set CYDEV_CHIP_MEMBER_4A, 2 .set CYDEV_CHIP_MEMBER_5A, 3 @@ -1803,7 +2703,7 @@ .set CYDEV_CHIP_REV_PSOC5LP_ES0, 0 .set CYDEV_CONFIGURATION_COMPRESSED, 1 .set CYDEV_CONFIGURATION_DMA, 0 -.set CYDEV_CONFIGURATION_ECC, 1 +.set CYDEV_CONFIGURATION_ECC, 0 .set CYDEV_CONFIGURATION_IMOENABLED, CYDEV_CONFIG_FASTBOOT_ENABLED .set CYDEV_CONFIGURATION_MODE, CYDEV_CONFIGURATION_MODE_COMPRESSED .set CYDEV_CONFIGURATION_MODE_DMA, 2 @@ -1825,13 +2725,14 @@ .set CYDEV_HEAP_SIZE, 0x1000 .set CYDEV_INSTRUCT_CACHE_ENABLED, 1 .set CYDEV_INTR_RISING, 0x00000000 -.set CYDEV_PROJ_TYPE, 0 +.set CYDEV_PROJ_TYPE, 2 .set CYDEV_PROJ_TYPE_BOOTLOADER, 1 .set CYDEV_PROJ_TYPE_LOADABLE, 2 .set CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER, 3 .set CYDEV_PROJ_TYPE_STANDARD, 0 .set CYDEV_PROTECTION_ENABLE, 0 .set CYDEV_STACK_SIZE, 0x4000 +.set CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP, 1 .set CYDEV_USE_BUNDLED_CMSIS, 1 .set CYDEV_VARIABLE_VDDA, 0 .set CYDEV_VDDA_MV, 5000 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc old mode 100644 new mode 100755 index 5523e00..7f43e85 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc @@ -3,6 +3,46 @@ INCLUDE cydeviceiar.inc INCLUDE cydeviceiar_trm.inc +/* USBFS_1_bus_reset */ +USBFS_1_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_bus_reset__INTC_MASK EQU 0x800000 +USBFS_1_bus_reset__INTC_NUMBER EQU 23 +USBFS_1_bus_reset__INTC_PRIOR_NUM EQU 7 +USBFS_1_bus_reset__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_23 +USBFS_1_bus_reset__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_1_arb_int */ +USBFS_1_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_arb_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_arb_int__INTC_MASK EQU 0x400000 +USBFS_1_arb_int__INTC_NUMBER EQU 22 +USBFS_1_arb_int__INTC_PRIOR_NUM EQU 7 +USBFS_1_arb_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_22 +USBFS_1_arb_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_arb_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_1_sof_int */ +USBFS_1_sof_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_sof_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_sof_int__INTC_MASK EQU 0x200000 +USBFS_1_sof_int__INTC_NUMBER EQU 21 +USBFS_1_sof_int__INTC_PRIOR_NUM EQU 7 +USBFS_1_sof_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_21 +USBFS_1_sof_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_1_dp_int */ +USBFS_1_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_dp_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_dp_int__INTC_MASK EQU 0x1000 +USBFS_1_dp_int__INTC_NUMBER EQU 12 +USBFS_1_dp_int__INTC_PRIOR_NUM EQU 7 +USBFS_1_dp_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_12 +USBFS_1_dp_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_dp_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + /* SCSI_ATN_ISR */ SCSI_ATN_ISR__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 SCSI_ATN_ISR__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 @@ -14,96 +54,438 @@ SCSI_ATN_ISR__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 SCSI_ATN_ISR__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 /* SCSI_Out_DBx */ -SCSI_Out_DBx__0__MASK EQU 0x01 -SCSI_Out_DBx__0__PC EQU CYREG_PRT0_PC0 -SCSI_Out_DBx__0__PORT EQU 0 -SCSI_Out_DBx__0__SHIFT EQU 0 -SCSI_Out_DBx__1__MASK EQU 0x02 -SCSI_Out_DBx__1__PC EQU CYREG_PRT0_PC1 -SCSI_Out_DBx__1__PORT EQU 0 -SCSI_Out_DBx__1__SHIFT EQU 1 -SCSI_Out_DBx__2__MASK EQU 0x04 -SCSI_Out_DBx__2__PC EQU CYREG_PRT0_PC2 -SCSI_Out_DBx__2__PORT EQU 0 -SCSI_Out_DBx__2__SHIFT EQU 2 -SCSI_Out_DBx__3__MASK EQU 0x08 -SCSI_Out_DBx__3__PC EQU CYREG_PRT0_PC3 -SCSI_Out_DBx__3__PORT EQU 0 -SCSI_Out_DBx__3__SHIFT EQU 3 -SCSI_Out_DBx__4__MASK EQU 0x10 -SCSI_Out_DBx__4__PC EQU CYREG_PRT0_PC4 -SCSI_Out_DBx__4__PORT EQU 0 -SCSI_Out_DBx__4__SHIFT EQU 4 -SCSI_Out_DBx__5__MASK EQU 0x20 -SCSI_Out_DBx__5__PC EQU CYREG_PRT0_PC5 -SCSI_Out_DBx__5__PORT EQU 0 -SCSI_Out_DBx__5__SHIFT EQU 5 -SCSI_Out_DBx__6__MASK EQU 0x40 -SCSI_Out_DBx__6__PC EQU CYREG_PRT0_PC6 -SCSI_Out_DBx__6__PORT EQU 0 -SCSI_Out_DBx__6__SHIFT EQU 6 -SCSI_Out_DBx__7__MASK EQU 0x80 -SCSI_Out_DBx__7__PC EQU CYREG_PRT0_PC7 -SCSI_Out_DBx__7__PORT EQU 0 -SCSI_Out_DBx__7__SHIFT EQU 7 -SCSI_Out_DBx__AG EQU CYREG_PRT0_AG -SCSI_Out_DBx__AMUX EQU CYREG_PRT0_AMUX -SCSI_Out_DBx__BIE EQU CYREG_PRT0_BIE -SCSI_Out_DBx__BIT_MASK EQU CYREG_PRT0_BIT_MASK -SCSI_Out_DBx__BYP EQU CYREG_PRT0_BYP -SCSI_Out_DBx__CTL EQU CYREG_PRT0_CTL -SCSI_Out_DBx__DB0__MASK EQU 0x01 -SCSI_Out_DBx__DB0__PC EQU CYREG_PRT0_PC0 -SCSI_Out_DBx__DB0__PORT EQU 0 -SCSI_Out_DBx__DB0__SHIFT EQU 0 -SCSI_Out_DBx__DB1__MASK EQU 0x02 -SCSI_Out_DBx__DB1__PC EQU CYREG_PRT0_PC1 -SCSI_Out_DBx__DB1__PORT EQU 0 -SCSI_Out_DBx__DB1__SHIFT EQU 1 -SCSI_Out_DBx__DB2__MASK EQU 0x04 -SCSI_Out_DBx__DB2__PC EQU CYREG_PRT0_PC2 -SCSI_Out_DBx__DB2__PORT EQU 0 -SCSI_Out_DBx__DB2__SHIFT EQU 2 -SCSI_Out_DBx__DB3__MASK EQU 0x08 -SCSI_Out_DBx__DB3__PC EQU CYREG_PRT0_PC3 -SCSI_Out_DBx__DB3__PORT EQU 0 -SCSI_Out_DBx__DB3__SHIFT EQU 3 -SCSI_Out_DBx__DB4__MASK EQU 0x10 -SCSI_Out_DBx__DB4__PC EQU CYREG_PRT0_PC4 -SCSI_Out_DBx__DB4__PORT EQU 0 -SCSI_Out_DBx__DB4__SHIFT EQU 4 -SCSI_Out_DBx__DB5__MASK EQU 0x20 -SCSI_Out_DBx__DB5__PC EQU CYREG_PRT0_PC5 -SCSI_Out_DBx__DB5__PORT EQU 0 -SCSI_Out_DBx__DB5__SHIFT EQU 5 -SCSI_Out_DBx__DB6__MASK EQU 0x40 -SCSI_Out_DBx__DB6__PC EQU CYREG_PRT0_PC6 -SCSI_Out_DBx__DB6__PORT EQU 0 -SCSI_Out_DBx__DB6__SHIFT EQU 6 -SCSI_Out_DBx__DB7__MASK EQU 0x80 -SCSI_Out_DBx__DB7__PC EQU CYREG_PRT0_PC7 -SCSI_Out_DBx__DB7__PORT EQU 0 -SCSI_Out_DBx__DB7__SHIFT EQU 7 -SCSI_Out_DBx__DM0 EQU CYREG_PRT0_DM0 -SCSI_Out_DBx__DM1 EQU CYREG_PRT0_DM1 -SCSI_Out_DBx__DM2 EQU CYREG_PRT0_DM2 -SCSI_Out_DBx__DR EQU CYREG_PRT0_DR -SCSI_Out_DBx__INP_DIS EQU CYREG_PRT0_INP_DIS -SCSI_Out_DBx__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG -SCSI_Out_DBx__LCD_EN EQU CYREG_PRT0_LCD_EN -SCSI_Out_DBx__MASK EQU 0xFF -SCSI_Out_DBx__PORT EQU 0 -SCSI_Out_DBx__PRT EQU CYREG_PRT0_PRT -SCSI_Out_DBx__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL -SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN -SCSI_Out_DBx__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 -SCSI_Out_DBx__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 -SCSI_Out_DBx__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 -SCSI_Out_DBx__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 -SCSI_Out_DBx__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT -SCSI_Out_DBx__PS EQU CYREG_PRT0_PS -SCSI_Out_DBx__SHIFT EQU 0 -SCSI_Out_DBx__SLW EQU CYREG_PRT0_SLW +SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__0__MASK EQU 0x08 +SCSI_Out_DBx__0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__0__PORT EQU 6 +SCSI_Out_DBx__0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__0__SHIFT EQU 3 +SCSI_Out_DBx__0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__1__MASK EQU 0x04 +SCSI_Out_DBx__1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__1__PORT EQU 6 +SCSI_Out_DBx__1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__1__SHIFT EQU 2 +SCSI_Out_DBx__1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__2__MASK EQU 0x02 +SCSI_Out_DBx__2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__2__PORT EQU 6 +SCSI_Out_DBx__2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__2__SHIFT EQU 1 +SCSI_Out_DBx__2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__3__MASK EQU 0x01 +SCSI_Out_DBx__3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__3__PORT EQU 6 +SCSI_Out_DBx__3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__3__SHIFT EQU 0 +SCSI_Out_DBx__3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__4__MASK EQU 0x80 +SCSI_Out_DBx__4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__4__PORT EQU 4 +SCSI_Out_DBx__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__4__SHIFT EQU 7 +SCSI_Out_DBx__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__5__MASK EQU 0x40 +SCSI_Out_DBx__5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__5__PORT EQU 4 +SCSI_Out_DBx__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__5__SHIFT EQU 6 +SCSI_Out_DBx__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__6__MASK EQU 0x20 +SCSI_Out_DBx__6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__6__PORT EQU 4 +SCSI_Out_DBx__6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__6__SHIFT EQU 5 +SCSI_Out_DBx__6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__7__MASK EQU 0x10 +SCSI_Out_DBx__7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__7__PORT EQU 4 +SCSI_Out_DBx__7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__7__SHIFT EQU 4 +SCSI_Out_DBx__7__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB0__MASK EQU 0x08 +SCSI_Out_DBx__DB0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__DB0__PORT EQU 6 +SCSI_Out_DBx__DB0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB0__SHIFT EQU 3 +SCSI_Out_DBx__DB0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB1__MASK EQU 0x04 +SCSI_Out_DBx__DB1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__DB1__PORT EQU 6 +SCSI_Out_DBx__DB1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB1__SHIFT EQU 2 +SCSI_Out_DBx__DB1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB2__MASK EQU 0x02 +SCSI_Out_DBx__DB2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__DB2__PORT EQU 6 +SCSI_Out_DBx__DB2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB2__SHIFT EQU 1 +SCSI_Out_DBx__DB2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB3__MASK EQU 0x01 +SCSI_Out_DBx__DB3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__DB3__PORT EQU 6 +SCSI_Out_DBx__DB3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB3__SHIFT EQU 0 +SCSI_Out_DBx__DB3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB4__MASK EQU 0x80 +SCSI_Out_DBx__DB4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__DB4__PORT EQU 4 +SCSI_Out_DBx__DB4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB4__SHIFT EQU 7 +SCSI_Out_DBx__DB4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB5__MASK EQU 0x40 +SCSI_Out_DBx__DB5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__DB5__PORT EQU 4 +SCSI_Out_DBx__DB5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB5__SHIFT EQU 6 +SCSI_Out_DBx__DB5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB6__MASK EQU 0x20 +SCSI_Out_DBx__DB6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__DB6__PORT EQU 4 +SCSI_Out_DBx__DB6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB6__SHIFT EQU 5 +SCSI_Out_DBx__DB6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB7__MASK EQU 0x10 +SCSI_Out_DBx__DB7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__DB7__PORT EQU 4 +SCSI_Out_DBx__DB7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB7__SHIFT EQU 4 +SCSI_Out_DBx__DB7__SLW EQU CYREG_PRT4_SLW /* SCSI_RST_ISR */ SCSI_RST_ISR__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -116,34 +498,34 @@ SCSI_RST_ISR__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 SCSI_RST_ISR__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 /* SDCard_BSPIM */ -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST -SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B0_UDB02_MSK -SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B0_UDB02_ST -SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B0_UDB02_CTL -SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B0_UDB02_CTL -SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB02_MSK -SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B0_UDB07_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B0_UDB07_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B0_UDB07_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB06_07_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -151,9 +533,9 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B0_UDB03_MSK -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B0_UDB03_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB06_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB06_ST SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL @@ -187,120 +569,482 @@ SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B0_UDB07_F0_F1 SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B0_UDB07_F0 SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B0_UDB07_F1 +SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL + +/* USBFS_1_ep_0 */ +USBFS_1_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_ep_0__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_ep_0__INTC_MASK EQU 0x1000000 +USBFS_1_ep_0__INTC_NUMBER EQU 24 +USBFS_1_ep_0__INTC_PRIOR_NUM EQU 7 +USBFS_1_ep_0__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_24 +USBFS_1_ep_0__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_ep_0__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_1_ep_1 */ +USBFS_1_ep_1__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_ep_1__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_ep_1__INTC_MASK EQU 0x01 +USBFS_1_ep_1__INTC_NUMBER EQU 0 +USBFS_1_ep_1__INTC_PRIOR_NUM EQU 7 +USBFS_1_ep_1__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +USBFS_1_ep_1__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_ep_1__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 /* SCSI_CTL_IO */ SCSI_CTL_IO_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_CTL_IO_Sync_ctrl_reg__0__POS EQU 0 -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB00_01_ACTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB00_ACTL -SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB00_CTL -SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB00_ST_CTL -SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB00_CTL -SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB00_ST_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB02_CTL +SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB02_ST_CTL +SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB02_CTL +SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB02_ST_CTL SCSI_CTL_IO_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB00_MSK_ACTL -SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB00_MSK -SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB00_MSK_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB02_MSK +SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL /* SCSI_In_DBx */ -SCSI_In_DBx__0__MASK EQU 0x01 -SCSI_In_DBx__0__PC EQU CYREG_PRT2_PC0 -SCSI_In_DBx__0__PORT EQU 2 -SCSI_In_DBx__0__SHIFT EQU 0 -SCSI_In_DBx__1__MASK EQU 0x02 -SCSI_In_DBx__1__PC EQU CYREG_PRT2_PC1 +SCSI_In_DBx__0__AG EQU CYREG_PRT12_AG +SCSI_In_DBx__0__BIE EQU CYREG_PRT12_BIE +SCSI_In_DBx__0__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In_DBx__0__BYP EQU CYREG_PRT12_BYP +SCSI_In_DBx__0__DM0 EQU CYREG_PRT12_DM0 +SCSI_In_DBx__0__DM1 EQU CYREG_PRT12_DM1 +SCSI_In_DBx__0__DM2 EQU CYREG_PRT12_DM2 +SCSI_In_DBx__0__DR EQU CYREG_PRT12_DR +SCSI_In_DBx__0__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In_DBx__0__MASK EQU 0x10 +SCSI_In_DBx__0__PC EQU CYREG_PRT12_PC4 +SCSI_In_DBx__0__PORT EQU 12 +SCSI_In_DBx__0__PRT EQU CYREG_PRT12_PRT +SCSI_In_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In_DBx__0__PS EQU CYREG_PRT12_PS +SCSI_In_DBx__0__SHIFT EQU 4 +SCSI_In_DBx__0__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In_DBx__0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In_DBx__0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In_DBx__0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In_DBx__0__SLW EQU CYREG_PRT12_SLW +SCSI_In_DBx__1__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__1__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__1__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__1__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__1__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__1__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__1__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__1__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__1__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__1__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__1__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__1__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__1__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__1__MASK EQU 0x80 +SCSI_In_DBx__1__PC EQU CYREG_PRT2_PC7 SCSI_In_DBx__1__PORT EQU 2 -SCSI_In_DBx__1__SHIFT EQU 1 -SCSI_In_DBx__2__MASK EQU 0x04 -SCSI_In_DBx__2__PC EQU CYREG_PRT2_PC2 +SCSI_In_DBx__1__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__1__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__1__SHIFT EQU 7 +SCSI_In_DBx__1__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__2__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__2__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__2__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__2__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__2__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__2__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__2__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__2__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__2__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__2__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__2__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__2__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__2__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__2__MASK EQU 0x40 +SCSI_In_DBx__2__PC EQU CYREG_PRT2_PC6 SCSI_In_DBx__2__PORT EQU 2 -SCSI_In_DBx__2__SHIFT EQU 2 -SCSI_In_DBx__3__MASK EQU 0x08 -SCSI_In_DBx__3__PC EQU CYREG_PRT2_PC3 +SCSI_In_DBx__2__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__2__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__2__SHIFT EQU 6 +SCSI_In_DBx__2__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__3__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__3__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__3__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__3__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__3__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__3__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__3__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__3__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__3__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__3__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__3__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__3__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__3__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__3__MASK EQU 0x20 +SCSI_In_DBx__3__PC EQU CYREG_PRT2_PC5 SCSI_In_DBx__3__PORT EQU 2 -SCSI_In_DBx__3__SHIFT EQU 3 +SCSI_In_DBx__3__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__3__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__3__SHIFT EQU 5 +SCSI_In_DBx__3__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__4__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__4__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__4__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__4__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__4__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__4__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__4__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__4__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__4__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__4__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__4__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__4__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__4__LCD_EN EQU CYREG_PRT2_LCD_EN SCSI_In_DBx__4__MASK EQU 0x10 SCSI_In_DBx__4__PC EQU CYREG_PRT2_PC4 SCSI_In_DBx__4__PORT EQU 2 +SCSI_In_DBx__4__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__4__PS EQU CYREG_PRT2_PS SCSI_In_DBx__4__SHIFT EQU 4 -SCSI_In_DBx__5__MASK EQU 0x20 -SCSI_In_DBx__5__PC EQU CYREG_PRT2_PC5 +SCSI_In_DBx__4__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__5__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__5__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__5__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__5__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__5__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__5__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__5__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__5__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__5__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__5__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__5__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__5__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__5__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__5__MASK EQU 0x08 +SCSI_In_DBx__5__PC EQU CYREG_PRT2_PC3 SCSI_In_DBx__5__PORT EQU 2 -SCSI_In_DBx__5__SHIFT EQU 5 -SCSI_In_DBx__6__MASK EQU 0x40 -SCSI_In_DBx__6__PC EQU CYREG_PRT2_PC6 +SCSI_In_DBx__5__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__5__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__5__SHIFT EQU 3 +SCSI_In_DBx__5__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__6__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__6__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__6__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__6__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__6__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__6__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__6__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__6__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__6__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__6__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__6__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__6__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__6__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__6__MASK EQU 0x04 +SCSI_In_DBx__6__PC EQU CYREG_PRT2_PC2 SCSI_In_DBx__6__PORT EQU 2 -SCSI_In_DBx__6__SHIFT EQU 6 -SCSI_In_DBx__7__MASK EQU 0x80 -SCSI_In_DBx__7__PC EQU CYREG_PRT2_PC7 +SCSI_In_DBx__6__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__6__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__6__SHIFT EQU 2 +SCSI_In_DBx__6__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__7__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__7__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__7__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__7__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__7__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__7__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__7__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__7__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__7__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__7__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__7__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__7__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__7__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__7__MASK EQU 0x02 +SCSI_In_DBx__7__PC EQU CYREG_PRT2_PC1 SCSI_In_DBx__7__PORT EQU 2 -SCSI_In_DBx__7__SHIFT EQU 7 -SCSI_In_DBx__AG EQU CYREG_PRT2_AG -SCSI_In_DBx__AMUX EQU CYREG_PRT2_AMUX -SCSI_In_DBx__BIE EQU CYREG_PRT2_BIE -SCSI_In_DBx__BIT_MASK EQU CYREG_PRT2_BIT_MASK -SCSI_In_DBx__BYP EQU CYREG_PRT2_BYP -SCSI_In_DBx__CTL EQU CYREG_PRT2_CTL -SCSI_In_DBx__DB0__MASK EQU 0x01 -SCSI_In_DBx__DB0__PC EQU CYREG_PRT2_PC0 -SCSI_In_DBx__DB0__PORT EQU 2 -SCSI_In_DBx__DB0__SHIFT EQU 0 -SCSI_In_DBx__DB1__MASK EQU 0x02 -SCSI_In_DBx__DB1__PC EQU CYREG_PRT2_PC1 +SCSI_In_DBx__7__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__7__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__7__SHIFT EQU 1 +SCSI_In_DBx__7__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB0__AG EQU CYREG_PRT12_AG +SCSI_In_DBx__DB0__BIE EQU CYREG_PRT12_BIE +SCSI_In_DBx__DB0__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In_DBx__DB0__BYP EQU CYREG_PRT12_BYP +SCSI_In_DBx__DB0__DM0 EQU CYREG_PRT12_DM0 +SCSI_In_DBx__DB0__DM1 EQU CYREG_PRT12_DM1 +SCSI_In_DBx__DB0__DM2 EQU CYREG_PRT12_DM2 +SCSI_In_DBx__DB0__DR EQU CYREG_PRT12_DR +SCSI_In_DBx__DB0__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In_DBx__DB0__MASK EQU 0x10 +SCSI_In_DBx__DB0__PC EQU CYREG_PRT12_PC4 +SCSI_In_DBx__DB0__PORT EQU 12 +SCSI_In_DBx__DB0__PRT EQU CYREG_PRT12_PRT +SCSI_In_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In_DBx__DB0__PS EQU CYREG_PRT12_PS +SCSI_In_DBx__DB0__SHIFT EQU 4 +SCSI_In_DBx__DB0__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In_DBx__DB0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In_DBx__DB0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In_DBx__DB0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In_DBx__DB0__SLW EQU CYREG_PRT12_SLW +SCSI_In_DBx__DB1__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB1__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB1__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB1__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB1__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB1__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB1__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB1__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB1__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB1__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB1__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB1__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB1__MASK EQU 0x80 +SCSI_In_DBx__DB1__PC EQU CYREG_PRT2_PC7 SCSI_In_DBx__DB1__PORT EQU 2 -SCSI_In_DBx__DB1__SHIFT EQU 1 -SCSI_In_DBx__DB2__MASK EQU 0x04 -SCSI_In_DBx__DB2__PC EQU CYREG_PRT2_PC2 +SCSI_In_DBx__DB1__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB1__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB1__SHIFT EQU 7 +SCSI_In_DBx__DB1__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB2__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB2__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB2__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB2__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB2__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB2__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB2__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB2__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB2__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB2__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB2__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB2__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB2__MASK EQU 0x40 +SCSI_In_DBx__DB2__PC EQU CYREG_PRT2_PC6 SCSI_In_DBx__DB2__PORT EQU 2 -SCSI_In_DBx__DB2__SHIFT EQU 2 -SCSI_In_DBx__DB3__MASK EQU 0x08 -SCSI_In_DBx__DB3__PC EQU CYREG_PRT2_PC3 +SCSI_In_DBx__DB2__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB2__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB2__SHIFT EQU 6 +SCSI_In_DBx__DB2__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB3__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB3__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB3__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB3__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB3__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB3__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB3__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB3__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB3__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB3__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB3__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB3__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB3__MASK EQU 0x20 +SCSI_In_DBx__DB3__PC EQU CYREG_PRT2_PC5 SCSI_In_DBx__DB3__PORT EQU 2 -SCSI_In_DBx__DB3__SHIFT EQU 3 +SCSI_In_DBx__DB3__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB3__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB3__SHIFT EQU 5 +SCSI_In_DBx__DB3__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB4__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB4__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB4__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB4__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB4__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB4__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB4__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB4__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB4__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB4__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB4__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB4__LCD_EN EQU CYREG_PRT2_LCD_EN SCSI_In_DBx__DB4__MASK EQU 0x10 SCSI_In_DBx__DB4__PC EQU CYREG_PRT2_PC4 SCSI_In_DBx__DB4__PORT EQU 2 +SCSI_In_DBx__DB4__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB4__PS EQU CYREG_PRT2_PS SCSI_In_DBx__DB4__SHIFT EQU 4 -SCSI_In_DBx__DB5__MASK EQU 0x20 -SCSI_In_DBx__DB5__PC EQU CYREG_PRT2_PC5 +SCSI_In_DBx__DB4__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB5__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB5__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB5__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB5__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB5__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB5__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB5__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB5__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB5__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB5__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB5__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB5__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB5__MASK EQU 0x08 +SCSI_In_DBx__DB5__PC EQU CYREG_PRT2_PC3 SCSI_In_DBx__DB5__PORT EQU 2 -SCSI_In_DBx__DB5__SHIFT EQU 5 -SCSI_In_DBx__DB6__MASK EQU 0x40 -SCSI_In_DBx__DB6__PC EQU CYREG_PRT2_PC6 +SCSI_In_DBx__DB5__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB5__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB5__SHIFT EQU 3 +SCSI_In_DBx__DB5__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB6__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB6__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB6__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB6__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB6__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB6__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB6__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB6__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB6__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB6__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB6__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB6__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB6__MASK EQU 0x04 +SCSI_In_DBx__DB6__PC EQU CYREG_PRT2_PC2 SCSI_In_DBx__DB6__PORT EQU 2 -SCSI_In_DBx__DB6__SHIFT EQU 6 -SCSI_In_DBx__DB7__MASK EQU 0x80 -SCSI_In_DBx__DB7__PC EQU CYREG_PRT2_PC7 +SCSI_In_DBx__DB6__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB6__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB6__SHIFT EQU 2 +SCSI_In_DBx__DB6__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB7__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB7__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB7__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB7__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB7__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB7__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB7__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB7__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB7__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB7__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB7__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB7__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB7__MASK EQU 0x02 +SCSI_In_DBx__DB7__PC EQU CYREG_PRT2_PC1 SCSI_In_DBx__DB7__PORT EQU 2 -SCSI_In_DBx__DB7__SHIFT EQU 7 -SCSI_In_DBx__DM0 EQU CYREG_PRT2_DM0 -SCSI_In_DBx__DM1 EQU CYREG_PRT2_DM1 -SCSI_In_DBx__DM2 EQU CYREG_PRT2_DM2 -SCSI_In_DBx__DR EQU CYREG_PRT2_DR -SCSI_In_DBx__INP_DIS EQU CYREG_PRT2_INP_DIS -SCSI_In_DBx__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG -SCSI_In_DBx__LCD_EN EQU CYREG_PRT2_LCD_EN -SCSI_In_DBx__MASK EQU 0xFF -SCSI_In_DBx__PORT EQU 2 -SCSI_In_DBx__PRT EQU CYREG_PRT2_PRT -SCSI_In_DBx__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL -SCSI_In_DBx__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN -SCSI_In_DBx__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 -SCSI_In_DBx__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 -SCSI_In_DBx__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 -SCSI_In_DBx__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 -SCSI_In_DBx__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT -SCSI_In_DBx__PS EQU CYREG_PRT2_PS -SCSI_In_DBx__SHIFT EQU 0 -SCSI_In_DBx__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB7__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB7__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB7__SHIFT EQU 1 +SCSI_In_DBx__DB7__SLW EQU CYREG_PRT2_SLW /* SD_Data_Clk */ SD_Data_Clk__CFG0 EQU CYREG_CLKDIST_DCFG0_CFG0 @@ -324,11 +1068,137 @@ SD_Init_Clk__PM_ACT_MSK EQU 0x02 SD_Init_Clk__PM_STBY_CFG EQU CYREG_PM_STBY_CFG2 SD_Init_Clk__PM_STBY_MSK EQU 0x02 +/* USBFS_1_USB */ +USBFS_1_USB__ARB_CFG EQU CYREG_USB_ARB_CFG +USBFS_1_USB__ARB_EP1_CFG EQU CYREG_USB_ARB_EP1_CFG +USBFS_1_USB__ARB_EP1_INT_EN EQU CYREG_USB_ARB_EP1_INT_EN +USBFS_1_USB__ARB_EP1_SR EQU CYREG_USB_ARB_EP1_SR +USBFS_1_USB__ARB_EP2_CFG EQU CYREG_USB_ARB_EP2_CFG +USBFS_1_USB__ARB_EP2_INT_EN EQU CYREG_USB_ARB_EP2_INT_EN +USBFS_1_USB__ARB_EP2_SR EQU CYREG_USB_ARB_EP2_SR +USBFS_1_USB__ARB_EP3_CFG EQU CYREG_USB_ARB_EP3_CFG +USBFS_1_USB__ARB_EP3_INT_EN EQU CYREG_USB_ARB_EP3_INT_EN +USBFS_1_USB__ARB_EP3_SR EQU CYREG_USB_ARB_EP3_SR +USBFS_1_USB__ARB_EP4_CFG EQU CYREG_USB_ARB_EP4_CFG +USBFS_1_USB__ARB_EP4_INT_EN EQU CYREG_USB_ARB_EP4_INT_EN +USBFS_1_USB__ARB_EP4_SR EQU CYREG_USB_ARB_EP4_SR +USBFS_1_USB__ARB_EP5_CFG EQU CYREG_USB_ARB_EP5_CFG +USBFS_1_USB__ARB_EP5_INT_EN EQU CYREG_USB_ARB_EP5_INT_EN +USBFS_1_USB__ARB_EP5_SR EQU CYREG_USB_ARB_EP5_SR +USBFS_1_USB__ARB_EP6_CFG EQU CYREG_USB_ARB_EP6_CFG +USBFS_1_USB__ARB_EP6_INT_EN EQU CYREG_USB_ARB_EP6_INT_EN +USBFS_1_USB__ARB_EP6_SR EQU CYREG_USB_ARB_EP6_SR +USBFS_1_USB__ARB_EP7_CFG EQU CYREG_USB_ARB_EP7_CFG +USBFS_1_USB__ARB_EP7_INT_EN EQU CYREG_USB_ARB_EP7_INT_EN +USBFS_1_USB__ARB_EP7_SR EQU CYREG_USB_ARB_EP7_SR +USBFS_1_USB__ARB_EP8_CFG EQU CYREG_USB_ARB_EP8_CFG +USBFS_1_USB__ARB_EP8_INT_EN EQU CYREG_USB_ARB_EP8_INT_EN +USBFS_1_USB__ARB_EP8_SR EQU CYREG_USB_ARB_EP8_SR +USBFS_1_USB__ARB_INT_EN EQU CYREG_USB_ARB_INT_EN +USBFS_1_USB__ARB_INT_SR EQU CYREG_USB_ARB_INT_SR +USBFS_1_USB__ARB_RW1_DR EQU CYREG_USB_ARB_RW1_DR +USBFS_1_USB__ARB_RW1_RA EQU CYREG_USB_ARB_RW1_RA +USBFS_1_USB__ARB_RW1_RA_MSB EQU CYREG_USB_ARB_RW1_RA_MSB +USBFS_1_USB__ARB_RW1_WA EQU CYREG_USB_ARB_RW1_WA +USBFS_1_USB__ARB_RW1_WA_MSB EQU CYREG_USB_ARB_RW1_WA_MSB +USBFS_1_USB__ARB_RW2_DR EQU CYREG_USB_ARB_RW2_DR +USBFS_1_USB__ARB_RW2_RA EQU CYREG_USB_ARB_RW2_RA +USBFS_1_USB__ARB_RW2_RA_MSB EQU CYREG_USB_ARB_RW2_RA_MSB +USBFS_1_USB__ARB_RW2_WA EQU CYREG_USB_ARB_RW2_WA +USBFS_1_USB__ARB_RW2_WA_MSB EQU CYREG_USB_ARB_RW2_WA_MSB +USBFS_1_USB__ARB_RW3_DR EQU CYREG_USB_ARB_RW3_DR +USBFS_1_USB__ARB_RW3_RA EQU CYREG_USB_ARB_RW3_RA +USBFS_1_USB__ARB_RW3_RA_MSB EQU CYREG_USB_ARB_RW3_RA_MSB +USBFS_1_USB__ARB_RW3_WA EQU CYREG_USB_ARB_RW3_WA +USBFS_1_USB__ARB_RW3_WA_MSB EQU CYREG_USB_ARB_RW3_WA_MSB +USBFS_1_USB__ARB_RW4_DR EQU CYREG_USB_ARB_RW4_DR +USBFS_1_USB__ARB_RW4_RA EQU CYREG_USB_ARB_RW4_RA +USBFS_1_USB__ARB_RW4_RA_MSB EQU CYREG_USB_ARB_RW4_RA_MSB +USBFS_1_USB__ARB_RW4_WA EQU CYREG_USB_ARB_RW4_WA +USBFS_1_USB__ARB_RW4_WA_MSB EQU CYREG_USB_ARB_RW4_WA_MSB +USBFS_1_USB__ARB_RW5_DR EQU CYREG_USB_ARB_RW5_DR +USBFS_1_USB__ARB_RW5_RA EQU CYREG_USB_ARB_RW5_RA +USBFS_1_USB__ARB_RW5_RA_MSB EQU CYREG_USB_ARB_RW5_RA_MSB +USBFS_1_USB__ARB_RW5_WA EQU CYREG_USB_ARB_RW5_WA +USBFS_1_USB__ARB_RW5_WA_MSB EQU CYREG_USB_ARB_RW5_WA_MSB +USBFS_1_USB__ARB_RW6_DR EQU CYREG_USB_ARB_RW6_DR +USBFS_1_USB__ARB_RW6_RA EQU CYREG_USB_ARB_RW6_RA +USBFS_1_USB__ARB_RW6_RA_MSB EQU CYREG_USB_ARB_RW6_RA_MSB +USBFS_1_USB__ARB_RW6_WA EQU CYREG_USB_ARB_RW6_WA +USBFS_1_USB__ARB_RW6_WA_MSB EQU CYREG_USB_ARB_RW6_WA_MSB +USBFS_1_USB__ARB_RW7_DR EQU CYREG_USB_ARB_RW7_DR +USBFS_1_USB__ARB_RW7_RA EQU CYREG_USB_ARB_RW7_RA +USBFS_1_USB__ARB_RW7_RA_MSB EQU CYREG_USB_ARB_RW7_RA_MSB +USBFS_1_USB__ARB_RW7_WA EQU CYREG_USB_ARB_RW7_WA +USBFS_1_USB__ARB_RW7_WA_MSB EQU CYREG_USB_ARB_RW7_WA_MSB +USBFS_1_USB__ARB_RW8_DR EQU CYREG_USB_ARB_RW8_DR +USBFS_1_USB__ARB_RW8_RA EQU CYREG_USB_ARB_RW8_RA +USBFS_1_USB__ARB_RW8_RA_MSB EQU CYREG_USB_ARB_RW8_RA_MSB +USBFS_1_USB__ARB_RW8_WA EQU CYREG_USB_ARB_RW8_WA +USBFS_1_USB__ARB_RW8_WA_MSB EQU CYREG_USB_ARB_RW8_WA_MSB +USBFS_1_USB__BUF_SIZE EQU CYREG_USB_BUF_SIZE +USBFS_1_USB__BUS_RST_CNT EQU CYREG_USB_BUS_RST_CNT +USBFS_1_USB__CR0 EQU CYREG_USB_CR0 +USBFS_1_USB__CR1 EQU CYREG_USB_CR1 +USBFS_1_USB__CWA EQU CYREG_USB_CWA +USBFS_1_USB__CWA_MSB EQU CYREG_USB_CWA_MSB +USBFS_1_USB__DMA_THRES EQU CYREG_USB_DMA_THRES +USBFS_1_USB__DMA_THRES_MSB EQU CYREG_USB_DMA_THRES_MSB +USBFS_1_USB__DYN_RECONFIG EQU CYREG_USB_DYN_RECONFIG +USBFS_1_USB__EP0_CNT EQU CYREG_USB_EP0_CNT +USBFS_1_USB__EP0_CR EQU CYREG_USB_EP0_CR +USBFS_1_USB__EP0_DR0 EQU CYREG_USB_EP0_DR0 +USBFS_1_USB__EP0_DR1 EQU CYREG_USB_EP0_DR1 +USBFS_1_USB__EP0_DR2 EQU CYREG_USB_EP0_DR2 +USBFS_1_USB__EP0_DR3 EQU CYREG_USB_EP0_DR3 +USBFS_1_USB__EP0_DR4 EQU CYREG_USB_EP0_DR4 +USBFS_1_USB__EP0_DR5 EQU CYREG_USB_EP0_DR5 +USBFS_1_USB__EP0_DR6 EQU CYREG_USB_EP0_DR6 +USBFS_1_USB__EP0_DR7 EQU CYREG_USB_EP0_DR7 +USBFS_1_USB__EP_ACTIVE EQU CYREG_USB_EP_ACTIVE +USBFS_1_USB__EP_TYPE EQU CYREG_USB_EP_TYPE +USBFS_1_USB__MEM_DATA EQU CYREG_USB_MEM_DATA_MBASE +USBFS_1_USB__PM_ACT_CFG EQU CYREG_PM_ACT_CFG5 +USBFS_1_USB__PM_ACT_MSK EQU 0x01 +USBFS_1_USB__PM_STBY_CFG EQU CYREG_PM_STBY_CFG5 +USBFS_1_USB__PM_STBY_MSK EQU 0x01 +USBFS_1_USB__SIE_EP1_CNT0 EQU CYREG_USB_SIE_EP1_CNT0 +USBFS_1_USB__SIE_EP1_CNT1 EQU CYREG_USB_SIE_EP1_CNT1 +USBFS_1_USB__SIE_EP1_CR0 EQU CYREG_USB_SIE_EP1_CR0 +USBFS_1_USB__SIE_EP2_CNT0 EQU CYREG_USB_SIE_EP2_CNT0 +USBFS_1_USB__SIE_EP2_CNT1 EQU CYREG_USB_SIE_EP2_CNT1 +USBFS_1_USB__SIE_EP2_CR0 EQU CYREG_USB_SIE_EP2_CR0 +USBFS_1_USB__SIE_EP3_CNT0 EQU CYREG_USB_SIE_EP3_CNT0 +USBFS_1_USB__SIE_EP3_CNT1 EQU CYREG_USB_SIE_EP3_CNT1 +USBFS_1_USB__SIE_EP3_CR0 EQU CYREG_USB_SIE_EP3_CR0 +USBFS_1_USB__SIE_EP4_CNT0 EQU CYREG_USB_SIE_EP4_CNT0 +USBFS_1_USB__SIE_EP4_CNT1 EQU CYREG_USB_SIE_EP4_CNT1 +USBFS_1_USB__SIE_EP4_CR0 EQU CYREG_USB_SIE_EP4_CR0 +USBFS_1_USB__SIE_EP5_CNT0 EQU CYREG_USB_SIE_EP5_CNT0 +USBFS_1_USB__SIE_EP5_CNT1 EQU CYREG_USB_SIE_EP5_CNT1 +USBFS_1_USB__SIE_EP5_CR0 EQU CYREG_USB_SIE_EP5_CR0 +USBFS_1_USB__SIE_EP6_CNT0 EQU CYREG_USB_SIE_EP6_CNT0 +USBFS_1_USB__SIE_EP6_CNT1 EQU CYREG_USB_SIE_EP6_CNT1 +USBFS_1_USB__SIE_EP6_CR0 EQU CYREG_USB_SIE_EP6_CR0 +USBFS_1_USB__SIE_EP7_CNT0 EQU CYREG_USB_SIE_EP7_CNT0 +USBFS_1_USB__SIE_EP7_CNT1 EQU CYREG_USB_SIE_EP7_CNT1 +USBFS_1_USB__SIE_EP7_CR0 EQU CYREG_USB_SIE_EP7_CR0 +USBFS_1_USB__SIE_EP8_CNT0 EQU CYREG_USB_SIE_EP8_CNT0 +USBFS_1_USB__SIE_EP8_CNT1 EQU CYREG_USB_SIE_EP8_CNT1 +USBFS_1_USB__SIE_EP8_CR0 EQU CYREG_USB_SIE_EP8_CR0 +USBFS_1_USB__SIE_EP_INT_EN EQU CYREG_USB_SIE_EP_INT_EN +USBFS_1_USB__SIE_EP_INT_SR EQU CYREG_USB_SIE_EP_INT_SR +USBFS_1_USB__SOF0 EQU CYREG_USB_SOF0 +USBFS_1_USB__SOF1 EQU CYREG_USB_SOF1 +USBFS_1_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 +USBFS_1_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 +USBFS_1_USB__USB_CLK_EN EQU CYREG_USB_USB_CLK_EN + /* scsiTarget */ scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB11_12_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_01_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB00_01_ST scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 scsiTarget_StatusReg__2__MASK EQU 0x04 @@ -336,82 +1206,142 @@ scsiTarget_StatusReg__2__POS EQU 2 scsiTarget_StatusReg__3__MASK EQU 0x08 scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__MASK EQU 0x0F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB11_MSK -scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -scsiTarget_StatusReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -scsiTarget_StatusReg__STATUS_CNT_REG EQU CYREG_B0_UDB11_ST_CTL -scsiTarget_StatusReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB11_ST_CTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB11_ST -scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST -scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB04_MSK -scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB04_ST -scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB04_CTL -scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB04_CTL -scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB04_MSK -scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB04_05_A0 -scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB04_05_A1 -scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB04_05_D0 -scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB04_05_D1 -scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB04_05_F0 -scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB04_05_F1 -scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB04_A0_A1 -scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB04_A0 -scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB04_A1 -scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB04_D0_D1 -scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB04_D0 -scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB04_D1 -scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB04_F0_F1 -scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB04_F0 -scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB04_F1 -scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB00_MSK +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_ACTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB00_ST +scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST +scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB03_MSK +scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB03_ST +scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB03_CTL +scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB03_CTL +scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB03_MSK +scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB03_04_A0 +scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB03_04_A1 +scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB03_04_D0 +scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB03_04_D1 +scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB03_04_F0 +scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB03_04_F1 +scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB03_A0_A1 +scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB03_A0 +scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB03_A1 +scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB03_D0_D1 +scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB03_D0 +scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB03_D1 +scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB03_F0_F1 +scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB03_F0 +scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB03_F1 +scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL /* SD_Clk_Ctl */ SD_Clk_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SD_Clk_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__1__MASK EQU 0x02 -SD_Clk_Ctl_Sync_ctrl_reg__1__POS EQU 1 -SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB11_CTL -SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB11_ST_CTL -SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB11_CTL -SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB11_ST_CTL -SD_Clk_Ctl_Sync_ctrl_reg__MASK EQU 0x03 -SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB11_MSK -SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB01_CTL +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB01_CTL +SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SD_Clk_Ctl_Sync_ctrl_reg__MASK EQU 0x01 +SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB01_MSK +SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL + +/* USBFS_1_Dm */ +USBFS_1_Dm__0__MASK EQU 0x80 +USBFS_1_Dm__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC1 +USBFS_1_Dm__0__PORT EQU 15 +USBFS_1_Dm__0__SHIFT EQU 7 +USBFS_1_Dm__AG EQU CYREG_PRT15_AG +USBFS_1_Dm__AMUX EQU CYREG_PRT15_AMUX +USBFS_1_Dm__BIE EQU CYREG_PRT15_BIE +USBFS_1_Dm__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_1_Dm__BYP EQU CYREG_PRT15_BYP +USBFS_1_Dm__CTL EQU CYREG_PRT15_CTL +USBFS_1_Dm__DM0 EQU CYREG_PRT15_DM0 +USBFS_1_Dm__DM1 EQU CYREG_PRT15_DM1 +USBFS_1_Dm__DM2 EQU CYREG_PRT15_DM2 +USBFS_1_Dm__DR EQU CYREG_PRT15_DR +USBFS_1_Dm__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_1_Dm__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_1_Dm__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_1_Dm__MASK EQU 0x80 +USBFS_1_Dm__PORT EQU 15 +USBFS_1_Dm__PRT EQU CYREG_PRT15_PRT +USBFS_1_Dm__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_1_Dm__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_1_Dm__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_1_Dm__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_1_Dm__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_1_Dm__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_1_Dm__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_1_Dm__PS EQU CYREG_PRT15_PS +USBFS_1_Dm__SHIFT EQU 7 +USBFS_1_Dm__SLW EQU CYREG_PRT15_SLW + +/* USBFS_1_Dp */ +USBFS_1_Dp__0__MASK EQU 0x40 +USBFS_1_Dp__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC0 +USBFS_1_Dp__0__PORT EQU 15 +USBFS_1_Dp__0__SHIFT EQU 6 +USBFS_1_Dp__AG EQU CYREG_PRT15_AG +USBFS_1_Dp__AMUX EQU CYREG_PRT15_AMUX +USBFS_1_Dp__BIE EQU CYREG_PRT15_BIE +USBFS_1_Dp__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_1_Dp__BYP EQU CYREG_PRT15_BYP +USBFS_1_Dp__CTL EQU CYREG_PRT15_CTL +USBFS_1_Dp__DM0 EQU CYREG_PRT15_DM0 +USBFS_1_Dp__DM1 EQU CYREG_PRT15_DM1 +USBFS_1_Dp__DM2 EQU CYREG_PRT15_DM2 +USBFS_1_Dp__DR EQU CYREG_PRT15_DR +USBFS_1_Dp__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_1_Dp__INTSTAT EQU CYREG_PICU15_INTSTAT +USBFS_1_Dp__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_1_Dp__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_1_Dp__MASK EQU 0x40 +USBFS_1_Dp__PORT EQU 15 +USBFS_1_Dp__PRT EQU CYREG_PRT15_PRT +USBFS_1_Dp__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_1_Dp__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_1_Dp__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_1_Dp__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_1_Dp__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_1_Dp__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_1_Dp__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_1_Dp__PS EQU CYREG_PRT15_PS +USBFS_1_Dp__SHIFT EQU 6 +USBFS_1_Dp__SLW EQU CYREG_PRT15_SLW +USBFS_1_Dp__SNAP EQU CYREG_PICU_15_SNAP_15 /* SCSI_ATN */ SCSI_ATN__0__MASK EQU 0x20 @@ -464,8 +1394,8 @@ SCSI_Out__0__DR EQU CYREG_PRT4_DR SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__0__MASK EQU 0x04 -SCSI_Out__0__PC EQU CYREG_PRT4_PC2 +SCSI_Out__0__MASK EQU 0x08 +SCSI_Out__0__PC EQU CYREG_PRT4_PC3 SCSI_Out__0__PORT EQU 4 SCSI_Out__0__PRT EQU CYREG_PRT4_PRT SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -476,7 +1406,7 @@ SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__0__PS EQU CYREG_PRT4_PS -SCSI_Out__0__SHIFT EQU 2 +SCSI_Out__0__SHIFT EQU 3 SCSI_Out__0__SLW EQU CYREG_PRT4_SLW SCSI_Out__1__AG EQU CYREG_PRT4_AG SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX @@ -491,8 +1421,8 @@ SCSI_Out__1__DR EQU CYREG_PRT4_DR SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__1__MASK EQU 0x08 -SCSI_Out__1__PC EQU CYREG_PRT4_PC3 +SCSI_Out__1__MASK EQU 0x04 +SCSI_Out__1__PC EQU CYREG_PRT4_PC2 SCSI_Out__1__PORT EQU 4 SCSI_Out__1__PRT EQU CYREG_PRT4_PRT SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -503,251 +1433,251 @@ SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__1__PS EQU CYREG_PRT4_PS -SCSI_Out__1__SHIFT EQU 3 +SCSI_Out__1__SHIFT EQU 2 SCSI_Out__1__SLW EQU CYREG_PRT4_SLW -SCSI_Out__2__AG EQU CYREG_PRT4_AG -SCSI_Out__2__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__2__BIE EQU CYREG_PRT4_BIE -SCSI_Out__2__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__2__BYP EQU CYREG_PRT4_BYP -SCSI_Out__2__CTL EQU CYREG_PRT4_CTL -SCSI_Out__2__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__2__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__2__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__2__DR EQU CYREG_PRT4_DR -SCSI_Out__2__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__2__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__2__MASK EQU 0x10 -SCSI_Out__2__PC EQU CYREG_PRT4_PC4 -SCSI_Out__2__PORT EQU 4 -SCSI_Out__2__PRT EQU CYREG_PRT4_PRT -SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__2__PS EQU CYREG_PRT4_PS -SCSI_Out__2__SHIFT EQU 4 -SCSI_Out__2__SLW EQU CYREG_PRT4_SLW -SCSI_Out__3__AG EQU CYREG_PRT4_AG -SCSI_Out__3__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__3__BIE EQU CYREG_PRT4_BIE -SCSI_Out__3__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__3__BYP EQU CYREG_PRT4_BYP -SCSI_Out__3__CTL EQU CYREG_PRT4_CTL -SCSI_Out__3__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__3__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__3__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__3__DR EQU CYREG_PRT4_DR -SCSI_Out__3__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__3__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__3__MASK EQU 0x20 -SCSI_Out__3__PC EQU CYREG_PRT4_PC5 -SCSI_Out__3__PORT EQU 4 -SCSI_Out__3__PRT EQU CYREG_PRT4_PRT -SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__3__PS EQU CYREG_PRT4_PS -SCSI_Out__3__SHIFT EQU 5 -SCSI_Out__3__SLW EQU CYREG_PRT4_SLW -SCSI_Out__4__AG EQU CYREG_PRT4_AG -SCSI_Out__4__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__4__BIE EQU CYREG_PRT4_BIE -SCSI_Out__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__4__BYP EQU CYREG_PRT4_BYP -SCSI_Out__4__CTL EQU CYREG_PRT4_CTL -SCSI_Out__4__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__4__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__4__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__4__DR EQU CYREG_PRT4_DR -SCSI_Out__4__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__4__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__4__MASK EQU 0x40 -SCSI_Out__4__PC EQU CYREG_PRT4_PC6 -SCSI_Out__4__PORT EQU 4 -SCSI_Out__4__PRT EQU CYREG_PRT4_PRT -SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__4__PS EQU CYREG_PRT4_PS -SCSI_Out__4__SHIFT EQU 6 -SCSI_Out__4__SLW EQU CYREG_PRT4_SLW -SCSI_Out__5__AG EQU CYREG_PRT4_AG -SCSI_Out__5__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__5__BIE EQU CYREG_PRT4_BIE -SCSI_Out__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__5__BYP EQU CYREG_PRT4_BYP -SCSI_Out__5__CTL EQU CYREG_PRT4_CTL -SCSI_Out__5__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__5__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__5__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__5__DR EQU CYREG_PRT4_DR -SCSI_Out__5__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__5__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__5__MASK EQU 0x80 -SCSI_Out__5__PC EQU CYREG_PRT4_PC7 -SCSI_Out__5__PORT EQU 4 -SCSI_Out__5__PRT EQU CYREG_PRT4_PRT -SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__5__PS EQU CYREG_PRT4_PS -SCSI_Out__5__SHIFT EQU 7 -SCSI_Out__5__SLW EQU CYREG_PRT4_SLW -SCSI_Out__6__AG EQU CYREG_PRT6_AG -SCSI_Out__6__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__6__BIE EQU CYREG_PRT6_BIE -SCSI_Out__6__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__6__BYP EQU CYREG_PRT6_BYP -SCSI_Out__6__CTL EQU CYREG_PRT6_CTL -SCSI_Out__6__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__6__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__6__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__6__DR EQU CYREG_PRT6_DR -SCSI_Out__6__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__6__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__6__MASK EQU 0x01 -SCSI_Out__6__PC EQU CYREG_PRT6_PC0 -SCSI_Out__6__PORT EQU 6 -SCSI_Out__6__PRT EQU CYREG_PRT6_PRT -SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__6__PS EQU CYREG_PRT6_PS -SCSI_Out__6__SHIFT EQU 0 -SCSI_Out__6__SLW EQU CYREG_PRT6_SLW -SCSI_Out__7__AG EQU CYREG_PRT6_AG -SCSI_Out__7__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__7__BIE EQU CYREG_PRT6_BIE -SCSI_Out__7__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__7__BYP EQU CYREG_PRT6_BYP -SCSI_Out__7__CTL EQU CYREG_PRT6_CTL -SCSI_Out__7__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__7__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__7__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__7__DR EQU CYREG_PRT6_DR -SCSI_Out__7__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__7__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__7__MASK EQU 0x02 -SCSI_Out__7__PC EQU CYREG_PRT6_PC1 -SCSI_Out__7__PORT EQU 6 -SCSI_Out__7__PRT EQU CYREG_PRT6_PRT -SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__7__PS EQU CYREG_PRT6_PS -SCSI_Out__7__SHIFT EQU 1 -SCSI_Out__7__SLW EQU CYREG_PRT6_SLW -SCSI_Out__8__AG EQU CYREG_PRT6_AG -SCSI_Out__8__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__8__BIE EQU CYREG_PRT6_BIE -SCSI_Out__8__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__8__BYP EQU CYREG_PRT6_BYP -SCSI_Out__8__CTL EQU CYREG_PRT6_CTL -SCSI_Out__8__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__8__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__8__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__8__DR EQU CYREG_PRT6_DR -SCSI_Out__8__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__8__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__8__MASK EQU 0x04 -SCSI_Out__8__PC EQU CYREG_PRT6_PC2 -SCSI_Out__8__PORT EQU 6 -SCSI_Out__8__PRT EQU CYREG_PRT6_PRT -SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__8__PS EQU CYREG_PRT6_PS -SCSI_Out__8__SHIFT EQU 2 -SCSI_Out__8__SLW EQU CYREG_PRT6_SLW -SCSI_Out__9__AG EQU CYREG_PRT6_AG -SCSI_Out__9__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__9__BIE EQU CYREG_PRT6_BIE -SCSI_Out__9__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__9__BYP EQU CYREG_PRT6_BYP -SCSI_Out__9__CTL EQU CYREG_PRT6_CTL -SCSI_Out__9__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__9__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__9__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__9__DR EQU CYREG_PRT6_DR -SCSI_Out__9__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__9__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__9__MASK EQU 0x08 -SCSI_Out__9__PC EQU CYREG_PRT6_PC3 -SCSI_Out__9__PORT EQU 6 -SCSI_Out__9__PRT EQU CYREG_PRT6_PRT -SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__9__PS EQU CYREG_PRT6_PS -SCSI_Out__9__SHIFT EQU 3 -SCSI_Out__9__SLW EQU CYREG_PRT6_SLW -SCSI_Out__ACK__AG EQU CYREG_PRT4_AG -SCSI_Out__ACK__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__ACK__BIE EQU CYREG_PRT4_BIE -SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__ACK__BYP EQU CYREG_PRT4_BYP -SCSI_Out__ACK__CTL EQU CYREG_PRT4_CTL -SCSI_Out__ACK__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__ACK__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__ACK__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__ACK__DR EQU CYREG_PRT4_DR -SCSI_Out__ACK__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__ACK__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__ACK__MASK EQU 0x20 -SCSI_Out__ACK__PC EQU CYREG_PRT4_PC5 -SCSI_Out__ACK__PORT EQU 4 -SCSI_Out__ACK__PRT EQU CYREG_PRT4_PRT -SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__ACK__PS EQU CYREG_PRT4_PS -SCSI_Out__ACK__SHIFT EQU 5 -SCSI_Out__ACK__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT0_AG +SCSI_Out__2__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT0_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT0_BYP +SCSI_Out__2__CTL EQU CYREG_PRT0_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__2__DR EQU CYREG_PRT0_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__2__MASK EQU 0x80 +SCSI_Out__2__PC EQU CYREG_PRT0_PC7 +SCSI_Out__2__PORT EQU 0 +SCSI_Out__2__PRT EQU CYREG_PRT0_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT0_PS +SCSI_Out__2__SHIFT EQU 7 +SCSI_Out__2__SLW EQU CYREG_PRT0_SLW +SCSI_Out__3__AG EQU CYREG_PRT0_AG +SCSI_Out__3__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT0_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT0_BYP +SCSI_Out__3__CTL EQU CYREG_PRT0_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__3__DR EQU CYREG_PRT0_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__3__MASK EQU 0x40 +SCSI_Out__3__PC EQU CYREG_PRT0_PC6 +SCSI_Out__3__PORT EQU 0 +SCSI_Out__3__PRT EQU CYREG_PRT0_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT0_PS +SCSI_Out__3__SHIFT EQU 6 +SCSI_Out__3__SLW EQU CYREG_PRT0_SLW +SCSI_Out__4__AG EQU CYREG_PRT0_AG +SCSI_Out__4__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT0_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT0_BYP +SCSI_Out__4__CTL EQU CYREG_PRT0_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__4__DR EQU CYREG_PRT0_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__4__MASK EQU 0x20 +SCSI_Out__4__PC EQU CYREG_PRT0_PC5 +SCSI_Out__4__PORT EQU 0 +SCSI_Out__4__PRT EQU CYREG_PRT0_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT0_PS +SCSI_Out__4__SHIFT EQU 5 +SCSI_Out__4__SLW EQU CYREG_PRT0_SLW +SCSI_Out__5__AG EQU CYREG_PRT0_AG +SCSI_Out__5__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT0_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT0_BYP +SCSI_Out__5__CTL EQU CYREG_PRT0_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__5__DR EQU CYREG_PRT0_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__5__MASK EQU 0x10 +SCSI_Out__5__PC EQU CYREG_PRT0_PC4 +SCSI_Out__5__PORT EQU 0 +SCSI_Out__5__PRT EQU CYREG_PRT0_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT0_PS +SCSI_Out__5__SHIFT EQU 4 +SCSI_Out__5__SLW EQU CYREG_PRT0_SLW +SCSI_Out__6__AG EQU CYREG_PRT0_AG +SCSI_Out__6__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT0_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT0_BYP +SCSI_Out__6__CTL EQU CYREG_PRT0_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__6__DR EQU CYREG_PRT0_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__6__MASK EQU 0x08 +SCSI_Out__6__PC EQU CYREG_PRT0_PC3 +SCSI_Out__6__PORT EQU 0 +SCSI_Out__6__PRT EQU CYREG_PRT0_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT0_PS +SCSI_Out__6__SHIFT EQU 3 +SCSI_Out__6__SLW EQU CYREG_PRT0_SLW +SCSI_Out__7__AG EQU CYREG_PRT0_AG +SCSI_Out__7__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT0_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT0_BYP +SCSI_Out__7__CTL EQU CYREG_PRT0_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__7__DR EQU CYREG_PRT0_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__7__MASK EQU 0x04 +SCSI_Out__7__PC EQU CYREG_PRT0_PC2 +SCSI_Out__7__PORT EQU 0 +SCSI_Out__7__PRT EQU CYREG_PRT0_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT0_PS +SCSI_Out__7__SHIFT EQU 2 +SCSI_Out__7__SLW EQU CYREG_PRT0_SLW +SCSI_Out__8__AG EQU CYREG_PRT0_AG +SCSI_Out__8__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT0_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT0_BYP +SCSI_Out__8__CTL EQU CYREG_PRT0_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__8__DR EQU CYREG_PRT0_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__8__MASK EQU 0x02 +SCSI_Out__8__PC EQU CYREG_PRT0_PC1 +SCSI_Out__8__PORT EQU 0 +SCSI_Out__8__PRT EQU CYREG_PRT0_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT0_PS +SCSI_Out__8__SHIFT EQU 1 +SCSI_Out__8__SLW EQU CYREG_PRT0_SLW +SCSI_Out__9__AG EQU CYREG_PRT0_AG +SCSI_Out__9__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT0_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT0_BYP +SCSI_Out__9__CTL EQU CYREG_PRT0_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__9__DR EQU CYREG_PRT0_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__9__MASK EQU 0x01 +SCSI_Out__9__PC EQU CYREG_PRT0_PC0 +SCSI_Out__9__PORT EQU 0 +SCSI_Out__9__PRT EQU CYREG_PRT0_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT0_PS +SCSI_Out__9__SHIFT EQU 0 +SCSI_Out__9__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT0_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT0_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT0_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT0_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT0_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__ACK__MASK EQU 0x40 +SCSI_Out__ACK__PC EQU CYREG_PRT0_PC6 +SCSI_Out__ACK__PORT EQU 0 +SCSI_Out__ACK__PRT EQU CYREG_PRT0_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT0_PS +SCSI_Out__ACK__SHIFT EQU 6 +SCSI_Out__ACK__SLW EQU CYREG_PRT0_SLW SCSI_Out__ATN__AG EQU CYREG_PRT4_AG SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE @@ -761,8 +1691,8 @@ SCSI_Out__ATN__DR EQU CYREG_PRT4_DR SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__ATN__MASK EQU 0x08 -SCSI_Out__ATN__PC EQU CYREG_PRT4_PC3 +SCSI_Out__ATN__MASK EQU 0x04 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC2 SCSI_Out__ATN__PORT EQU 4 SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -773,62 +1703,62 @@ SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__ATN__PS EQU CYREG_PRT4_PS -SCSI_Out__ATN__SHIFT EQU 3 +SCSI_Out__ATN__SHIFT EQU 2 SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW -SCSI_Out__BSY__AG EQU CYREG_PRT4_AG -SCSI_Out__BSY__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__BSY__BIE EQU CYREG_PRT4_BIE -SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__BSY__BYP EQU CYREG_PRT4_BYP -SCSI_Out__BSY__CTL EQU CYREG_PRT4_CTL -SCSI_Out__BSY__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__BSY__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__BSY__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__BSY__DR EQU CYREG_PRT4_DR -SCSI_Out__BSY__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__BSY__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__BSY__MASK EQU 0x10 -SCSI_Out__BSY__PC EQU CYREG_PRT4_PC4 -SCSI_Out__BSY__PORT EQU 4 -SCSI_Out__BSY__PRT EQU CYREG_PRT4_PRT -SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__BSY__PS EQU CYREG_PRT4_PS -SCSI_Out__BSY__SHIFT EQU 4 -SCSI_Out__BSY__SLW EQU CYREG_PRT4_SLW -SCSI_Out__CD__AG EQU CYREG_PRT6_AG -SCSI_Out__CD__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__CD__BIE EQU CYREG_PRT6_BIE -SCSI_Out__CD__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__CD__BYP EQU CYREG_PRT6_BYP -SCSI_Out__CD__CTL EQU CYREG_PRT6_CTL -SCSI_Out__CD__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__CD__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__CD__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__CD__DR EQU CYREG_PRT6_DR -SCSI_Out__CD__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__CD__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__CD__MASK EQU 0x02 -SCSI_Out__CD__PC EQU CYREG_PRT6_PC1 -SCSI_Out__CD__PORT EQU 6 -SCSI_Out__CD__PRT EQU CYREG_PRT6_PRT -SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__CD__PS EQU CYREG_PRT6_PS -SCSI_Out__CD__SHIFT EQU 1 -SCSI_Out__CD__SLW EQU CYREG_PRT6_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT0_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT0_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT0_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT0_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT0_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__BSY__MASK EQU 0x80 +SCSI_Out__BSY__PC EQU CYREG_PRT0_PC7 +SCSI_Out__BSY__PORT EQU 0 +SCSI_Out__BSY__PRT EQU CYREG_PRT0_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT0_PS +SCSI_Out__BSY__SHIFT EQU 7 +SCSI_Out__BSY__SLW EQU CYREG_PRT0_SLW +SCSI_Out__CD__AG EQU CYREG_PRT0_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT0_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT0_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT0_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT0_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__CD__MASK EQU 0x04 +SCSI_Out__CD__PC EQU CYREG_PRT0_PC2 +SCSI_Out__CD__PORT EQU 0 +SCSI_Out__CD__PRT EQU CYREG_PRT0_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT0_PS +SCSI_Out__CD__SHIFT EQU 2 +SCSI_Out__CD__SLW EQU CYREG_PRT0_SLW SCSI_Out__DBP_raw__AG EQU CYREG_PRT4_AG SCSI_Out__DBP_raw__AMUX EQU CYREG_PRT4_AMUX SCSI_Out__DBP_raw__BIE EQU CYREG_PRT4_BIE @@ -842,8 +1772,8 @@ SCSI_Out__DBP_raw__DR EQU CYREG_PRT4_DR SCSI_Out__DBP_raw__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__DBP_raw__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__DBP_raw__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__DBP_raw__MASK EQU 0x04 -SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC2 +SCSI_Out__DBP_raw__MASK EQU 0x08 +SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC3 SCSI_Out__DBP_raw__PORT EQU 4 SCSI_Out__DBP_raw__PRT EQU CYREG_PRT4_PRT SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -854,143 +1784,143 @@ SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__DBP_raw__PS EQU CYREG_PRT4_PS -SCSI_Out__DBP_raw__SHIFT EQU 2 +SCSI_Out__DBP_raw__SHIFT EQU 3 SCSI_Out__DBP_raw__SLW EQU CYREG_PRT4_SLW -SCSI_Out__IO_raw__AG EQU CYREG_PRT6_AG -SCSI_Out__IO_raw__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__IO_raw__BIE EQU CYREG_PRT6_BIE -SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__IO_raw__BYP EQU CYREG_PRT6_BYP -SCSI_Out__IO_raw__CTL EQU CYREG_PRT6_CTL -SCSI_Out__IO_raw__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__IO_raw__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__IO_raw__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__IO_raw__DR EQU CYREG_PRT6_DR -SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__IO_raw__MASK EQU 0x08 -SCSI_Out__IO_raw__PC EQU CYREG_PRT6_PC3 -SCSI_Out__IO_raw__PORT EQU 6 -SCSI_Out__IO_raw__PRT EQU CYREG_PRT6_PRT -SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__IO_raw__PS EQU CYREG_PRT6_PS -SCSI_Out__IO_raw__SHIFT EQU 3 -SCSI_Out__IO_raw__SLW EQU CYREG_PRT6_SLW -SCSI_Out__MSG__AG EQU CYREG_PRT4_AG -SCSI_Out__MSG__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__MSG__BIE EQU CYREG_PRT4_BIE -SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__MSG__BYP EQU CYREG_PRT4_BYP -SCSI_Out__MSG__CTL EQU CYREG_PRT4_CTL -SCSI_Out__MSG__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__MSG__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__MSG__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__MSG__DR EQU CYREG_PRT4_DR -SCSI_Out__MSG__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__MSG__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__MSG__MASK EQU 0x80 -SCSI_Out__MSG__PC EQU CYREG_PRT4_PC7 -SCSI_Out__MSG__PORT EQU 4 -SCSI_Out__MSG__PRT EQU CYREG_PRT4_PRT -SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__MSG__PS EQU CYREG_PRT4_PS -SCSI_Out__MSG__SHIFT EQU 7 -SCSI_Out__MSG__SLW EQU CYREG_PRT4_SLW -SCSI_Out__REQ__AG EQU CYREG_PRT6_AG -SCSI_Out__REQ__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__REQ__BIE EQU CYREG_PRT6_BIE -SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__REQ__BYP EQU CYREG_PRT6_BYP -SCSI_Out__REQ__CTL EQU CYREG_PRT6_CTL -SCSI_Out__REQ__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__REQ__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__REQ__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__REQ__DR EQU CYREG_PRT6_DR -SCSI_Out__REQ__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__REQ__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__REQ__MASK EQU 0x04 -SCSI_Out__REQ__PC EQU CYREG_PRT6_PC2 -SCSI_Out__REQ__PORT EQU 6 -SCSI_Out__REQ__PRT EQU CYREG_PRT6_PRT -SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__REQ__PS EQU CYREG_PRT6_PS -SCSI_Out__REQ__SHIFT EQU 2 -SCSI_Out__REQ__SLW EQU CYREG_PRT6_SLW -SCSI_Out__RST__AG EQU CYREG_PRT4_AG -SCSI_Out__RST__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__RST__BIE EQU CYREG_PRT4_BIE -SCSI_Out__RST__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__RST__BYP EQU CYREG_PRT4_BYP -SCSI_Out__RST__CTL EQU CYREG_PRT4_CTL -SCSI_Out__RST__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__RST__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__RST__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__RST__DR EQU CYREG_PRT4_DR -SCSI_Out__RST__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__RST__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__RST__MASK EQU 0x40 -SCSI_Out__RST__PC EQU CYREG_PRT4_PC6 -SCSI_Out__RST__PORT EQU 4 -SCSI_Out__RST__PRT EQU CYREG_PRT4_PRT -SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__RST__PS EQU CYREG_PRT4_PS -SCSI_Out__RST__SHIFT EQU 6 -SCSI_Out__RST__SLW EQU CYREG_PRT4_SLW -SCSI_Out__SEL__AG EQU CYREG_PRT6_AG -SCSI_Out__SEL__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__SEL__BIE EQU CYREG_PRT6_BIE -SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__SEL__BYP EQU CYREG_PRT6_BYP -SCSI_Out__SEL__CTL EQU CYREG_PRT6_CTL -SCSI_Out__SEL__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__SEL__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__SEL__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__SEL__DR EQU CYREG_PRT6_DR -SCSI_Out__SEL__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__SEL__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__SEL__MASK EQU 0x01 -SCSI_Out__SEL__PC EQU CYREG_PRT6_PC0 -SCSI_Out__SEL__PORT EQU 6 -SCSI_Out__SEL__PRT EQU CYREG_PRT6_PRT -SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__SEL__PS EQU CYREG_PRT6_PS -SCSI_Out__SEL__SHIFT EQU 0 -SCSI_Out__SEL__SLW EQU CYREG_PRT6_SLW +SCSI_Out__IO_raw__AG EQU CYREG_PRT0_AG +SCSI_Out__IO_raw__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__IO_raw__BIE EQU CYREG_PRT0_BIE +SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__IO_raw__BYP EQU CYREG_PRT0_BYP +SCSI_Out__IO_raw__CTL EQU CYREG_PRT0_CTL +SCSI_Out__IO_raw__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__IO_raw__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__IO_raw__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__IO_raw__DR EQU CYREG_PRT0_DR +SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__IO_raw__MASK EQU 0x01 +SCSI_Out__IO_raw__PC EQU CYREG_PRT0_PC0 +SCSI_Out__IO_raw__PORT EQU 0 +SCSI_Out__IO_raw__PRT EQU CYREG_PRT0_PRT +SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__IO_raw__PS EQU CYREG_PRT0_PS +SCSI_Out__IO_raw__SHIFT EQU 0 +SCSI_Out__IO_raw__SLW EQU CYREG_PRT0_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT0_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT0_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT0_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT0_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT0_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__MSG__MASK EQU 0x10 +SCSI_Out__MSG__PC EQU CYREG_PRT0_PC4 +SCSI_Out__MSG__PORT EQU 0 +SCSI_Out__MSG__PRT EQU CYREG_PRT0_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT0_PS +SCSI_Out__MSG__SHIFT EQU 4 +SCSI_Out__MSG__SLW EQU CYREG_PRT0_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT0_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT0_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT0_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT0_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT0_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__REQ__MASK EQU 0x02 +SCSI_Out__REQ__PC EQU CYREG_PRT0_PC1 +SCSI_Out__REQ__PORT EQU 0 +SCSI_Out__REQ__PRT EQU CYREG_PRT0_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT0_PS +SCSI_Out__REQ__SHIFT EQU 1 +SCSI_Out__REQ__SLW EQU CYREG_PRT0_SLW +SCSI_Out__RST__AG EQU CYREG_PRT0_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT0_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT0_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT0_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT0_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__RST__MASK EQU 0x20 +SCSI_Out__RST__PC EQU CYREG_PRT0_PC5 +SCSI_Out__RST__PORT EQU 0 +SCSI_Out__RST__PRT EQU CYREG_PRT0_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT0_PS +SCSI_Out__RST__SHIFT EQU 5 +SCSI_Out__RST__SLW EQU CYREG_PRT0_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT0_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT0_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT0_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT0_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT0_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__SEL__MASK EQU 0x08 +SCSI_Out__SEL__PC EQU CYREG_PRT0_PC3 +SCSI_Out__SEL__PORT EQU 0 +SCSI_Out__SEL__PRT EQU CYREG_PRT0_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT0_PS +SCSI_Out__SEL__SHIFT EQU 3 +SCSI_Out__SEL__SLW EQU CYREG_PRT0_SLW /* SCSI_RST */ SCSI_RST__0__MASK EQU 0x40 @@ -1031,32 +1961,33 @@ SCSI_RST__SLW EQU CYREG_PRT6_SLW SCSI_RST__SNAP EQU CYREG_PICU6_SNAP /* SCSI_In */ -SCSI_In__0__AG EQU CYREG_PRT12_AG -SCSI_In__0__BIE EQU CYREG_PRT12_BIE -SCSI_In__0__BIT_MASK EQU CYREG_PRT12_BIT_MASK -SCSI_In__0__BYP EQU CYREG_PRT12_BYP -SCSI_In__0__DM0 EQU CYREG_PRT12_DM0 -SCSI_In__0__DM1 EQU CYREG_PRT12_DM1 -SCSI_In__0__DM2 EQU CYREG_PRT12_DM2 -SCSI_In__0__DR EQU CYREG_PRT12_DR -SCSI_In__0__INP_DIS EQU CYREG_PRT12_INP_DIS -SCSI_In__0__MASK EQU 0x10 -SCSI_In__0__PC EQU CYREG_PRT12_PC4 -SCSI_In__0__PORT EQU 12 -SCSI_In__0__PRT EQU CYREG_PRT12_PRT -SCSI_In__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN -SCSI_In__0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 -SCSI_In__0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 -SCSI_In__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 -SCSI_In__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 -SCSI_In__0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT -SCSI_In__0__PS EQU CYREG_PRT12_PS -SCSI_In__0__SHIFT EQU 4 -SCSI_In__0__SIO_CFG EQU CYREG_PRT12_SIO_CFG -SCSI_In__0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF -SCSI_In__0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN -SCSI_In__0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ -SCSI_In__0__SLW EQU CYREG_PRT12_SLW +SCSI_In__0__AG EQU CYREG_PRT2_AG +SCSI_In__0__AMUX EQU CYREG_PRT2_AMUX +SCSI_In__0__BIE EQU CYREG_PRT2_BIE +SCSI_In__0__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In__0__BYP EQU CYREG_PRT2_BYP +SCSI_In__0__CTL EQU CYREG_PRT2_CTL +SCSI_In__0__DM0 EQU CYREG_PRT2_DM0 +SCSI_In__0__DM1 EQU CYREG_PRT2_DM1 +SCSI_In__0__DM2 EQU CYREG_PRT2_DM2 +SCSI_In__0__DR EQU CYREG_PRT2_DR +SCSI_In__0__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In__0__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In__0__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In__0__MASK EQU 0x01 +SCSI_In__0__PC EQU CYREG_PRT2_PC0 +SCSI_In__0__PORT EQU 2 +SCSI_In__0__PRT EQU CYREG_PRT2_PRT +SCSI_In__0__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In__0__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In__0__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In__0__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In__0__PS EQU CYREG_PRT2_PS +SCSI_In__0__SHIFT EQU 0 +SCSI_In__0__SLW EQU CYREG_PRT2_SLW SCSI_In__1__AG EQU CYREG_PRT6_AG SCSI_In__1__AMUX EQU CYREG_PRT6_AMUX SCSI_In__1__BIE EQU CYREG_PRT6_BIE @@ -1327,32 +2258,33 @@ SCSI_In__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT SCSI_In__CD__PS EQU CYREG_PRT5_PS SCSI_In__CD__SHIFT EQU 1 SCSI_In__CD__SLW EQU CYREG_PRT5_SLW -SCSI_In__DBP__AG EQU CYREG_PRT12_AG -SCSI_In__DBP__BIE EQU CYREG_PRT12_BIE -SCSI_In__DBP__BIT_MASK EQU CYREG_PRT12_BIT_MASK -SCSI_In__DBP__BYP EQU CYREG_PRT12_BYP -SCSI_In__DBP__DM0 EQU CYREG_PRT12_DM0 -SCSI_In__DBP__DM1 EQU CYREG_PRT12_DM1 -SCSI_In__DBP__DM2 EQU CYREG_PRT12_DM2 -SCSI_In__DBP__DR EQU CYREG_PRT12_DR -SCSI_In__DBP__INP_DIS EQU CYREG_PRT12_INP_DIS -SCSI_In__DBP__MASK EQU 0x10 -SCSI_In__DBP__PC EQU CYREG_PRT12_PC4 -SCSI_In__DBP__PORT EQU 12 -SCSI_In__DBP__PRT EQU CYREG_PRT12_PRT -SCSI_In__DBP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN -SCSI_In__DBP__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 -SCSI_In__DBP__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 -SCSI_In__DBP__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 -SCSI_In__DBP__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 -SCSI_In__DBP__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT -SCSI_In__DBP__PS EQU CYREG_PRT12_PS -SCSI_In__DBP__SHIFT EQU 4 -SCSI_In__DBP__SIO_CFG EQU CYREG_PRT12_SIO_CFG -SCSI_In__DBP__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF -SCSI_In__DBP__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN -SCSI_In__DBP__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ -SCSI_In__DBP__SLW EQU CYREG_PRT12_SLW +SCSI_In__DBP__AG EQU CYREG_PRT2_AG +SCSI_In__DBP__AMUX EQU CYREG_PRT2_AMUX +SCSI_In__DBP__BIE EQU CYREG_PRT2_BIE +SCSI_In__DBP__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In__DBP__BYP EQU CYREG_PRT2_BYP +SCSI_In__DBP__CTL EQU CYREG_PRT2_CTL +SCSI_In__DBP__DM0 EQU CYREG_PRT2_DM0 +SCSI_In__DBP__DM1 EQU CYREG_PRT2_DM1 +SCSI_In__DBP__DM2 EQU CYREG_PRT2_DM2 +SCSI_In__DBP__DR EQU CYREG_PRT2_DR +SCSI_In__DBP__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In__DBP__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In__DBP__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In__DBP__MASK EQU 0x01 +SCSI_In__DBP__PC EQU CYREG_PRT2_PC0 +SCSI_In__DBP__PORT EQU 2 +SCSI_In__DBP__PRT EQU CYREG_PRT2_PRT +SCSI_In__DBP__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In__DBP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In__DBP__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In__DBP__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In__DBP__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In__DBP__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In__DBP__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In__DBP__PS EQU CYREG_PRT2_PS +SCSI_In__DBP__SHIFT EQU 0 +SCSI_In__DBP__SLW EQU CYREG_PRT2_SLW SCSI_In__IO__AG EQU CYREG_PRT5_AG SCSI_In__IO__AMUX EQU CYREG_PRT5_AMUX SCSI_In__IO__BIE EQU CYREG_PRT5_BIE @@ -1463,10 +2395,10 @@ SCSI_In__SEL__SHIFT EQU 0 SCSI_In__SEL__SLW EQU CYREG_PRT5_SLW /* SD_DAT1 */ -SD_DAT1__0__MASK EQU 0x20 -SD_DAT1__0__PC EQU CYREG_PRT3_PC5 +SD_DAT1__0__MASK EQU 0x01 +SD_DAT1__0__PC EQU CYREG_PRT3_PC0 SD_DAT1__0__PORT EQU 3 -SD_DAT1__0__SHIFT EQU 5 +SD_DAT1__0__SHIFT EQU 0 SD_DAT1__AG EQU CYREG_PRT3_AG SD_DAT1__AMUX EQU CYREG_PRT3_AMUX SD_DAT1__BIE EQU CYREG_PRT3_BIE @@ -1480,7 +2412,7 @@ SD_DAT1__DR EQU CYREG_PRT3_DR SD_DAT1__INP_DIS EQU CYREG_PRT3_INP_DIS SD_DAT1__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_DAT1__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_DAT1__MASK EQU 0x20 +SD_DAT1__MASK EQU 0x01 SD_DAT1__PORT EQU 3 SD_DAT1__PRT EQU CYREG_PRT3_PRT SD_DAT1__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1491,14 +2423,14 @@ SD_DAT1__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_DAT1__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_DAT1__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_DAT1__PS EQU CYREG_PRT3_PS -SD_DAT1__SHIFT EQU 5 +SD_DAT1__SHIFT EQU 0 SD_DAT1__SLW EQU CYREG_PRT3_SLW /* SD_DAT2 */ -SD_DAT2__0__MASK EQU 0x01 -SD_DAT2__0__PC EQU CYREG_PRT3_PC0 +SD_DAT2__0__MASK EQU 0x20 +SD_DAT2__0__PC EQU CYREG_PRT3_PC5 SD_DAT2__0__PORT EQU 3 -SD_DAT2__0__SHIFT EQU 0 +SD_DAT2__0__SHIFT EQU 5 SD_DAT2__AG EQU CYREG_PRT3_AG SD_DAT2__AMUX EQU CYREG_PRT3_AMUX SD_DAT2__BIE EQU CYREG_PRT3_BIE @@ -1512,7 +2444,7 @@ SD_DAT2__DR EQU CYREG_PRT3_DR SD_DAT2__INP_DIS EQU CYREG_PRT3_INP_DIS SD_DAT2__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_DAT2__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_DAT2__MASK EQU 0x01 +SD_DAT2__MASK EQU 0x20 SD_DAT2__PORT EQU 3 SD_DAT2__PRT EQU CYREG_PRT3_PRT SD_DAT2__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1523,14 +2455,14 @@ SD_DAT2__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_DAT2__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_DAT2__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_DAT2__PS EQU CYREG_PRT3_PS -SD_DAT2__SHIFT EQU 0 +SD_DAT2__SHIFT EQU 5 SD_DAT2__SLW EQU CYREG_PRT3_SLW /* SD_MISO */ -SD_MISO__0__MASK EQU 0x10 -SD_MISO__0__PC EQU CYREG_PRT3_PC4 +SD_MISO__0__MASK EQU 0x02 +SD_MISO__0__PC EQU CYREG_PRT3_PC1 SD_MISO__0__PORT EQU 3 -SD_MISO__0__SHIFT EQU 4 +SD_MISO__0__SHIFT EQU 1 SD_MISO__AG EQU CYREG_PRT3_AG SD_MISO__AMUX EQU CYREG_PRT3_AMUX SD_MISO__BIE EQU CYREG_PRT3_BIE @@ -1544,7 +2476,7 @@ SD_MISO__DR EQU CYREG_PRT3_DR SD_MISO__INP_DIS EQU CYREG_PRT3_INP_DIS SD_MISO__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_MISO__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_MISO__MASK EQU 0x10 +SD_MISO__MASK EQU 0x02 SD_MISO__PORT EQU 3 SD_MISO__PRT EQU CYREG_PRT3_PRT SD_MISO__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1555,14 +2487,14 @@ SD_MISO__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_MISO__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_MISO__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_MISO__PS EQU CYREG_PRT3_PS -SD_MISO__SHIFT EQU 4 +SD_MISO__SHIFT EQU 1 SD_MISO__SLW EQU CYREG_PRT3_SLW /* SD_MOSI */ -SD_MOSI__0__MASK EQU 0x04 -SD_MOSI__0__PC EQU CYREG_PRT3_PC2 +SD_MOSI__0__MASK EQU 0x08 +SD_MOSI__0__PC EQU CYREG_PRT3_PC3 SD_MOSI__0__PORT EQU 3 -SD_MOSI__0__SHIFT EQU 2 +SD_MOSI__0__SHIFT EQU 3 SD_MOSI__AG EQU CYREG_PRT3_AG SD_MOSI__AMUX EQU CYREG_PRT3_AMUX SD_MOSI__BIE EQU CYREG_PRT3_BIE @@ -1576,7 +2508,7 @@ SD_MOSI__DR EQU CYREG_PRT3_DR SD_MOSI__INP_DIS EQU CYREG_PRT3_INP_DIS SD_MOSI__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_MOSI__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_MOSI__MASK EQU 0x04 +SD_MOSI__MASK EQU 0x08 SD_MOSI__PORT EQU 3 SD_MOSI__PRT EQU CYREG_PRT3_PRT SD_MOSI__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1587,14 +2519,14 @@ SD_MOSI__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_MOSI__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_MOSI__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_MOSI__PS EQU CYREG_PRT3_PS -SD_MOSI__SHIFT EQU 2 +SD_MOSI__SHIFT EQU 3 SD_MOSI__SLW EQU CYREG_PRT3_SLW /* SD_SCK */ -SD_SCK__0__MASK EQU 0x08 -SD_SCK__0__PC EQU CYREG_PRT3_PC3 +SD_SCK__0__MASK EQU 0x04 +SD_SCK__0__PC EQU CYREG_PRT3_PC2 SD_SCK__0__PORT EQU 3 -SD_SCK__0__SHIFT EQU 3 +SD_SCK__0__SHIFT EQU 2 SD_SCK__AG EQU CYREG_PRT3_AG SD_SCK__AMUX EQU CYREG_PRT3_AMUX SD_SCK__BIE EQU CYREG_PRT3_BIE @@ -1608,7 +2540,7 @@ SD_SCK__DR EQU CYREG_PRT3_DR SD_SCK__INP_DIS EQU CYREG_PRT3_INP_DIS SD_SCK__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_SCK__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_SCK__MASK EQU 0x08 +SD_SCK__MASK EQU 0x04 SD_SCK__PORT EQU 3 SD_SCK__PRT EQU CYREG_PRT3_PRT SD_SCK__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1619,7 +2551,7 @@ SD_SCK__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_SCK__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_SCK__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_SCK__PS EQU CYREG_PRT3_PS -SD_SCK__SHIFT EQU 3 +SD_SCK__SHIFT EQU 2 SD_SCK__SLW EQU CYREG_PRT3_SLW /* SD_CD */ @@ -1655,10 +2587,10 @@ SD_CD__SHIFT EQU 6 SD_CD__SLW EQU CYREG_PRT3_SLW /* SD_CS */ -SD_CS__0__MASK EQU 0x02 -SD_CS__0__PC EQU CYREG_PRT3_PC1 +SD_CS__0__MASK EQU 0x10 +SD_CS__0__PC EQU CYREG_PRT3_PC4 SD_CS__0__PORT EQU 3 -SD_CS__0__SHIFT EQU 1 +SD_CS__0__SHIFT EQU 4 SD_CS__AG EQU CYREG_PRT3_AG SD_CS__AMUX EQU CYREG_PRT3_AMUX SD_CS__BIE EQU CYREG_PRT3_BIE @@ -1672,7 +2604,7 @@ SD_CS__DR EQU CYREG_PRT3_DR SD_CS__INP_DIS EQU CYREG_PRT3_INP_DIS SD_CS__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_CS__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_CS__MASK EQU 0x02 +SD_CS__MASK EQU 0x10 SD_CS__PORT EQU 3 SD_CS__PRT EQU CYREG_PRT3_PRT SD_CS__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1683,41 +2615,9 @@ SD_CS__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_CS__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_CS__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_CS__PS EQU CYREG_PRT3_PS -SD_CS__SHIFT EQU 1 +SD_CS__SHIFT EQU 4 SD_CS__SLW EQU CYREG_PRT3_SLW -/* SD_WP */ -SD_WP__0__MASK EQU 0x80 -SD_WP__0__PC EQU CYREG_PRT3_PC7 -SD_WP__0__PORT EQU 3 -SD_WP__0__SHIFT EQU 7 -SD_WP__AG EQU CYREG_PRT3_AG -SD_WP__AMUX EQU CYREG_PRT3_AMUX -SD_WP__BIE EQU CYREG_PRT3_BIE -SD_WP__BIT_MASK EQU CYREG_PRT3_BIT_MASK -SD_WP__BYP EQU CYREG_PRT3_BYP -SD_WP__CTL EQU CYREG_PRT3_CTL -SD_WP__DM0 EQU CYREG_PRT3_DM0 -SD_WP__DM1 EQU CYREG_PRT3_DM1 -SD_WP__DM2 EQU CYREG_PRT3_DM2 -SD_WP__DR EQU CYREG_PRT3_DR -SD_WP__INP_DIS EQU CYREG_PRT3_INP_DIS -SD_WP__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG -SD_WP__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_WP__MASK EQU 0x80 -SD_WP__PORT EQU 3 -SD_WP__PRT EQU CYREG_PRT3_PRT -SD_WP__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL -SD_WP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN -SD_WP__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 -SD_WP__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 -SD_WP__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 -SD_WP__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 -SD_WP__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT -SD_WP__PS EQU CYREG_PRT3_PS -SD_WP__SHIFT EQU 7 -SD_WP__SLW EQU CYREG_PRT3_SLW - /* LED1 */ LED1__0__MASK EQU 0x08 LED1__0__PC EQU CYREG_PRT12_PC3 @@ -1761,9 +2661,9 @@ CYDEV_CHIP_MEMBER_5B EQU 4 CYDEV_CHIP_FAMILY_PSOC5 EQU 3 CYDEV_CHIP_DIE_PSOC5LP EQU 4 CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP -BCLK__BUS_CLK__HZ EQU 63000000 -BCLK__BUS_CLK__KHZ EQU 63000 -BCLK__BUS_CLK__MHZ EQU 63 +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT CYDEV_CHIP_DIE_LEOPARD EQU 1 CYDEV_CHIP_DIE_PANTHER EQU 3 @@ -1773,7 +2673,7 @@ CYDEV_CHIP_FAMILY_PSOC3 EQU 1 CYDEV_CHIP_FAMILY_PSOC4 EQU 2 CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 -CYDEV_CHIP_JTAG_ID EQU 0x2E12F069 +CYDEV_CHIP_JTAG_ID EQU 0x2E133069 CYDEV_CHIP_MEMBER_3A EQU 1 CYDEV_CHIP_MEMBER_4A EQU 2 CYDEV_CHIP_MEMBER_5A EQU 3 @@ -1803,7 +2703,7 @@ CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 CYDEV_CONFIGURATION_COMPRESSED EQU 1 CYDEV_CONFIGURATION_DMA EQU 0 -CYDEV_CONFIGURATION_ECC EQU 1 +CYDEV_CONFIGURATION_ECC EQU 0 CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED CYDEV_CONFIGURATION_MODE_DMA EQU 2 @@ -1825,13 +2725,14 @@ CYDEV_ECC_ENABLE EQU 0 CYDEV_HEAP_SIZE EQU 0x1000 CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 CYDEV_INTR_RISING EQU 0x00000000 -CYDEV_PROJ_TYPE EQU 0 +CYDEV_PROJ_TYPE EQU 2 CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 CYDEV_PROJ_TYPE_LOADABLE EQU 2 CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 CYDEV_PROJ_TYPE_STANDARD EQU 0 CYDEV_PROTECTION_ENABLE EQU 0 CYDEV_STACK_SIZE EQU 0x4000 +CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP EQU 1 CYDEV_USE_BUNDLED_CMSIS EQU 1 CYDEV_VARIABLE_VDDA EQU 0 CYDEV_VDDA_MV EQU 5000 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc old mode 100644 new mode 100755 index 0023a23..d0378d2 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc @@ -3,6 +3,46 @@ INCLUDED_CYFITTERRV_INC EQU 1 GET cydevicerv.inc GET cydevicerv_trm.inc +; USBFS_1_bus_reset +USBFS_1_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_bus_reset__INTC_MASK EQU 0x800000 +USBFS_1_bus_reset__INTC_NUMBER EQU 23 +USBFS_1_bus_reset__INTC_PRIOR_NUM EQU 7 +USBFS_1_bus_reset__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_23 +USBFS_1_bus_reset__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_1_arb_int +USBFS_1_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_arb_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_arb_int__INTC_MASK EQU 0x400000 +USBFS_1_arb_int__INTC_NUMBER EQU 22 +USBFS_1_arb_int__INTC_PRIOR_NUM EQU 7 +USBFS_1_arb_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_22 +USBFS_1_arb_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_arb_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_1_sof_int +USBFS_1_sof_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_sof_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_sof_int__INTC_MASK EQU 0x200000 +USBFS_1_sof_int__INTC_NUMBER EQU 21 +USBFS_1_sof_int__INTC_PRIOR_NUM EQU 7 +USBFS_1_sof_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_21 +USBFS_1_sof_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_1_dp_int +USBFS_1_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_dp_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_dp_int__INTC_MASK EQU 0x1000 +USBFS_1_dp_int__INTC_NUMBER EQU 12 +USBFS_1_dp_int__INTC_PRIOR_NUM EQU 7 +USBFS_1_dp_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_12 +USBFS_1_dp_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_dp_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + ; SCSI_ATN_ISR SCSI_ATN_ISR__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 SCSI_ATN_ISR__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 @@ -14,96 +54,438 @@ SCSI_ATN_ISR__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 SCSI_ATN_ISR__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 ; SCSI_Out_DBx -SCSI_Out_DBx__0__MASK EQU 0x01 -SCSI_Out_DBx__0__PC EQU CYREG_PRT0_PC0 -SCSI_Out_DBx__0__PORT EQU 0 -SCSI_Out_DBx__0__SHIFT EQU 0 -SCSI_Out_DBx__1__MASK EQU 0x02 -SCSI_Out_DBx__1__PC EQU CYREG_PRT0_PC1 -SCSI_Out_DBx__1__PORT EQU 0 -SCSI_Out_DBx__1__SHIFT EQU 1 -SCSI_Out_DBx__2__MASK EQU 0x04 -SCSI_Out_DBx__2__PC EQU CYREG_PRT0_PC2 -SCSI_Out_DBx__2__PORT EQU 0 -SCSI_Out_DBx__2__SHIFT EQU 2 -SCSI_Out_DBx__3__MASK EQU 0x08 -SCSI_Out_DBx__3__PC EQU CYREG_PRT0_PC3 -SCSI_Out_DBx__3__PORT EQU 0 -SCSI_Out_DBx__3__SHIFT EQU 3 -SCSI_Out_DBx__4__MASK EQU 0x10 -SCSI_Out_DBx__4__PC EQU CYREG_PRT0_PC4 -SCSI_Out_DBx__4__PORT EQU 0 -SCSI_Out_DBx__4__SHIFT EQU 4 -SCSI_Out_DBx__5__MASK EQU 0x20 -SCSI_Out_DBx__5__PC EQU CYREG_PRT0_PC5 -SCSI_Out_DBx__5__PORT EQU 0 -SCSI_Out_DBx__5__SHIFT EQU 5 -SCSI_Out_DBx__6__MASK EQU 0x40 -SCSI_Out_DBx__6__PC EQU CYREG_PRT0_PC6 -SCSI_Out_DBx__6__PORT EQU 0 -SCSI_Out_DBx__6__SHIFT EQU 6 -SCSI_Out_DBx__7__MASK EQU 0x80 -SCSI_Out_DBx__7__PC EQU CYREG_PRT0_PC7 -SCSI_Out_DBx__7__PORT EQU 0 -SCSI_Out_DBx__7__SHIFT EQU 7 -SCSI_Out_DBx__AG EQU CYREG_PRT0_AG -SCSI_Out_DBx__AMUX EQU CYREG_PRT0_AMUX -SCSI_Out_DBx__BIE EQU CYREG_PRT0_BIE -SCSI_Out_DBx__BIT_MASK EQU CYREG_PRT0_BIT_MASK -SCSI_Out_DBx__BYP EQU CYREG_PRT0_BYP -SCSI_Out_DBx__CTL EQU CYREG_PRT0_CTL -SCSI_Out_DBx__DB0__MASK EQU 0x01 -SCSI_Out_DBx__DB0__PC EQU CYREG_PRT0_PC0 -SCSI_Out_DBx__DB0__PORT EQU 0 -SCSI_Out_DBx__DB0__SHIFT EQU 0 -SCSI_Out_DBx__DB1__MASK EQU 0x02 -SCSI_Out_DBx__DB1__PC EQU CYREG_PRT0_PC1 -SCSI_Out_DBx__DB1__PORT EQU 0 -SCSI_Out_DBx__DB1__SHIFT EQU 1 -SCSI_Out_DBx__DB2__MASK EQU 0x04 -SCSI_Out_DBx__DB2__PC EQU CYREG_PRT0_PC2 -SCSI_Out_DBx__DB2__PORT EQU 0 -SCSI_Out_DBx__DB2__SHIFT EQU 2 -SCSI_Out_DBx__DB3__MASK EQU 0x08 -SCSI_Out_DBx__DB3__PC EQU CYREG_PRT0_PC3 -SCSI_Out_DBx__DB3__PORT EQU 0 -SCSI_Out_DBx__DB3__SHIFT EQU 3 -SCSI_Out_DBx__DB4__MASK EQU 0x10 -SCSI_Out_DBx__DB4__PC EQU CYREG_PRT0_PC4 -SCSI_Out_DBx__DB4__PORT EQU 0 -SCSI_Out_DBx__DB4__SHIFT EQU 4 -SCSI_Out_DBx__DB5__MASK EQU 0x20 -SCSI_Out_DBx__DB5__PC EQU CYREG_PRT0_PC5 -SCSI_Out_DBx__DB5__PORT EQU 0 -SCSI_Out_DBx__DB5__SHIFT EQU 5 -SCSI_Out_DBx__DB6__MASK EQU 0x40 -SCSI_Out_DBx__DB6__PC EQU CYREG_PRT0_PC6 -SCSI_Out_DBx__DB6__PORT EQU 0 -SCSI_Out_DBx__DB6__SHIFT EQU 6 -SCSI_Out_DBx__DB7__MASK EQU 0x80 -SCSI_Out_DBx__DB7__PC EQU CYREG_PRT0_PC7 -SCSI_Out_DBx__DB7__PORT EQU 0 -SCSI_Out_DBx__DB7__SHIFT EQU 7 -SCSI_Out_DBx__DM0 EQU CYREG_PRT0_DM0 -SCSI_Out_DBx__DM1 EQU CYREG_PRT0_DM1 -SCSI_Out_DBx__DM2 EQU CYREG_PRT0_DM2 -SCSI_Out_DBx__DR EQU CYREG_PRT0_DR -SCSI_Out_DBx__INP_DIS EQU CYREG_PRT0_INP_DIS -SCSI_Out_DBx__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG -SCSI_Out_DBx__LCD_EN EQU CYREG_PRT0_LCD_EN -SCSI_Out_DBx__MASK EQU 0xFF -SCSI_Out_DBx__PORT EQU 0 -SCSI_Out_DBx__PRT EQU CYREG_PRT0_PRT -SCSI_Out_DBx__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL -SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN -SCSI_Out_DBx__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 -SCSI_Out_DBx__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 -SCSI_Out_DBx__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 -SCSI_Out_DBx__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 -SCSI_Out_DBx__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT -SCSI_Out_DBx__PS EQU CYREG_PRT0_PS -SCSI_Out_DBx__SHIFT EQU 0 -SCSI_Out_DBx__SLW EQU CYREG_PRT0_SLW +SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__0__MASK EQU 0x08 +SCSI_Out_DBx__0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__0__PORT EQU 6 +SCSI_Out_DBx__0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__0__SHIFT EQU 3 +SCSI_Out_DBx__0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__1__MASK EQU 0x04 +SCSI_Out_DBx__1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__1__PORT EQU 6 +SCSI_Out_DBx__1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__1__SHIFT EQU 2 +SCSI_Out_DBx__1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__2__MASK EQU 0x02 +SCSI_Out_DBx__2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__2__PORT EQU 6 +SCSI_Out_DBx__2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__2__SHIFT EQU 1 +SCSI_Out_DBx__2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__3__MASK EQU 0x01 +SCSI_Out_DBx__3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__3__PORT EQU 6 +SCSI_Out_DBx__3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__3__SHIFT EQU 0 +SCSI_Out_DBx__3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__4__MASK EQU 0x80 +SCSI_Out_DBx__4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__4__PORT EQU 4 +SCSI_Out_DBx__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__4__SHIFT EQU 7 +SCSI_Out_DBx__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__5__MASK EQU 0x40 +SCSI_Out_DBx__5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__5__PORT EQU 4 +SCSI_Out_DBx__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__5__SHIFT EQU 6 +SCSI_Out_DBx__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__6__MASK EQU 0x20 +SCSI_Out_DBx__6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__6__PORT EQU 4 +SCSI_Out_DBx__6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__6__SHIFT EQU 5 +SCSI_Out_DBx__6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__7__MASK EQU 0x10 +SCSI_Out_DBx__7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__7__PORT EQU 4 +SCSI_Out_DBx__7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__7__SHIFT EQU 4 +SCSI_Out_DBx__7__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB0__MASK EQU 0x08 +SCSI_Out_DBx__DB0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__DB0__PORT EQU 6 +SCSI_Out_DBx__DB0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB0__SHIFT EQU 3 +SCSI_Out_DBx__DB0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB1__MASK EQU 0x04 +SCSI_Out_DBx__DB1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__DB1__PORT EQU 6 +SCSI_Out_DBx__DB1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB1__SHIFT EQU 2 +SCSI_Out_DBx__DB1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB2__MASK EQU 0x02 +SCSI_Out_DBx__DB2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__DB2__PORT EQU 6 +SCSI_Out_DBx__DB2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB2__SHIFT EQU 1 +SCSI_Out_DBx__DB2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB3__MASK EQU 0x01 +SCSI_Out_DBx__DB3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__DB3__PORT EQU 6 +SCSI_Out_DBx__DB3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB3__SHIFT EQU 0 +SCSI_Out_DBx__DB3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB4__MASK EQU 0x80 +SCSI_Out_DBx__DB4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__DB4__PORT EQU 4 +SCSI_Out_DBx__DB4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB4__SHIFT EQU 7 +SCSI_Out_DBx__DB4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB5__MASK EQU 0x40 +SCSI_Out_DBx__DB5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__DB5__PORT EQU 4 +SCSI_Out_DBx__DB5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB5__SHIFT EQU 6 +SCSI_Out_DBx__DB5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB6__MASK EQU 0x20 +SCSI_Out_DBx__DB6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__DB6__PORT EQU 4 +SCSI_Out_DBx__DB6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB6__SHIFT EQU 5 +SCSI_Out_DBx__DB6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB7__MASK EQU 0x10 +SCSI_Out_DBx__DB7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__DB7__PORT EQU 4 +SCSI_Out_DBx__DB7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB7__SHIFT EQU 4 +SCSI_Out_DBx__DB7__SLW EQU CYREG_PRT4_SLW ; SCSI_RST_ISR SCSI_RST_ISR__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -116,34 +498,34 @@ SCSI_RST_ISR__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 SCSI_RST_ISR__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 ; SDCard_BSPIM -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST -SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B0_UDB02_MSK -SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B0_UDB02_ST -SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK -SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B0_UDB02_CTL -SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B0_UDB02_CTL -SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB02_ST_CTL -SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB02_MSK -SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B0_UDB07_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B0_UDB07_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B0_UDB07_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB06_07_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -151,9 +533,9 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B0_UDB03_MSK -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B0_UDB03_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB06_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB06_ST SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL @@ -187,120 +569,482 @@ SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B0_UDB07_F0_F1 SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B0_UDB07_F0 SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B0_UDB07_F1 +SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL +SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL + +; USBFS_1_ep_0 +USBFS_1_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_ep_0__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_ep_0__INTC_MASK EQU 0x1000000 +USBFS_1_ep_0__INTC_NUMBER EQU 24 +USBFS_1_ep_0__INTC_PRIOR_NUM EQU 7 +USBFS_1_ep_0__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_24 +USBFS_1_ep_0__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_ep_0__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_1_ep_1 +USBFS_1_ep_1__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_1_ep_1__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_1_ep_1__INTC_MASK EQU 0x01 +USBFS_1_ep_1__INTC_NUMBER EQU 0 +USBFS_1_ep_1__INTC_PRIOR_NUM EQU 7 +USBFS_1_ep_1__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +USBFS_1_ep_1__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_1_ep_1__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 ; SCSI_CTL_IO SCSI_CTL_IO_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_CTL_IO_Sync_ctrl_reg__0__POS EQU 0 -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB00_01_ACTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB00_01_CTL -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB00_01_MSK -SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB00_ACTL -SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB00_CTL -SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB00_ST_CTL -SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB00_CTL -SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB00_ST_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB02_03_CTL +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB02_CTL +SCSI_CTL_IO_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB02_ST_CTL +SCSI_CTL_IO_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB02_CTL +SCSI_CTL_IO_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB02_ST_CTL SCSI_CTL_IO_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB00_MSK_ACTL -SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB00_MSK -SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB00_MSK_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL +SCSI_CTL_IO_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB02_MSK +SCSI_CTL_IO_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL ; SCSI_In_DBx -SCSI_In_DBx__0__MASK EQU 0x01 -SCSI_In_DBx__0__PC EQU CYREG_PRT2_PC0 -SCSI_In_DBx__0__PORT EQU 2 -SCSI_In_DBx__0__SHIFT EQU 0 -SCSI_In_DBx__1__MASK EQU 0x02 -SCSI_In_DBx__1__PC EQU CYREG_PRT2_PC1 +SCSI_In_DBx__0__AG EQU CYREG_PRT12_AG +SCSI_In_DBx__0__BIE EQU CYREG_PRT12_BIE +SCSI_In_DBx__0__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In_DBx__0__BYP EQU CYREG_PRT12_BYP +SCSI_In_DBx__0__DM0 EQU CYREG_PRT12_DM0 +SCSI_In_DBx__0__DM1 EQU CYREG_PRT12_DM1 +SCSI_In_DBx__0__DM2 EQU CYREG_PRT12_DM2 +SCSI_In_DBx__0__DR EQU CYREG_PRT12_DR +SCSI_In_DBx__0__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In_DBx__0__MASK EQU 0x10 +SCSI_In_DBx__0__PC EQU CYREG_PRT12_PC4 +SCSI_In_DBx__0__PORT EQU 12 +SCSI_In_DBx__0__PRT EQU CYREG_PRT12_PRT +SCSI_In_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In_DBx__0__PS EQU CYREG_PRT12_PS +SCSI_In_DBx__0__SHIFT EQU 4 +SCSI_In_DBx__0__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In_DBx__0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In_DBx__0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In_DBx__0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In_DBx__0__SLW EQU CYREG_PRT12_SLW +SCSI_In_DBx__1__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__1__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__1__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__1__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__1__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__1__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__1__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__1__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__1__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__1__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__1__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__1__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__1__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__1__MASK EQU 0x80 +SCSI_In_DBx__1__PC EQU CYREG_PRT2_PC7 SCSI_In_DBx__1__PORT EQU 2 -SCSI_In_DBx__1__SHIFT EQU 1 -SCSI_In_DBx__2__MASK EQU 0x04 -SCSI_In_DBx__2__PC EQU CYREG_PRT2_PC2 +SCSI_In_DBx__1__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__1__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__1__SHIFT EQU 7 +SCSI_In_DBx__1__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__2__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__2__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__2__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__2__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__2__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__2__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__2__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__2__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__2__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__2__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__2__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__2__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__2__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__2__MASK EQU 0x40 +SCSI_In_DBx__2__PC EQU CYREG_PRT2_PC6 SCSI_In_DBx__2__PORT EQU 2 -SCSI_In_DBx__2__SHIFT EQU 2 -SCSI_In_DBx__3__MASK EQU 0x08 -SCSI_In_DBx__3__PC EQU CYREG_PRT2_PC3 +SCSI_In_DBx__2__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__2__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__2__SHIFT EQU 6 +SCSI_In_DBx__2__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__3__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__3__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__3__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__3__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__3__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__3__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__3__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__3__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__3__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__3__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__3__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__3__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__3__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__3__MASK EQU 0x20 +SCSI_In_DBx__3__PC EQU CYREG_PRT2_PC5 SCSI_In_DBx__3__PORT EQU 2 -SCSI_In_DBx__3__SHIFT EQU 3 +SCSI_In_DBx__3__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__3__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__3__SHIFT EQU 5 +SCSI_In_DBx__3__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__4__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__4__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__4__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__4__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__4__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__4__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__4__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__4__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__4__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__4__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__4__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__4__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__4__LCD_EN EQU CYREG_PRT2_LCD_EN SCSI_In_DBx__4__MASK EQU 0x10 SCSI_In_DBx__4__PC EQU CYREG_PRT2_PC4 SCSI_In_DBx__4__PORT EQU 2 +SCSI_In_DBx__4__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__4__PS EQU CYREG_PRT2_PS SCSI_In_DBx__4__SHIFT EQU 4 -SCSI_In_DBx__5__MASK EQU 0x20 -SCSI_In_DBx__5__PC EQU CYREG_PRT2_PC5 +SCSI_In_DBx__4__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__5__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__5__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__5__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__5__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__5__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__5__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__5__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__5__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__5__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__5__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__5__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__5__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__5__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__5__MASK EQU 0x08 +SCSI_In_DBx__5__PC EQU CYREG_PRT2_PC3 SCSI_In_DBx__5__PORT EQU 2 -SCSI_In_DBx__5__SHIFT EQU 5 -SCSI_In_DBx__6__MASK EQU 0x40 -SCSI_In_DBx__6__PC EQU CYREG_PRT2_PC6 +SCSI_In_DBx__5__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__5__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__5__SHIFT EQU 3 +SCSI_In_DBx__5__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__6__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__6__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__6__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__6__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__6__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__6__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__6__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__6__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__6__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__6__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__6__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__6__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__6__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__6__MASK EQU 0x04 +SCSI_In_DBx__6__PC EQU CYREG_PRT2_PC2 SCSI_In_DBx__6__PORT EQU 2 -SCSI_In_DBx__6__SHIFT EQU 6 -SCSI_In_DBx__7__MASK EQU 0x80 -SCSI_In_DBx__7__PC EQU CYREG_PRT2_PC7 +SCSI_In_DBx__6__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__6__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__6__SHIFT EQU 2 +SCSI_In_DBx__6__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__7__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__7__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__7__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__7__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__7__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__7__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__7__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__7__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__7__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__7__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__7__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__7__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__7__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__7__MASK EQU 0x02 +SCSI_In_DBx__7__PC EQU CYREG_PRT2_PC1 SCSI_In_DBx__7__PORT EQU 2 -SCSI_In_DBx__7__SHIFT EQU 7 -SCSI_In_DBx__AG EQU CYREG_PRT2_AG -SCSI_In_DBx__AMUX EQU CYREG_PRT2_AMUX -SCSI_In_DBx__BIE EQU CYREG_PRT2_BIE -SCSI_In_DBx__BIT_MASK EQU CYREG_PRT2_BIT_MASK -SCSI_In_DBx__BYP EQU CYREG_PRT2_BYP -SCSI_In_DBx__CTL EQU CYREG_PRT2_CTL -SCSI_In_DBx__DB0__MASK EQU 0x01 -SCSI_In_DBx__DB0__PC EQU CYREG_PRT2_PC0 -SCSI_In_DBx__DB0__PORT EQU 2 -SCSI_In_DBx__DB0__SHIFT EQU 0 -SCSI_In_DBx__DB1__MASK EQU 0x02 -SCSI_In_DBx__DB1__PC EQU CYREG_PRT2_PC1 +SCSI_In_DBx__7__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__7__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__7__SHIFT EQU 1 +SCSI_In_DBx__7__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB0__AG EQU CYREG_PRT12_AG +SCSI_In_DBx__DB0__BIE EQU CYREG_PRT12_BIE +SCSI_In_DBx__DB0__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In_DBx__DB0__BYP EQU CYREG_PRT12_BYP +SCSI_In_DBx__DB0__DM0 EQU CYREG_PRT12_DM0 +SCSI_In_DBx__DB0__DM1 EQU CYREG_PRT12_DM1 +SCSI_In_DBx__DB0__DM2 EQU CYREG_PRT12_DM2 +SCSI_In_DBx__DB0__DR EQU CYREG_PRT12_DR +SCSI_In_DBx__DB0__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In_DBx__DB0__MASK EQU 0x10 +SCSI_In_DBx__DB0__PC EQU CYREG_PRT12_PC4 +SCSI_In_DBx__DB0__PORT EQU 12 +SCSI_In_DBx__DB0__PRT EQU CYREG_PRT12_PRT +SCSI_In_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In_DBx__DB0__PS EQU CYREG_PRT12_PS +SCSI_In_DBx__DB0__SHIFT EQU 4 +SCSI_In_DBx__DB0__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In_DBx__DB0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In_DBx__DB0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In_DBx__DB0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In_DBx__DB0__SLW EQU CYREG_PRT12_SLW +SCSI_In_DBx__DB1__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB1__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB1__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB1__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB1__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB1__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB1__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB1__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB1__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB1__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB1__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB1__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB1__MASK EQU 0x80 +SCSI_In_DBx__DB1__PC EQU CYREG_PRT2_PC7 SCSI_In_DBx__DB1__PORT EQU 2 -SCSI_In_DBx__DB1__SHIFT EQU 1 -SCSI_In_DBx__DB2__MASK EQU 0x04 -SCSI_In_DBx__DB2__PC EQU CYREG_PRT2_PC2 +SCSI_In_DBx__DB1__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB1__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB1__SHIFT EQU 7 +SCSI_In_DBx__DB1__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB2__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB2__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB2__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB2__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB2__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB2__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB2__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB2__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB2__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB2__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB2__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB2__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB2__MASK EQU 0x40 +SCSI_In_DBx__DB2__PC EQU CYREG_PRT2_PC6 SCSI_In_DBx__DB2__PORT EQU 2 -SCSI_In_DBx__DB2__SHIFT EQU 2 -SCSI_In_DBx__DB3__MASK EQU 0x08 -SCSI_In_DBx__DB3__PC EQU CYREG_PRT2_PC3 +SCSI_In_DBx__DB2__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB2__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB2__SHIFT EQU 6 +SCSI_In_DBx__DB2__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB3__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB3__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB3__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB3__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB3__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB3__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB3__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB3__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB3__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB3__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB3__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB3__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB3__MASK EQU 0x20 +SCSI_In_DBx__DB3__PC EQU CYREG_PRT2_PC5 SCSI_In_DBx__DB3__PORT EQU 2 -SCSI_In_DBx__DB3__SHIFT EQU 3 +SCSI_In_DBx__DB3__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB3__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB3__SHIFT EQU 5 +SCSI_In_DBx__DB3__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB4__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB4__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB4__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB4__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB4__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB4__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB4__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB4__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB4__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB4__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB4__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB4__LCD_EN EQU CYREG_PRT2_LCD_EN SCSI_In_DBx__DB4__MASK EQU 0x10 SCSI_In_DBx__DB4__PC EQU CYREG_PRT2_PC4 SCSI_In_DBx__DB4__PORT EQU 2 +SCSI_In_DBx__DB4__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB4__PS EQU CYREG_PRT2_PS SCSI_In_DBx__DB4__SHIFT EQU 4 -SCSI_In_DBx__DB5__MASK EQU 0x20 -SCSI_In_DBx__DB5__PC EQU CYREG_PRT2_PC5 +SCSI_In_DBx__DB4__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB5__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB5__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB5__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB5__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB5__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB5__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB5__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB5__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB5__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB5__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB5__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB5__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB5__MASK EQU 0x08 +SCSI_In_DBx__DB5__PC EQU CYREG_PRT2_PC3 SCSI_In_DBx__DB5__PORT EQU 2 -SCSI_In_DBx__DB5__SHIFT EQU 5 -SCSI_In_DBx__DB6__MASK EQU 0x40 -SCSI_In_DBx__DB6__PC EQU CYREG_PRT2_PC6 +SCSI_In_DBx__DB5__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB5__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB5__SHIFT EQU 3 +SCSI_In_DBx__DB5__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB6__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB6__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB6__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB6__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB6__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB6__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB6__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB6__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB6__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB6__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB6__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB6__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB6__MASK EQU 0x04 +SCSI_In_DBx__DB6__PC EQU CYREG_PRT2_PC2 SCSI_In_DBx__DB6__PORT EQU 2 -SCSI_In_DBx__DB6__SHIFT EQU 6 -SCSI_In_DBx__DB7__MASK EQU 0x80 -SCSI_In_DBx__DB7__PC EQU CYREG_PRT2_PC7 +SCSI_In_DBx__DB6__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB6__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB6__SHIFT EQU 2 +SCSI_In_DBx__DB6__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB7__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__DB7__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__DB7__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__DB7__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__DB7__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__DB7__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DB7__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DB7__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DB7__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DB7__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__DB7__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__DB7__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__DB7__MASK EQU 0x02 +SCSI_In_DBx__DB7__PC EQU CYREG_PRT2_PC1 SCSI_In_DBx__DB7__PORT EQU 2 -SCSI_In_DBx__DB7__SHIFT EQU 7 -SCSI_In_DBx__DM0 EQU CYREG_PRT2_DM0 -SCSI_In_DBx__DM1 EQU CYREG_PRT2_DM1 -SCSI_In_DBx__DM2 EQU CYREG_PRT2_DM2 -SCSI_In_DBx__DR EQU CYREG_PRT2_DR -SCSI_In_DBx__INP_DIS EQU CYREG_PRT2_INP_DIS -SCSI_In_DBx__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG -SCSI_In_DBx__LCD_EN EQU CYREG_PRT2_LCD_EN -SCSI_In_DBx__MASK EQU 0xFF -SCSI_In_DBx__PORT EQU 2 -SCSI_In_DBx__PRT EQU CYREG_PRT2_PRT -SCSI_In_DBx__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL -SCSI_In_DBx__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN -SCSI_In_DBx__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 -SCSI_In_DBx__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 -SCSI_In_DBx__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 -SCSI_In_DBx__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 -SCSI_In_DBx__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT -SCSI_In_DBx__PS EQU CYREG_PRT2_PS -SCSI_In_DBx__SHIFT EQU 0 -SCSI_In_DBx__SLW EQU CYREG_PRT2_SLW +SCSI_In_DBx__DB7__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__DB7__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__DB7__SHIFT EQU 1 +SCSI_In_DBx__DB7__SLW EQU CYREG_PRT2_SLW ; SD_Data_Clk SD_Data_Clk__CFG0 EQU CYREG_CLKDIST_DCFG0_CFG0 @@ -324,11 +1068,137 @@ SD_Init_Clk__PM_ACT_MSK EQU 0x02 SD_Init_Clk__PM_STBY_CFG EQU CYREG_PM_STBY_CFG2 SD_Init_Clk__PM_STBY_MSK EQU 0x02 +; USBFS_1_USB +USBFS_1_USB__ARB_CFG EQU CYREG_USB_ARB_CFG +USBFS_1_USB__ARB_EP1_CFG EQU CYREG_USB_ARB_EP1_CFG +USBFS_1_USB__ARB_EP1_INT_EN EQU CYREG_USB_ARB_EP1_INT_EN +USBFS_1_USB__ARB_EP1_SR EQU CYREG_USB_ARB_EP1_SR +USBFS_1_USB__ARB_EP2_CFG EQU CYREG_USB_ARB_EP2_CFG +USBFS_1_USB__ARB_EP2_INT_EN EQU CYREG_USB_ARB_EP2_INT_EN +USBFS_1_USB__ARB_EP2_SR EQU CYREG_USB_ARB_EP2_SR +USBFS_1_USB__ARB_EP3_CFG EQU CYREG_USB_ARB_EP3_CFG +USBFS_1_USB__ARB_EP3_INT_EN EQU CYREG_USB_ARB_EP3_INT_EN +USBFS_1_USB__ARB_EP3_SR EQU CYREG_USB_ARB_EP3_SR +USBFS_1_USB__ARB_EP4_CFG EQU CYREG_USB_ARB_EP4_CFG +USBFS_1_USB__ARB_EP4_INT_EN EQU CYREG_USB_ARB_EP4_INT_EN +USBFS_1_USB__ARB_EP4_SR EQU CYREG_USB_ARB_EP4_SR +USBFS_1_USB__ARB_EP5_CFG EQU CYREG_USB_ARB_EP5_CFG +USBFS_1_USB__ARB_EP5_INT_EN EQU CYREG_USB_ARB_EP5_INT_EN +USBFS_1_USB__ARB_EP5_SR EQU CYREG_USB_ARB_EP5_SR +USBFS_1_USB__ARB_EP6_CFG EQU CYREG_USB_ARB_EP6_CFG +USBFS_1_USB__ARB_EP6_INT_EN EQU CYREG_USB_ARB_EP6_INT_EN +USBFS_1_USB__ARB_EP6_SR EQU CYREG_USB_ARB_EP6_SR +USBFS_1_USB__ARB_EP7_CFG EQU CYREG_USB_ARB_EP7_CFG +USBFS_1_USB__ARB_EP7_INT_EN EQU CYREG_USB_ARB_EP7_INT_EN +USBFS_1_USB__ARB_EP7_SR EQU CYREG_USB_ARB_EP7_SR +USBFS_1_USB__ARB_EP8_CFG EQU CYREG_USB_ARB_EP8_CFG +USBFS_1_USB__ARB_EP8_INT_EN EQU CYREG_USB_ARB_EP8_INT_EN +USBFS_1_USB__ARB_EP8_SR EQU CYREG_USB_ARB_EP8_SR +USBFS_1_USB__ARB_INT_EN EQU CYREG_USB_ARB_INT_EN +USBFS_1_USB__ARB_INT_SR EQU CYREG_USB_ARB_INT_SR +USBFS_1_USB__ARB_RW1_DR EQU CYREG_USB_ARB_RW1_DR +USBFS_1_USB__ARB_RW1_RA EQU CYREG_USB_ARB_RW1_RA +USBFS_1_USB__ARB_RW1_RA_MSB EQU CYREG_USB_ARB_RW1_RA_MSB +USBFS_1_USB__ARB_RW1_WA EQU CYREG_USB_ARB_RW1_WA +USBFS_1_USB__ARB_RW1_WA_MSB EQU CYREG_USB_ARB_RW1_WA_MSB +USBFS_1_USB__ARB_RW2_DR EQU CYREG_USB_ARB_RW2_DR +USBFS_1_USB__ARB_RW2_RA EQU CYREG_USB_ARB_RW2_RA +USBFS_1_USB__ARB_RW2_RA_MSB EQU CYREG_USB_ARB_RW2_RA_MSB +USBFS_1_USB__ARB_RW2_WA EQU CYREG_USB_ARB_RW2_WA +USBFS_1_USB__ARB_RW2_WA_MSB EQU CYREG_USB_ARB_RW2_WA_MSB +USBFS_1_USB__ARB_RW3_DR EQU CYREG_USB_ARB_RW3_DR +USBFS_1_USB__ARB_RW3_RA EQU CYREG_USB_ARB_RW3_RA +USBFS_1_USB__ARB_RW3_RA_MSB EQU CYREG_USB_ARB_RW3_RA_MSB +USBFS_1_USB__ARB_RW3_WA EQU CYREG_USB_ARB_RW3_WA +USBFS_1_USB__ARB_RW3_WA_MSB EQU CYREG_USB_ARB_RW3_WA_MSB +USBFS_1_USB__ARB_RW4_DR EQU CYREG_USB_ARB_RW4_DR +USBFS_1_USB__ARB_RW4_RA EQU CYREG_USB_ARB_RW4_RA +USBFS_1_USB__ARB_RW4_RA_MSB EQU CYREG_USB_ARB_RW4_RA_MSB +USBFS_1_USB__ARB_RW4_WA EQU CYREG_USB_ARB_RW4_WA +USBFS_1_USB__ARB_RW4_WA_MSB EQU CYREG_USB_ARB_RW4_WA_MSB +USBFS_1_USB__ARB_RW5_DR EQU CYREG_USB_ARB_RW5_DR +USBFS_1_USB__ARB_RW5_RA EQU CYREG_USB_ARB_RW5_RA +USBFS_1_USB__ARB_RW5_RA_MSB EQU CYREG_USB_ARB_RW5_RA_MSB +USBFS_1_USB__ARB_RW5_WA EQU CYREG_USB_ARB_RW5_WA +USBFS_1_USB__ARB_RW5_WA_MSB EQU CYREG_USB_ARB_RW5_WA_MSB +USBFS_1_USB__ARB_RW6_DR EQU CYREG_USB_ARB_RW6_DR +USBFS_1_USB__ARB_RW6_RA EQU CYREG_USB_ARB_RW6_RA +USBFS_1_USB__ARB_RW6_RA_MSB EQU CYREG_USB_ARB_RW6_RA_MSB +USBFS_1_USB__ARB_RW6_WA EQU CYREG_USB_ARB_RW6_WA +USBFS_1_USB__ARB_RW6_WA_MSB EQU CYREG_USB_ARB_RW6_WA_MSB +USBFS_1_USB__ARB_RW7_DR EQU CYREG_USB_ARB_RW7_DR +USBFS_1_USB__ARB_RW7_RA EQU CYREG_USB_ARB_RW7_RA +USBFS_1_USB__ARB_RW7_RA_MSB EQU CYREG_USB_ARB_RW7_RA_MSB +USBFS_1_USB__ARB_RW7_WA EQU CYREG_USB_ARB_RW7_WA +USBFS_1_USB__ARB_RW7_WA_MSB EQU CYREG_USB_ARB_RW7_WA_MSB +USBFS_1_USB__ARB_RW8_DR EQU CYREG_USB_ARB_RW8_DR +USBFS_1_USB__ARB_RW8_RA EQU CYREG_USB_ARB_RW8_RA +USBFS_1_USB__ARB_RW8_RA_MSB EQU CYREG_USB_ARB_RW8_RA_MSB +USBFS_1_USB__ARB_RW8_WA EQU CYREG_USB_ARB_RW8_WA +USBFS_1_USB__ARB_RW8_WA_MSB EQU CYREG_USB_ARB_RW8_WA_MSB +USBFS_1_USB__BUF_SIZE EQU CYREG_USB_BUF_SIZE +USBFS_1_USB__BUS_RST_CNT EQU CYREG_USB_BUS_RST_CNT +USBFS_1_USB__CR0 EQU CYREG_USB_CR0 +USBFS_1_USB__CR1 EQU CYREG_USB_CR1 +USBFS_1_USB__CWA EQU CYREG_USB_CWA +USBFS_1_USB__CWA_MSB EQU CYREG_USB_CWA_MSB +USBFS_1_USB__DMA_THRES EQU CYREG_USB_DMA_THRES +USBFS_1_USB__DMA_THRES_MSB EQU CYREG_USB_DMA_THRES_MSB +USBFS_1_USB__DYN_RECONFIG EQU CYREG_USB_DYN_RECONFIG +USBFS_1_USB__EP0_CNT EQU CYREG_USB_EP0_CNT +USBFS_1_USB__EP0_CR EQU CYREG_USB_EP0_CR +USBFS_1_USB__EP0_DR0 EQU CYREG_USB_EP0_DR0 +USBFS_1_USB__EP0_DR1 EQU CYREG_USB_EP0_DR1 +USBFS_1_USB__EP0_DR2 EQU CYREG_USB_EP0_DR2 +USBFS_1_USB__EP0_DR3 EQU CYREG_USB_EP0_DR3 +USBFS_1_USB__EP0_DR4 EQU CYREG_USB_EP0_DR4 +USBFS_1_USB__EP0_DR5 EQU CYREG_USB_EP0_DR5 +USBFS_1_USB__EP0_DR6 EQU CYREG_USB_EP0_DR6 +USBFS_1_USB__EP0_DR7 EQU CYREG_USB_EP0_DR7 +USBFS_1_USB__EP_ACTIVE EQU CYREG_USB_EP_ACTIVE +USBFS_1_USB__EP_TYPE EQU CYREG_USB_EP_TYPE +USBFS_1_USB__MEM_DATA EQU CYREG_USB_MEM_DATA_MBASE +USBFS_1_USB__PM_ACT_CFG EQU CYREG_PM_ACT_CFG5 +USBFS_1_USB__PM_ACT_MSK EQU 0x01 +USBFS_1_USB__PM_STBY_CFG EQU CYREG_PM_STBY_CFG5 +USBFS_1_USB__PM_STBY_MSK EQU 0x01 +USBFS_1_USB__SIE_EP1_CNT0 EQU CYREG_USB_SIE_EP1_CNT0 +USBFS_1_USB__SIE_EP1_CNT1 EQU CYREG_USB_SIE_EP1_CNT1 +USBFS_1_USB__SIE_EP1_CR0 EQU CYREG_USB_SIE_EP1_CR0 +USBFS_1_USB__SIE_EP2_CNT0 EQU CYREG_USB_SIE_EP2_CNT0 +USBFS_1_USB__SIE_EP2_CNT1 EQU CYREG_USB_SIE_EP2_CNT1 +USBFS_1_USB__SIE_EP2_CR0 EQU CYREG_USB_SIE_EP2_CR0 +USBFS_1_USB__SIE_EP3_CNT0 EQU CYREG_USB_SIE_EP3_CNT0 +USBFS_1_USB__SIE_EP3_CNT1 EQU CYREG_USB_SIE_EP3_CNT1 +USBFS_1_USB__SIE_EP3_CR0 EQU CYREG_USB_SIE_EP3_CR0 +USBFS_1_USB__SIE_EP4_CNT0 EQU CYREG_USB_SIE_EP4_CNT0 +USBFS_1_USB__SIE_EP4_CNT1 EQU CYREG_USB_SIE_EP4_CNT1 +USBFS_1_USB__SIE_EP4_CR0 EQU CYREG_USB_SIE_EP4_CR0 +USBFS_1_USB__SIE_EP5_CNT0 EQU CYREG_USB_SIE_EP5_CNT0 +USBFS_1_USB__SIE_EP5_CNT1 EQU CYREG_USB_SIE_EP5_CNT1 +USBFS_1_USB__SIE_EP5_CR0 EQU CYREG_USB_SIE_EP5_CR0 +USBFS_1_USB__SIE_EP6_CNT0 EQU CYREG_USB_SIE_EP6_CNT0 +USBFS_1_USB__SIE_EP6_CNT1 EQU CYREG_USB_SIE_EP6_CNT1 +USBFS_1_USB__SIE_EP6_CR0 EQU CYREG_USB_SIE_EP6_CR0 +USBFS_1_USB__SIE_EP7_CNT0 EQU CYREG_USB_SIE_EP7_CNT0 +USBFS_1_USB__SIE_EP7_CNT1 EQU CYREG_USB_SIE_EP7_CNT1 +USBFS_1_USB__SIE_EP7_CR0 EQU CYREG_USB_SIE_EP7_CR0 +USBFS_1_USB__SIE_EP8_CNT0 EQU CYREG_USB_SIE_EP8_CNT0 +USBFS_1_USB__SIE_EP8_CNT1 EQU CYREG_USB_SIE_EP8_CNT1 +USBFS_1_USB__SIE_EP8_CR0 EQU CYREG_USB_SIE_EP8_CR0 +USBFS_1_USB__SIE_EP_INT_EN EQU CYREG_USB_SIE_EP_INT_EN +USBFS_1_USB__SIE_EP_INT_SR EQU CYREG_USB_SIE_EP_INT_SR +USBFS_1_USB__SOF0 EQU CYREG_USB_SOF0 +USBFS_1_USB__SOF1 EQU CYREG_USB_SOF1 +USBFS_1_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 +USBFS_1_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 +USBFS_1_USB__USB_CLK_EN EQU CYREG_USB_USB_CLK_EN + ; scsiTarget scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB11_12_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_01_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB00_01_ST scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 scsiTarget_StatusReg__2__MASK EQU 0x04 @@ -336,82 +1206,142 @@ scsiTarget_StatusReg__2__POS EQU 2 scsiTarget_StatusReg__3__MASK EQU 0x08 scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__MASK EQU 0x0F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB11_MSK -scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -scsiTarget_StatusReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -scsiTarget_StatusReg__STATUS_CNT_REG EQU CYREG_B0_UDB11_ST_CTL -scsiTarget_StatusReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB11_ST_CTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB11_ST -scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST -scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB04_MSK -scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB04_ST -scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL -scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK -scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB04_CTL -scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB04_CTL -scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL -scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB04_MSK -scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB04_05_A0 -scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB04_05_A1 -scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB04_05_D0 -scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB04_05_D1 -scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB04_05_F0 -scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB04_05_F1 -scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB04_A0_A1 -scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB04_A0 -scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB04_A1 -scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB04_D0_D1 -scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB04_D0 -scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB04_D1 -scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB04_F0_F1 -scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB04_F0 -scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB04_F1 -scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL -scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB00_MSK +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_ACTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB00_ST +scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST +scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB03_MSK +scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB03_ST +scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK +scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB03_CTL +scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB03_CTL +scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB03_ST_CTL +scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB03_MSK +scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB03_04_A0 +scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB03_04_A1 +scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB03_04_D0 +scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB03_04_D1 +scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB03_04_F0 +scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB03_04_F1 +scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB03_A0_A1 +scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB03_A0 +scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB03_A1 +scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB03_D0_D1 +scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB03_D0 +scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB03_D1 +scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB03_F0_F1 +scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB03_F0 +scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB03_F1 +scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL ; SD_Clk_Ctl SD_Clk_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SD_Clk_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK -SD_Clk_Ctl_Sync_ctrl_reg__1__MASK EQU 0x02 -SD_Clk_Ctl_Sync_ctrl_reg__1__POS EQU 1 -SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB11_CTL -SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB11_ST_CTL -SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB11_CTL -SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB11_ST_CTL -SD_Clk_Ctl_Sync_ctrl_reg__MASK EQU 0x03 -SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB11_MSK -SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB01_CTL +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB01_CTL +SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SD_Clk_Ctl_Sync_ctrl_reg__MASK EQU 0x01 +SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB01_MSK +SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL + +; USBFS_1_Dm +USBFS_1_Dm__0__MASK EQU 0x80 +USBFS_1_Dm__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC1 +USBFS_1_Dm__0__PORT EQU 15 +USBFS_1_Dm__0__SHIFT EQU 7 +USBFS_1_Dm__AG EQU CYREG_PRT15_AG +USBFS_1_Dm__AMUX EQU CYREG_PRT15_AMUX +USBFS_1_Dm__BIE EQU CYREG_PRT15_BIE +USBFS_1_Dm__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_1_Dm__BYP EQU CYREG_PRT15_BYP +USBFS_1_Dm__CTL EQU CYREG_PRT15_CTL +USBFS_1_Dm__DM0 EQU CYREG_PRT15_DM0 +USBFS_1_Dm__DM1 EQU CYREG_PRT15_DM1 +USBFS_1_Dm__DM2 EQU CYREG_PRT15_DM2 +USBFS_1_Dm__DR EQU CYREG_PRT15_DR +USBFS_1_Dm__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_1_Dm__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_1_Dm__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_1_Dm__MASK EQU 0x80 +USBFS_1_Dm__PORT EQU 15 +USBFS_1_Dm__PRT EQU CYREG_PRT15_PRT +USBFS_1_Dm__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_1_Dm__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_1_Dm__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_1_Dm__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_1_Dm__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_1_Dm__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_1_Dm__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_1_Dm__PS EQU CYREG_PRT15_PS +USBFS_1_Dm__SHIFT EQU 7 +USBFS_1_Dm__SLW EQU CYREG_PRT15_SLW + +; USBFS_1_Dp +USBFS_1_Dp__0__MASK EQU 0x40 +USBFS_1_Dp__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC0 +USBFS_1_Dp__0__PORT EQU 15 +USBFS_1_Dp__0__SHIFT EQU 6 +USBFS_1_Dp__AG EQU CYREG_PRT15_AG +USBFS_1_Dp__AMUX EQU CYREG_PRT15_AMUX +USBFS_1_Dp__BIE EQU CYREG_PRT15_BIE +USBFS_1_Dp__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_1_Dp__BYP EQU CYREG_PRT15_BYP +USBFS_1_Dp__CTL EQU CYREG_PRT15_CTL +USBFS_1_Dp__DM0 EQU CYREG_PRT15_DM0 +USBFS_1_Dp__DM1 EQU CYREG_PRT15_DM1 +USBFS_1_Dp__DM2 EQU CYREG_PRT15_DM2 +USBFS_1_Dp__DR EQU CYREG_PRT15_DR +USBFS_1_Dp__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_1_Dp__INTSTAT EQU CYREG_PICU15_INTSTAT +USBFS_1_Dp__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_1_Dp__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_1_Dp__MASK EQU 0x40 +USBFS_1_Dp__PORT EQU 15 +USBFS_1_Dp__PRT EQU CYREG_PRT15_PRT +USBFS_1_Dp__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_1_Dp__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_1_Dp__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_1_Dp__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_1_Dp__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_1_Dp__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_1_Dp__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_1_Dp__PS EQU CYREG_PRT15_PS +USBFS_1_Dp__SHIFT EQU 6 +USBFS_1_Dp__SLW EQU CYREG_PRT15_SLW +USBFS_1_Dp__SNAP EQU CYREG_PICU_15_SNAP_15 ; SCSI_ATN SCSI_ATN__0__MASK EQU 0x20 @@ -464,8 +1394,8 @@ SCSI_Out__0__DR EQU CYREG_PRT4_DR SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__0__MASK EQU 0x04 -SCSI_Out__0__PC EQU CYREG_PRT4_PC2 +SCSI_Out__0__MASK EQU 0x08 +SCSI_Out__0__PC EQU CYREG_PRT4_PC3 SCSI_Out__0__PORT EQU 4 SCSI_Out__0__PRT EQU CYREG_PRT4_PRT SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -476,7 +1406,7 @@ SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__0__PS EQU CYREG_PRT4_PS -SCSI_Out__0__SHIFT EQU 2 +SCSI_Out__0__SHIFT EQU 3 SCSI_Out__0__SLW EQU CYREG_PRT4_SLW SCSI_Out__1__AG EQU CYREG_PRT4_AG SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX @@ -491,8 +1421,8 @@ SCSI_Out__1__DR EQU CYREG_PRT4_DR SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__1__MASK EQU 0x08 -SCSI_Out__1__PC EQU CYREG_PRT4_PC3 +SCSI_Out__1__MASK EQU 0x04 +SCSI_Out__1__PC EQU CYREG_PRT4_PC2 SCSI_Out__1__PORT EQU 4 SCSI_Out__1__PRT EQU CYREG_PRT4_PRT SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -503,251 +1433,251 @@ SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__1__PS EQU CYREG_PRT4_PS -SCSI_Out__1__SHIFT EQU 3 +SCSI_Out__1__SHIFT EQU 2 SCSI_Out__1__SLW EQU CYREG_PRT4_SLW -SCSI_Out__2__AG EQU CYREG_PRT4_AG -SCSI_Out__2__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__2__BIE EQU CYREG_PRT4_BIE -SCSI_Out__2__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__2__BYP EQU CYREG_PRT4_BYP -SCSI_Out__2__CTL EQU CYREG_PRT4_CTL -SCSI_Out__2__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__2__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__2__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__2__DR EQU CYREG_PRT4_DR -SCSI_Out__2__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__2__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__2__MASK EQU 0x10 -SCSI_Out__2__PC EQU CYREG_PRT4_PC4 -SCSI_Out__2__PORT EQU 4 -SCSI_Out__2__PRT EQU CYREG_PRT4_PRT -SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__2__PS EQU CYREG_PRT4_PS -SCSI_Out__2__SHIFT EQU 4 -SCSI_Out__2__SLW EQU CYREG_PRT4_SLW -SCSI_Out__3__AG EQU CYREG_PRT4_AG -SCSI_Out__3__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__3__BIE EQU CYREG_PRT4_BIE -SCSI_Out__3__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__3__BYP EQU CYREG_PRT4_BYP -SCSI_Out__3__CTL EQU CYREG_PRT4_CTL -SCSI_Out__3__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__3__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__3__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__3__DR EQU CYREG_PRT4_DR -SCSI_Out__3__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__3__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__3__MASK EQU 0x20 -SCSI_Out__3__PC EQU CYREG_PRT4_PC5 -SCSI_Out__3__PORT EQU 4 -SCSI_Out__3__PRT EQU CYREG_PRT4_PRT -SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__3__PS EQU CYREG_PRT4_PS -SCSI_Out__3__SHIFT EQU 5 -SCSI_Out__3__SLW EQU CYREG_PRT4_SLW -SCSI_Out__4__AG EQU CYREG_PRT4_AG -SCSI_Out__4__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__4__BIE EQU CYREG_PRT4_BIE -SCSI_Out__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__4__BYP EQU CYREG_PRT4_BYP -SCSI_Out__4__CTL EQU CYREG_PRT4_CTL -SCSI_Out__4__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__4__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__4__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__4__DR EQU CYREG_PRT4_DR -SCSI_Out__4__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__4__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__4__MASK EQU 0x40 -SCSI_Out__4__PC EQU CYREG_PRT4_PC6 -SCSI_Out__4__PORT EQU 4 -SCSI_Out__4__PRT EQU CYREG_PRT4_PRT -SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__4__PS EQU CYREG_PRT4_PS -SCSI_Out__4__SHIFT EQU 6 -SCSI_Out__4__SLW EQU CYREG_PRT4_SLW -SCSI_Out__5__AG EQU CYREG_PRT4_AG -SCSI_Out__5__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__5__BIE EQU CYREG_PRT4_BIE -SCSI_Out__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__5__BYP EQU CYREG_PRT4_BYP -SCSI_Out__5__CTL EQU CYREG_PRT4_CTL -SCSI_Out__5__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__5__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__5__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__5__DR EQU CYREG_PRT4_DR -SCSI_Out__5__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__5__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__5__MASK EQU 0x80 -SCSI_Out__5__PC EQU CYREG_PRT4_PC7 -SCSI_Out__5__PORT EQU 4 -SCSI_Out__5__PRT EQU CYREG_PRT4_PRT -SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__5__PS EQU CYREG_PRT4_PS -SCSI_Out__5__SHIFT EQU 7 -SCSI_Out__5__SLW EQU CYREG_PRT4_SLW -SCSI_Out__6__AG EQU CYREG_PRT6_AG -SCSI_Out__6__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__6__BIE EQU CYREG_PRT6_BIE -SCSI_Out__6__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__6__BYP EQU CYREG_PRT6_BYP -SCSI_Out__6__CTL EQU CYREG_PRT6_CTL -SCSI_Out__6__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__6__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__6__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__6__DR EQU CYREG_PRT6_DR -SCSI_Out__6__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__6__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__6__MASK EQU 0x01 -SCSI_Out__6__PC EQU CYREG_PRT6_PC0 -SCSI_Out__6__PORT EQU 6 -SCSI_Out__6__PRT EQU CYREG_PRT6_PRT -SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__6__PS EQU CYREG_PRT6_PS -SCSI_Out__6__SHIFT EQU 0 -SCSI_Out__6__SLW EQU CYREG_PRT6_SLW -SCSI_Out__7__AG EQU CYREG_PRT6_AG -SCSI_Out__7__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__7__BIE EQU CYREG_PRT6_BIE -SCSI_Out__7__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__7__BYP EQU CYREG_PRT6_BYP -SCSI_Out__7__CTL EQU CYREG_PRT6_CTL -SCSI_Out__7__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__7__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__7__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__7__DR EQU CYREG_PRT6_DR -SCSI_Out__7__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__7__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__7__MASK EQU 0x02 -SCSI_Out__7__PC EQU CYREG_PRT6_PC1 -SCSI_Out__7__PORT EQU 6 -SCSI_Out__7__PRT EQU CYREG_PRT6_PRT -SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__7__PS EQU CYREG_PRT6_PS -SCSI_Out__7__SHIFT EQU 1 -SCSI_Out__7__SLW EQU CYREG_PRT6_SLW -SCSI_Out__8__AG EQU CYREG_PRT6_AG -SCSI_Out__8__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__8__BIE EQU CYREG_PRT6_BIE -SCSI_Out__8__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__8__BYP EQU CYREG_PRT6_BYP -SCSI_Out__8__CTL EQU CYREG_PRT6_CTL -SCSI_Out__8__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__8__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__8__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__8__DR EQU CYREG_PRT6_DR -SCSI_Out__8__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__8__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__8__MASK EQU 0x04 -SCSI_Out__8__PC EQU CYREG_PRT6_PC2 -SCSI_Out__8__PORT EQU 6 -SCSI_Out__8__PRT EQU CYREG_PRT6_PRT -SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__8__PS EQU CYREG_PRT6_PS -SCSI_Out__8__SHIFT EQU 2 -SCSI_Out__8__SLW EQU CYREG_PRT6_SLW -SCSI_Out__9__AG EQU CYREG_PRT6_AG -SCSI_Out__9__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__9__BIE EQU CYREG_PRT6_BIE -SCSI_Out__9__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__9__BYP EQU CYREG_PRT6_BYP -SCSI_Out__9__CTL EQU CYREG_PRT6_CTL -SCSI_Out__9__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__9__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__9__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__9__DR EQU CYREG_PRT6_DR -SCSI_Out__9__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__9__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__9__MASK EQU 0x08 -SCSI_Out__9__PC EQU CYREG_PRT6_PC3 -SCSI_Out__9__PORT EQU 6 -SCSI_Out__9__PRT EQU CYREG_PRT6_PRT -SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__9__PS EQU CYREG_PRT6_PS -SCSI_Out__9__SHIFT EQU 3 -SCSI_Out__9__SLW EQU CYREG_PRT6_SLW -SCSI_Out__ACK__AG EQU CYREG_PRT4_AG -SCSI_Out__ACK__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__ACK__BIE EQU CYREG_PRT4_BIE -SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__ACK__BYP EQU CYREG_PRT4_BYP -SCSI_Out__ACK__CTL EQU CYREG_PRT4_CTL -SCSI_Out__ACK__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__ACK__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__ACK__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__ACK__DR EQU CYREG_PRT4_DR -SCSI_Out__ACK__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__ACK__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__ACK__MASK EQU 0x20 -SCSI_Out__ACK__PC EQU CYREG_PRT4_PC5 -SCSI_Out__ACK__PORT EQU 4 -SCSI_Out__ACK__PRT EQU CYREG_PRT4_PRT -SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__ACK__PS EQU CYREG_PRT4_PS -SCSI_Out__ACK__SHIFT EQU 5 -SCSI_Out__ACK__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT0_AG +SCSI_Out__2__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT0_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT0_BYP +SCSI_Out__2__CTL EQU CYREG_PRT0_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__2__DR EQU CYREG_PRT0_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__2__MASK EQU 0x80 +SCSI_Out__2__PC EQU CYREG_PRT0_PC7 +SCSI_Out__2__PORT EQU 0 +SCSI_Out__2__PRT EQU CYREG_PRT0_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT0_PS +SCSI_Out__2__SHIFT EQU 7 +SCSI_Out__2__SLW EQU CYREG_PRT0_SLW +SCSI_Out__3__AG EQU CYREG_PRT0_AG +SCSI_Out__3__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT0_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT0_BYP +SCSI_Out__3__CTL EQU CYREG_PRT0_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__3__DR EQU CYREG_PRT0_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__3__MASK EQU 0x40 +SCSI_Out__3__PC EQU CYREG_PRT0_PC6 +SCSI_Out__3__PORT EQU 0 +SCSI_Out__3__PRT EQU CYREG_PRT0_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT0_PS +SCSI_Out__3__SHIFT EQU 6 +SCSI_Out__3__SLW EQU CYREG_PRT0_SLW +SCSI_Out__4__AG EQU CYREG_PRT0_AG +SCSI_Out__4__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT0_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT0_BYP +SCSI_Out__4__CTL EQU CYREG_PRT0_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__4__DR EQU CYREG_PRT0_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__4__MASK EQU 0x20 +SCSI_Out__4__PC EQU CYREG_PRT0_PC5 +SCSI_Out__4__PORT EQU 0 +SCSI_Out__4__PRT EQU CYREG_PRT0_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT0_PS +SCSI_Out__4__SHIFT EQU 5 +SCSI_Out__4__SLW EQU CYREG_PRT0_SLW +SCSI_Out__5__AG EQU CYREG_PRT0_AG +SCSI_Out__5__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT0_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT0_BYP +SCSI_Out__5__CTL EQU CYREG_PRT0_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__5__DR EQU CYREG_PRT0_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__5__MASK EQU 0x10 +SCSI_Out__5__PC EQU CYREG_PRT0_PC4 +SCSI_Out__5__PORT EQU 0 +SCSI_Out__5__PRT EQU CYREG_PRT0_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT0_PS +SCSI_Out__5__SHIFT EQU 4 +SCSI_Out__5__SLW EQU CYREG_PRT0_SLW +SCSI_Out__6__AG EQU CYREG_PRT0_AG +SCSI_Out__6__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT0_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT0_BYP +SCSI_Out__6__CTL EQU CYREG_PRT0_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__6__DR EQU CYREG_PRT0_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__6__MASK EQU 0x08 +SCSI_Out__6__PC EQU CYREG_PRT0_PC3 +SCSI_Out__6__PORT EQU 0 +SCSI_Out__6__PRT EQU CYREG_PRT0_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT0_PS +SCSI_Out__6__SHIFT EQU 3 +SCSI_Out__6__SLW EQU CYREG_PRT0_SLW +SCSI_Out__7__AG EQU CYREG_PRT0_AG +SCSI_Out__7__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT0_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT0_BYP +SCSI_Out__7__CTL EQU CYREG_PRT0_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__7__DR EQU CYREG_PRT0_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__7__MASK EQU 0x04 +SCSI_Out__7__PC EQU CYREG_PRT0_PC2 +SCSI_Out__7__PORT EQU 0 +SCSI_Out__7__PRT EQU CYREG_PRT0_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT0_PS +SCSI_Out__7__SHIFT EQU 2 +SCSI_Out__7__SLW EQU CYREG_PRT0_SLW +SCSI_Out__8__AG EQU CYREG_PRT0_AG +SCSI_Out__8__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT0_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT0_BYP +SCSI_Out__8__CTL EQU CYREG_PRT0_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__8__DR EQU CYREG_PRT0_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__8__MASK EQU 0x02 +SCSI_Out__8__PC EQU CYREG_PRT0_PC1 +SCSI_Out__8__PORT EQU 0 +SCSI_Out__8__PRT EQU CYREG_PRT0_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT0_PS +SCSI_Out__8__SHIFT EQU 1 +SCSI_Out__8__SLW EQU CYREG_PRT0_SLW +SCSI_Out__9__AG EQU CYREG_PRT0_AG +SCSI_Out__9__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT0_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT0_BYP +SCSI_Out__9__CTL EQU CYREG_PRT0_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__9__DR EQU CYREG_PRT0_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__9__MASK EQU 0x01 +SCSI_Out__9__PC EQU CYREG_PRT0_PC0 +SCSI_Out__9__PORT EQU 0 +SCSI_Out__9__PRT EQU CYREG_PRT0_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT0_PS +SCSI_Out__9__SHIFT EQU 0 +SCSI_Out__9__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT0_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT0_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT0_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT0_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT0_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__ACK__MASK EQU 0x40 +SCSI_Out__ACK__PC EQU CYREG_PRT0_PC6 +SCSI_Out__ACK__PORT EQU 0 +SCSI_Out__ACK__PRT EQU CYREG_PRT0_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT0_PS +SCSI_Out__ACK__SHIFT EQU 6 +SCSI_Out__ACK__SLW EQU CYREG_PRT0_SLW SCSI_Out__ATN__AG EQU CYREG_PRT4_AG SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE @@ -761,8 +1691,8 @@ SCSI_Out__ATN__DR EQU CYREG_PRT4_DR SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__ATN__MASK EQU 0x08 -SCSI_Out__ATN__PC EQU CYREG_PRT4_PC3 +SCSI_Out__ATN__MASK EQU 0x04 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC2 SCSI_Out__ATN__PORT EQU 4 SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -773,62 +1703,62 @@ SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__ATN__PS EQU CYREG_PRT4_PS -SCSI_Out__ATN__SHIFT EQU 3 +SCSI_Out__ATN__SHIFT EQU 2 SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW -SCSI_Out__BSY__AG EQU CYREG_PRT4_AG -SCSI_Out__BSY__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__BSY__BIE EQU CYREG_PRT4_BIE -SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__BSY__BYP EQU CYREG_PRT4_BYP -SCSI_Out__BSY__CTL EQU CYREG_PRT4_CTL -SCSI_Out__BSY__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__BSY__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__BSY__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__BSY__DR EQU CYREG_PRT4_DR -SCSI_Out__BSY__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__BSY__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__BSY__MASK EQU 0x10 -SCSI_Out__BSY__PC EQU CYREG_PRT4_PC4 -SCSI_Out__BSY__PORT EQU 4 -SCSI_Out__BSY__PRT EQU CYREG_PRT4_PRT -SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__BSY__PS EQU CYREG_PRT4_PS -SCSI_Out__BSY__SHIFT EQU 4 -SCSI_Out__BSY__SLW EQU CYREG_PRT4_SLW -SCSI_Out__CD__AG EQU CYREG_PRT6_AG -SCSI_Out__CD__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__CD__BIE EQU CYREG_PRT6_BIE -SCSI_Out__CD__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__CD__BYP EQU CYREG_PRT6_BYP -SCSI_Out__CD__CTL EQU CYREG_PRT6_CTL -SCSI_Out__CD__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__CD__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__CD__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__CD__DR EQU CYREG_PRT6_DR -SCSI_Out__CD__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__CD__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__CD__MASK EQU 0x02 -SCSI_Out__CD__PC EQU CYREG_PRT6_PC1 -SCSI_Out__CD__PORT EQU 6 -SCSI_Out__CD__PRT EQU CYREG_PRT6_PRT -SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__CD__PS EQU CYREG_PRT6_PS -SCSI_Out__CD__SHIFT EQU 1 -SCSI_Out__CD__SLW EQU CYREG_PRT6_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT0_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT0_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT0_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT0_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT0_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__BSY__MASK EQU 0x80 +SCSI_Out__BSY__PC EQU CYREG_PRT0_PC7 +SCSI_Out__BSY__PORT EQU 0 +SCSI_Out__BSY__PRT EQU CYREG_PRT0_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT0_PS +SCSI_Out__BSY__SHIFT EQU 7 +SCSI_Out__BSY__SLW EQU CYREG_PRT0_SLW +SCSI_Out__CD__AG EQU CYREG_PRT0_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT0_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT0_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT0_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT0_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__CD__MASK EQU 0x04 +SCSI_Out__CD__PC EQU CYREG_PRT0_PC2 +SCSI_Out__CD__PORT EQU 0 +SCSI_Out__CD__PRT EQU CYREG_PRT0_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT0_PS +SCSI_Out__CD__SHIFT EQU 2 +SCSI_Out__CD__SLW EQU CYREG_PRT0_SLW SCSI_Out__DBP_raw__AG EQU CYREG_PRT4_AG SCSI_Out__DBP_raw__AMUX EQU CYREG_PRT4_AMUX SCSI_Out__DBP_raw__BIE EQU CYREG_PRT4_BIE @@ -842,8 +1772,8 @@ SCSI_Out__DBP_raw__DR EQU CYREG_PRT4_DR SCSI_Out__DBP_raw__INP_DIS EQU CYREG_PRT4_INP_DIS SCSI_Out__DBP_raw__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG SCSI_Out__DBP_raw__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__DBP_raw__MASK EQU 0x04 -SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC2 +SCSI_Out__DBP_raw__MASK EQU 0x08 +SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC3 SCSI_Out__DBP_raw__PORT EQU 4 SCSI_Out__DBP_raw__PRT EQU CYREG_PRT4_PRT SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL @@ -854,143 +1784,143 @@ SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT SCSI_Out__DBP_raw__PS EQU CYREG_PRT4_PS -SCSI_Out__DBP_raw__SHIFT EQU 2 +SCSI_Out__DBP_raw__SHIFT EQU 3 SCSI_Out__DBP_raw__SLW EQU CYREG_PRT4_SLW -SCSI_Out__IO_raw__AG EQU CYREG_PRT6_AG -SCSI_Out__IO_raw__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__IO_raw__BIE EQU CYREG_PRT6_BIE -SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__IO_raw__BYP EQU CYREG_PRT6_BYP -SCSI_Out__IO_raw__CTL EQU CYREG_PRT6_CTL -SCSI_Out__IO_raw__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__IO_raw__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__IO_raw__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__IO_raw__DR EQU CYREG_PRT6_DR -SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__IO_raw__MASK EQU 0x08 -SCSI_Out__IO_raw__PC EQU CYREG_PRT6_PC3 -SCSI_Out__IO_raw__PORT EQU 6 -SCSI_Out__IO_raw__PRT EQU CYREG_PRT6_PRT -SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__IO_raw__PS EQU CYREG_PRT6_PS -SCSI_Out__IO_raw__SHIFT EQU 3 -SCSI_Out__IO_raw__SLW EQU CYREG_PRT6_SLW -SCSI_Out__MSG__AG EQU CYREG_PRT4_AG -SCSI_Out__MSG__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__MSG__BIE EQU CYREG_PRT4_BIE -SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__MSG__BYP EQU CYREG_PRT4_BYP -SCSI_Out__MSG__CTL EQU CYREG_PRT4_CTL -SCSI_Out__MSG__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__MSG__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__MSG__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__MSG__DR EQU CYREG_PRT4_DR -SCSI_Out__MSG__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__MSG__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__MSG__MASK EQU 0x80 -SCSI_Out__MSG__PC EQU CYREG_PRT4_PC7 -SCSI_Out__MSG__PORT EQU 4 -SCSI_Out__MSG__PRT EQU CYREG_PRT4_PRT -SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__MSG__PS EQU CYREG_PRT4_PS -SCSI_Out__MSG__SHIFT EQU 7 -SCSI_Out__MSG__SLW EQU CYREG_PRT4_SLW -SCSI_Out__REQ__AG EQU CYREG_PRT6_AG -SCSI_Out__REQ__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__REQ__BIE EQU CYREG_PRT6_BIE -SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__REQ__BYP EQU CYREG_PRT6_BYP -SCSI_Out__REQ__CTL EQU CYREG_PRT6_CTL -SCSI_Out__REQ__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__REQ__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__REQ__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__REQ__DR EQU CYREG_PRT6_DR -SCSI_Out__REQ__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__REQ__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__REQ__MASK EQU 0x04 -SCSI_Out__REQ__PC EQU CYREG_PRT6_PC2 -SCSI_Out__REQ__PORT EQU 6 -SCSI_Out__REQ__PRT EQU CYREG_PRT6_PRT -SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__REQ__PS EQU CYREG_PRT6_PS -SCSI_Out__REQ__SHIFT EQU 2 -SCSI_Out__REQ__SLW EQU CYREG_PRT6_SLW -SCSI_Out__RST__AG EQU CYREG_PRT4_AG -SCSI_Out__RST__AMUX EQU CYREG_PRT4_AMUX -SCSI_Out__RST__BIE EQU CYREG_PRT4_BIE -SCSI_Out__RST__BIT_MASK EQU CYREG_PRT4_BIT_MASK -SCSI_Out__RST__BYP EQU CYREG_PRT4_BYP -SCSI_Out__RST__CTL EQU CYREG_PRT4_CTL -SCSI_Out__RST__DM0 EQU CYREG_PRT4_DM0 -SCSI_Out__RST__DM1 EQU CYREG_PRT4_DM1 -SCSI_Out__RST__DM2 EQU CYREG_PRT4_DM2 -SCSI_Out__RST__DR EQU CYREG_PRT4_DR -SCSI_Out__RST__INP_DIS EQU CYREG_PRT4_INP_DIS -SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG -SCSI_Out__RST__LCD_EN EQU CYREG_PRT4_LCD_EN -SCSI_Out__RST__MASK EQU 0x40 -SCSI_Out__RST__PC EQU CYREG_PRT4_PC6 -SCSI_Out__RST__PORT EQU 4 -SCSI_Out__RST__PRT EQU CYREG_PRT4_PRT -SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL -SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN -SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 -SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 -SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 -SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 -SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT -SCSI_Out__RST__PS EQU CYREG_PRT4_PS -SCSI_Out__RST__SHIFT EQU 6 -SCSI_Out__RST__SLW EQU CYREG_PRT4_SLW -SCSI_Out__SEL__AG EQU CYREG_PRT6_AG -SCSI_Out__SEL__AMUX EQU CYREG_PRT6_AMUX -SCSI_Out__SEL__BIE EQU CYREG_PRT6_BIE -SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT6_BIT_MASK -SCSI_Out__SEL__BYP EQU CYREG_PRT6_BYP -SCSI_Out__SEL__CTL EQU CYREG_PRT6_CTL -SCSI_Out__SEL__DM0 EQU CYREG_PRT6_DM0 -SCSI_Out__SEL__DM1 EQU CYREG_PRT6_DM1 -SCSI_Out__SEL__DM2 EQU CYREG_PRT6_DM2 -SCSI_Out__SEL__DR EQU CYREG_PRT6_DR -SCSI_Out__SEL__INP_DIS EQU CYREG_PRT6_INP_DIS -SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG -SCSI_Out__SEL__LCD_EN EQU CYREG_PRT6_LCD_EN -SCSI_Out__SEL__MASK EQU 0x01 -SCSI_Out__SEL__PC EQU CYREG_PRT6_PC0 -SCSI_Out__SEL__PORT EQU 6 -SCSI_Out__SEL__PRT EQU CYREG_PRT6_PRT -SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL -SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN -SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 -SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 -SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 -SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 -SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT -SCSI_Out__SEL__PS EQU CYREG_PRT6_PS -SCSI_Out__SEL__SHIFT EQU 0 -SCSI_Out__SEL__SLW EQU CYREG_PRT6_SLW +SCSI_Out__IO_raw__AG EQU CYREG_PRT0_AG +SCSI_Out__IO_raw__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__IO_raw__BIE EQU CYREG_PRT0_BIE +SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__IO_raw__BYP EQU CYREG_PRT0_BYP +SCSI_Out__IO_raw__CTL EQU CYREG_PRT0_CTL +SCSI_Out__IO_raw__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__IO_raw__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__IO_raw__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__IO_raw__DR EQU CYREG_PRT0_DR +SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__IO_raw__MASK EQU 0x01 +SCSI_Out__IO_raw__PC EQU CYREG_PRT0_PC0 +SCSI_Out__IO_raw__PORT EQU 0 +SCSI_Out__IO_raw__PRT EQU CYREG_PRT0_PRT +SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__IO_raw__PS EQU CYREG_PRT0_PS +SCSI_Out__IO_raw__SHIFT EQU 0 +SCSI_Out__IO_raw__SLW EQU CYREG_PRT0_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT0_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT0_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT0_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT0_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT0_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__MSG__MASK EQU 0x10 +SCSI_Out__MSG__PC EQU CYREG_PRT0_PC4 +SCSI_Out__MSG__PORT EQU 0 +SCSI_Out__MSG__PRT EQU CYREG_PRT0_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT0_PS +SCSI_Out__MSG__SHIFT EQU 4 +SCSI_Out__MSG__SLW EQU CYREG_PRT0_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT0_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT0_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT0_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT0_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT0_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__REQ__MASK EQU 0x02 +SCSI_Out__REQ__PC EQU CYREG_PRT0_PC1 +SCSI_Out__REQ__PORT EQU 0 +SCSI_Out__REQ__PRT EQU CYREG_PRT0_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT0_PS +SCSI_Out__REQ__SHIFT EQU 1 +SCSI_Out__REQ__SLW EQU CYREG_PRT0_SLW +SCSI_Out__RST__AG EQU CYREG_PRT0_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT0_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT0_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT0_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT0_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__RST__MASK EQU 0x20 +SCSI_Out__RST__PC EQU CYREG_PRT0_PC5 +SCSI_Out__RST__PORT EQU 0 +SCSI_Out__RST__PRT EQU CYREG_PRT0_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT0_PS +SCSI_Out__RST__SHIFT EQU 5 +SCSI_Out__RST__SLW EQU CYREG_PRT0_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT0_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT0_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT0_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT0_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT0_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__SEL__MASK EQU 0x08 +SCSI_Out__SEL__PC EQU CYREG_PRT0_PC3 +SCSI_Out__SEL__PORT EQU 0 +SCSI_Out__SEL__PRT EQU CYREG_PRT0_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT0_PS +SCSI_Out__SEL__SHIFT EQU 3 +SCSI_Out__SEL__SLW EQU CYREG_PRT0_SLW ; SCSI_RST SCSI_RST__0__MASK EQU 0x40 @@ -1031,32 +1961,33 @@ SCSI_RST__SLW EQU CYREG_PRT6_SLW SCSI_RST__SNAP EQU CYREG_PICU6_SNAP ; SCSI_In -SCSI_In__0__AG EQU CYREG_PRT12_AG -SCSI_In__0__BIE EQU CYREG_PRT12_BIE -SCSI_In__0__BIT_MASK EQU CYREG_PRT12_BIT_MASK -SCSI_In__0__BYP EQU CYREG_PRT12_BYP -SCSI_In__0__DM0 EQU CYREG_PRT12_DM0 -SCSI_In__0__DM1 EQU CYREG_PRT12_DM1 -SCSI_In__0__DM2 EQU CYREG_PRT12_DM2 -SCSI_In__0__DR EQU CYREG_PRT12_DR -SCSI_In__0__INP_DIS EQU CYREG_PRT12_INP_DIS -SCSI_In__0__MASK EQU 0x10 -SCSI_In__0__PC EQU CYREG_PRT12_PC4 -SCSI_In__0__PORT EQU 12 -SCSI_In__0__PRT EQU CYREG_PRT12_PRT -SCSI_In__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN -SCSI_In__0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 -SCSI_In__0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 -SCSI_In__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 -SCSI_In__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 -SCSI_In__0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT -SCSI_In__0__PS EQU CYREG_PRT12_PS -SCSI_In__0__SHIFT EQU 4 -SCSI_In__0__SIO_CFG EQU CYREG_PRT12_SIO_CFG -SCSI_In__0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF -SCSI_In__0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN -SCSI_In__0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ -SCSI_In__0__SLW EQU CYREG_PRT12_SLW +SCSI_In__0__AG EQU CYREG_PRT2_AG +SCSI_In__0__AMUX EQU CYREG_PRT2_AMUX +SCSI_In__0__BIE EQU CYREG_PRT2_BIE +SCSI_In__0__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In__0__BYP EQU CYREG_PRT2_BYP +SCSI_In__0__CTL EQU CYREG_PRT2_CTL +SCSI_In__0__DM0 EQU CYREG_PRT2_DM0 +SCSI_In__0__DM1 EQU CYREG_PRT2_DM1 +SCSI_In__0__DM2 EQU CYREG_PRT2_DM2 +SCSI_In__0__DR EQU CYREG_PRT2_DR +SCSI_In__0__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In__0__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In__0__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In__0__MASK EQU 0x01 +SCSI_In__0__PC EQU CYREG_PRT2_PC0 +SCSI_In__0__PORT EQU 2 +SCSI_In__0__PRT EQU CYREG_PRT2_PRT +SCSI_In__0__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In__0__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In__0__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In__0__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In__0__PS EQU CYREG_PRT2_PS +SCSI_In__0__SHIFT EQU 0 +SCSI_In__0__SLW EQU CYREG_PRT2_SLW SCSI_In__1__AG EQU CYREG_PRT6_AG SCSI_In__1__AMUX EQU CYREG_PRT6_AMUX SCSI_In__1__BIE EQU CYREG_PRT6_BIE @@ -1327,32 +2258,33 @@ SCSI_In__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT SCSI_In__CD__PS EQU CYREG_PRT5_PS SCSI_In__CD__SHIFT EQU 1 SCSI_In__CD__SLW EQU CYREG_PRT5_SLW -SCSI_In__DBP__AG EQU CYREG_PRT12_AG -SCSI_In__DBP__BIE EQU CYREG_PRT12_BIE -SCSI_In__DBP__BIT_MASK EQU CYREG_PRT12_BIT_MASK -SCSI_In__DBP__BYP EQU CYREG_PRT12_BYP -SCSI_In__DBP__DM0 EQU CYREG_PRT12_DM0 -SCSI_In__DBP__DM1 EQU CYREG_PRT12_DM1 -SCSI_In__DBP__DM2 EQU CYREG_PRT12_DM2 -SCSI_In__DBP__DR EQU CYREG_PRT12_DR -SCSI_In__DBP__INP_DIS EQU CYREG_PRT12_INP_DIS -SCSI_In__DBP__MASK EQU 0x10 -SCSI_In__DBP__PC EQU CYREG_PRT12_PC4 -SCSI_In__DBP__PORT EQU 12 -SCSI_In__DBP__PRT EQU CYREG_PRT12_PRT -SCSI_In__DBP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN -SCSI_In__DBP__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 -SCSI_In__DBP__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 -SCSI_In__DBP__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 -SCSI_In__DBP__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 -SCSI_In__DBP__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT -SCSI_In__DBP__PS EQU CYREG_PRT12_PS -SCSI_In__DBP__SHIFT EQU 4 -SCSI_In__DBP__SIO_CFG EQU CYREG_PRT12_SIO_CFG -SCSI_In__DBP__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF -SCSI_In__DBP__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN -SCSI_In__DBP__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ -SCSI_In__DBP__SLW EQU CYREG_PRT12_SLW +SCSI_In__DBP__AG EQU CYREG_PRT2_AG +SCSI_In__DBP__AMUX EQU CYREG_PRT2_AMUX +SCSI_In__DBP__BIE EQU CYREG_PRT2_BIE +SCSI_In__DBP__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In__DBP__BYP EQU CYREG_PRT2_BYP +SCSI_In__DBP__CTL EQU CYREG_PRT2_CTL +SCSI_In__DBP__DM0 EQU CYREG_PRT2_DM0 +SCSI_In__DBP__DM1 EQU CYREG_PRT2_DM1 +SCSI_In__DBP__DM2 EQU CYREG_PRT2_DM2 +SCSI_In__DBP__DR EQU CYREG_PRT2_DR +SCSI_In__DBP__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In__DBP__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In__DBP__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In__DBP__MASK EQU 0x01 +SCSI_In__DBP__PC EQU CYREG_PRT2_PC0 +SCSI_In__DBP__PORT EQU 2 +SCSI_In__DBP__PRT EQU CYREG_PRT2_PRT +SCSI_In__DBP__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In__DBP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In__DBP__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In__DBP__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In__DBP__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In__DBP__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In__DBP__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In__DBP__PS EQU CYREG_PRT2_PS +SCSI_In__DBP__SHIFT EQU 0 +SCSI_In__DBP__SLW EQU CYREG_PRT2_SLW SCSI_In__IO__AG EQU CYREG_PRT5_AG SCSI_In__IO__AMUX EQU CYREG_PRT5_AMUX SCSI_In__IO__BIE EQU CYREG_PRT5_BIE @@ -1463,10 +2395,10 @@ SCSI_In__SEL__SHIFT EQU 0 SCSI_In__SEL__SLW EQU CYREG_PRT5_SLW ; SD_DAT1 -SD_DAT1__0__MASK EQU 0x20 -SD_DAT1__0__PC EQU CYREG_PRT3_PC5 +SD_DAT1__0__MASK EQU 0x01 +SD_DAT1__0__PC EQU CYREG_PRT3_PC0 SD_DAT1__0__PORT EQU 3 -SD_DAT1__0__SHIFT EQU 5 +SD_DAT1__0__SHIFT EQU 0 SD_DAT1__AG EQU CYREG_PRT3_AG SD_DAT1__AMUX EQU CYREG_PRT3_AMUX SD_DAT1__BIE EQU CYREG_PRT3_BIE @@ -1480,7 +2412,7 @@ SD_DAT1__DR EQU CYREG_PRT3_DR SD_DAT1__INP_DIS EQU CYREG_PRT3_INP_DIS SD_DAT1__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_DAT1__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_DAT1__MASK EQU 0x20 +SD_DAT1__MASK EQU 0x01 SD_DAT1__PORT EQU 3 SD_DAT1__PRT EQU CYREG_PRT3_PRT SD_DAT1__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1491,14 +2423,14 @@ SD_DAT1__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_DAT1__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_DAT1__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_DAT1__PS EQU CYREG_PRT3_PS -SD_DAT1__SHIFT EQU 5 +SD_DAT1__SHIFT EQU 0 SD_DAT1__SLW EQU CYREG_PRT3_SLW ; SD_DAT2 -SD_DAT2__0__MASK EQU 0x01 -SD_DAT2__0__PC EQU CYREG_PRT3_PC0 +SD_DAT2__0__MASK EQU 0x20 +SD_DAT2__0__PC EQU CYREG_PRT3_PC5 SD_DAT2__0__PORT EQU 3 -SD_DAT2__0__SHIFT EQU 0 +SD_DAT2__0__SHIFT EQU 5 SD_DAT2__AG EQU CYREG_PRT3_AG SD_DAT2__AMUX EQU CYREG_PRT3_AMUX SD_DAT2__BIE EQU CYREG_PRT3_BIE @@ -1512,7 +2444,7 @@ SD_DAT2__DR EQU CYREG_PRT3_DR SD_DAT2__INP_DIS EQU CYREG_PRT3_INP_DIS SD_DAT2__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_DAT2__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_DAT2__MASK EQU 0x01 +SD_DAT2__MASK EQU 0x20 SD_DAT2__PORT EQU 3 SD_DAT2__PRT EQU CYREG_PRT3_PRT SD_DAT2__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1523,14 +2455,14 @@ SD_DAT2__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_DAT2__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_DAT2__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_DAT2__PS EQU CYREG_PRT3_PS -SD_DAT2__SHIFT EQU 0 +SD_DAT2__SHIFT EQU 5 SD_DAT2__SLW EQU CYREG_PRT3_SLW ; SD_MISO -SD_MISO__0__MASK EQU 0x10 -SD_MISO__0__PC EQU CYREG_PRT3_PC4 +SD_MISO__0__MASK EQU 0x02 +SD_MISO__0__PC EQU CYREG_PRT3_PC1 SD_MISO__0__PORT EQU 3 -SD_MISO__0__SHIFT EQU 4 +SD_MISO__0__SHIFT EQU 1 SD_MISO__AG EQU CYREG_PRT3_AG SD_MISO__AMUX EQU CYREG_PRT3_AMUX SD_MISO__BIE EQU CYREG_PRT3_BIE @@ -1544,7 +2476,7 @@ SD_MISO__DR EQU CYREG_PRT3_DR SD_MISO__INP_DIS EQU CYREG_PRT3_INP_DIS SD_MISO__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_MISO__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_MISO__MASK EQU 0x10 +SD_MISO__MASK EQU 0x02 SD_MISO__PORT EQU 3 SD_MISO__PRT EQU CYREG_PRT3_PRT SD_MISO__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1555,14 +2487,14 @@ SD_MISO__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_MISO__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_MISO__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_MISO__PS EQU CYREG_PRT3_PS -SD_MISO__SHIFT EQU 4 +SD_MISO__SHIFT EQU 1 SD_MISO__SLW EQU CYREG_PRT3_SLW ; SD_MOSI -SD_MOSI__0__MASK EQU 0x04 -SD_MOSI__0__PC EQU CYREG_PRT3_PC2 +SD_MOSI__0__MASK EQU 0x08 +SD_MOSI__0__PC EQU CYREG_PRT3_PC3 SD_MOSI__0__PORT EQU 3 -SD_MOSI__0__SHIFT EQU 2 +SD_MOSI__0__SHIFT EQU 3 SD_MOSI__AG EQU CYREG_PRT3_AG SD_MOSI__AMUX EQU CYREG_PRT3_AMUX SD_MOSI__BIE EQU CYREG_PRT3_BIE @@ -1576,7 +2508,7 @@ SD_MOSI__DR EQU CYREG_PRT3_DR SD_MOSI__INP_DIS EQU CYREG_PRT3_INP_DIS SD_MOSI__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_MOSI__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_MOSI__MASK EQU 0x04 +SD_MOSI__MASK EQU 0x08 SD_MOSI__PORT EQU 3 SD_MOSI__PRT EQU CYREG_PRT3_PRT SD_MOSI__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1587,14 +2519,14 @@ SD_MOSI__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_MOSI__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_MOSI__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_MOSI__PS EQU CYREG_PRT3_PS -SD_MOSI__SHIFT EQU 2 +SD_MOSI__SHIFT EQU 3 SD_MOSI__SLW EQU CYREG_PRT3_SLW ; SD_SCK -SD_SCK__0__MASK EQU 0x08 -SD_SCK__0__PC EQU CYREG_PRT3_PC3 +SD_SCK__0__MASK EQU 0x04 +SD_SCK__0__PC EQU CYREG_PRT3_PC2 SD_SCK__0__PORT EQU 3 -SD_SCK__0__SHIFT EQU 3 +SD_SCK__0__SHIFT EQU 2 SD_SCK__AG EQU CYREG_PRT3_AG SD_SCK__AMUX EQU CYREG_PRT3_AMUX SD_SCK__BIE EQU CYREG_PRT3_BIE @@ -1608,7 +2540,7 @@ SD_SCK__DR EQU CYREG_PRT3_DR SD_SCK__INP_DIS EQU CYREG_PRT3_INP_DIS SD_SCK__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_SCK__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_SCK__MASK EQU 0x08 +SD_SCK__MASK EQU 0x04 SD_SCK__PORT EQU 3 SD_SCK__PRT EQU CYREG_PRT3_PRT SD_SCK__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1619,7 +2551,7 @@ SD_SCK__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_SCK__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_SCK__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_SCK__PS EQU CYREG_PRT3_PS -SD_SCK__SHIFT EQU 3 +SD_SCK__SHIFT EQU 2 SD_SCK__SLW EQU CYREG_PRT3_SLW ; SD_CD @@ -1655,10 +2587,10 @@ SD_CD__SHIFT EQU 6 SD_CD__SLW EQU CYREG_PRT3_SLW ; SD_CS -SD_CS__0__MASK EQU 0x02 -SD_CS__0__PC EQU CYREG_PRT3_PC1 +SD_CS__0__MASK EQU 0x10 +SD_CS__0__PC EQU CYREG_PRT3_PC4 SD_CS__0__PORT EQU 3 -SD_CS__0__SHIFT EQU 1 +SD_CS__0__SHIFT EQU 4 SD_CS__AG EQU CYREG_PRT3_AG SD_CS__AMUX EQU CYREG_PRT3_AMUX SD_CS__BIE EQU CYREG_PRT3_BIE @@ -1672,7 +2604,7 @@ SD_CS__DR EQU CYREG_PRT3_DR SD_CS__INP_DIS EQU CYREG_PRT3_INP_DIS SD_CS__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG SD_CS__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_CS__MASK EQU 0x02 +SD_CS__MASK EQU 0x10 SD_CS__PORT EQU 3 SD_CS__PRT EQU CYREG_PRT3_PRT SD_CS__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL @@ -1683,41 +2615,9 @@ SD_CS__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 SD_CS__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 SD_CS__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT SD_CS__PS EQU CYREG_PRT3_PS -SD_CS__SHIFT EQU 1 +SD_CS__SHIFT EQU 4 SD_CS__SLW EQU CYREG_PRT3_SLW -; SD_WP -SD_WP__0__MASK EQU 0x80 -SD_WP__0__PC EQU CYREG_PRT3_PC7 -SD_WP__0__PORT EQU 3 -SD_WP__0__SHIFT EQU 7 -SD_WP__AG EQU CYREG_PRT3_AG -SD_WP__AMUX EQU CYREG_PRT3_AMUX -SD_WP__BIE EQU CYREG_PRT3_BIE -SD_WP__BIT_MASK EQU CYREG_PRT3_BIT_MASK -SD_WP__BYP EQU CYREG_PRT3_BYP -SD_WP__CTL EQU CYREG_PRT3_CTL -SD_WP__DM0 EQU CYREG_PRT3_DM0 -SD_WP__DM1 EQU CYREG_PRT3_DM1 -SD_WP__DM2 EQU CYREG_PRT3_DM2 -SD_WP__DR EQU CYREG_PRT3_DR -SD_WP__INP_DIS EQU CYREG_PRT3_INP_DIS -SD_WP__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG -SD_WP__LCD_EN EQU CYREG_PRT3_LCD_EN -SD_WP__MASK EQU 0x80 -SD_WP__PORT EQU 3 -SD_WP__PRT EQU CYREG_PRT3_PRT -SD_WP__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL -SD_WP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN -SD_WP__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 -SD_WP__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 -SD_WP__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 -SD_WP__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 -SD_WP__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT -SD_WP__PS EQU CYREG_PRT3_PS -SD_WP__SHIFT EQU 7 -SD_WP__SLW EQU CYREG_PRT3_SLW - ; LED1 LED1__0__MASK EQU 0x08 LED1__0__PC EQU CYREG_PRT12_PC3 @@ -1761,9 +2661,9 @@ CYDEV_CHIP_MEMBER_5B EQU 4 CYDEV_CHIP_FAMILY_PSOC5 EQU 3 CYDEV_CHIP_DIE_PSOC5LP EQU 4 CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP -BCLK__BUS_CLK__HZ EQU 63000000 -BCLK__BUS_CLK__KHZ EQU 63000 -BCLK__BUS_CLK__MHZ EQU 63 +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT CYDEV_CHIP_DIE_LEOPARD EQU 1 CYDEV_CHIP_DIE_PANTHER EQU 3 @@ -1773,7 +2673,7 @@ CYDEV_CHIP_FAMILY_PSOC3 EQU 1 CYDEV_CHIP_FAMILY_PSOC4 EQU 2 CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 -CYDEV_CHIP_JTAG_ID EQU 0x2E12F069 +CYDEV_CHIP_JTAG_ID EQU 0x2E133069 CYDEV_CHIP_MEMBER_3A EQU 1 CYDEV_CHIP_MEMBER_4A EQU 2 CYDEV_CHIP_MEMBER_5A EQU 3 @@ -1803,7 +2703,7 @@ CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 CYDEV_CONFIGURATION_COMPRESSED EQU 1 CYDEV_CONFIGURATION_DMA EQU 0 -CYDEV_CONFIGURATION_ECC EQU 1 +CYDEV_CONFIGURATION_ECC EQU 0 CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED CYDEV_CONFIGURATION_MODE_DMA EQU 2 @@ -1825,13 +2725,14 @@ CYDEV_ECC_ENABLE EQU 0 CYDEV_HEAP_SIZE EQU 0x1000 CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 CYDEV_INTR_RISING EQU 0x00000000 -CYDEV_PROJ_TYPE EQU 0 +CYDEV_PROJ_TYPE EQU 2 CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 CYDEV_PROJ_TYPE_LOADABLE EQU 2 CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 CYDEV_PROJ_TYPE_STANDARD EQU 0 CYDEV_PROTECTION_ENABLE EQU 0 CYDEV_STACK_SIZE EQU 0x4000 +CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP EQU 1 CYDEV_USE_BUNDLED_CMSIS EQU 1 CYDEV_VARIABLE_VDDA EQU 0 CYDEV_VDDA_MV EQU 5000 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c old mode 100644 new mode 100755 index 2f14425..7498391 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c @@ -19,609 +19,17 @@ #if defined(__GNUC__) || defined(__ARMCC_VERSION) -__attribute__ ((__section__(".cyconfigecc"), used)) -#elif defined(__ICCARM__) -#pragma location=".cyconfigecc" -#else -#error "Unsupported toolchain" -#endif -const uint8 cy_meta_configecc[] = { - 0x02u, 0x45u, 0x00u, 0x40u, 0x08u, 0x52u, 0x00u, 0x40u, - 0x02u, 0x64u, 0x00u, 0x40u, 0x45u, 0x00u, 0x01u, 0x40u, - 0x32u, 0x01u, 0x01u, 0x40u, 0x44u, 0x02u, 0x01u, 0x40u, - 0x54u, 0x03u, 0x01u, 0x40u, 0x3Du, 0x04u, 0x01u, 0x40u, - 0x5Bu, 0x05u, 0x01u, 0x40u, 0x0Bu, 0x06u, 0x01u, 0x40u, - 0x4Eu, 0x07u, 0x01u, 0x40u, 0x10u, 0x09u, 0x01u, 0x40u, - 0x3Cu, 0x0Au, 0x01u, 0x40u, 0x3Fu, 0x0Bu, 0x01u, 0x40u, - 0x0Au, 0x0Du, 0x01u, 0x40u, 0x02u, 0x0Fu, 0x01u, 0x40u, - 0x03u, 0x15u, 0x01u, 0x40u, 0x48u, 0x16u, 0x01u, 0x40u, - 0x43u, 0x17u, 0x01u, 0x40u, 0x03u, 0x19u, 0x01u, 0x40u, - 0x02u, 0x1Bu, 0x01u, 0x40u, 0x07u, 0x40u, 0x01u, 0x40u, - 0x10u, 0x41u, 0x01u, 0x40u, 0x08u, 0x42u, 0x01u, 0x40u, - 0x05u, 0x43u, 0x01u, 0x40u, 0x08u, 0x44u, 0x01u, 0x40u, - 0x13u, 0x45u, 0x01u, 0x40u, 0x06u, 0x46u, 0x01u, 0x40u, - 0x01u, 0x47u, 0x01u, 0x40u, 0x08u, 0x48u, 0x01u, 0x40u, - 0x09u, 0x49u, 0x01u, 0x40u, 0x06u, 0x50u, 0x01u, 0x40u, - 0x36u, 0x02u, 0x65u, 0x02u, 0x00u, 0xC9u, 0x01u, 0x9Cu, - 0x18u, 0x08u, 0x19u, 0x04u, 0x1Cu, 0xE1u, 0x21u, 0x04u, - 0x30u, 0x04u, 0x31u, 0x08u, 0x34u, 0x03u, 0x82u, 0x0Fu, - 0x01u, 0x40u, 0x06u, 0x0Cu, 0x07u, 0x18u, 0x0Au, 0x60u, - 0x0Cu, 0x02u, 0x0Fu, 0x20u, 0x10u, 0x90u, 0x12u, 0x48u, - 0x14u, 0x90u, 0x16u, 0x24u, 0x17u, 0x24u, 0x19u, 0x24u, - 0x1Au, 0x10u, 0x1Bu, 0x09u, 0x1Fu, 0x03u, 0x23u, 0x04u, - 0x26u, 0x80u, 0x2Au, 0x90u, 0x2Cu, 0x01u, 0x2Du, 0x24u, - 0x2Fu, 0x12u, 0x30u, 0x01u, 0x31u, 0x40u, 0x32u, 0x1Cu, - 0x33u, 0x38u, 0x34u, 0xE0u, 0x36u, 0x02u, 0x37u, 0x07u, - 0x3Eu, 0x41u, 0x3Fu, 0x01u, 0x58u, 0x04u, 0x59u, 0x04u, - 0x5Bu, 0x04u, 0x5Cu, 0x99u, 0x5Fu, 0x01u, 0x85u, 0x01u, - 0x87u, 0x2Cu, 0x88u, 0x08u, 0x89u, 0x32u, 0x8Bu, 0x01u, - 0x8Fu, 0x08u, 0x90u, 0x04u, 0x92u, 0x02u, 0x96u, 0x03u, - 0x97u, 0x40u, 0x98u, 0x04u, 0x99u, 0x06u, 0x9Au, 0x01u, - 0x9Eu, 0x04u, 0xA0u, 0x08u, 0xA4u, 0x08u, 0xA5u, 0x01u, - 0xA7u, 0x1Au, 0xAAu, 0x04u, 0xABu, 0x40u, 0xACu, 0x08u, - 0xB2u, 0x07u, 0xB3u, 0x07u, 0xB4u, 0x08u, 0xB5u, 0x40u, - 0xB7u, 0x38u, 0xB8u, 0x20u, 0xB9u, 0x08u, 0xBEu, 0x10u, - 0xBFu, 0x10u, 0xD8u, 0x04u, 0xD9u, 0x0Bu, 0xDCu, 0x99u, - 0xDFu, 0x01u, 0x01u, 0x28u, 0x03u, 0x02u, 0x05u, 0x10u, - 0x0Au, 0x78u, 0x0Cu, 0x80u, 0x0Du, 0x10u, 0x0Eu, 0x60u, - 0x12u, 0x0Cu, 0x13u, 0x48u, 0x14u, 0x90u, 0x16u, 0x04u, - 0x17u, 0x40u, 0x18u, 0x40u, 0x19u, 0xA8u, 0x1Bu, 0x20u, - 0x1Eu, 0x20u, 0x1Fu, 0x14u, 0x21u, 0x84u, 0x22u, 0x01u, - 0x25u, 0x40u, 0x27u, 0x14u, 0x29u, 0x01u, 0x2Bu, 0x01u, - 0x2Eu, 0x14u, 0x31u, 0x80u, 0x32u, 0x18u, 0x36u, 0x08u, - 0x37u, 0x10u, 0x39u, 0x48u, 0x3Au, 0x08u, 0x3Bu, 0x01u, - 0x3Du, 0x80u, 0x3Fu, 0x14u, 0x69u, 0x80u, 0x6Bu, 0x01u, - 0x7Eu, 0x80u, 0x81u, 0x80u, 0x83u, 0x04u, 0x85u, 0x40u, - 0x8Bu, 0x10u, 0x8Fu, 0x01u, 0xC0u, 0x47u, 0xC2u, 0xFEu, - 0xC4u, 0xF7u, 0xCAu, 0x69u, 0xCCu, 0x6Eu, 0xCEu, 0x7Bu, - 0xDEu, 0x80u, 0xE0u, 0x01u, 0xE2u, 0x20u, 0x04u, 0x0Fu, - 0x05u, 0x55u, 0x06u, 0xF0u, 0x07u, 0xAAu, 0x0Bu, 0xFFu, - 0x0Eu, 0xFFu, 0x10u, 0xFFu, 0x13u, 0xFFu, 0x15u, 0x0Fu, - 0x16u, 0xFFu, 0x17u, 0xF0u, 0x1Du, 0x69u, 0x1Fu, 0x96u, - 0x24u, 0x33u, 0x25u, 0x33u, 0x26u, 0xCCu, 0x27u, 0xCCu, - 0x28u, 0x55u, 0x2Au, 0xAAu, 0x2Bu, 0xFFu, 0x2Cu, 0x96u, - 0x2Eu, 0x69u, 0x31u, 0xFFu, 0x34u, 0xFFu, 0x3Eu, 0x10u, - 0x3Fu, 0x01u, 0x56u, 0x02u, 0x57u, 0x2Cu, 0x58u, 0x04u, - 0x59u, 0x04u, 0x5Bu, 0x0Bu, 0x5Du, 0x90u, 0x5Fu, 0x01u, - 0x84u, 0x38u, 0x85u, 0x10u, 0x8Au, 0x45u, 0x8Eu, 0x38u, - 0x90u, 0x07u, 0x92u, 0x40u, 0x94u, 0x06u, 0x96u, 0x40u, - 0x98u, 0x08u, 0x9Cu, 0x02u, 0x9Du, 0x03u, 0x9Fu, 0x0Cu, - 0xA0u, 0x02u, 0xA1u, 0x05u, 0xA3u, 0x0Au, 0xA6u, 0x10u, - 0xA8u, 0x20u, 0xA9u, 0x06u, 0xABu, 0x09u, 0xACu, 0x01u, - 0xAEu, 0x02u, 0xB0u, 0x07u, 0xB1u, 0x0Fu, 0xB2u, 0x40u, - 0xB5u, 0x10u, 0xB6u, 0x38u, 0xBEu, 0x44u, 0xBFu, 0x11u, - 0xD4u, 0x40u, 0xD8u, 0x04u, 0xD9u, 0x04u, 0xDBu, 0x0Bu, - 0xDCu, 0x09u, 0xDDu, 0x90u, 0xDFu, 0x01u, 0x02u, 0x0Au, - 0x03u, 0x10u, 0x05u, 0x08u, 0x07u, 0x01u, 0x0Au, 0x64u, - 0x0Bu, 0x02u, 0x0Cu, 0x02u, 0x0Eu, 0x20u, 0x10u, 0x01u, - 0x12u, 0x40u, 0x13u, 0x14u, 0x15u, 0x04u, 0x16u, 0x08u, - 0x17u, 0x80u, 0x1Au, 0x50u, 0x1Bu, 0x41u, 0x1Du, 0x10u, - 0x20u, 0x04u, 0x23u, 0x80u, 0x27u, 0x40u, 0x28u, 0x40u, - 0x29u, 0x20u, 0x2Du, 0x04u, 0x2Fu, 0x24u, 0x31u, 0x80u, - 0x35u, 0x08u, 0x37u, 0x81u, 0x3Au, 0x04u, 0x3Cu, 0x20u, - 0x3Eu, 0x08u, 0x61u, 0x20u, 0x63u, 0x21u, 0x67u, 0x80u, - 0x6Cu, 0x20u, 0x6Du, 0x91u, 0x6Fu, 0x02u, 0x76u, 0x02u, - 0x77u, 0x02u, 0x78u, 0x02u, 0x7Au, 0x03u, 0x7Eu, 0x80u, - 0x81u, 0x20u, 0x82u, 0x04u, 0x83u, 0x40u, 0x84u, 0x80u, - 0x86u, 0x10u, 0x88u, 0x10u, 0x8Eu, 0x40u, 0x90u, 0x40u, - 0x91u, 0x80u, 0x92u, 0x02u, 0x93u, 0x08u, 0x95u, 0x60u, - 0x96u, 0x6Cu, 0x97u, 0x14u, 0x98u, 0x01u, 0x99u, 0x88u, - 0x9Au, 0x08u, 0x9Bu, 0x11u, 0x9Cu, 0x90u, 0x9Du, 0x11u, - 0x9Fu, 0x48u, 0xA1u, 0x80u, 0xA2u, 0x10u, 0xA3u, 0x20u, - 0xA4u, 0x80u, 0xA6u, 0x88u, 0xA7u, 0x01u, 0xAFu, 0x01u, - 0xB0u, 0x01u, 0xB3u, 0x40u, 0xB7u, 0x02u, 0xC0u, 0xA7u, - 0xC2u, 0x3Fu, 0xC4u, 0xEFu, 0xCAu, 0x65u, 0xCCu, 0xD8u, - 0xCEu, 0x62u, 0xD8u, 0x8Eu, 0xDEu, 0x81u, 0xE2u, 0x01u, - 0xE4u, 0x08u, 0xE6u, 0x03u, 0xE8u, 0x02u, 0x00u, 0x03u, - 0x0Au, 0x01u, 0x0Fu, 0x08u, 0x15u, 0x28u, 0x17u, 0x44u, - 0x19u, 0x2Cu, 0x1Bu, 0x81u, 0x1Eu, 0x03u, 0x1Fu, 0x03u, - 0x20u, 0x03u, 0x24u, 0x03u, 0x27u, 0x80u, 0x28u, 0x03u, - 0x2Bu, 0x04u, 0x2Du, 0xD4u, 0x2Fu, 0x22u, 0x30u, 0x02u, - 0x33u, 0xE0u, 0x34u, 0x01u, 0x35u, 0x18u, 0x36u, 0x02u, - 0x37u, 0x07u, 0x3Bu, 0x30u, 0x3Eu, 0x51u, 0x40u, 0x64u, - 0x41u, 0x02u, 0x42u, 0x30u, 0x45u, 0xE2u, 0x46u, 0x0Du, - 0x47u, 0xCFu, 0x48u, 0x37u, 0x49u, 0xFFu, 0x4Au, 0xFFu, - 0x4Bu, 0xFFu, 0x4Fu, 0x2Cu, 0x56u, 0x01u, 0x58u, 0x04u, - 0x59u, 0x04u, 0x5Au, 0x04u, 0x5Bu, 0x04u, 0x5Cu, 0x90u, - 0x5Du, 0x09u, 0x5Fu, 0x01u, 0x62u, 0xC0u, 0x66u, 0x80u, - 0x68u, 0x40u, 0x69u, 0x40u, 0x6Eu, 0x08u, 0x88u, 0x01u, - 0x8Au, 0x06u, 0x94u, 0x05u, 0x96u, 0x02u, 0x98u, 0x03u, - 0x9Au, 0x04u, 0x9Cu, 0x04u, 0x9Eu, 0x03u, 0xB6u, 0x07u, - 0xBAu, 0x80u, 0xD8u, 0x0Bu, 0xDCu, 0x09u, 0xDFu, 0x01u, - 0x01u, 0x01u, 0x02u, 0x04u, 0x05u, 0x10u, 0x09u, 0x40u, - 0x0Eu, 0x01u, 0x0Fu, 0x14u, 0x10u, 0x20u, 0x11u, 0x10u, - 0x13u, 0x02u, 0x19u, 0x42u, 0x1Bu, 0x10u, 0x1Eu, 0x01u, - 0x1Fu, 0x40u, 0x21u, 0x10u, 0x22u, 0x58u, 0x23u, 0x20u, - 0x29u, 0x04u, 0x2Au, 0x20u, 0x2Bu, 0x01u, 0x30u, 0x40u, - 0x32u, 0x58u, 0x39u, 0x80u, 0x41u, 0x10u, 0x42u, 0x50u, - 0x48u, 0x40u, 0x49u, 0x04u, 0x4Au, 0x08u, 0x51u, 0x08u, - 0x52u, 0x40u, 0x53u, 0x01u, 0x59u, 0xA8u, 0x5Au, 0x02u, - 0x60u, 0x64u, 0x61u, 0x80u, 0x69u, 0x40u, 0x6Au, 0x08u, - 0x6Bu, 0x88u, 0x70u, 0x90u, 0x71u, 0x01u, 0x72u, 0x20u, - 0x7Eu, 0x80u, 0x81u, 0x08u, 0x83u, 0x01u, 0x85u, 0x80u, - 0x88u, 0x20u, 0x89u, 0x10u, 0x8Cu, 0x10u, 0x8Eu, 0x40u, - 0x90u, 0x44u, 0x91u, 0x91u, 0x92u, 0x52u, 0x95u, 0x60u, - 0x96u, 0x2Cu, 0x97u, 0x97u, 0x99u, 0x80u, 0x9Au, 0x02u, - 0x9Bu, 0x02u, 0x9Cu, 0x42u, 0x9Du, 0x01u, 0x9Eu, 0x40u, - 0x9Fu, 0x08u, 0xA0u, 0x20u, 0xA1u, 0x40u, 0xA3u, 0x23u, - 0xA4u, 0x90u, 0xA5u, 0x20u, 0xA6u, 0x89u, 0xA7u, 0x10u, - 0xABu, 0x80u, 0xAFu, 0x20u, 0xB0u, 0x12u, 0xB5u, 0x08u, - 0xB6u, 0x08u, 0xC0u, 0x4Au, 0xC2u, 0xE1u, 0xC4u, 0x0Eu, - 0xCAu, 0x0Eu, 0xCCu, 0x0Eu, 0xCEu, 0x08u, 0xD0u, 0x07u, - 0xD2u, 0x04u, 0xD6u, 0x0Fu, 0xD8u, 0x0Fu, 0xDEu, 0x80u, - 0xE0u, 0x01u, 0xE2u, 0x10u, 0xE4u, 0x04u, 0xE6u, 0x02u, - 0xE8u, 0x01u, 0xEAu, 0x50u, 0xEEu, 0x80u, 0x10u, 0x04u, - 0x12u, 0x02u, 0x16u, 0x03u, 0x18u, 0x04u, 0x1Au, 0x01u, - 0x2Au, 0x04u, 0x2Eu, 0x04u, 0x32u, 0x07u, 0x58u, 0x04u, - 0x5Cu, 0x09u, 0x5Fu, 0x01u, 0x00u, 0x08u, 0x01u, 0x01u, - 0x03u, 0x0Au, 0x09u, 0x08u, 0x0Au, 0x84u, 0x0Du, 0x10u, - 0x0Eu, 0x60u, 0x10u, 0x22u, 0x11u, 0x12u, 0x13u, 0x02u, - 0x17u, 0xA0u, 0x18u, 0x20u, 0x1Cu, 0x20u, 0x1Eu, 0x20u, - 0x20u, 0x40u, 0x21u, 0x04u, 0x22u, 0x40u, 0x2Au, 0x82u, - 0x2Bu, 0x16u, 0x30u, 0x22u, 0x31u, 0x08u, 0x32u, 0x40u, - 0x38u, 0x60u, 0x39u, 0x01u, 0x3Bu, 0x04u, 0x41u, 0x08u, - 0x42u, 0x04u, 0x43u, 0x01u, 0x48u, 0x04u, 0x49u, 0x48u, - 0x50u, 0x42u, 0x51u, 0x20u, 0x52u, 0x45u, 0x58u, 0x80u, - 0x60u, 0x02u, 0x62u, 0x80u, 0x78u, 0x02u, 0x91u, 0x31u, - 0x92u, 0x40u, 0x96u, 0x04u, 0x97u, 0x14u, 0x98u, 0x80u, - 0x99u, 0x42u, 0x9Au, 0x02u, 0x9Bu, 0xA2u, 0x9Cu, 0x02u, - 0x9Du, 0x10u, 0x9Eu, 0x04u, 0xA0u, 0x20u, 0xA1u, 0x40u, - 0xA3u, 0x02u, 0xA4u, 0x10u, 0xA5u, 0x20u, 0xA6u, 0x81u, - 0xA8u, 0x80u, 0xA9u, 0x08u, 0xAAu, 0x04u, 0xABu, 0x01u, - 0xACu, 0x50u, 0xADu, 0x20u, 0xB2u, 0x40u, 0xB5u, 0x40u, - 0xB6u, 0x08u, 0xB7u, 0x20u, 0xC0u, 0x0Fu, 0xC2u, 0x7Eu, - 0xC4u, 0xCFu, 0xCAu, 0x0Fu, 0xCCu, 0x0Fu, 0xCEu, 0x0Fu, - 0xD0u, 0x07u, 0xD2u, 0x0Cu, 0xD6u, 0x08u, 0xD8u, 0x08u, - 0xDEu, 0x01u, 0xE4u, 0x40u, 0xE8u, 0x0Au, 0xEEu, 0x07u, - 0x8Eu, 0x01u, 0x9Eu, 0x41u, 0xA4u, 0x02u, 0xA8u, 0x41u, - 0xABu, 0x08u, 0xAEu, 0x09u, 0xAFu, 0x82u, 0xB2u, 0x01u, - 0xB4u, 0x41u, 0xB5u, 0x10u, 0xB6u, 0x20u, 0xB7u, 0x04u, - 0xE4u, 0x40u, 0xE8u, 0x40u, 0xEAu, 0x01u, 0xECu, 0xD0u, - 0x00u, 0x01u, 0x01u, 0x33u, 0x03u, 0xCCu, 0x08u, 0x02u, - 0x0Fu, 0xFFu, 0x11u, 0x96u, 0x13u, 0x69u, 0x17u, 0xFFu, - 0x1Du, 0x55u, 0x1Fu, 0xAAu, 0x21u, 0xFFu, 0x29u, 0x0Fu, - 0x2Bu, 0xF0u, 0x34u, 0x01u, 0x35u, 0xFFu, 0x36u, 0x02u, - 0x3Eu, 0x50u, 0x3Fu, 0x10u, 0x58u, 0x04u, 0x59u, 0x04u, - 0x5Fu, 0x01u, 0x82u, 0x02u, 0x85u, 0x33u, 0x86u, 0x80u, - 0x87u, 0xCCu, 0x88u, 0x80u, 0x8Au, 0x40u, 0x8Bu, 0xFFu, - 0x8Eu, 0x08u, 0x91u, 0xFFu, 0x92u, 0x04u, 0x94u, 0x06u, - 0x95u, 0x0Fu, 0x96u, 0x08u, 0x97u, 0xF0u, 0x98u, 0x80u, - 0x9Au, 0x20u, 0x9Du, 0x55u, 0x9Eu, 0x60u, 0x9Fu, 0xAAu, - 0xA0u, 0x02u, 0xA2u, 0x04u, 0xA4u, 0x10u, 0xA9u, 0x69u, - 0xAAu, 0x80u, 0xABu, 0x96u, 0xACu, 0x01u, 0xADu, 0xFFu, - 0xB0u, 0x01u, 0xB2u, 0x0Eu, 0xB4u, 0x10u, 0xB6u, 0xE0u, - 0xB7u, 0xFFu, 0xBEu, 0x15u, 0xBFu, 0x40u, 0xD8u, 0x04u, - 0xD9u, 0x04u, 0xDBu, 0x04u, 0xDCu, 0x09u, 0xDFu, 0x01u, - 0x00u, 0x01u, 0x01u, 0x20u, 0x06u, 0x61u, 0x07u, 0x08u, - 0x0Cu, 0x02u, 0x0Eu, 0x22u, 0x0Fu, 0x04u, 0x15u, 0x80u, - 0x16u, 0x10u, 0x17u, 0x11u, 0x1Au, 0x0Au, 0x1Cu, 0x48u, - 0x1Eu, 0x02u, 0x1Fu, 0x08u, 0x22u, 0x20u, 0x26u, 0x40u, - 0x28u, 0x08u, 0x29u, 0x02u, 0x2Cu, 0x08u, 0x2Fu, 0x02u, - 0x31u, 0x02u, 0x32u, 0x08u, 0x33u, 0x40u, 0x34u, 0x08u, - 0x35u, 0x02u, 0x37u, 0x40u, 0x38u, 0x82u, 0x3Du, 0x20u, - 0x3Fu, 0x08u, 0x5Du, 0x08u, 0x5Eu, 0x01u, 0x5Fu, 0xA0u, - 0x6Eu, 0x20u, 0x6Fu, 0x01u, 0x81u, 0x28u, 0x83u, 0x20u, - 0x84u, 0x04u, 0x8Au, 0x04u, 0x8Bu, 0x04u, 0x8Du, 0x40u, - 0x8Fu, 0x10u, 0x98u, 0x08u, 0x99u, 0x02u, 0x9Au, 0x10u, - 0x9Bu, 0x48u, 0xA0u, 0x08u, 0xA1u, 0x02u, 0xA6u, 0x61u, - 0xA8u, 0x08u, 0xA9u, 0x02u, 0xB4u, 0x08u, 0xB7u, 0x40u, - 0xC0u, 0xFAu, 0xC2u, 0xF0u, 0xC4u, 0xF0u, 0xCAu, 0x35u, - 0xCCu, 0xDBu, 0xCEu, 0x69u, 0xD6u, 0xF0u, 0xE2u, 0x80u, - 0xE4u, 0x60u, 0xE6u, 0x01u, 0xE8u, 0x50u, 0x82u, 0x01u, - 0x85u, 0x02u, 0x86u, 0x30u, 0x8Au, 0x40u, 0x8Fu, 0x08u, - 0xE2u, 0x23u, 0xE4u, 0x40u, 0xE8u, 0x02u, 0xEAu, 0x20u, - 0xEEu, 0x60u, 0xE0u, 0x01u, 0xE6u, 0x10u, 0xA8u, 0x40u, - 0xABu, 0x20u, 0xECu, 0x80u, 0x00u, 0xD0u, 0x04u, 0x24u, - 0x06u, 0x43u, 0x08u, 0x11u, 0x0Au, 0x22u, 0x0Cu, 0xD0u, - 0x10u, 0x20u, 0x12u, 0xD0u, 0x14u, 0x28u, 0x16u, 0x83u, - 0x18u, 0xD0u, 0x1Eu, 0x0Cu, 0x20u, 0xD0u, 0x26u, 0x01u, - 0x28u, 0xD0u, 0x2Eu, 0x02u, 0x30u, 0xF0u, 0x36u, 0x0Fu, - 0x3Au, 0x02u, 0x58u, 0x0Bu, 0x5Cu, 0x09u, 0x5Fu, 0x01u, - 0x80u, 0x38u, 0x81u, 0x46u, 0x84u, 0x43u, 0x85u, 0x39u, - 0x86u, 0x3Cu, 0x87u, 0x06u, 0x88u, 0x48u, 0x8Au, 0x20u, - 0x8Bu, 0x46u, 0x8Du, 0x04u, 0x8Fu, 0x20u, 0x90u, 0x38u, - 0x94u, 0x61u, 0x95u, 0x01u, 0x96u, 0x1Eu, 0x97u, 0x5Eu, - 0x98u, 0x23u, 0x99u, 0x42u, 0x9Au, 0x44u, 0x9Bu, 0x04u, - 0x9Cu, 0x18u, 0x9Eu, 0x20u, 0xA0u, 0x10u, 0xA1u, 0x46u, - 0xA4u, 0x28u, 0xA5u, 0x42u, 0xA6u, 0x10u, 0xA8u, 0x20u, - 0xA9u, 0x77u, 0xAAu, 0x18u, 0xABu, 0x08u, 0xADu, 0x46u, - 0xB1u, 0x08u, 0xB2u, 0x60u, 0xB3u, 0x70u, 0xB4u, 0x1Eu, - 0xB5u, 0x0Fu, 0xB6u, 0x01u, 0xB9u, 0x20u, 0xBAu, 0x08u, - 0xBBu, 0x0Cu, 0xBEu, 0x40u, 0xBFu, 0x01u, 0xD4u, 0x09u, - 0xD8u, 0x0Bu, 0xD9u, 0x0Bu, 0xDBu, 0x0Bu, 0xDCu, 0x99u, - 0xDDu, 0x90u, 0xDFu, 0x01u, 0x00u, 0x08u, 0x01u, 0x01u, - 0x03u, 0x08u, 0x04u, 0x04u, 0x05u, 0x10u, 0x06u, 0x80u, - 0x07u, 0x02u, 0x09u, 0x49u, 0x0Au, 0x04u, 0x0Cu, 0x20u, - 0x0Eu, 0x42u, 0x0Fu, 0x10u, 0x10u, 0x20u, 0x11u, 0x10u, - 0x12u, 0x40u, 0x15u, 0x19u, 0x16u, 0x01u, 0x19u, 0x54u, - 0x1Au, 0x04u, 0x1Bu, 0x1Cu, 0x1Eu, 0x42u, 0x20u, 0x0Cu, - 0x21u, 0x08u, 0x23u, 0x94u, 0x29u, 0x14u, 0x2Au, 0x02u, - 0x2Bu, 0x02u, 0x30u, 0x20u, 0x31u, 0x08u, 0x38u, 0x80u, - 0x39u, 0x11u, 0x3Bu, 0x04u, 0x59u, 0x0Au, 0x5Bu, 0xA0u, - 0x61u, 0x40u, 0x78u, 0x02u, 0x7Eu, 0x80u, 0x8Bu, 0x01u, - 0x90u, 0x80u, 0x91u, 0x19u, 0x96u, 0x04u, 0x97u, 0x14u, - 0x98u, 0x02u, 0x99u, 0x0Au, 0x9Au, 0xC3u, 0x9Bu, 0x02u, - 0x9Du, 0x10u, 0x9Fu, 0x01u, 0xA0u, 0x20u, 0xA1u, 0x41u, - 0xA2u, 0x80u, 0xA3u, 0x02u, 0xA4u, 0x04u, 0xA5u, 0x08u, - 0xA6u, 0x01u, 0xA7u, 0x1Cu, 0xC0u, 0xFEu, 0xC2u, 0xFFu, - 0xC4u, 0xFEu, 0xCAu, 0x0Fu, 0xCCu, 0x06u, 0xCEu, 0x0Fu, - 0xD6u, 0x0Fu, 0xD8u, 0x08u, 0xDEu, 0x81u, 0xE4u, 0x20u, - 0xECu, 0x80u, 0xA4u, 0x02u, 0xB2u, 0x40u, 0xECu, 0x80u, - 0xB0u, 0x02u, 0xECu, 0x80u, 0x58u, 0x10u, 0x80u, 0x80u, - 0x86u, 0x80u, 0x88u, 0x10u, 0xD4u, 0x80u, 0xE2u, 0x40u, - 0xE6u, 0x80u, 0x53u, 0x81u, 0x57u, 0x0Au, 0x59u, 0x04u, - 0x5Cu, 0x02u, 0x60u, 0x08u, 0x64u, 0x80u, 0x81u, 0x04u, - 0x82u, 0x40u, 0x84u, 0x08u, 0x98u, 0x80u, 0x9Au, 0x80u, - 0xD4u, 0xE0u, 0xD6u, 0xE0u, 0xD8u, 0xC0u, 0xE2u, 0x20u, - 0xE6u, 0x90u, 0x8Cu, 0x02u, 0x92u, 0x40u, 0x9Au, 0x80u, - 0x9Cu, 0x02u, 0xAFu, 0x80u, 0xB3u, 0x02u, 0xB7u, 0x09u, - 0xEEu, 0x10u, 0x23u, 0x08u, 0x8Fu, 0x08u, 0x92u, 0x40u, - 0x9Au, 0x80u, 0xC8u, 0x10u, 0x0Cu, 0x01u, 0x51u, 0x02u, - 0x54u, 0x20u, 0x80u, 0x20u, 0x8Cu, 0x40u, 0xC2u, 0x04u, - 0xD4u, 0x03u, 0xE6u, 0x08u, 0x02u, 0x04u, 0x03u, 0x10u, - 0x04u, 0x80u, 0x07u, 0x40u, 0x09u, 0x02u, 0x0Bu, 0x01u, - 0x0Cu, 0x18u, 0x80u, 0x08u, 0x85u, 0x02u, 0x94u, 0x80u, - 0x9Cu, 0x10u, 0x9Fu, 0x10u, 0xADu, 0x02u, 0xB0u, 0x11u, - 0xB7u, 0x10u, 0xC0u, 0x0Fu, 0xC2u, 0x0Fu, 0xEAu, 0x04u, - 0xEEu, 0x06u, 0x83u, 0x40u, 0x8Eu, 0x04u, 0x93u, 0x01u, - 0x9Au, 0x04u, 0x9Bu, 0x40u, 0xE6u, 0x08u, 0xABu, 0x01u, - 0x23u, 0x10u, 0x27u, 0x08u, 0x88u, 0x01u, 0x92u, 0x40u, - 0x97u, 0x10u, 0x9Au, 0x80u, 0xB3u, 0x10u, 0xC8u, 0x60u, - 0x08u, 0x01u, 0x56u, 0x80u, 0x5Au, 0x40u, 0x92u, 0x40u, - 0x9Au, 0x80u, 0x9Cu, 0x01u, 0xB3u, 0x08u, 0xC2u, 0x10u, - 0xD4u, 0xC0u, 0x01u, 0x01u, 0x09u, 0x01u, 0x0Bu, 0x01u, - 0x0Du, 0x01u, 0x11u, 0x01u, 0x1Bu, 0x01u, 0x00u, 0x00u, - 0xC0u, 0x01u, 0x02u, 0x00u, 0x00u, 0x08u, 0xFFu, 0x21u, - 0x80u, 0x40u, 0x00u, 0x00u, 0x90u, 0x40u, 0x40u, 0x00u, - 0x00u, 0x10u, 0x60u, 0x80u, 0x7Fu, 0x22u, 0x80u, 0x08u, - 0x1Fu, 0x01u, 0x20u, 0x00u, 0x00u, 0x04u, 0x00u, 0x00u, - 0xC0u, 0x01u, 0x01u, 0x00u, 0xC0u, 0x07u, 0x04u, 0x18u, - 0xC0u, 0x01u, 0x08u, 0x00u, 0x00u, 0x01u, 0x9Fu, 0x00u, - 0x00u, 0x3Fu, 0xFFu, 0x80u, 0x00u, 0x00u, 0x00u, 0x40u, - 0x00u, 0x82u, 0x00u, 0x00u, 0x00u, 0x00u, 0x04u, 0x01u, - 0x63u, 0x02u, 0x50u, 0x00u, 0x04u, 0x0Eu, 0xFCu, 0xBDu, - 0x3Du, 0xFFu, 0xFFu, 0xFFu, 0x22u, 0x00u, 0xF0u, 0x08u, - 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x08u, 0x00u, - 0x04u, 0x0Bu, 0x0Bu, 0x04u, 0x90u, 0x99u, 0x00u, 0x01u, - 0x00u, 0x00u, 0xC0u, 0x00u, 0x40u, 0x01u, 0x10u, 0x11u, - 0xC0u, 0x01u, 0x00u, 0x11u, 0x40u, 0x01u, 0x40u, 0x01u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0xFFu, 0xFFu, 0x00u, 0xFFu, 0x00u, 0x00u, 0x00u, - 0x08u, 0x00u, 0x30u, 0x00u, 0x08u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x10u, 0x00u, 0x00u, 0x00u, 0xFFu, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x01u, 0x02u, 0x00u, 0xF1u, 0x0Eu, - 0x0Eu, 0x00u, 0x0Cu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0xFCu, 0xFCu, 0x00u, 0x04u, 0x00u, 0x00u, 0x00u, - 0x0Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, - 0xF0u, 0x0Fu, 0x0Fu, 0x00u, 0x0Cu, 0x00u, 0x00u, 0x01u -}; - -#if defined(__GNUC__) || defined(__ARMCC_VERSION) -__attribute__ ((__section__(".cycustnvl"), used)) -#elif defined(__ICCARM__) -#pragma location=".cycustnvl" -#else -#error "Unsupported toolchain" -#endif -const uint8 cy_meta_custnvl[] = { - 0x00u, 0x00u, 0x40u, 0x05u -}; - -#if defined(__GNUC__) || defined(__ARMCC_VERSION) -__attribute__ ((__section__(".cywolatch"), used)) +__attribute__ ((__section__(".cyloadablemeta"), used)) #elif defined(__ICCARM__) -#pragma location=".cywolatch" +#pragma location=".cyloadablemeta" #else #error "Unsupported toolchain" #endif -const uint8 cy_meta_wonvl[] = { - 0xBCu, 0x90u, 0xACu, 0xAFu -}; - -#if defined(__GNUC__) || defined(__ARMCC_VERSION) -__attribute__ ((__section__(".cyeeprom"), used)) -#elif defined(__ICCARM__) -#pragma location=".cyeeprom" -#else -#error "Unsupported toolchain" -#endif -const uint8 cy_eeprom[] = { - 0x00u, 0x20u, 0x63u, 0x6Fu, 0x64u, 0x65u, 0x73u, 0x72u, - 0x63u, 0x20u, 0x20u, 0x20u, 0x20u, 0x20u, 0x20u, 0x20u, - 0x20u, 0x20u, 0x53u, 0x43u, 0x53u, 0x49u, 0x32u, 0x53u, - 0x44u, 0x32u, 0x2Eu, 0x30u, 0x61u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x63u, 0x6Fu, 0x64u, 0x65u, 0x73u, 0x72u, 0x63u, 0x5Fu, - 0x30u, 0x30u, 0x30u, 0x30u, 0x30u, 0x30u, 0x30u, 0x31u, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, - 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu, 0xFFu -}; - -#if defined(__GNUC__) || defined(__ARMCC_VERSION) -__attribute__ ((__section__(".cyflashprotect"), used)) -#elif defined(__ICCARM__) -#pragma location=".cyflashprotect" -#else -#error "Unsupported toolchain" -#endif -const uint8 cy_meta_flashprotect[] = { - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, +const uint8 cy_meta_loadable[] = { 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x5Cu, 0xD1u, 0x01u, 0x00u, + 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, @@ -629,13 +37,12 @@ const uint8 cy_meta_flashprotect[] = { }; #if defined(__GNUC__) || defined(__ARMCC_VERSION) -__attribute__ ((__section__(".cymeta"), used)) +__attribute__ ((__section__(".cyconfigecc"), used)) #elif defined(__ICCARM__) -#pragma location=".cymeta" +#pragma location=".cyconfigecc" #else #error "Unsupported toolchain" #endif -const uint8 cy_metadata[] = { - 0x00u, 0x01u, 0x2Eu, 0x12u, 0xF0u, 0x69u, 0x00u, 0x01u, - 0x00u, 0x00u, 0x00u, 0x00u +const uint8 cy_meta_configecc[] = { + 0x00u }; diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/eeprom.hex b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/eeprom.hex old mode 100644 new mode 100755 index b3534b8..e69de29 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/eeprom.hex +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/eeprom.hex @@ -1,33 +0,0 @@ -:400000000020636F646573726320202020202020202053435349325344322E30610000000000000000000000000000000000000000000000000000000000000000000000B1 -:40004000636F64657372635F3030303030303031FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED -:40008000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 -:4000C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 -:40010000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF -:40014000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF -:40018000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F -:4001C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F -:40020000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE -:40024000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE -:40028000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E -:4002C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E -:40030000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD -:40034000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD -:40038000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D -:4003C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D -:40040000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC -:40044000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC -:40048000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C -:4004C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C -:40050000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB -:40054000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB -:40058000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B -:4005C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B -:40060000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA -:40064000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA -:40068000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7A -:4006C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3A -:40070000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9 -:40074000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB9 -:40078000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF79 -:4007C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF39 -:00000001FF diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h index 0044977..f19d076 100644 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h @@ -20,16 +20,12 @@ #include #include #include -#include #include -#include #include #include #include #include #include -#include -#include #include #include #include @@ -56,6 +52,17 @@ #include #include #include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include #include #include #include diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex index 34bea6f..8a6ef43 100644 --- a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex @@ -1,5 +1,3 @@ :4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000C0 :400040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080 -:400080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040 -:4000C0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 :00000001FF diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx old mode 100644 new mode 100755 index dffcd83..f01cc26 --- a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx @@ -1,13 +1,91 @@ - - - - - + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -15,27 +93,25 @@ - - - - - + + - - - + + - - - + + - - - - + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr index a1d19a0f071bb2181e35d698402729daf0429783..7e702d8e76dedd702a0caa42a4e0d79f15cc48ac 100755 GIT binary patch literal 134696 zcmeI5U5s4Gd7ckGTH2EB2|1kM za+k|pliVK)5M&7sf+U9j{~%yt3q}wixypqB8BT)uA^~h97rDrVd>C&6!!R5m7Zw&V zut9{p&#A6c-|6Y@X_DvFQcjcTneOUyzIvg}GN``|mO`0wj`@~aR3=_7CP z;vN5opO3sndEGpepa1NmU)%GfUaVEOtMzKDx>;SVu2k3c>_)X;r7u=5=>Ll<*wT=kweN5DV)r*$LqdPS4+bDESI zrh3on#XLsG5(wP&BMII7>2aph$_E~@PZ^|-A62X$55d`oLuKQR4X{d%F= z(3`39JzwA3)vvc7QfudFUw_EfHMpB<%hh&3FRJagtAD6o{*3;g*YlftZ%ftg*Q;B4 z_o{~YO7*d7No6;6=Zh-4rqWAo*)zKKM(Z%R$0uZGzj`1)xNEI?S=Zmv&l|e-6S`_k z&#`<_)AuP?PWH>*$T-P_gEs^xh-!{Vjti2h%c&ur?ks$M(R_Sx3RSAI%1J~LN8 ztjiW-yH&l?_Q95(Q?fSd-fOD$O8fe@Mjsly*rMLl^OM2?=G!geP5r(c>@e1}WV|;H zc0I9QxDN~WvG%(A?UU=mbxr?&O8-YLxGg}J)cr@)MHlsdpQ<~oN|Ot&v`&T#ZmMim z@8WWF?NQNeMgKSTz$xGJ=AK91f<}*iE&AGXDw)UEQjgwmACKB}OkE5)2oMayJ|W!H zu!H}Qo?R4r+O(~b&9+UeYSCd`&0Sk6Pwh*z+Iwe@8ZjO>zFlQ0V${vU?Q>YyHN9?l zSvQZiKfkU0$t|IG>gGGz;_qyKLf}Wuv$NCn$|EA{sVYv+x>P7iFArWR1DAUABsDv4p#1z<0RM--W z4(Ro!SVKTMsA~_)#piTxIpq6CZvBexyrxjJ)wy=ve0S^ld+!iJmfjd=+xt}7XWRFv zq;vMV;bq-?Z~JpjKy{M{x#y$03$|TX+`it%`E3DAvrkH5Uear3p&P0LmVM+;)EcVc zHr~9dw{D7{k3IHP`1{3sV&2)2p~b99#`Mj}uFq&@WkzSF-;{fhdlIMLj#)lWUrZ`X zZ#?$ZjxO@h|E)P~o_V>S11>!DZ%p2IQSM1vyrKsSrG4!)vLA9JvLR*yqWy{@J@J3F zjro`Kb4#U{^?OA#$lURtB+>%andRRNae5jp)ny<(%_Q0u{DE-QL=Ou0?+>P=mDSnnQI`BDAe z(rdO7=Jwz&VaPN8_GsR}B^xP!5j2u|(gLDBt6nxb#&YwWng2K)(oR8IW9)6Iv811& zA9z_eA8vono$rua?Y)C-;ryU}<+Ve9{h8L%=U~?@U`yY<#v|H)|2>$7Y)R zl%RiV)YTj9=yU){=8vlfNd#Y22ebKeL4A5dlakYy6=;-tQ;D*GCsDcRT~0M8`oR^SZ{~sbc^l$Xeh?I>#;E~I5Q@m z(0|7H37J?|1M}^oQ{vAB71Qbq^8K!9 z!NxpxyjA!rT|CV{$8H~Q#-vVxwEv*`@ez4*GkUH#-i%3R#trm{mx4rzFwdyZ`QQ00 z+&bKD-gQGT`JUt5=wH(<-Nobm^o)AxoW?x|m<@F~6_(q3_@GQ2r*ttfZ+UWk({CU? z)0(>J0i1rr%H7_O!@NA0irDj{Xf!>I2lE_ro>F6us|QZ1UsAwGfu6YC4FmgUYi(S4 zT9=<5UD(-P8SAFWwp08h44jv#)5SW${e(aeVb%)bqjOo!F_e*{4W|@Ue_~{N%0I?X~#TRH7iAv~i__nMjFDaE>QrddB`nV>r75!G(8=Y0s;&kA2O0y$JF;8f| zysFgmv}Vkg^gkWPq<){7SdpCZ2#FPgezvtR$Ng;8@d)=nsh8}#bCkgz`vIjK9Mz>f zob~3hcE_D;oz-R9Z{##$OaD2zxuljX%L1tiXcRNpOgyh%z@-QT*Y$HkhRT*mX_o6V~5gIwGX3Ojw_AHgQ~61+IwC^>c*dz zvJ%2E=;I@8eFs~IN%gTF;`o&Q=Nizk`cFH++bU;8&$-9M`p&fd+^dfx*3^^qE4|1m z3g;}ek8$I?B^}37AER(ouQ|kIrN$lt`wU%u#Deo0L$VmcZm%{r((oH>EVm=YKJ>CI zB>zdLJI7Rp*U(Omjk$7Fb#PL3q($4+MifuA;X}Q;F1Ocn4?EtRgfTMPsyWqlsC@<^ z`jDQaPdRN$N7HHiE|->-kz?w9T1I>IEvw*&?%ve@74;?PIk95j1#jetm%W(eP52B5 z@GDlZv@ZE;*S7Kcn43p&HF*l&ozBMaF^-+Vz!>70u#o!jRo>;e{YcxkRJNs3ysfLR z^yLKwim|#_pMwyOz&AMbW`_y89BcCo)^kw1udNZDz_}y3)jFYS~N$LLAgKckIdafPqI09ejgfR(Y@)Ps1&D5kR`JOw;>5_otG@9-OZCA5)k zg7Ns-Ya#xOcM+ZFO>DByLyTlRVP)!Rq6yE4Iz$F~6P{A%u`-J9QjM(6*L7pBCOAgi z+tzmFwt>FKqmmi|whCTzi7s(BekUcSq_#3^-u02sd&E7e(F<;Rha5Iz83b?~8%Sduwi#+O*Mv)fa zXGvDYh%sJ7KSq%#$;e_UsU+wPA^@yT5fpF8tHUl2W7Z}IyVYxMh?T@y;w>{KxecD5 zL`>96Oax1sLBRsIl5`8s!#jL7a4qG3x!$X)nT6oBwwpt}_$Tp%h?JrhcA2fI7Y~Qy zSWY=%ie#K}a5Zx}HHW#p)VnT2oK#M%mpDw1<1=Je=>#Qt7A5dHMQ6sA7{_R)e1klh zIK~)u-j?!C+IvPiB+jBYgJXpjqD^k7$ubCY>f7|MUSeV(fS)lgnGZtCVYr<8wbO8M)d{I}cZ$^Mr^`S0p^=6c=y-|n56 z3BJ40fZY8M&%Ke^J{h zIsT0uRFG9)R~uiD^)BFoF$G*E#i!yTp7{$6?=4}z+PWo*t9XCiytaoXGHSwxEu_3; z0oU{_&%x2zbQ$w;Y9X!NQtNMx^pZVPoS~c&B6c)GicBSw`)R-4>9CN;B@r`1%p6I- z7~#@Ba3F#wvRxLE?e^Kvl>T{#E+UWV3{Sf2Wi^_7ro+#696L~>j$tynDa)IxArBr~ zeckmqCub{y|=qgRM!@-*}27UVANc_*CXQvw7Z_Ak2zGHUCph$Au1 z>YnO2Eey1t72sOiUV3{??PCqenlj};Y2}!9+16FY7VB~K7+D{Eu-f(ptxw4~BS6@A zxc!Zk>LCV0<6oih<*^Gjb8}}=GX~37THhL_540BtW|b6aRX3mQE~}e=)wyHcd@htn zvp*ZkzZkCnxlmp_zi$3`SPVeNc`?~ps_!bUNI-8ugZhj@c$w;v(V^w>k z^;gE{pqk2l3?oIpoc5ydR5Iqr+xNKRxZYtk<;fSZfGR?ai>J>%!N2^#U1MA4_gdrZj0j| z%fE5sUpHR~c*@|{%^!sF9pldGXkCuMln7Jz=@}aVaFuZ->hEjE_Mom~ui=>9J*I28 zYF&PVkF0l&)f;#C!eJ4o(`Uq+ybDt|cRS*vcygfiJ0dA75-=VRjWJ1ZTOT=A_S9ZM5<5B~A1!dvn>2aI9|VI;eP%+VnxavEEuF z9H*50eES+3d=hKiy(ZqoPJQ~wSG+0uUWw;*Gf(V^zO;Mnxm(|>o4*P^SzM-H9(^R@ zpB5EHK7ytKpC(R`818Gm^r(CWk7h;A+>7cV?O@`~_%`tlzhWmpZE5d1=S)aQ0s7i# zEYYN+ufHkZ*i@pB*0K!IhDLW&@7!pA-`4BC&%_Q@vsiu>qc5~hm&~r+v)Q`oc_JbD z$MYnvzF1K*`(*Xm$sQOl-e@oWq%Qt+cd^^^N)+pR%)p++Ud)Nsis3T2b~xGZJ1W1*du1~xs_#?x^T^pt-Mmk;d7gnH8{a>%TuySR=OmN- zt?zL=(p%j9tlW}}ca-AxZN^mqNp=2k#)h0Qi7eBG*zFE@p{)S26H~mHo70jwt!T-j zugD|`CUilu$tU(+Y{MYf#ugl;N5vdFc_jOs2C~PSFm3BMYZh=3O)(=RBuYW!(zo=g zEZmZfXWN{YSWoLFS<*gFI~b$RTIm7Wc@wRIwW-xtMXtD*Dp`gA_DzkCCHv1Wwe3u^ z1}QxYp6o8YUjMs2REYRk4})crk*=3K`f9##XRqq!kqdIijZuZaIVCfj!hKSuSCj| zddBX0KE*hs$VhHU*2wCQ*J-`MDac$~FP!P|$PrSV@j<=3s-b7FlMnIgng$(;;-Z4@z18XW`XZ;&6u#nrRTKOhiBfdoB!T&fF7DT-Hs=2v4`vC&jOKR*T(Xg;&{7O zZUgHfO&;%gSpRFG5iu|Ntx$fq8Ft+ytGo6u3qKw^$jVmcE@b^K-Iw;RHq_*!+Bhhw zrTT(>#BSY!D&ZdmK)=fgAgZckfHX&oMkRJM6kH&anr>@oe2cCx%n$yt|Ifj>{*x{rAzuIHxRsV`%B zn9d)in%qW)N~*)uGyS$0nX$zp@I?12L9y?4g%7E`Cs?49imHJivujkv zb9v;bIvT>K6hBy1U2E+<-z|e?4k4AL3UhQTt($q{IEcDA96Yjgi@*`)%Cd@yoCOz4 zT@E_4r^QWt-9)fTOFeem(}ij7?jI;=XxgqwE;KzTmBE?WEItX!BlPXEUgnK9*1-83 zjZNLOg^|{?G>bFRv==gR%Ybci|J2Qu&~LFV&rK;GZ(|qVKcLDuQp632?`dC!{bita zlL;gDO1peYeO7k#^o=*IYp3#ipOX9TU!rc^yg4zj)(u}ilpQce)M=~J-KST59zFD5 znFsGLqL%TIi_+g^`X+kDy|S*q$xirotg-?haUxFPlke8dsz#IptP)9PIx#z~JhBr{ z<7VIY*4jJL*x#M+)y?NaUw@%}zSFnj%pH9zmf!b`j?OGQQkl8yW^Vu$9qfUgvO}lh z2f1Be9xE^Y@jczlG}AxEgIwL*3MSWrAIvkZ-#0O4$j3h_LqFLbd>4`M4~EH(2$fFO zf3j=a?hY^_9-rIh!)<6PQ4>6)NBUr4?xq-bz7jA-w}|D@Ehe9S)=f%Xl5fYfKAzq? z;!YfsIA6r_Y4giGT#o(ldhmt$-0ppEhueL37}6L{V)^dgqGw;i630hn?v%J9i(VYrGkTC`OaN z4&~7`V!)3RP-Ib@fbQqKYo2Hl@%;Y~O=3UCjR(qeW~P0^Zfkv}YwXNr?oP1QKR^6H z*ffl;^!=fH;YukAyx!6)ZA!->ExC-+YtAA#=-e;CA~M9B;Kf-gZkXNAEEPda_p5T0 zGt;j=BH%nqj(oR%b*yvXy7{5tKc5KYk@`O}rF<=v$F6!}O8Jk5@|dWc4&|}lv!T3* zDdiVJd9?HCP#)`zp*rf-BEMq!f?xCUt8Sie`#fDADOCI37RjN@XQbcdLAXje6FLA`iP{bhz04sz7qZm-No^oQ!`*9QZmd}IcCcoEGb5SWs`hiN|a63 z%^!D{_BR@15c;jKZj0U(%kRg#-0Qgq%ezKVfT74CVsfV7bg|xUIV$+Goj8n-9cIn! zOuv&?R6O!@sfMAn6) zRPn2l?xe3rPnFNMqi9S-&JD;~^<9JUgqG8XPpK*C14R+SXuQc6awpVH+FQTtit1)t z_vC(kR?mycq`Mi^WLn(}Xi|Nlc+-0RfL61ba!`{gV;|7usO@uoXTi9GO&|A>)%^W^ zv;AFN9|^mw)%@*N!&yzaKl+_vdp6mf>+?c))wjv+T$0Uuu**jc9sR(}GN9f3S)Bn* zj)Ec|#2yrCXLop-C07`jXm#^1m1R1*fbaA3*!8fCZ}auw9hhZx^CKd{piuL^(eMQ0 zQ;)sJbAIF4{L@XAUJvhGe8AP=1$_Ul$8P>k z>|rw+KIiPg>tPw+W$M8@Fv~`i;JaTPc=;|Mk6q7P#<#_J@Ot>hccFOjdia*UPjA3D znLo=rpvjIB9;)%BSdJm%3%ERXJyhe%D?E4yrdr+nPtyv5@8j@vfoE1Le~V?<*}eR& zf`RPT&4*06H2ZYp=HVM(o#E(T zzR2BU*TXmdYKRA~hi`mGt_QD&Z~Pq?4_?pw#^3jG;N`D6dF*=l#$WC6;Pvp0zs=*p z>){)J^umMJ!#BPw*MoOpzSYfN7#7+rRL~0!Pqu7%c)DfF!xJuB9-ea9^6;d~mWQWZ zwmdxXvgP5amn{!WJ|(TmGF%xtTx82G4)W(AU6@@QEPpn0xMfG~XBP(@YLblE#X-Ih z-sKxE4mzYIsh`Uq2OQe#9P*Nk*~P&m5vG>md&9*+hu|dlvx|fLnR^ch%O40GdIZy# zpgDYFaQ#U)cc(Ad^=-h{>&dB@_3y?j*>CEIOve1WX!I4&>>4nm>t5DY}u8I zI>ad%vui!*5U6C#uJz#HB9$&a^QHQph{T^+^H_H1RniDL6f4=Xi-Qi;O2+KsARmWy z`G$*w{INI}W)}wsm$7tlW7W1NCS!Kxq7D&E#_XC09YUCl*)=>}yEy0) z$bi|!L6<;=xbNcNA;l!m-0c#`&>ODxpi3YFX4iVqC6EELYdz=^$dJdla#5E+2FxxF zx&$&{c5%=pkO8xcgD!y#m|Yxn31rClT^w`?WWem=;NV~S((Qe@1Tyr7D;Hh0anL1@ zp*LI{bO~fw8@o7o#FmS?1Tyr7Ydz=^$bi|!L6<-V%&zsIOCSSg*Lu(;kYRtywH`cb zn+IJ28G6H&i@F3dV0PuAE`bb~UGtzzAj5vEYaTpi=9CYbq!0h6nODBWR zxj5+3$$;6#L6=Sj%q|YPbTVLeanPlc0keyPE}aa~*~LMZP6o^_4!U$QV0LlPrIP`( zi-Rtm447RUbm?TsV_Y0`>14p{;-E_>17;ToT{;;syEy35$$;6#L6=U3jNipUmre%E zE)KeMGGKOb(4~_Bvx|c+oeY>=9CYbqSR1=I=+eo6*~LMZP6o^_4!U$QV0LlPrIP`( zi-Rtm47*4!4!U$QV0LlPrIP`(i-Rtm447RUbm?Tk?BO80qMow}EPFVJWzYE_mOUKA zvgdpd%N`D5*>gUKWe*3j>^UFAvWtT*oeU=vE)KeMGGKOb(4~_Bvx|c+oeY>=9CYbq z!0h6nODDq_o{NJnoeY>=9OM(;4lM+jT^!`ocrMH?4)Vzf7iJd+T{;;~yImY~>14p{ z;vi?d9^c^P%4ONbL3SBkm|YxXMd-myp6jyg;-E_>gO=9CYbq!0h56XJQ_IW~bj}*~LM2WjvT!Yq~7EI7nXL!tCOpODBU$#>GLG zP6os-4!U$QV0LlPrITU&TpV=iWWem=pi3tMW)}xtIvFs#IOx*JfZ4@CmrjOA=i;DC zCj({|2VFWDFuOSD(#e3?#X*-&2FxxFx^yz+1TGG`bTVLeanPlc0keyPE}aaRT^w}j zWWem=pi3u1_Uz)IOD6+n7YAKB88Evz=+eo6*~LMZP6o^#4ze2b?8#u+!$B;2_GGZ^ z;UJbhIvFf`IEZD>z9^PG9K^C`UlhwO4!U$Q?8vw{=+eo6*~LMZP6o^_4!U$QV0LlP zrIP`(i-Rtm411R@4!U$QV0LlPrIP`(i-Rtm447RUbm?Tk?BbwHC&Mnki-Rtm447RU zbm?Tk?BbwHCj({|2VFWDFuOSD(#dch=Hj4BCj({|2VFWDFuOSD(#e3?#X&yj=g@C} z*~LMZPKJ|X7YAKB88Evz=+eo6*~LN5r9J-4X`9Qki-YWpdN8x!=9CYbq!0h6nOD6+n7YAKB z8RC(PgD#y6m|Yxn>14p{;-E_>17;ToT{;;syEy35$uM)fIOx*JfZ4@Cmre%EE)KeM zGGKOb(4~_BvxkFZqMr32mOUKAvS&SrWe*3j>{$17;ToT{;;syEy35$$;6#L6=Sj%q|YPbTaIlxH#z2$$;6#L6=Sj%q|YP zbTVLeanPlc0keyPE}aZJj4lqkbTVLeanPlc0keyPE}aaRT^w}jWWem=pi3viUc8Hg zE}aaRT^w}jWWem=pi3tMW)}xtIvFs#IOx*JaBAh^pi3tMW)}xtIvFs#IOx*JfZ4@C zK5OgHLV(%DL6=U3^IaDQT{;;syEy35$$;6#L6=Sj%q|Xcj_2VZrxPB_?76xuyEw@D z*oE1}L2?-vW)}zHiVL%ggD#y6${rU7T{;>1!o@+CP6o^_4!U$QV0LlPrIP`(i-Rtm z447RUbm?S>8ZHjHbTVLeanPlc0keyPE}aaRT^w}jWWYQy2kU02VDXgFuOSD63Bqr#X*-q2FxxFx&$(8 zYq&V*63Bqr#X*-q2FxxFx&$&{c5%=pkO8xcgD!y#8-Okjx&$&{c5%=pkO8xcgD!y# zm|Yxn31q=>}yEy0)$Z)9S;-E_) z17;ToT>=>}yEy0)$bi|!L6<-V%q|YP1Tq}Ix;W?($bi|!L6<-V%q|YP1TtWDagalF zho}e4E)H_;;la#quFJCPe2|s53$yEdkSxiC*>ygMN4qe)IOr0{VAsV#mp}&0E)Kc` zGGKOb&?S%ovx|c+fee^k92{IAGdiP(fgTQG*)tDf*~39Bd*(qbdpL+?&pe1_4+pXA znFq1#;UJbhxhQj$i-Rtm447RUbm?Tk?BbwHCj({|2VFWDFuOSD(#eq3xj5+3$$;6# zL6=Sj%q|YPbTVLeanPlc0keyPE}abP9~TE*IvFs#IOx*JfZ4@Cmre%EE)KeMGGKOb z(4~`Mr@+NQmre%EE)KeMGGKOb(4~_Bvx|c+oeY>=9CYbq*i&= z9CYbq!0h6nOD6+n7YAKB8Frst9CYbq!0h6nOD6+n7YAKB88Evz=+eo6*~LMZPKI+D z7YAKB88Evz=+eo6*~LMZP6o^_4!U$QV0LlPrIX=A)5SrTP6o^_4!U$QV0LlPrIP`( zi-Rtm447RUj>efC0iQLmD{QL_laAJf7+x3+G6T`=#V%DQ>> zv3%o5T8-rc!SXNNTVtkJUI~`paj#!>I1%%~VEOZd_D1aIlX8UN`uT9c{N|vRSA*sK zt#j_`$M`aj;>Q#F`G_nRzx%!UY!Akf32wD2hmC_jTywD;9d@`O!g3_paBhX=9;b^5R5-W;)PH_3KrsfT zr+)vz00jXHs6Tu#KoM^WsQ>z4fP$O_)E_+)W3Z&Krs;&Q2*t@02QZfWqBVG zs!u5`K3?6_|Etv%J>RM>S4*RG`R0@ir?gB)J}@$JO*!gj_558LsGG0O*EQtZJW5hB z+$4N>Y;qVbalmq%(1wffSdPP4H~($Ej&x2tfa=eM|6@K-->S=al+hior&!0tL}<9t zCB=$sg5i=1Ix?oR!}&UxVs&G2t98Ed+B+?1xKbu^bco*YAHNS~vgx zZ>P-%b{y*FpATX3cUqHWruy@@pRi=q(fNlPlx10tgdNWLu^eeNobzKjP9ejEFZww; z|8PMD%pSsGIr_$MAs5TBHwGZ=Xd?~FF%%5I+hDn${r=^A^mQcxZ11E!$$tL(zusP0 zY2NpfB=z&EKEP7zk1B)J5^uVGA z7Co@&fkh82dSKB5iym0?z@i5hJ+SD3MGq``V9^7M9$56iq6Zc|u;_tB4=j4%?eBr^ j^KsuRoxmwcf1i{_;58j;U#+g`SyT+v%@6AuWWWCp+U1@v literal 130077 zcmeI5U5s7VS%$aMy7VtCv`|V3)wJFTEyV74#xu5|MdO*V6E}A4+DY6tlwp3|Y5Z5) zY2vCt8Dy6*7T4(LO z_VJwKGxmNHr#c>;nRC|J`&;k&*7ttv?>)y~xaZYH{_n*d>D9ZwvGWC9y!w0m-uVLM zWp!72{<*uK-|?VcEELy@#bUjX2hYo~R`0sURoYp#03cY46z^^LNUdA*`Z={Ze`4O6`*^4(KmsT)C#lvf4ha$C`eobyZpYP%y1un0}-FoG+I2W~_Y2i@WOj_4ZwA z?I`VQciEykcU5iK3>~_xwqGlLSzdljKd1Hlir!mSwR`mHs@~mH7oRQeFD6uWMR#6Q z**TT2gtA9i1<``vG0GuIE@@RykLk)a#XCbG3L# z?_Mh&RxOX~85UQH{rXu}%&h7$E3X|4K3ng_%Ex8nv61|+C|mUHdhzMtgLOTpWTEHY zbE@@hczsR14-GB`)T?@aSXjV(Eg)Xi-?hvReN9XHy)j*TVvlgo2=~Eo-R=71f^ePF z&&T!C3&9NmT9Nzr%SFrj*{$kkRA~&ssSsp@;Ht`I^)4ZYYY&KKQ~FuegP?rFcXsT2 z0UF)?d>(6`RLLl@7Cm~qKJK;Ypj-?&NDy?wZXsM%w}XG5o-GSKZQ4-DYG~7}S~R1p zxochJv3-$NyRPq0Bl`2kn^hJwMp?ZoJco64)60sNWp#J>{ig7nTQcvI)enc_9|^x9 z@Xi;oq(;0&e;_ciOjKV}dR$j-y!-Cwvv*0SmlcbV1E*9<8>s7Iagfy*dXB6Ti2Dbw8ZN0Gm zwC+5oRJ2})c3J&ci2PmGNg)%@^|S4*Ds8jv$5m1Xds*?atll1ej|ix&A|ZFYM|Z)t z3(DIULZ06cz&QHENz5g^W)!-tI$+toUsG$ShTC{^Q*T`nLGQcoS@`?(Eivz8&(L^O zWybW%WY=RFSsBq8=~opV%st7|FUKgKhA#${iRbQnwx)|B^nW#`jWRB`=YZn~{ehYH zEh{{k7EkHHLTPt+#_WeV60;#j0U-=w3*r!pIR^PZ-k3ztJ1_ugXT8zX%$W zdZqpC`6HAeO}NWh=(AWZ8mu1u4` zUDult>@LugN+x=bUDxXtO{!?2_^4WSSS#u!JwH)=s5qkSr3bX(bgDR{>E_W;wxm6q z6XCiV(~pLmPw0)4y7aIBo!0Ng1|=2d)(`8|f@(ReIu2=j>zJO^)@bt)#pJmV1~tMx zeKv+6jP`4b>>53+SthgQ+TMXadq;)kv@ScP_AclzJ~*VugTmHEO2cB0&dEk?@aOsr z<^Y$}qC>&_yqtF;n9mzOz~ucyO+F&TkMvx<6ndv_F_Za2@*oqzC*@!^f6mCKmqn=> z@H_jg)6zrg!X?=}T6`?;6Bgu?#Z!vB$?#L#nCP>C2l3iN!>sJjOhDW8wk*(7g}H#G)FQZWo;*f6l0wR-aMq*G=mOMQqb^1Xn|b zycbhgyk9tKGpvyE*gqp9O9Jz#S~V!HS_b-CMTp|!!C~3Dy}ub-IR(;wxcEqqyr~&; z!oS!;oq0~&+ysLe;^*x8`-anTk?Dx~7^3o~wdrB}%aybCp2xHDc+|6 zY)XGs_FQe1X>mMoI;zo;qnHOYUT$jYd0ZprCH=(XnCSPR6DuZXJhF)uoqjS{7~y`l zvw39qGh1ihoudr)*!OA{^JhthRRvd zbMCQaeJ6sSTlI0o8a;_$@gk=voU_nA`i=9JcpOW8^uhtX<`9#W8hZ%rGt~8w3r?#K znZ=NHTeY!~M%-XyGV~Pt(37$d<0qc(98?)mLpwP(=E_;s!AaHrfVQrUEFNnkhFW#4 zh3mP89dAy;=$Q@G9P8Q_o`DD-;z@kUX;VC!j{UdRw5;hlhVF-Dv{m1v3ij*nRsBrK zm!RjwihUQNkt1IAVq!EAGaw+YSi#b|7_W8P`s-tC?&Z}ODMWWX8zaUzb_N4|NMyo7 z>LXTpm*e*Rp>45jU8O`@U0>tNGfEVFb+JANArV1raOlkr6LvY)<{7N#pmukt5uU)g z{kmgCe>s`hqOOIIuUqZH29baSfHu$?F!PN3fk%nRDcRkv*Z6xH~x~}NQ zCdZKIv-;L#DdwfvH`u0aDYxv?bIOQwe9Kj!iw}B}or#Qb%v(+>LYNjjtZ6}=R$u@ur!T-tU#;pvKBE`o z*%6Tf9wG@myoPtg4Y887k!^zh{Ml+D@l14)o$w|$+2O9!=;%ikStMf(O*s2MRk@q(A1BV%tQl5j40InkfVSIr318O9JpNF@uAJzKzl$Vvc?%sTrfOy(axSJz zi+qJi?6Pw;V+u8AV@Xr*qHf@%EY&;lTs9+&sjHFYq?j4n`xEMF9;x@sYBs#;O}XMy z7FE|J?ZO~*VUrVVG`Or-u*4Y4S2AVw3t9PGcpk5xA5eaHKsos++5cc>=U7($p{)F5 zR(>ige>f|j%gWEx<%%nevYWD4pnPCgWukabXfWC)w)Q5pEycm_x}K4GRx}qptLMv# z!!`XyEwrv{I5&Q8?WD)*O4?5Pr2*y31IpL3^11LlI`wQ;-Yh4}>XTXdx$OE21Ij;@ zmFIS@X63n^CkK>&W~+ z@$3@S+Jt)W3Eh5Pwcpj_y?X3KFvhpuw<<0W5tbTt$`5z1@ z|HG{OkHYg<@0YXkKi2ca^|JbI{mxKwzfqU*NaU7#Arxtv6gwM6NCxne$4;k%7_^S^WJ&= zW>v`A{zwSj`4G|z8fWKq_x`3HSw9X_Sc46uU5*mxDh>d+t9FEnT76w|+Ja$2CVtxe8;!K#CG1O#67-tO3 zUlvuyM$sX8jJX3l#XN>|GfOA>;JO)N`|ND{IdF!to(+4M+dp6z+gy(sk`-TUcC!f z=~uG;?$Ec>fuZb59MNMwT(zjUAx0K!2etYgzOd!Z8QTf*CaopQYP&t&%O`t7+%dTG zaS1T)6^^*OM3kcYj=M_?@Z=K|6RgO{CqyZ0(Rrc0)qFy*oKOtJIie}6rqHh`_TTDm+`c$tkQP!doj>9V-p&;qdMN9g8n#&_%NgGI48W`-V1B^heSlE?-P(8{om>Gh0Vse(_Sc_#s{VvHD_nv&8rdv`k(bV$W0(~% zx`iXp1S+5BeKs3YU!n}jo;s&voRqMM!upj=c{pT9PF><&_&#Mu=9bJFS^e=kt~c0A zPkZ4*GbASyJW_^~Gw#vLO?5q;9b<@B=hW#?EOoOk!fwt7w%7 z9O_>demrgAJP7p2~V+lpb}gnWTiTV`FHKqU7h1dbI7@omo?I*XHFncddGtf%j0@DM(wF z`JR|AP}ZkRHizNAcJq4Qw6EDIouHTkg$mc`!5+ z`+To7<-Z$)At3x|-C=qq*@Hv!s>x_EJ_p7w(9z zUdhVW!t+?3Pnh!Zd`!&k=lk?*sHCKuula9h_MfvqPr8GmJ0I`9lC>)z@AC3NTF^Wk&p6u4#83|B+Dy8ijrgce(alfXMnfF1`6Ybnvm-C|#Q4 zxf8lH@9KPN#&KrTrOixZn_c=hBXnsq#c!YR=jFH4rE$6vvp~MI$Tu1W%>sXLyR?}k z4(-zZ4Z-$`^qW~C%Gvm>tUT|!K?ydG!aTv=&SHF&uFLVfa@g3+?BKzSY-`C(8V8hLph8m)Q7hv6wL9waz?>s7iwr|44=T{ zbHKdo^77m1y3b`3p?vQ7g{=IGSqS8zH_8O&PV2faXH*-^>gbi5?%Ulsf%%J!jenU{ z_19T>?!ug66(Vb$!oj`t)^o zR$&5~pHbMUOY`(H)CbY-NE)hb`8L`e=@{!4>>|JHHo_uaL^2)PO`o3V(4+z^LQP-E z(shV+PqT=(d!j|V9;)$i`A$Qj*Kd4;#ADY(HNJesgST_4#f5kGR6}Fxae;?we5;fP zuZL=U_l^TE>f%oAmeqfBJyYyu1vJ2&Laps~%(B{U`tqZ0M<1l%9zS@f#@D)d@Or4m zm#jGO@^MLzUC*e-2kJd|J$&PXhaS8hzQwN`=x``b5{(W`_EH(2?RB`8&kcL*dZ@;? zj(PBUsKz%)dGLCu#^;tics)Z~^Ua&x4)M)5({*IGtX^O1BRewLOARA_v~1hk7ksgw zBNX_WxlZktRXq0SKI`&{zfPgnUFKmK-&5hi>zP3Ef7Lkf@-3(yyPi>v?-KOj?U-u4 z_~qMBJa|2PL*e7W>)~7T{m$JE6@0g)!w-DK$Ya;Tx8}Q9yY(;Mzv}UWhi`nBkO!}a zZ+tte2d`&*No;UJu{+K5GwN58wE5P!C=Y-}p`;58m$i#;PKI zi$&LnljZI?mn?VBx@5U~-X+W3GcQ^0o_oo1_v}lSyXRlB+&u%6Wfun>x{`>Q?@RQs zoBtEQW!c5S=Ko6QZg!mf?BXEbg6Q(Ii-UZBo(r>!gATz-j17n6Bw2QG&>=fXm|f#w z{9-j~>6+aR1xoUUi-QgoO2X{ophJlgF?X&-(SBjF?Bbw9l#(#JILP-kdosU6nv%TX z;-EvGk}$hC=#Z#H%np%Cvh3oZL#UE4yEy0&t0c^>xoGEtl{!E39fY2YjwNAsje`y?OTz3L2l*fQJbA+*Yl+@)2wRe67YE}P z<5El4t2T$mC3(X&4mxx$3A1Y)bZA{7W{27(S$2(s4#i8t?Bbw9^^!2V#zBYjC1G}r zgAVme!t5Fc9SWF;ng8_BGr~GlFbT7ZgU$c#+x0l;(845dxW++;9wuRSje`zNOvLO^ z#U#rv4my-E3A2lX4s}ez>>39h3Ymo2H4ZvdG6{3X<6v3E|6>{R-6m!Z96jX2vS&jS z%O3J!*|Q;vWe@qV>=^*D>>(ePJp&+?J0@Rm6PE*Y&t^>g4@Di5P%OJR$bUcW!tCN; z{NIZmS=1$vp$Ax&bO~g@>>3BBY#ek6WblTI zgD!y#(d^=2{13YvncpRl!5c0Px&$&{c5!gp#zB`r25-1H=n}|~_gx&^XPb+<1TuKT z#X*-q2FxxFx&$&{cC80p0vYBpuDR%pje{=@r;o_i6AOmI>2VDXgFuTS=_5K>^UFAvWJ6M z_Uwyd*~39Bd-g@K?BO7mJ>wvjT^w}jWH=pkanPlc0keyPt}l`Svx|c+oeY>=9CYbq z!0h6nODDrQx{HHo790v3FuOR&$(0MUi-YVkx-h#q$coT~*~LM|SPy10hs&~ygD#y6 zc3m8F>14p{;-E_>17;ToT{;;e!^J_DP6o^_4!U$QV0LlPrIP`(i-Rtm447RUbm?Ts zp)L-(bTVLeanPlc0keyPE}aaRT^w}jWWem=pi3viY{SJtmre%EE)KeMGGKOb(4~_B zvx|c+oeY>=9CYbqn3uaa=+eo6*~LMZP6o^_4!U$QV0LlPrIP`(i-Rtm3@ca{2VFWD zFuOSD(#e3?#X*-&2FxxFx^yyNc5%?9lVPvN#X*-&2FxxFx^yyNc5%?9lL51fgD#y6 zm|Yxn>15ay^>7f&p0f!odpL+?&-oyhJsiZc=X?;$9u8vJb3TY=4+pXAIUnTwz{NqA zP6o^_4!U$QV0LlPrIP`(i-Rtm447RUbm?R`X>)PVrIP`(i-Rtm447RUc;x;V&AzYDXAgY3$14p{;-E_>17;To zT{;;syEy35$&l$>9CYbq!0h6nOD6+n7YAKB88Evz=+eo6*~LMZPKG&wi-Rtm447RU zbm?Tk?BbwHCj({|2VFWDFuOSD(#bG;c5%?9lL51fgD#y6m|Yxn>14p{;-E_>17;To zT{;=onJx~xbTVLeanPlc0keyPE}aaRT^w}jWWem=pi3vij*N$cSoZ9TV%ft%EPKu- zu14p{ z;-E_>!!EyzgD#y6m|Yxn>14p{;-E_>17;ToT{;;syEy35$#5R#;-E_>17;ToT{;;s zyEw>a#~oS-FuOR&=loolT^!^y86M0ooeXEvuJs^FMwd5S>p_=J20yzv$Z4C)&n^zK zGwQ=9CYbq!0h6nOD6+n7YAKB88Evz=+enB$8~YgrIP`(i-Rtm447RUbm?Tk z?BbwHCj({|2VFWDR)Zc6V%f7NgJll~vFzEC!Lo;gSoZ9TV%ft%EPM7vvFza>mOcBT z?3=ha=+eo6*~LMZP6o^_4!U$QV0LlPrIP`(i-Rtm3_FZ24!U$QV0LlPrIP`(i-Rtm z447RUbm?Tk?BbwHC&OO6i-Rtm447RUbm?Tk?BbwHCj({|2VFWDFuOSD(#ddY<>H`A zCj({|2VLJM17;ToT{;;syEw>aZ5>(&FuOR&=b}8AT{;;~j$L~)D05xjaP7&szE1`} zyY^%_@pk#y#X(LdT$o)PWWUFQnf0;DvWtVvWn7qD14= z9CYbq!0h6nODDtl>f)eFCj({|2VFWDFuOSD(#e3?#X*-&2FxxFx^yzkL_HkDvS&Sr zWe*3j>{$$O)m#&n^zK=jy@C9+k_oi-W8uT$o)PB=@^8yT(D6 zP6oR!4!U$QAa-%krIP`(i-Rtm447RUbm?Tk?BbwHCj({|2VFWDxb5PgOD6+n7YAKB z88Evz=+eo6*~LMZP6o^_4tB1SDXTZXs<2F97+5`%P%L}Mhh@(s6w4m+Vc9bY#j=Nd zSoSOgvFsrqmOTqWCW@W`5X&wOx&$&{c5%=pkO8xcgD!y#m|Yxn31q{T^w`?WWem=pi3YFW)}xt0vRy7IOr0{fZ4@Cmq3QCau)|(0vRy7 zIOr0{fZ4@Cmp}&0E)Kc`GGKOb&?S)JP|3wXmp}&0E)Kc`GGKOb&?S%ovx|c+fee^k z9CQg}IDU0;kdLM~Bq3mSaS$1?3$u%Zt}l|o&n^yfJn!$2?PAS-Vd zW)}yUCAl!W&Ierr8RRi84!Q&~*mZHxC6EELi-RtK447RUbO~g@?BbwHAOmI>2Rj$Y z-2MEH*A#^iyS_*U%bsx%%N`D5*)tAe*~39Bd&WU5dpL+?&s-GC9u8vJGZ$s7@{EI6 zc5%?9lL51fgD#y6m|Yxn>14p{;-E_>17;ToT{;=&i!KhjbTVLeanPlc0keyPE}aaR zT^w}jWWem=pi3vi%F4w-mre%EE)KeMGGKOb(4~_Bvx|c+oeY>=9CYbq*n@C!(4~_B zvx|c+oeY>=9CYbq!0h6nOD6+n7YAKB8FuSj9CYbq!0h6nOD6+n7YAKB88Evz=+eo6 z*~LMZPKJGL7YAKB88Evz=+eo6*~LMZP6o^_4!U$QV0LlPrIXS;agddY3$u%Zj4Ce7t}}XvPUf}J$#6pNP|7s6yXRqJyL%=! zw!7zIW4n7cHnzLxV`IB}MmDy)=VW8Mdsa5KyB`~qZHIcMvF+ofLqXHv_VLo8qG@pZ zc+&*496g3IALsQe(_KlYgT}^|#v+;7`xryg?UEisRk95M=KUr)R z7e-rEzg3s>*mXTxD62mYuaFz>x_*~_V_V^CD$H+_ zos-4I;&O4dm?(~fXXlG$y^8jF^5?1IeogCATg&Rh!g320meqUjO*dwi_h*(rduvS@ zV0kvP{B60kJIphg<)81=8~ZZLdppHEomu{7rm--ZYAdWFAcvZy6hVWx0vF zzZ%Whwd^wmhU z^C@R{Yf&ucQ_hdx{#vxGK7U`_e2}f8td_elxg1QUVWF)4J@D|Rt3(%Nsd%5QQ?Q%| zQg^|R@!JklIWR^FtSi($`rxp+u3^J_gjdP|dh(TK01;42dNXxb4y_;?H=dOlFbM@IQ* zV7zA7Uq0Tz$Bg1%#4UV~l`=~Ci0@(jrJf{0h*E@?`}eSR&&VHO9{kv=E5<)#4~#u9 z_Q2Q!V-JiyF!sRM17i=2Juvpb*aKq^j6E>+z}N$04~#u9_Q2Q!V-JiyF!sRM17i=2 vJuvpb*aKq^y!;-hKOgsY=>(2S{QICZ0#E5sd$TyFXSrgatmbqL!r%V~I@0k< diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyfit b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyfit old mode 100644 new mode 100755 index 2cb599ea59c2cf9d71337ce2fb2bf0a10871c58e..73b4316b6779d21b4d65a4cc4c334fc8c7a78d8a GIT binary patch literal 226200 zcmbSyWmH{TvULc--CctPcY?c1aCdii2@rxqaOdFe?(PuW-QC^gJITF$U%!6ceaHBI zFjnogYuBnZt7@(~W3y!?K|i3rd-o3V9apltAU)z6ER+4acM(pY??8dGLMrknt#Gkg zb4q&;5~`h@4Brvoe|T>+SGz+JPaM}a1*KXPZAltWL%krg`B|cn$FTQNAR6%b`#Mx+ z3b=9rB2NlPm1&!RNfigET8F-}AOgLkdoG%!!S3TBv_7;D{@zB0UwmBiKGk;GFG3Vvx*T%;4 z^C7F`E3exY6N=4vVbUlHU}02$Pk&Ew&v38gtJc2d#DW8W>Cs!pq|WiyW!KS*A=Nv} zp?#EQe@N?5^H}o`chYN*GoMr8Cm?uF@dIq|z1Abs%0ii_%K=lqsIw#MQFXn8fXO{e z`B>K1lbN@b$9DGR#^UPfg{g(YGrT4Ter9J!>-#Lqb2O1Vjhj8Gy@vVLf~+y+<6{(* zii__J4I2S;A$~T)r(D#=7}vwmJfV+Du{ycM8+8dzHrc0V@?NJ(m1l?tU`UG%7YiwE zvawBq_Cm?G%@pMb?NNEqO`_fbQCmoZx2dKC@i}7!IW*DFet@SY``R_lW*_QMdjpCs zixSwLMg@H#YR;y1)+1B?bbyu}aoNb`*CwjbRzNB8&bLwO1K6>&@zyKimpEVMIK(Hq zNdl6l3=P@?ri*1or8ZiEi5pG3^1M2>!PbdJZ$9AOzR!JS*JE4Mc+5^9Xe*Bjm zTo>AXCUPXpITQZ3`Xk!n$RHW#VtalB&Daux80x*7rDSipLpwRPb|IG?mQ*E9c!h2b zc;j4&E9Ci4aSqDVT9JpXT2Al1u zj&g^37qZ@N6lB4yZ|~UOd`7ioy~5k)BxN;744*7x@GTSe8*N17IZE9Li+e|ploEtr z+H$hl0ee3_a`0rXP2Yt#Jqqn5x_T(Kj|M!sy*lpct#B?T6F}Qr&T`l8JQlrlPaJ|s zfM%+-jUeC3n3bBb+`=ZF#?jY(Bl6urW=uWumLs@-?ZzNy4TxqH+4V}bw%@@^7 zibKuQ$Sf@yz-OpYfz{|2G}2ABHWRIgS2UHumhsQ)<(bLRyZvqxHhya8Y^}guk>MDf zf73}l{&Rc1O>}#7|G3A2ZS{V5`tm#ef#d#^K7>N7PHA@5bKbdhGlo(9Shq6#)V>oa z8t37BrqYVzvAQ+#LYY{6&lQwi;I3MrK=a9d$oBVF^*MpSDivb2=_JyXX6_)vl(e*| zD+jHp#Po@h`5U#~l4zZLrZ^r{(m>&d$#X02*sq7fa{*_0GpqFW#a@c>a1q9GaJ9J( z%<~-zRd(clXk6JAS5+Pu-$*U%E2omeS2&Q5Li94u^43r59uMsB(}1=YKbntJEJ>s20#hf2Z{eCw@||AQW)iOXq~1G3m&xE@tj<^qs>%#p|)(Q%4>_A6Wut>T0* z)SPm3imOZ8+P;jFJrc57&HNwH;U@R1rN+7WT9C@4k9x)v1p_fWcbfCCEKo8Hk zNdzbKrG*eSEit8Z1LAu!*mqemdCLuOuVE-buU9VFXTT#sQtdBdX|-ZK?2by)d(T^K zqz5=BNl_sf4IH&R_T4F9do6W8)7^B?b;}rlm0f4h-|G69TpnofYIF)?Kh9nH1|B3I zX`iSH2Erkicsz|2z@*1tplvbWm~FgcfbS;CBCkNg=$1L{-DT=9jI7OQpX!vxo?K?! zCqC^rF>f?m*U6}E6eUIT>P!x!SY-mu^VnfQiNq~Ft}U;M+n^lco-yF*Xz6n0JU{rEadEv!}WxyCj554=O} z7=Nr3>K&vHeL~8?VY#ay;ir>ULWpE(%{%d9(lmmC!dv}T3RX?2grm!I3uThaSb)yL zooPR-bu6ht!#k#0&54B~1ttJnu`q*_Dw}{ab>Zdn57-+zbZ}B+e75W^t520gww!b z`+a796se?0f-F!4`M^#U*wZDabjqXTAmUy4$m4a2Ea?PZ_@y4{-a+ax6h~X`tCgSq z=(^pEsSbrn`?jME1$nt=j#ezf?(iy48rcmjf__v`0Y?A+t$2bAmG21vU~}rk zDV9^{HD*~HV1}CZF%cnFON1bCcvayD#i?fMAu1*_^)}X-dHix1b-0_CiXRz!s?aP7 z>Yz!S-*N34&Py1R-D%yon|VsuMq#mY zPg(s1`7>c-Vkf;|#7bGRFThXSx1{GYk~f z#H1d(Dav|}ISs`Ho?1kyDjhF_pW{h$4rXvQH2`^hd+GK0Q5+J20Ik4%i zMNDT(nX|kfk;yAmmz$q79G4+SIYN0;9$sEH1+ipk3Z<2$!;O<#edkzQ#PvzVS>F0+ zbkt(nSNf=Ylv_)#_pS;Te3J28>`c+yO`>d1?qaw&0S<2AAbKQrp9ZW;#vPpXolYR7#z;+ksH-n-C)W%HEK4V0>+ z;>o(3a^fIyU5KeOcO|wDWz*-eq4n(~-2$6ksT2&OTF9lCd&@LKvA`xMQDTMc6;sf1 zhCS5HTBWdAzOhD(@@{oKz9xP+hshys>d{yt8ImdnK}aEKPl#pn{`&pL z8rLgh`!ZjHV`~UoRHv=w>X1o`xW(3~teL{y7)rzePY2k%+d`vb@LXP1J1RK5qB!Br zm>2R*=|i7ENI25q5G+dx!co3LDOQFmE}}=NCG{tooaEg2>?0nstlG=E!yapH?((;( zECegE(xB#y@L_M1PzI~4cv~NBhHtsfdF62=DLWHvE>BAIAnCf$T$u(ruN|OPLB`RT zLT>w%Duq+0vTU671=Xe+O4`voevGK$Rha4BMA*L8$FR>D%~9$-bovs*Ro3vN-%#l5 zHNHev^bHG1sxftH&mDrnG5a3a9r9VNC+W;1kc5cHgwkSvZ>c{NboVFy<`Y;%9b-_% zfcaR$b=f{ltG2|KQWsq3?+HGdxi=8sygtfPLlzYbYj;m0nnTn8*1wIOXro0Hqnus3 zjvWeKw!UKCtl?c~klI)WnIU-CIjv7ZrNaz-lS zU7m7Ix2_{kF@y!FFT?R_^s7b)$)l*$NE}TnF^r2Jp7v~+- zR-wu8NK&uR1#d)1xWj-|>`5~!OWe;HU>zL6uGa{J?UUo~!Sm>;#brNU3n*pOOhfes zuL-<@>cWI%ul>J%b7*#iz2(D86cw$^Dxa7sP`Z}l0@IRLS_fe9AB|B!k@)FYV%+DK zliMM#6uS1S=*PC`(4Na3JhFNjqj5THrZZD8gO`alb|O#U8{g>DlGAiw^HzywQ+vVI z=n+)(QJs79-2J2vV1(QZq~00X1=P1j2_{1d;!*C_pR3+SUBL{^8FI4?I*q* zL!l3rpgSIve!_r**pg*UAE(Bu#$A?9ml;&kNgD#7WlBEQ#E0UEZ0^IZ#*Jho8ry+u zra0wURod0$J>c9A&ND1lOtM~a7M`_As2kC`^C*;*^zDBd%RYz)QfjZfg2B|LY3~W{ zDvnjx%P#1*xgtaN&YYh0X{byMQZVH8dzicX9#w;*FEr{lUN}$@x<2^9oWnZr=dR(= z_FzMk(aFjOx7^Pe;1bBvNBiVw5ArQ*D1v<(0E*(C#MYsga2r8w`JB{Yg&#f&9*mY&Ns=8dbBsHg$GoZPeb0bd(Ztq!>-P+bKN%C zZ^6gUHis@fO?i1_eAJkDkk;UNp2Q8LtIdvL5TS#sXr7X(`7g-qAUv?8rOg}5v;cO@ zumupnTAs&#H2nSo7FLsK8MwWV^k$5mZ8Q7<2)@#kdeQpD_%gYpRQ1+zI<(k(J&dNC zVGW6y2Df)n3g*`i(D_17bBP6f=G3i(jBv)bwu~mjMagjxZn3*t=1QvH{bX1DI z!t;(YMyfp^J=_PUY8^rlP(OB5(FDcho*y+wdzMW}-!q_X)aBzV~Z>~$;O2eO(rFGcIk^%z>!4HXBg>BeT*4m6VUeg7fFC!qGX51D$@R_a_;M|wI8&O^1A0H0iK~T;sgaJcMl#_=q&U)r^(vW+#&ix_$(0S}B zbK75aCGHh^nGYPULN86Kt{z@^RR9w$78&cPejnewMG$fI`ArKVrR1! zez-V(Ebr#|ya}14Wpv-#JN7Z?`|u ziA^867Z2Bo^-cwxnYoCFR?EXS2}L%kwL^aJQCf_vT-zP5TXG_(3}|g?Qn|C8E4c>8 zODaVzo;{t1APY?dw5c=%%Oz%MT7|lt4Ay11sLG3woHs~&s%oOs7zJY)P=4OS_=wrZ zpsIe2`tv7>MLjviPjRLGS?KBycO}M71Hoc@?!%QmvQQLek%xwZys|yGDUx5AW8cBe zqOi>r-w+Ys)H$+yQ_Qs*j)mG|F2x*84%L~|Hs!~Cs#2=77dJZGbHE#XI5RvhZ_sYtVz!L3DZv)JK}f*Y9kHSN8W~b$%G0%aX%~`YE?02b$4WG9fVk7S#8m;+`p^)hNP%L}b%dq{pECuy(CN_oBQN>{? zZHWwGcAWRVVObK@=ZgFg!yo0T_U&;E&nJB`8t(G>U;&L~_boeir(yb2s{$%*aNozy zz1~@cW>$%iD@9`|#e56c8y=xjzS5b;*p4`ayy%1p!J>uup7gOmclB~#$uXaNrNidmmu<(x23z#tCSpwC4A|nRb>*}R zD3x3;V%j_$fr**yZ|FNPO{e(PR_;~5IDOBY2r7lXyeIJoA@r6C^$y4jaTC4?gYy96 zrp6`<En=abL?ptJ)u> z{QjX7(5-e%i&3${2uOfXVH*|yC*F8Pfe2~i2nLIKrveC^Au~S@bCg;_m67zb?BrlC zgqe~%xklx>2p-RxDvEa14Lx0#CC6oZD2$yoL%t#5l=LwzT%8FCD?aXw;1j^ldV)i5 zmSUk(3@_jzP${AAMsG9TuvDOr4>6SBOOTFVfjp!MQbIT3fZoheMtLT;PS_Y94Q3|- z6U=CD;(-Hd{@tUMY_OkZsAbo!dUvl}vl@LTusR+yPnf$;N}XQeprZrb2!@QOQurvS z@l&w`?c($EP?pkBmfDj*4xw5-D#{dGmGz-GG2-O7Vge+*0U8w1s@95pXe0$g2yNS7Nraf((N?-pK(O&x57ygtbvt9bTGnMgKouWJ3pUNy@j25Y~p8F6G(hdgy5{S zqdpO7Ix4jJ(#$Urwbh%uPwZR*Kr~5~$!)!|n#7ISVjQ8zX2;&N(w}UgWkQ3g^O>gh zo)K=?)0^Jh8w;0;(%%BY(luM7GT^>aRU@@w z_B{cef@}#5Zh^7!R_8AM5JOfGO^Q{}Bc%QyM0y%H(sa7hI&kP%PQe>{a2R1?m2{-M z>af=om)?Gi58$fa&)2`G7%6)&~N3L_?&*dHPgLtnioYiL@*$>>rOA# zYz^<9Oe20miPtVoc|S{k0f_#tlj7v8N|B=GZJ|^jhn4}uHEUJf5S}Vg1%*G}kB%V&)z1L4^Kw0s%MCy3e@E!|wIKWPD+2MuX zt)AqR;rk))c42tKdDxF3(jOX{<&P7wT0Hl1tS5^;%(>103}@a!a!G?7-%;F5NuGnw zJC*rDgs-o1&OB$B_Ty86L- z)^Qmr{oIq_qzzOCDbBZO`snUu6p=FKiFL3Yht|LjGY-p` zI-yvqY_V}{>Wk6k)12SXHs!+D-nB{+s-;Hm&~q@(lY{*94xLWOcV?{ajZRGGw5)s=w~V}Tb}^`cu0nU2UQivyCB zqqSTYu~`s9bo8CPTgS1zdtqwut9x9YJ^0}ajehEv%P5s%l|a8y)$!L=DepEW&UC>y z)$}U_<2?sCZeYI*G}@TWm;f02sWmW~Ptus>nKSkvT7AV1s9tzzSzcD$doX8jySy^+ zgbOXtdR`@TXyy%6Ox{KWUy8xI!j&aE9W+vdHJE4iiceNCv_`|0-`p9BaG4lGW-_jy zf^|WVq{cQBr9>83%UrjRX--O0Hwb1i4bJ6AGOzkR_(?fbXllzwf3oD94+ZqjGdlP| z7mR2SGM}6jhPch0>^VY(ZSN{oLVRG9+|2AiIsJ;A=PIWaH~rxOH=TWS&W|eY>gw4g zH-|*3M&rGS;@R}o0b|qH57NO>i6kgcCx?oD078g8%ix&Kk7U@GmCMTEmw_2i%m|Ox z!a;ISW{9MV*Q?BIoUq*OSl^rP$@sdqN7RitmI zUe(v7?4ufCgS9@fen4hV2_LYjN^Q@aGlf`SG9sZ#RI~VP@Kf6tuy%z)&N}WUG@isC zB@F27%7nW`OhTB?8P*xM7yL@lSgWN)^WU;k{jEK==!A$+cV5r0i(n{+T#EgI?Y$zt zl1In8Fv&-=HenHo4+`9pnZ806_E`=^MKecueJ5#lA}}BOMppA!?~!1>+-{>}-b_5x z&^)tWkbL&#b<~v9!ZA>tu7+{}dnHqzb&f9~Hvr#0!vu?Hr9q(sQrtP57^YHm$XwXv znx07slVLpMQpYk3+^dGdfR`6xBJX8MWTYHnXnw;!$DU%yK#72h7^$aWVjrZBawuxH z4Tj!%`zDi9-$&{BM*|(z(3m2-w%=sp-1Xo) z#XMw$X(f1Wulq6H!d|W?wCZ^6Ke~pQVQfwuVm0?Z40J-+9Q$u}mm{oN^0p!^4mQNQ z0Jqut0Y}9xC|B#@M_g{Q+>b`J#ZAXGJA!lhDw1cE zm{BZrLuTY_b^|mD0e5)K(tXAFT*+bKXQC4I6jq1E*Wd{NOOYXSQgwpZ%C{_pb{>kY zlV1GiOK=c^CLTO&oA&b!mmb-pL%L?q$MYjUw#ik``sBcd&-3GYIJZ5}u}+*b-;0g8eDAFn9-u*G@;@}V|Io<(twGm%zA*>fY1~ow zSYSC(?$GIF0wjapjc$UDMdAK}o{O}7Y z^2Shf%P_{YUou41Lk_^{3NNDqM9n3(fj+d<{FKB7nnUB)=JL>s zl)hcMPM$Cy@p(u zYEq;4STs?P?r7-uTHc+#zK=d&5;yCIV`IASlFgLO;aBhn~f+X<|RY(-K+d~NMXuU9#2**UR zg<^thvEbm}8#ZHzGWlhkh3xWp2Eje2Unos<83BEVF5F!u<`gjoN|fN7e<2_AdlkZc z#_<%0zygG)?Crt3%wtd} zKaLO-xR(!W_(UgWH%i)=-|K*oVqQx`@}vJAd`*CnBOU0d#sF_cHWa(N{PzZc5B#aA zd-s>s|C#*r@pT~1+E9$HV*hHI+u4<<0TQ_I@&S6xg+yfM)*Uv&?`7v)ZMRd}6f5a~ z-sf!icWPPN$^915Fm5Cw^(>G5J6Eh!EXIRJHKdye6`#%d;jQ*R+-(daa%`@ijh5oIlzcVKd7QY>s2miW@KkxnF zQ9xzo->OhV{}+`f-N=H1vE>i3Ui6Sg>0DS8Pr8UhJXb(eI|*aGc7e$MLU|a7Ixv~s z7>A`?|8DDv-B-T@@;{Ibm~%xu!vXy!q#|$m)BWm|zW`$FKgz}#)^w8tD#(e@0qbNS zXgtv5S(9!^8?)z!`^6v8+jsxJEAun8{3D|OCj@_@JumyWFs}kR@xQ|i-oE^M`+p|V z{|dpMNzn~C`(ISN=*5Kk$Z4UC2w(*k{xOW4m`5zwjn|X#}^kf$Q#cx6feV#|DoZqA$Jam6PtUC3J z|1V(v5j!=;KkOR(vj?WU|9thoQ~gi8;z$2wrC)XXKWPFiO}{VezpL)w0sLp3b$a}p ziu|hv31AtquY(8qesjb>#=#ih-`s(M;s4k88{eRTzs=?#t$&kt|C?ljE`JB@&pi0c zm4AjK5#e8J;vYN&NEdwt|Ff2p{uiLXARLx_+Gnb^(vEGV7c-m=G}+|-B?j4?_5y=h znf>d${Y6Ag{~Tw+KM3r<@yhMM-vvT&?Bu@$f(Nq)_1ctov0( zpaA&;*n;#!0rMExf^2>NqXof&6maWVZ@x$h#&G1=oCEe6K;QmTJMf#rK45`c{H;pY zFX#U1G=AsPKOOkM^!R}F-sf*s1a|&bg)Ht@^nbTT|7ks`_FrZA&rQ^ynvB7xQPaO_ z&TzFm&?Ku7SRcC(SZ*E{$1J=-3EREZbI*j>C%>Wu>{c?4etZ3!UH(m9hU@lC%H;?C zhsR$>;0y5h`*kFK1);=x3j3F?qy)#l2rV=9C~Jc*MMkcAZ$*hg9V!p0EE)?#-p)zG6 zu+2+fbbdLw#^#c}cxIg7m}H-89B-UXI>v=H4r%=Ic^oCHGZ`Godtd zAj@;C^rF++oF%s2DAwD~D(*ngjU)Rx++yXhB5v;lYX>1*5xX&Ut%WGWB10wv!!w$( z_OgX(>)|mWNOZzU`B`ZT6{0v}66ecC($mDT2XLJ4E2vFk@!a7L=1 zrK366PN~{f1UxjSP*PunvbE#6zkOLnHWRz-C_gI)6O<9GV06Dppq~KU@a()+4WFI| zf`WG=Phu8abPi7|PvU!@;6h#<0x3NkWCib9;B{(n;aE9BUWI*dp?5V<@1um&OY=>q z;;PZlpHIqmPVLK^UVzX??;;2Jb_`A!z+hRkXJrI=k~tYM-5D}F4S`eJ)jlN@>W(+I z46}U*hiCLhmL?fjlWYe}z}CB+7C{_+qq;n!;Ml?_!sk) z3Gom+sZ+@CGAFyrONP$?~Kl-S0#Qg?bI7>pcO{;3W-1b`@C6M_x__POwhBu$FFNlXykW?yECy*%9y4I{w!Uu*3+@NL>M z?>6v4#b;mEpwJrf3byx`-*L1(o*Qk=7*P%mf%i^;7hCC&FyN2BeFQvl+&RM|d~AYq z|NiAVLujYi=`)Ugp96qxh|W7pQZ#`h1`r9~feKML(Tu837L^oh;K9T$DWZlwpp53n zOKqc@6!k*d=WxQn+Dp&F`>P;nHXCHQlPo;MtqnxAH?DGNz>N z|F2L?=WFh@%trZTJyPELO#VklGjpL=k%M~sWteXkSC)s^Q|keYaAEoo&&Ount!g^h zc5yBWiAjgh=r{|7pO2l;?3~m?HjwR~3Mkse*HY4otO>mvDB9UQW9H(ypJ+C=sfr|p z#YctYR?*wqrPtVa6k^L^Md>D7ZVDK2e+1`a<#G)dQGTj_5HJZHO*=}RcylPaj350< zDyBKjow|3bAfexuV^cP2_OOBisHgJVm;q!r?Fq!mT*&TaW)_S&%LFlUap6h+t_Lgdi8iiY_-guY-1Uj9W zT70D#s8qY_rc8OnwmHhbMUZ^b#=Abbbb7eu>#uP&$0EGx3QgV58s4}`jBTI1%G$Pd zqy`zu@|3;@m#z0Kqo@zawt8&4sV>QT@nZow3PL@Jora?i@2rlN!=AO&v{-kez(iy+ zxnk@j&q2dnE7ltdnRLe?WPM-k==Zg2r) zuZOFNvn(debsj5OaN8;IX8wrn)*$BRG8VJXE7z$UyB<4;sqvDGb%zEC`y0!6ZZ@`} zx8PnsL3|kZ?rz*~cimf*>!rEs&88GKe6yY*e4`tQrAx`g7e!EnAGkA@UFN(#!Y_?C zFf!>{nkT*g&in$S5j5diwk z*y;zNMDoLSioXpr%{^<+(>}HMwS;1gj^D@4uD0Oq7~yAIorbWRgNb>xDcHn^`2AH8p7D#q6u*>!a_ZQM+wwmm6mSkwY@#F8N=r z=GFqfkGqo8b#;%rj!_W+|cHD)xO=z2!$ z_-iu=bi!dU?$x~ZV?;cSZEo}9Jcb8srHahtvjdGiIls>~ekA3v9lXiYD2SuQ>X7=) zuh#LhJ_Uv3{Nkr$F`Pc? zmL*QK(rLwbB>_=o#9IXby)azz}gw;NK_47xi{P9F@}YZrcfb z>jM8~@*&_hQz4Wx5MU4CFTjOHQnnvVBc#>&`Y_&rnNZA-?5=vb4|lb4FIC2N)=cSR zK2&U>=qd+Nj=C;Po)|cSyczwY{t%1&c&quJW}HE2D=}%s1{qc$hv~o&|H@=sS1N&-Ov*>dDGSy&NjB>Yc@B z2+859r88Y{3!lzQ`VJ~g?8ocWOVh=bEyU$HiIS>}II5OP5LlrbQw)4dIfy_;4tQDN zJp7fmXpbxpjI*KGAV!LK7l;$f;fOcNFymkC7!*Dk$k~6E)d{^M@1o(wYJ);6A$!ye zn-;1QPIou}zN-#N7VZC>@MY>l33<#y7?}xKwKSLwLI|do@N^5CsgySWK`i8Q?`UXD z&t}}!KRPfN?rlDKx(!QsAjP_5ajwX`ykC`Wz_ic3oZ!f^^vXn6!co7*E(CY#XaSea zpdK`wn0DdUzf~_gj?N3RvUS_vwb@BG)yYq@B%I7^YZu=#I>>mFUXP7X9P%lJ`O3a8 zu=9)x{K9l7-CkE%PX|X*()_m5F-SMN0s-%_RYX-mK9cZeRkN0{_Gwl~JVl=;h_6bg zzq=>-FbM}DwyBHaFr3J?0&xp4h0Rsr3kKRO499}$dOTgBuYu`GeQ3%9%XKfw72Js@ zM^e`{Uu1$+XE|d_0?&0=`4P6G&!k;@jeUH?28Zw>tAzxqs3^#9W6e`+SohpTy*&so zIWS>G0MYeR&w#r%kzb!aTR!S1b~NAKkUD`m{ioh%Y}k8X_WF53M@ z&ouI8qbkX*EKF_!5*ez&! zSzP)yl6Q{=lo8gHGeo_x2nUNGS|8!XNftkgb687@H2hT0q~JBTy71$eDRWk-qT?cL z<1KnwW|2ZPxAQ^Qaw^cI*z9HAroqcb{LhN8Tt8LrSyxQ{o$Z||9; zo-ZC}FB!P2x`U3>*4oNl($F-KzYC(rP=F)P6*f%hReiO1zifbxyRq=qMMl{HT-Rwz z!G~9>120m#SqFnWB-$+MyP2^RD~|1-MbRhydLyhexGr`D!LyLoi0%y(B+;N;6IM2p32*<2nec`DiO17rNP0Jr=~<(R=}Xk6Ncvn40qTgk%5gYnw=K^|fCwVkltHlHN>)N>EZ# zwGtML^XIu|l|#yD+QgfRvya|x)2RyF*~#)sQqb^9{*luz{xlZD-2n7(6mI80{N|&I zzC2^4&<}C7!s<+H`T(0&3O#|1;0{B%*TNj-3n%YB3|us$gz~r+k4dC~k4=Q_yrmhw z{p@(W$oC^)&Ev|CDNk{)d1yJ&3cF5fIdMAjRtSY{cZX+|nBhI(f&tsumH4}!I0QCu zp7eckp^=Tkj()WqXQ-tp?$C=uoU{eA!Vfhh1vonO?_>6>{Apc#-#>tuigIykR)%FIY#-rD#uH=`=>P|w{5|9f+6)XBQEZG+UcJod!kknuB@tia3EohrBdHTVJ zR%4Lm6OMy~{3hggKQN6^mrCg|0yx}7)z|S4i{14kjKwhV08t)t-yWtcL4jF~T+mPu zP;w{3JxfajTA3mtkk&Z;; z{XjwNSfV4xT{bt!NotglKJFl6lHE7!PGdP~o}6QiY*vHn$-wdMQMbeE&ylqTBY3)``hvXT8Eh85l= zS~2&T8Ro+meiU-0ZMRISGI?Qgg9+{tioP>du&ukGTf$q50LwSZh4LdqLp7nM6B?qV zvs-OINb;Rw!>~&|Tme_!*tbwjQl`-BylZW;_)x%HL7b_H`_j^N^Q;j)ovm!RvyEv+ zQMYTlOhn0gqk5-$&%~7 z;!h}<#Kx_jgeX?o0lXwxrB#|1X70fPZ<9Rqhm+TgL9e-9^i(H;k6sJW(@)5c3AbCB z%t!ecWE9~xwK%PcQp~-)Mw9TSEq(5B>ooL^T{D`~d(o%q<6BzfSLC28Aly|FQ0}N6 z+gaO4R6I9oym$kc9^{}No-Q**0PZf)+d6tpvsvSD3~tJ+zT>$Z%RHK|7&|?uW8dw; zE1LP)&c%-}#pJqVX0WD4#s*}^I*8J;@hsuf42q`5z&U8TMLQO?3z<*n$&;9newsfr zLmU&zhGMmF%wZf_$`>d~1v z7#J44*05oktxx=PoexNQ1cz*rMwm%G_s&x&W!@>g8xPC=>JG1qD(Fe$$U7Tht#L)t z^n$t-$@M*6o+mBt^5}N}i0hBOC=eH-)_Lf@liFf}WL;cw72;9VM^c#i=tYcbO#doP z6;MfswWt9WE&h@Wi!xM>q&UzA580$q54+}s8>7h}R{2&3_lXQlGX_ijjgOE0Zh@MO&BLrI-!hIyi9=fR66LLvZ8l_A@Dza8`q)W)wm< zG={y=?e|zuWvLN=&2M-_QysI7Q7ql=pV0*>kblm0pObmWKkg|h{iK|yNhS`59Hi7G zv5+^HM0TxIx4o&>fX$m6@9k@{vk10e@>%lML9@9Dn#j0`MSFvr1h^kJLEA`HW8&2u z4aY?*VX&8=83`wD1oTn^1v5mE7^RRp<=EpC6psFA?%9y4BFl1~d%k#3Fnb|K3k)V# zJG+Ljs`agsH{4mYSElp;SWUCqZu^u~8urTfZd=vvo>fl`AU|}4hu?GNh!Q$#*8@Mw zm8P-b>vIP;fRv)?LF(@N-XfM>bUD5c)e!z;=lgxdF`d5LcO-K)L$*2VTB2fxVG3UB zK2(M3y!a7SnZv?7tlV*TW*u!NV%}+F*^Os_?VG)kZ$}#4W%9#UY7y7A?KIE+^WTlnJI`@>Yho ziY(6i)etmnCYeSWe>Te!{d0MFX)>QMlgCa|Wt+KcLX#4>a<)#}6s~-UXu6$Ag>?f{ ze7USf2%JhZHiVx)@{fYWTZm!Soik{n1EsOeTv& z^$;HZbR$k_IP1qD*TbmgW>E68qGnj!I?_W!7SnhnCfBfA53uAKO9(o5g*wYUaXcNY zT(Ky)_h}J7@1yc<`MXgzVtv4yQ!BW>waNm&YVO1g{dkN~NwxIR-%4K zwQ4`m7w3t$#|J$0t9&mT3#ANKL+j@7Og_MPYqb1}>2-}3>(Z^Sm%v!x{eT=C#F#&l zc0F;0P>z2orKJtdt={5HDWrjzMr)5b-mFIj8_ERkd*?6#wW%7>^cG@qn+ji?bilNW zSC~IKtYQdEQ|8Z&qpoXGnhKIk2E6u+A~`F836pO6xY-Bi-rI;HUJLG^D~A(9F&RQN zpN;Hri5tHtKta2X_hK){A^%r%AJ)-kw4Ik;>Y@eGO6xf~$B;lWY|)%QhQ!DsxG!jkY6vKFjgo zw{hk&h#ILvPX{`#|KCKf?2|9 z6S4)T6sPa-3~zeiaOJ4=O~N8pp}_Z4t&B~{O@=YVyGA7!qDeM}a8$*QluQu`)brG1fI`6gmK}BIEDgZTBG_4x#Rs_~bjjwOz6{Z;;aN*QXt*WXq8!wsf$F-u#(Lk$jha{ zjVLZ8e=cjoJcb%w%O*0gU{M8>4E2yK^z9yMR?xqg3}LsyDE zC1Flk>LNFb3~|x9{>+73g_sfH&m^NF7{~WX(Ts%xcg982auP?d5wooP$64Yx1}P}7 z&lrTXTxT?T_*BiTmg`=VfQk8zmQ$^xepj#N*r<2M%4&Rdqy2e$SJYuY3EyJ`32|x? zOrzlpjEDXJ4P>{Lr_JgSoVacn9$y~)EIuB#xth})8r@+j*Y;(N*mkEX$6d6<5cu(v z-$1(&7ZM;}RM$tQ#ge~05_$i`MqfWxU&IqIP-4Xew=Gq6h z;(^fVEeDCjWbL@x-0k<|B4HkKHp4x57~ z94tN25z6o*$a7M9w^ER_ms&*NWbDd|h1UXqTsRw?R&%4Ows|N^Hx{r+)iI(LH#2HY z8raj@^RA$8*P^JH~4x_>LMyx^uZWHg5k^a#NGpfR#c7Dx5fez`CUbeXho##JPtP z>3Rjln^B%5$z9ML#z92qdKSl-s8bA!Kdo@+H-fQvD+i)A4t3Phr15N&rnBBh$!`Q7 z@td#6q8@Y$8ddO`>x?Gbljt;;=Sm>pg|!jnyt|c-u?)PWJ@y!m z+=pyaAqX?vS?{loZs0!Dx|}`Rm=g|Sph(VjBh%=sQc%drg^^pcO@J}gp>y`m_o)aY zXA(wt=gickje-tlByCiMbU<~FZ#VZYXnP8Q2C~2t3z=Rxg)}fF)C`GEm|v%|De@4B zjHFv1uF*76S)bmNgQ}h@G8rs7YD%l+$Gb%u-Iqspk^9LQdl-*L78EVvX_ix~EZ4CaspNdpw zxD))AS5?*u!q|9IGq_bZM~Tmdc>IpF-O5RaUuh|HVZIO7O+KI+!3j8Lf)jDFKnhR_ zJBBTqNblVME-o@{FZ;XdqnM`mzsq(ftsCLZD8Cck>A;f}%ckJ9K^UxHd&*Gpzcjyn zD|0e^JK{lHwNGUgR4Ri)*gqHtmj-j+(CMFLU3utl3U$b1y)cdJQ~lO%HjE*F)pNrX zZWi#6jnV0MdsfD|xt8_Zh)&vxVm_a};8afXwBv>}&}@vl{bsHd8N6o?mgH*v4J8ln zO&P(KS&Wl4Fpufvo>vfrS8EO)KJ+sE``L2Z`8tfYY;5~@7DCt#&SS-ExCjrg`^bKe zH{{7AGxo7|g3IUKaN*h@QNQUfRwowhH=fu|5)EVKWC!aB(|O>FplXKo$wStpr>?RV&sHD8K8)vwrIbHf6S`QN9WK=mx^Pn zjdB6Y9$uENt(PJB!`f5sgTR61y$W8V75z+I$ zT{EnbKIt_!9uWe6W94R48A3wzVA~pQ^x#e#9`IpLu0B@&y&U^O)pV<^ zWEg>v6=4IohvIqo0FlHFEAD}3MMWmN zDsamOTD$iy{>ocu=R$w9vtK%MDV5EIXdbzWS{!_;m}hH=iD!6A7)6%2nu6)- z)H6JcJ2&`MQ-f0MePAm~`{KwVPx5(YPn@iJbr5dPy-P?F7_-GJGmVC+y z@?LSXANk@962>^&(rE{Vn_?+f36k@4Eu#a&GSM8^}l+ zTX3=l&xP@+mA*Ba-eyDG&&2!!#RaC@9f6@5dpG8!32Ij?$+W)1GSE6!NxzZ<)Ou7J zjY;QtfI?wLH^Yt|uLR|pfZ?c_Wx@o)+=V0S ziNe%BRuA(B2VsPu3ANOpo9f84 zWt>iYe^KnJRKZh!mDTqL{ay+g;A+r~AhQF8eu3x4=^;!q->C$JKB{5?vUpZ(vpMcU zHGxa{^a6r@DbbB6TzXLii_KKF4G0AxXP;eeTw$85ctd z;pg<)kKx|e%baHzxu@Y%rZA}mW>SvZBv{e7~qPgU@rH%MI?3ljX|8MtRf#*lS98x0_%xhVAq@VdCOEAikRlqxyE992hZd2I;>=-9qsl*nsDS;NB&*TByK7gFTWEHygt*DY z>|GOSHPecvcZeF;lk!n%;1U(atGhxCl@OhsSZKnJ%XCnG0CaG$Jua2VM>eRQDZTDO zat_a7g;5`i0M@UT=M(Mu%@v{OC;=SmUn~k>J>EAuFb~ zn>{Jfi)DJlR&jL<-|qXo{XsW!gV;eQCq`PbCC@9cN+BwAvBlI_jB2wNc+?rkCDYv@ zBT0|kz`x2EHe%xqW>6A#EvQ|_ci%`wTGu`kooRVzT!V4i-GpcEDs)xPa3DxC%SLT^ z3E(bCM|qVyoWo^?o|#Fu5-+^@{tA}3_I7g>{2+;)L`^y7Jam^{4e@qMF|j*whB>sA zMN8vDhZs8Z44x=7i`?ZZ+k%?dB<*~7>D0eV#33SIna}J{(;WvUG>9V1-bPr$;8Vw~ zG9P$lp0m3dbdQkExeL=e$YQPwoeeHBdA|_I-I8nb2%uK@dR{~La(Uj2^7f~#BM3#I z2Z)*O40r@E19znxfZMsZ&xpw}OikUZzR6g0kG+JF{el9OHOCl+U2R);&O!>u44Fw~OUsZ!R!H*p?&v4$t{ZA>ZTPqw*K*_+~v`{EpfeXLTGOk%!t2e$DTno3C-2L``QX-xaK&bN628F*J^7= z33`mML&{q7Pdo>d6@fihcu}23*w9aSVcmZGX>YM3vejDgk2P378ocyKaekV(pogOG zsm@IEW96K*@Q-vsLY4bDdQHJy$NK=oWD%=MCVPX=q)MiaxXo)(#{AAMe2Pl(hBRHH z7$7j^a$$orlD&Xarhh8vs{LU&j6Y{ld1! z%fdGS%Nu^k&9@Jh&_PJZjMIhHS)Pvdh`7vY8jB6~0`&SbrT z9Wxh5WOuzC4)*pfABi^Ma^4!8J>zhfgbKRFV1&^CHwu2Lr;sv@R%!HUyR=gTucFcmB>|kd57#l z109r2K#I=eYHq?F=-lOcaOtCDI50Na)nI&2Oh5zxmgwIaA9a-gjk~0urdhaYGl9sMvkvNQDtvcN5#Z8;bZjSzx=3657E}X z66h93h;XhbhE>ZzxS)?w3H?H$=drb7&x6V*VJF3RNpyY06R%{`o02$e2q9_Oz(;}X zeptZ_XRwyYkrz&q-0ODY8yK!F5j#evPlfcNf~Fe-ZeZ*6BNs6DcC`ZL(FIpk*^Bni zX@Y2uo>IR3*YVlImC&1S;+QYRth=xSET%|}7k5YVjTFQY*xxrm6vri>ZNE+_P!xw5 zvpG{Ro__YfueF*OuF!@U?swK7!Hmqd&ryXbgVY5V`dD*K+F{AuHe!P9>O_xrbn?#) zSW8SnZ&Vb2hYUOZ6A#;aGNjY;%v$bzF*%&AcrdIDg?3uZjL0)tSk;}+3HR|&6vM68 zsAd00{s)0c#vrTb3^<{x%Y=SPu592ET#>=eEb`m~qdjpzm(VPk%$~t~WIz(hJsD*Y+H@<6`!F$y29n+j2SYDA?3$7UO-3HhfCl z#no&AEh=@}1lpy?Y%QQD0ZGt(=R>l-Vh0{Jw-&P@et;dEv!;l_^$4mk?I^cO(xAWv zW;x!sYI!WBmZbqk2Cmk8F5WI#c*A+{oNO8UXFVj*-SO!>EIHGuh(d;tPLDR?o_kPV zv&Zo1QYYN}WGeTqdDe7wpaZ;rPV-4W(VR@G0yvrg{Mc zVUfA>-9@6oN(~b}Lra#9Acox@YoBdZMeywquEBQlS=y*l(wa8kR|>IZYrRlMrI`@7 zu_Wvw4sAfWq!_gxmtBm}605YDEiE#J0$k&;_6)gJBUyyZ&^7jQ+@Kh2s=|}E%wWoY z+O^_j2oxr>{3KN)^wy8BMYWnDbC`SBT|NM05}jo^Io+_Q0Z^^$ithuw2goA5-N!jDtCsDoc%px^m)J`$M%5Z_UQ(w6ZlKqt zx~`*qcDQ#b^TLdzzQF6-YUpr*V_9jU>ok)ts4lOY&v{_t3E5t6ep8FR%W( zWKRfjGHh}AgFn1n(6ta2c2tRkAvSJ4^3FyjNv(KU3&4|m`EQ1oV$R5Rt@=!JhFxqH z2AMjV3k((pQmU)3NE*9Q3FOUrX66)VHgwLq#C)%E3;@uA+mPvM*00c{_f39L7m2y{FJkeUtMf#w0mPl2obqMNdx(@_i^T&ya9 zRU6je$OVf&+bofG3>fcQ@=Ru>$jYKT{fuwYMCKWVAgc3=!_I*vfH+Iup3mzu;Mgzf zDL#C(L8G%g6JGXG!mx}`jtM5KGRXXan42)*?=$--1IgIdcj+%!TuM~|o~}0Suz6q7 zYB=w#JZlHOZ105F0bcY$ax74p{b&M@VOi8MI=~TvMO7L`ZrR)QAR1f+nU>+bn8U{c zSV9W)H!b~!0DFrt82*@;fi_B8s{zeJzTF85<8*ZthLWe40^}q~HB%mkNJVZJM(Czb z_pa&H+Ybb<0@jVDr~$97lVBmF&C;+C(9i!NImQFTQ)Q@kfj5Ji@yp41+g_b%HECO* zK7POud8>3_VFHgCDEJhAZ8?jR@eme_@KN*TRBRN~2pOJu#Q7G%1I(-CqkiA=Zu%P~ zg4LVOJyQmC17+{uB{w5pf<4#gVJ)L|L4*F5qcK{^&cb?KG0#IbXOpzmOs-P z4K?(39ndjXNvpS(M;|@7%_xp#GiE^XR2&I?bByjTm@$8|bwdVBuV?-$n4DEJtVh9y zWm2nM8(XJtD<1+Fg%oe~Gnh_ezYuFmM}nJglVMf!)i+Z!E}}luYri3gju#L*RYEv>PWB5ejRIOo518t z+WJ0JIu$WR2Q$){1ih$w%86iVA45WOP#A4QA@_NEcO?}#%EJI)F08HPrkQ=`^w17B z>&0K;*v8TQ&7f7d6&W=>J01iW6oC@!iypW9WvodcMT<<`9KeCbshtYO{M zPk#Eb9~{-aDO5;Zq@!1H&DpT*H!JMfyMWo5Xab`KAGZk41Gw`>6m{Phb>2}=P^RpIksa0S?OY=%yjg0HYIWDC1GdK3sX4=))zg(- zL|fGI6nmwar^es8@6k?$ne7; zu2$SV=*|JhFnL>LP+L={R6W?MrMR7u3*GV3mgWSq1G9!ec5QoO%yYcobLM>4lPxnv zr=ebnR#hyMxGC7a2Z7Ji>Bc{$U2GQ`EL!S4NfYw5HY->lgIc86o#kv6C|UlBaXtO1 z({{w_lXXPz*5Pr^EP0o+piljC*YekY??;dVM$yyhq9|&HP$pLP+)-7ajr{WYf|?6D zu35<10>izu($Tp#R6DRqqq4ntYWl!-YvEMlH}$4I_+d%6y5e&SkD>BTZ7YB`VKMC{ z>48gBd4__`ve=Jw!jDqvCprU+BA16n2~r2_HGCmVh%*&lxmauv{3@_zMVH`*2syw0 zAbSrFEw5vKkLB(Xc^?LIjC>Dfz@Mcj8--}@){*8f`cV@b9S-eA`*yKd?`rFO$5wXI zN_&N{r`Kfe1_Sj?Z5bxn7cz(hBrbH0;^y+dk=j7gF=a)Hwv5n$4N@NdaC$zE^5K*D%54Sioc&9l74anWw#%6hR}LSJDtCbJ+?xl2EA+zaJ35;D{9|A0zbE zBUe@ls28$mlWmc#q0mF1^Dg!VhkBo%s)gAZ%l1&va}t&_jXeVfys;s1KKCOPO_Rqn=s{>iz=D{M*VdgA4rR6R4Z%GY~+tcmw%_zmWfP%5U9YQ@g^xzPa6cf;|BkZL>bu z!IyUsgudat8@KBwvFz;K>kR~3c5&U@-x>^bs&pLa&G-XXwYQ~%@D5vCs|L-$QU_0L zELylZ0>^imBJ{j$c=BXfE%HUS*~cfg+0XZja>iclRZz>Fh1ubxupIkig9{_aIgogV_Ay_Bh{2D6}_Kc*az$Y=Dg+lbPH>Yc=w>WFjW z_v9&W8VG{xH~{18cIjoi5YdPOmwe=5?&>K3oO{z>Mi|vYNZ(_&kt8$Ki6NIAkSfgiW99gs$8^=b;2$V zMqxGm$P{CbPNm6Q%|vEC3`e6*?v_rY&d%rAk7hKg!BTa4CDd-hnmNu*hD_BjbBdYq9@fSBvQRmW$4jRwsdP;?(Qo-n77ZRW^+{r$ zpyY;@_DKq4iCz&-Y2%+&@ssVJ9UHeZ~%mau22y|r)V>L~=qk^**NFW*=|cjqm? zziya3Fvvf@TN~12`VriHMhL-Hyf2Zyzw9AbjH(bb=c8D!F^#%X@|a}%b!HkBE@-cs z( zn4hD(c@LydTwBk1I7gg=TUwcZSJfXb%gSl(rkkAA@*=NIf~%_{;s~TgQ#qQgBi(AhgFa2_gNd-I+-U{|1|i3%*k0%Fx0i0EwtG#s>?-DaJ`3e&0Kc5w;6o zKcq^@&X^tcG$FMM(88IuLzSYPNYdRb?rV>2P&9L>y`l$e+TCfRpVLk|-lcm)o~);3 zK=iz(8o%#2vD6K%J>|lCnqmF()rz*ZtX$<;NPwuLKq~eK-B!`TlZWmA7ZA(z2 z_^*nPu-g{ECc6DwBt)`6#xObjS5*uhH*+@4+b!z}CuoQp6@W@8$Nm0v80&W>da#;s209$ljTIGZ2@r_{`bh0EkwGdap<2x5oRY3S^D^k1CIpcCDUwKzxVLH}Jt?D7IpX zDz`1_rzquCclM~b&MWxnvwPx|pO~;E(sCB5bFbA`gEra9QDe#@^5AjnO?drITkr3u zw?*Z4wn3O^)NGLV=^^ zh|(vH9Y4H9w(hf)Dktej4=;~{e7mK!4lV(+>UG7PYlL-sevU7gpOTb^##eQ(iYxfi zVhd}g5!;g%d{k`P$x|WnCRQ2`leIIxYM3LOjhFhm&pbYDGe=)G%Os0-DJOS7*4nHL zRE3uQ1JR9|*spx+P>~k)YS3y7Hi61f0aH~H0%*kN9fJdkHX}KQ4XoHL zarjdlYr^rCRiVmt#JFncHOhD$fHnSD*fRL@tSg0~flD=U#1CgY2XLTVn&jeN zNFNl)1(SFF`4spce$>40wcD@u+bN_9TbtF_^E{{%db=kBAwjm-h82=Jtkm_tGko4` zk&G=v0SYdY!MrWd)z2ZuwF;G&K8S_y;35GsP>3-$q{F5$m#pLK25cEcHP*UqTD`00 zh#F4q2OvlF^rTw$^}U26WH`^+2k6-B4dQDpW5!<$*hcF)sVoM~=?5`=$eB_Nrs=de z@TZ=+;~||x@(nhu?Zmg!+aanf$!7GT*VQPIRDE;~LML%>+=A=dan}FF&>}eGPKibS zl5v0$fI^SckA-;20h&P^gYZoJ{U6-{;i?uYaGyLPa=dUDjoPnPOUc=^yE z2cH`UjkPs>TY^l9t!U><)I(YPJh<2Z>8%*c+G;Y4r&c?npwfJvmRSM2&nSUG+#;X7 zthZng$Aatxf&K6M${bFsB%l}5+Xw}&tcHTl)2D!cKkHS@1N?Bpd2tA?V#=r1E0a|4 z$NE4vkpp0}wqaEjr4d-J4-waNj34^~q;Z5Vwd?u9hjwxY-=+1$`$a<|$zNsw zd0q|5?nqxFUpp1bA^u!)<|jb&qWv_nbe zQJ&c<)dfSH^xr5NN^tGDxwK7lVixejxmH4YX+qjn3Nz0}oW!VRc-7p=NH4=9HUrm}CQ_fXj z%YS+o^s~UHif}q;Sb&NpL+ww_qsh45b54IJ^SbBwIx~+5?5u&;jqJqtGGFr2HtT5R z&0Y*Ghli)FZzi|%#9_Yr{l~}KYhn4VWum|G_*&8#J-KdHe8&mZ^*wBE^7~o}^_-@? zW^r)BuBIF`Z;f8TN=@zSs7GTQF8Qy7A_>`>pr-(#TMXE)7L{`GK4;IxEmh^_@G_tJ z@6~6fWA2yv^moRG-3LvLhSmzAxGg=RH$83#ypL1<@|OvyQHHvRO zVWnVb&70?}KB_X;gsyLnvA|(NrWG!{^AR9lhU<*fEh8ZVe;z}&JUcO$Sw|jB*ToiuDQ$V6 zSB-uy<-swyWMvEWFet1WXjb%)kHGyiY!1UJn0sqExe&SWuz#g*V!`bO#BX{q32wbu z3|>gEdCBWmh{8iK9Nz@1mhuSg^^Y6-o9R?PQ}1C6Q-h#gQ^x~A@}rR+k+uE~F(dGh z5_CODjIxECdp@7!(2^~Vb_v))vGZ{k*(#(N@I>ngebbMyDe`;j9K5v&pEk&b6&{Xq zHIFIETal9YbZ}Xpc2@6!zE9z@+9DM>pKg`QX_AKgNfbFAuNhj`kg6CwbJOChLm?E7 zH??^piz9E!X2Ms?Sx=Z)dt=h4L1Bf_H9@5M8J4H$~)8a8VB0< znL@qeV&y%=vGUUhN&z2j0ju^uWRt#HcaBxc2iQIwE!{c1V-cko!fjn~{bgiM@rhdq zMb3@$BLai-snz+A*~itnK=5jF=Fy^*Rh-7dRoPjQ*wE%vO0y1KxR-^{G|-}CcQ~z( zO*h*8EFXjX0}LFSKUSw-ae%a_U{_D?*0rgDA^t2h5HkaezxN;8T@&w6M(P4c+xJhA z$*d3S-hA;SKz4Z@yi*Wgzt)WbKg0os^D!?8LbnXOBP@H+G-D>9JJ0TtvUHA5-@hm5 z6w-$jN6Xg`LM9*kTptgP%g+^>e>Wsm<}qo(9N&wziuRjUytyvC`+Z;=NNjDG*(T)0 zkF*fZ&9-DoMGp7rDu8a~FeE3yoMpqqGDrA&F?6f29&{A4He`MN{WRSj>9PLwciB(U zh?BQ^z_AG~hp?$U`6=0yxB3U9^c6xKDhB|xg_?I2ay=z=-W;1p;KJWRgZX0d9Fuv3d+Z_(3=txQ0u=YL5rsj zv?A730Nw%UTp)qxZMd_TD`l`%641=zI1l+Ss5syj+eM8chR(w~USMc9d`k)N^8RB7 z+p*C1qpoUUaUUq#qFZUtr{J>b%pG69a3RgM`B0Z^fdnD%Hv9sGRYx_=I>6PxnDtJ^dUf%T2A46zK*F{W{3gdyRNMw#P>biq z$kuOp`s4UsfrcY`XaQ_Hi5{8K*Fgq(h40>0w@q7iu-n3jgkcMk4Mjf1SLNi*$Ee*ToLFSt9R; zo=+=1lndxeiV)lGotvF%gZ`MkxSPKL>;lA4*G`i ztZmt~7IipD=*NmAk;J}?6=}TjU0ggxNP>vq$%g8Kdd0)0C7#riw)&LOGuIw^xnL$u zWX$G2jiZUFM`^tt8MO$Hmd(E(`uI!M6mTDCoaS8@qb+y3TehpiiWe+L_!{0fNS+*_ z(jxmIi60@rK7ypB<;WqZhOa9UFkN^_GhIC=kKs3%h9t4Q(-Y4a5_ct@!#9(QNWa|up_nqmse?2Q zjK3hFA##ergJ zO4STWI1||#9VOz&ADKAf`qcK@$Tnz2)5`z{#(h(k$o)H#6O39JH6)c}Orbhfn8vje z(ppDA^sYUMY5fcE^7alp#kbKbBCI4g_S0yItHn={>5VES*sCjss93y}k?j{IdK*sq zcv{e@b{*V{AV%jmJ;^}775sR*QC zLYJ{L{3u#TkyR?)Bx?;WZO~wzMhfAfD3nGOW1}A4FayOMnFD6@nz#*oz3-RO0Jjvr zkv#xTw4>Z$>~9{g#&iFs>I-0A(GL5@3!hrFRW3!0R+~R8A@R$^`dc+I z5o^j39L-VVFSg~5M4O4gd*xgR9G6>)X2A&(=TzhU*yX-%G6?2$8u*oO4>D6LM)PbG z7Pd$a^Y!1BI3t6br#pwPHkoI~U=+a7M?uQeB@1%2JD+b};w-!U1zxeAQ6*Z;J9WqR zRS~S^XOi5gQB&6sQ-TQV-h zr4By4JwQTGlmtpuPbjmTxd#zgCO7c2f)a+ErD(0SfO+svL^xIX?+MfV?%0hQyvwqs zk5}?1j)iy)gqU1uIef&M7faoG$|Vt<8JUptJG|@;rdJ*U`?SlMux3XjVxiY6iJ!)d zChiVm@?Zk&IZ{LE!3>%M|GBM#4#WmN^CvASxR4t6KUMI zD9F;gc~RYnPhq`ht&Ibza^5iL^?y4$mhhs>DfEJ6NzdwWRTl6mtLnqxzV4Q~E11p? z{N4UIf7wJ_n2^`dt54x;6^$!l(Q|2S3BcL+CW{#OY8pKqg=$dU2F(9R}9GTTHj`T`vI2!|1>3G zN_?UO;Q;`$c>n;Qel;aUtPKn$Y)$Qi1+Ox6tZ~#(M@9%klGs3#D|3QEV5)cv+`cn}S_9G+FL*VVkgX78PxEJA8 zy6^d)l*x%ky#7qDVa`Ok8X~V1HSZ^znO$!?PV=68&x~}t`h1@55q!CJ())OPc7My@ z!Tp3<{g7Q5I8pTc?9FL;FLBy=yB>#QE51Zg?;t zD=W#B1`g%r?@X7eiFua>gy%IOK5nHDgpeZApI7242RO*XTb^sfYWC;lh&`5{+X`PL z>+8D8c#AHk>T$pSYImj881(Ua=W3h|NwwgX+*??&swoV3I^ zaqcbp_HLEJlEHZ59@{Yv-7@DUyjO`g0<~_;+p9i{pv7vK_PCXSy>s?9b?U9ySw~_o zDrNoZ$kR;?EDZ!~u8z2mSVvo0Z)#CnavidUZ8aM*6CWS?k(ZIo7qRas@v(W*7p=iO z30Xg5tUf8Z%YxTkuDvLE)anQ{QUa^C%3>X>8FMm8ud@unWpmU;iiN)zr5_4AHy_Sq z($#@td&EqtU@c!~5%#B(XQM+-S~8NCd#s%Rz*X>PM_5BDc>i}A)_-tHn}429SE0&c zqh|cb@ZP35PmrAxlSTUct_-}H=_lQ#{ zmdTTC2Igyx#8d>>HzR&qO>8f^=(}Pj&L6ejk=TEy#4g_QE5c(8`;1X_A{e;MR@MdI zG7v1wVvoTe{#>`Mc-l)kJ6DCK!>?*x<|24OR&0Ybc9Xv5e>xeDuX*k%-SsuMz2Tz| zpmu(8R}U)S8*g!^F1`h&3NCS|81Lf zu6EYIMMeZ)f%qCcS_GO|02je;P7>o|ctSl<`pA>i| ziqKO=CP;yUtU7c;!c89ZjOMCLW_Px`C{)}Yo9zA!r&p;Se5RrpjU+` zTJ2K>#iHxp@E5y2NTyk1ZmUg^^XNS9UA{2pw6|bKy-nq5W0Wn8G@N`rzReqiUw;I+w5L27*tFPUsY8m+EE>+%CFQTO@S(RF2HRXZOE~O82 z)8x(~ER^0Ybm}-s)cH=>P>7eSgWAe?a-eR#OS(dGn*#aeEou;263i-Ll8J{rk!b>& zVHkELts)qSf`Jwr`ynY4t|k&PJBao$M*8lY#zDYf2GtUG?J z)E39RCJb2B<}9~(J?;AVDyF%-)^Zlv(=gcHX_L-Q!sGbSYE@joLPwdPne@Y74nAQ( z$New(#-kDJP?zCG$?!xQ$&4A|*M6{6m4)a)M0DaU6lvsSOV1q|R{w+8>4I0;e2;VgNnff&PoiO$>ROWu*>dY|>eR)7FB9xX4J}aG!L&2?H6Wv&GJQa~G>xeXN{hWuxFYW^wDJ_S^SF*KnxmUsvDCbm8GC zTvbycB2k!3qg6v)6gU5~=dvm{f!3apA+Uvv`BP}D)drFimSAF!z1AMac}8r;Sb|^^ z)L$y{Fn43qeriTgr#fq^yz&i}WfWsm7UL}3v3|Nq5OD(8HnzKhnJ<`3)g|mA2Iw9d zlH(Y{@ZDZicHRgR<30LGi1=Az(<#xjhC1|D{Q(5(Lez#?MDH=P$q$BxFtWt{hlU;P zznZycy9HIAbd}g?LX{O9u#xC%aF=bR{bNV@u0-I# zr&zw5y43M5Ubjwir40o3L8bL^hlS(u*R@(CCyPk)}@f}(Z zN6^x$nVEF>@S5zW4mub@=q@&)`$E=^s?vqLza-^#)5s7wAA=+ zA+m8}Gc+0%6NPCVVC}XCtiHqyz?jBu2#q(4n8ZsJW#{f^G z&;ZbZdMyF*W7E&tQh}il;@~_jUdilFdY+9W-vu#WIhK>?+>3`B}S zwJsvF$5(2jHJoOJdpt+zEvp3A*t?kS71qt7IqVbS1b5-(E2;pbMbuBdG?|6qP63icaK? z;keuRJWdvm>V{9G(9z^O{;I2Jr)O?fv+a@va!W!?i9v8{Gb>aO2!A zeEVu>;u zUhJp?PUr!PjuE2NqZ=b$dky|pKtp?2IAeIiY+U6(Fl0?$b3vIB}?4B zY}UWq$Fu_pyWyRZk7HjDqXnE zWwuo{_o2mKnO&@je1H&za%H5=Q{zS$^+k6Jr3_p(o@bIJrp&3S%3fb+z46F)XBEi$ zMM~eGIe0p0M!Jn>{h-ZWJFgjo*=H`+r~Dwg=zJA=4P`&s3T1P+0VCYE zF|j0kCR+e+b6N(En4aT8cVE20`~4nYNBTmJAJ}9%<{^m1Iyk^m*nRfg;+z*V0go@1 z62Yc;p4}q};s(gXQ9b%<`tFxo4DSWJhzeibNh#CgF3hmVl4K~;c%&syP7K>pYtg@S zJg2V$Uap|ZCp$WCCpy8S9~dB=Z>^2|ZkQf@M6&N;J=l3H7l8g@KcAhHUzvDa9Ahsl zugWstA2oj+{_ekBNA0%?t9uP`vPQ+MC88Ut!XI1pfma0 z-v!iK=eiC5b9z~4>!`)d{_U4Hv54ycg>LJAeVi&j#hJ6i`0q4p>m2L<+Fgg#aShna zMsKoI9}CV%VRQ;G46!4F88`(r`DW;_{$FFN5iuVO?&h59Dzyv_|7eVK&-sKuQ~v=%4kt#PfZUPi7td&S zVumvC6FzfC>Z;5zct~F!IG;Rk5_1k7aOcLjkRnS(aD~h{C%RPqSy!$3sCj=2k-3bz z5IwunKrVJXd_m@lPRAX4{H`~Hha3EN>Nz~!X|`rU6XX6yZ$pAs+UocOC^?I48S%gk z?v+(AwKhyQVw`h^_zwI8U8w2*93B+9MC&UX=4`b3GkTr72Alb*pp!8r7d2I$y&AXZ znVnLs@wKR)y&b-U=)A#IC&~Pp&gf?G0>i=lTWx1-t3wVPI6od?lfCr$f+o({!et~U zx7cruer?VU!&hv*+!OJ5?PVgfyBrM49@;(upS}#Ke{0q`fljmGT(euE@2?Y!DkuaR zVIW5~c*Hik8Pr(0n_Bt-`u{U+?|$;LNI(Dp7hnJY#NSL?P)<%+T24S%Kv4P@KqxCJ zHui-L%3*OPDXMKD zC1YC@zJ!FmmrYLoK`3wZ(y zF>-1MN&sJTQViviK(ccu1Rt(he$=lE^}c4* zGG0qaC9$T~Ki%ls4}P3Ea*D#?kH1f{&s93@3J+4KO$Ni0MVZ@`c#J27q9|S^iP_+M z6{M}i9S@E-$kCrqJu`}x54(a2fpone%5@blMwmB%{}(xd3{4@28*qjSPyhgMA^-rm z-^d9_i|HxKsVM*7uwA7~*=dQOjEu+&i9jF`Cr4*$!NbZT`hirW2Q~pAhXvu z45bmDFr##RD^CwdC!At1SvQtR@LEq!FwNM}&`hM0$>elU(S&}SQdG+uiK5Jex>;3f z!G1Z=Jun;i9MxjcI>tK#+wq>+zrO1nz(T4T1iQNKC9M2LI@|uR0b4f(7!$tNGSj?L zNuVU^_ih|-@Y&TM>Xp$-4p&NYF@Iuew;(IqIG&I>KVM9VI!`&F+h8LZHCXtKRMm&e;Hr3FN{dh@{3Oh`({>u{))A>j{|6Fzx_vF!G^*p(P zqZG~PIjsNOOOM)AnD^z+MdM7%vCGOaWd}GjhY9i51fU62*wW9TlwRBs*RNzYgU9=J3C>NW*nmgX`Zgo!n$D{z$sA(Kq4;PR{s zhC}#}^&Esg{tISjW7jz}Xm!K}g=OxG_~6c(IfY+18&Pyqm3smqELRWnnHPy z+OA|YlO!3v>S_!>1)SDbbZ4|vR_sjmd!*qF>c$H)^?(~Eb=81pyW@Kltf|gqF=p|k zwxi26NEVlqB4X5tD0T#pK-M)Q3x^vN-b6Uw z(J-S>HYTqsVUWm9{e(sf#jg82G-p@LXN~K#Zn7@nUT2$2(R-JpFkp6gIHw_M5%PW8 z-nw%TLWZo_e;EJ#7tVDs=pXY-jpfwBx7q0by#F;5)5$Q3+uTp?)fXaIs%#%f1i>kw z(!za>vsb4wxJCj-Whfajxp4H%G>$J6ztU(}fqiP})H8uW9R>454eX+t`tUYqKt4R~ zxa;r|@kgS+uz(^sDWau>a2#n*Myb+5R76mb*5!hvKfSj2}u?#3{8ou-x`0ZiGgEJpt+HjBY?}>}wxkw0^Oz z?dHvbs?*N3<=3&$vW}i*GfVAK3+y^+nJ-crZ^op`j#a$Vd$v8VYXQ~2;m+9mLVXV| zsNFV_IAJs7co&e22-O$ELS4n!Ew(&eGBP0WRB%zWHUu@T{hf5l-j8Jez&cM(#Q5X&ERu5v!ETw73w!jq8-SynwsmmZl~bO=q6TQw5(CF|>gQaX_G!}LKCQZ>jgyIkgR`B} zMe2qHh9KsUTvH@5D@}mja^!rK;B<&nW>K|#uj&AqIiQlAZC<8u82Vr9g=a2$4`1t*m4<)$*kpb77}ClQoJlOHozp_Y$mq;9KC_3x}iST zn3l$za349N0ns-`gD_ayYwA=zd(S@KBC&%faEE7h5+OHf~rw>`KTwh6nwpj1h}<7sh~`3lw~2)W6^BxjT&BRvWza z#c-RjhFWTITHYIz(1-$SE^?VGY~MrB25btHr&K zeW{LdN~5VP%UBOmwvcW;V*r2WyJNVgS9wLBC^O7K z9WU$%u?vMPa{=knVw#ymHSD{Kk``K){~~vg_%wVj2}qjkXq&~oGvvoz(Kb&L2ePC^ zC1N90uge{aHgmf3Lt&eKO34_*}uT4qG$h+M7)B<4G)??@(1w;tZ3wy z9rOW=vWCADoMct~UW*w=2G{2e@0MBiD8{eP6T-SuQWTSxQ8_SH4ba9JxrCZ?#@AqO z6z2}+j>Dy8ysx;Yf^niROuxL6byqD7@QeXu9C9QXSP#)Ic!cpu zMR*I}<6;FTuP#Z)180rHew*Hhv9yi7_xrS@<3>4y&^z_O|L4;iuYWlz1OWyH3kwGJ z`4EGN$Ov8}%UR9~VE)l-63iFr4g8Lx#r0JXfA!q7GSs7v2$K~NBPYnF^0{soT-HvpH40fOtuZyvixE$t**u1q z>&<99t3!Y;?<1g;!IYA*?(1!Yd8h>5&tyU=vY+infUy>ygp#H@n-SrC<3h8zz-`-pzgHlYIL#E{ShLIv8%51Xc>DD@1rVB(J{PHuPt9k^{ zBCK~%F>NJ3Pmi*8K)c@S!2(S`J)f}q*;lpH_PB3fXSKfcW&xX34AQ}*`p78NmvBq# zeXw@Nf)!nfM<*#ei>__2a%5$6$9pb&H6c7Z+LP!v97grWtUC~?gIP8bMoo|bYfa1& zR$M3>C4Y4TF^y`ub%E%0Ho1mR{`!zEp1(z=mQ{|R4S1pxn~gsEn|>WHqt)PKQ^jR_ zgnmdEssNnJn7#7a@T7RAR&e{qvZlJK!|vAizmeHA z#_!quxj`I=aN83ZWBi}D7`=pW%-rW|KR+fI*yjdJ*vi((@*+*f7GD%+Xs8&Ck+jTi z8w|GCIFrObu=`AB4V`y6Muwt7QDL*FEZ||4TH#KS0(^uW*$aYCXEfw+)L&^H5}s`L z$@edtq_K)BXOl#Ib0hQAIFId}Z%+D3?pFJ`EJzlDIM5vd)#nNX?FgAlt=4y=P< zd@=PiLG!_B)*RjpdGlsj5m1D_l1cn+_G)sNJp7PG>OHka5PhK|)`_#}jQ^xZzr{3V zt-qtwYPSvl9ZbN^;KcS?lQ~!@D>2XMG$JfUAy2xxPe}Qgu|Nu%K7X5qZt>dETyLg3)9|5GOSlhAiT;RsJ)%5nIAtH{FF}7+(OrHS*sx|m^=45`S`Us zydkgG{&-!jbyL8z%-~u&S)~~LicL)8?j2h)XPnY_O-WKL61zl)SrKskDxb-Rmpz=G%o+fKT67yA#`^ zNi&xV{Ezu7W^T&&8|AWh0wu*?FHt%WzIj~$`>xw>GRB@B2S|8m)xEJscyM2&2wE2a-MxL-O+a&?-Beq z7=TLrEbVuGA()uVS0{asHL;rDF0sd=s|M>{5jo`~sks`OPAkzbw=N@8bb{A4H4Go0 zd~>xzpi}xfzCa_PDpZRct|R4pp;%Siq_o=6C6NFm+FwF-3~9dP9+eKabo^G{TLSlk z`_tuPG?AuqI9;XqH z^!72skJIj&sxSy=4HZKtVND4`Ec2UKX7!|t1(IqUq5{4fC&_OW(K`*z2c^Mjo+;-K zO1WbW5+QX|gg1K}pnAVff4v-h$UA`y7_iVuqW|m!Ok3=IVsmqI@^uEpdcYyzn=hS< zGg_uze4g=2T`X|PX+}9=NuEKlRr+hXXq3m>n7aNKv4U7vu!;_fvpr^?_>xp^e6pxm z+YG6wd3b(uz1R?H`;TFMvD%k@-~Sfu`Nu8DQPZ^Q`ZV{1pH?67Kdruqt+fHb#_=NU zU!k5@>2E}&uEgD72B^WqB4mza1tx^+Zfk7@?%KR z0|6+g&R8M?SH6E;5{HFUHR43kUpCV@nU7dOj)&v#FB@Ynw^tnOmpblDu0@jvJ@;AM zvu;T`c&)yg9OLic#Q@L62LFrYj*Uhc&9#=%;}qU)-^h3sOLchf?Y)d{8{V}8YKu`I z@7t!7ODL$;{7L}7&Lw%1VcywvqcPShzMfFk=4VT<`O?sD`|tz?o2BhWi{KHt%8WK6K>*`Vyi~R!#Z*OLwe(9F+98zAbBN#>7J+J9!J{z!P^JR6)8Uj zhf(lk4LG;H9#7J2*LDkw<|sHouiLnNnhs-jb0qQf<>Y5(=>%O~c@wRH@Oj6WKa{49 zlMK$3Fz%0Uy^BmN)*^#zcXDtM*a3aEw;uXioW@^rcs++J)^GayNEL^aga5E;WkLML zJVnpRUiM1MoH$%DJP}59QCt?a%AQ)rGiZPy3fZQC(vYIi)aDA zQTkHI8%I6N+xz10n_k-xzq(2n?*9llBJ3+DF7 z)^2a|cZCwwB!7jR{OY=BCMbcV?p{ZT!|7qJSzBvKrx4(%&hm#Iy#^GfpX14qOd3F*rI|zdjeRb?lkha!`0$ z`KIzJCA{;?j#4RU(_hevd__qimg@um^6{$O{tn?Ev3jS2AARe3x}y0YBqYm_kiT#W zDSB{qN|i{fmD)8ak6WI#aZoN*G}S3mkjbe7Z-P*`HT&__llyBFl<>&-ZtcRBc~%AM z>^*##c7No!^YFE#DD9S_-7_$|^Uu}G&=t9~=!$2664jM+r)1Z(%1%BRxq+?9BElq1 zvHiN)V_N`K2dpQ#$)^~$2YlpzHXH3E7?7~0`LL}lS%Oac zoCmLxN~ob_hf!UQ-i}fmiN}W-;xYU+beF zkz8Jgba+=qW%4easF;-RFjsW~gM!OL6)9`jsAPC^yJuB>o1o%FUZDEfBV`EEv9s76 ztOc{KaSXw9J!`tM(}~R2p*jTzj59vzV8WAR63wbiSU_j&DMLfx@F~k; zhpC!@nu*5{?q9lT!e3R+u~nRB(6$F!^$I1?X!3_W`vD~l46nxR0#B|0ouFnGlq%6QWNR5C zdSbS$YHcz$hd73Ef9Hw8@}9%$j>!1r4oY&qO`&jVO-t8@69KK#?!^-dG;1^70r1<7 zmU?7Sb-)j$xXMoSpM|Tn{Ef zRx|8kYu%}&)Hua@l?+qlxAGXB)Xd?2Dm4-5-8vI05x}qnJ7ad^Zp=;1 zI!sF{D=R-L$}b;luLzxYw%*hCPoFRPkg>%<2kOiDJQ19P93Rv)Ig{>= z(g*AIl1tX0$(T;6)0EDt*7q{z&1Dy7YkD=64HY#<>6(+#8ZwI+R;Q2bO?!&e{sD(J z@|Bu0_Qys4sRfwK1lN;kO955aT*Tiq8=NN(DUX)3PK`>VViy%WP358*0xG<-_fxW# zI+mMUYQ?;vk*P1%?2dYVFg)<549BHc9q0;@`tpf+nl^%lWl}iS*(%DqXx@T`CuLP7 zjWsmM9Y$RnHw<7wpC{MV>RjxGxJrIGp zyqA=qow^1QIe#`W0w<71_IV_5|K5=UaT%r#@%)kZc@aug6x?(25fysL+j6Y zD@)xvh8v@MUd;<*c*Car#2*J#eDNEE&E#17GX-El&p{f0Ne;0&cA_nk{=1nB{<26sF~wLRzU5ee_OrZFY9L~;>f6uUH@y=37eAubm=SqN+<*Q5Q3{7%&457wEZ zUbnz8L3Wr80w>}F*r}aSJ3`9o=-rvLw{1hc>qLPRZy!$MxFsw$h)wsK3N?|i#o#1g`fQEpK)E2a||EnraPG6Lqg zlz0{gDouU{u*oGu<2e&Ex<47n4#Zqlke5Y|iJxqYyH41mgb*D|CK!4@i0bt4K14@T zGMgIg;iqU@hUwnEYcFnKZ48C6NOFh?9A8;!A#fuahStn7SqN&CCRkx+(wTYFFBU5R zBYK#Ysz+f0*HclP;@$wngd^R`d^Gw>W<&`AK6WlV5nHh1E;+CsYIxcjPQG$!(6P$s zV6dr5*ZN?<5?{DD`(0Jc#HQHYR_7+Chg=N7AvcT{Uo+Xit-L6Pxj7_{KZ?Z)p9xp) zfoy3^8HCpRY`>>g=G&u>NCnU#q9z7-IlhogKqq^%OsFo`D?H6V+|6*tCm#Y@oRCCp zn%fsL7NCFL16K4feYayzFjIbUz4wY)-H8-D3xnKl(fE#PnTU7xN=Bm&kv{8$KPUMv z3~@ozIljaZjBum~Ccju)pjFt-tS5KWF$N+6fuw&{L0!r3y>dhZ{Hxm>)A2*$t`dkG&i}$TJ$)!Ujz?t1Q4rLn7%SxkJ!>mUd%h>N9 zX(yVJo4jpD1mGwVr4nc5nZ`QU*8I?=D6RRE> zbVerGW{>Gc$w)ufrWk*v33qTx%-7Y*8AO#3r9ku{QG*ul*eeci`ehiNQ``Z^2#mgG z>T()XkQ7ge{mI=@+;Kb;@l0zW9b%?FD}V2`2Cu|XQ65{_;afGq6UBJ^&8xm7VRfdw z#LaNItfpf-M37W#Af&sXP~AgAyJ;SpswFD`Jhc3|AXWU?kfgGRuv0(JsCP+=a5!=Y zsjb(v4F=FaG7!T5N&^#Jm-UHbbiG+n9K)rvlo0b?SXY=pTuf{J>MY9Nz=5h|V`=oK zq!wLspigk07fa9cnAc5PO^48$VnJ>~nhPdeBSrnuiV#x)Brnkj#0g`baoo5l$O0u~B9)i3ff@!+QZV zfZNt@y2Pb*@Llsnw}uKo(q=GiLx3dI=zg^sr%jA%fq@bN5tBPobjeaokAxTD3Cu}au-%t4;rD%%xOYVuMGmfyiivR0$tBWX8e z`01h@%PRN@dsW8`&B(C@w#Q7=&6N(ac&rU+e=Vw-dljQKXK_*cDQ;YY?}g>mzgy_H zczf_UZxPuluLr4QtK1 z0kMk#t|!~}fqJ1ie}PHt<43pqzqzbM_+A z{krjDN~Vg-uS?ONE1?9MsqxkA@gP@mE*tSR^^fs}wUkxJuMlW{ZW0SZBV7Ciqo%=K zcXT>p{#@1Q_vk=(Wq?5Cv<24-P3B5~mY=TQT+_)@`Td`M>q{i*qIYz{iol;w#V(%a zYDCp!_ceDa*+}j%kp+#F&`&jul@TI=s$p4lwFVl)VbJ_};SY0H_9YmbX=BP~EvJ7d zmrqi~&X32(87gDi9J1-xDZlWMuG4kqoDaFT9B;ob$2RuAY+Ivp|6Z<_8`Xh9Vi>j;SSjU(FNAM8z73d!X&|sBo+9KXyOsqW{8^W zyl<<`DKpaX>FFPB;jB>p5`ilTeD1;k@8C(##=id|IemSL^ zPTlzUs8!Hc`vFSbGdwL^*{9B9{=4-PNbM)7l#2x*Hj)aXmL34MaQAq_^2cJGTO^dyY;-{aW|)YUWD>D#dR1c4{%Z5L*$w{kaNqOLKxcP>~eO zjf)lV@-kBr{D=SoT)cjTzXag|(497ZaaSd-W@dWsQKo%)YByHocSiL^Fd1iZ4t&m_ zeLB(>4&GN-u`U+(xTepUSBVgPOPAiIaSakhe^&97=(dmx?ZYD+V5ff9mto#~dRhGb zo569=MGtY!Z?8?rOEyp4idVT<`0k;Xq^HP`J# zR-+k;3in275akoJ_to@RA>Aa@>4H)EQ^A1-)04S%I#%1egd{hyk(mNqtxoj-gkO8_~H zczP3!7am$BO8}@`WuaPSu`b6safQA7Tb6W9m=^tQSsIhY!H+KLB-%6ukt2mX2|&WD zD>sv<5&g2}HrO3U>6uRjDOy`j`aFNvB>ayY979=85`)dtC2p0s@AwFh-g z8O?dw-pENTGp(VP#Ds*R7*+S(L96S66~i}~?jAPZ)4R>^S!z;l?n?S{D2_<3?&bzHSdSXx8*Mcw{Wmw zgD^|JV`Tknk2xZ6EYH_C#5sQo#W3&4qU_!cR_VUyO!iJ3)yRQ!2H{@{YRn8jK(W>M zW_x}i=&d~KG0T+Qe=O4kGogBhx`(Likbz6iQk7?wfCwCL%5}48<`atZ-#cc zz0C{>)3eX;;bn(UPQiHBwPV5AcIHY6&kJqExjQtZX4=E7G5wpI!xlaPs>`XKkwaTU`##C1fo!&=zoPJsPuH9Ob^ zlT)hkoHGD26#f0S>99O^=j4X(FSAeE^fx?_6VJ3zwV1|h%Jl$mC@G8nymj3n6fXFh zLW#KkA!w(^$6^fQ5W3+n@)+w-5)IOESldXXfkci-0C3Fas%>H`Tctc$dC6Y&{kbU`*A&q>PP(I2lxzpv9v-@`DUwpWG{=!1f|pR; z*JI(+0E78DP#7zPRQD~ZU3`mo{k!5=INI5p+;PE1(PwvcMjy2DucS%%MB%46`2Zqj zzZc|Y)=FY~(S6w{?UztkSB0ib<-29k4DxtK1Opd+^lgbBLx`;9O_a2<9YI}m|H2@-EZ)W24GNg4JULTSDG_MH6_Fo#h57jMawQ9#O5sMr(!h1n~3IPe7_{q zAv=A_|1H+j7sg&4q??qo@;ymc)WD$s1*24QE}5h|b`$|SK`5C#8OOHC6}MX@0fykGEg5I% z!skzVgusjxr~llC-7y_p3q$~703Dma-JUj6qq+?r%KLz$@uwJ7XQ2cXc~)YOAJ{Qjr4%-G@u89<@0yXflX zy4Q~;knx=n;QixJ2Jq$xuy=H9aNlX1aO_DG1Xl=oiwCwfuB;K*+Kddf?muy7rWT#t z95w*YiYNS?x*0$|k{{k?j#Tt|3BG!9xv8PK*u@LQ6Emj1j`nwNAeCcy-s$%aU|UZ+ zWk2Ya8glCW5(@Wiy;N@&`f4Tm?`nM^-gX5DZ?~~SP0e=wv3%F<(Lx(Tf z#8sq#93`30rK|795r_w;jOF8S?(dJh&e?1FpCzHUcZ4TyWJw^c7a*<&0K|TKwJ~Dq z$wG=!|7RIFcqj9A4e8~b0uA<16YJ&r#FkxzrvmNAY~=0I+N_0~h@%1OadoL-I&9H3 z?B?YNc2>XjN!|YKMYh9B2v|4cH{OG*0H6oCn=PDcXaFt{D>3*tL=WC6{mYVcAHG|F zX9wLy9wJO&lyYhCaW1UpuV?EU?(a;I-wlOL#&K_~gqV*6pbJ$`FX!ghaN-d;;P3CCfKD&U2Qt>{H{EQ zw=c|aSex5&d8x2_a-S<&+F4hXHW|j&Aqj=p4+$GluqzvV>9qA9d$F5@`Ju$0**pKyyZmabQ>H&#PYp2 zzKi#Yg7YB*#isvlmjijm_svPPWn+1rv}WXysq&9qZ6^3G$6wKhr=T1(rUt)$9EJwM z~@p1{SA*(`*9{Vs?WQ$+v8M^dCx7tvmpIouZ&?~3!3U}T3r-(5|9 zxl1p(Ud}k;k?$$Xc50;uaXqc^$b^qSzV?l&zasZ}O*{87_hfdA=`Ph+i~+^lv-z|) zFZqcLL;~V(KH)ZWISt=#+^*XBTMrf}^UXdq+X$%;fTfy$ZK)ngb}^WNVes+Qe4z` z$RJ4azR9km+4_?2NF?t`_q@;A>OCX&zPx~Lk3e+%Y*XGEzuz~mL3bb%qQSuhX>k_* zr}B@O`LlE%dZj|M-Vc-_Li8e-T_tdLT=5TC&E=CnEueW`nj+qr8WqXmEjO-Br8BMs zn@0SP+mOJpTN+BfST?U*cAh|?lcdbX;O>e2jAl}UZY;2TbT6oA< zcQzX$$v&4dU~0l9kE8IibYIEwMK23#l+9RAUCJC-FfWeIi@}3mGO?<^osR4NmYw7> zdmGg$O9!dFU`INz&un_Bc+X#n=Cv~BsJ5jU2gLJ4?ebavgaVUK&EZkJ57L4Exv=y0 zu6^tM;|io^k8Tnk{p+FLxIDv`|EcrJ>xiFJ$d~SSt(aPCqK>(jy$Gn{2IRopoMouorz7hAdZgDR5L>p{|*CVY)Ai@!-2wd*MKQ`iU9RNQ6i6Fw0@Q37;RPUdLWhB69o;TQW3T z>MV}Oq|aDVbGeiYN7;WX38&PY=tRcjK~qOoX~l^TcLm0ni(8hUmUCXv7;vjO$?;;8 zYTN7;lr?(KeD>t-dA5av;9Whn_~9ajQHq+X4}bhJl+IGe}iE47(%g;l3eg-^4HsQKu8)#EPo+*CoDCEslPWXV} zC4&#wL_em;lEa~C(md63=PenbElUy>!ew&!PNg@To=hZXroUnb?2SJ$F_7%oViWnT zEKgE?vVp}D8R8=@5PM8USqo=LowYMhBGo(ekXLwFA*jsc4HTHieIQP+qb7&K9UrnG zSJa>sLL%8gAWf3ZoKn2NeUVV~*NJUla5qod$b?X{oN_usUc(p)K!yA>S?3!=YOfz{ z^?X=|2y z4|y-lV2g-YGk`F${EtrFHZ;WGivQvkVFZ=E6l@;V7})dKYze`*kqlVbv&d8U&zDOu zb*H>DB+^n=B6Tr8c0@mKk_9#^E~6cAYoX8HNq^Dk*c9wj%#rypmWNigJ&?sNuoxSSCpPWx^*ZSz;JLtdhdMoq%El2tj@v~L zBl|T1FeCgBWucrt#+;7b(N5Rwwo&LA6<}B+s8HJISFNiB(c_A0Se@4r{va->iJTEh*uzi#AIaj@Cs_d$<#q$b4$GLR)j)U?8Xu* z^l9j*d*^!+A#)Bj+?l?#J^j(WwE1mlqoMs8yx9KreH?;tPGH1jf89Bga24l+f>Tg>_SMVv^%zOFWYIDHQ;ZUX`&c34q0mFvD=Tj@!oT4Y-*zZn~4e^y*6Q@ zz^)yv6R>ViXGfpgl}MreEjMGkq=$$kp01Xc_^9WavTMuR_tM)`;^n>FUkHRg=>Bh$ zRWocqoR9?#_+PR7Z)&0(RoY%oz{W8T)QV3k10HINcJTQO)sOHk!%Cw|!XB@WPIH)oFe4I;ZYM^_OZ@u83tlD{(`W(ZdM0 z(0E&ZUfmX97|uyuK{~M0WiLgr=s#x|7SEDBT9=)^b2VPoODskCScP`aZ@6nN1@4v2 z@4HM<1mP7w!KjEFSq4bt7TZa_D7Mi=bpc|DonOP3P8hYP`O)rt%ng$%kT9%C)t1&GJQ@Dc&tb}+8Uiw6Djkh=l3N8ebBzV#E>KN zf8NKEW7wfdM_Xf4l{ui7PBU_aJ1?DzX2Dw>xYse#&9A#xqgzX%G)=$03AQ}E#?3&{ z_<0$wnsXt&KH050N4YLCcPs^$COlfl`uBtykxYm@peJO#k#(pjsa4l;TgCF)V(j|a zzWV#=NY?B)w`R%=(d7nx>pd&jVO2nby=3K7bu3lK|4m7#ir=jMujuK~sTpoV$$LT$L9Fk}BiW+#<`6=x9BRdrZs%f#CQ&=$Cr%sT0f6^AV z1mh*#ntupknXs;Ozl!Vvxub(E{+k^gDc~mS8=5Dgll@~K@F#=2V(1&bK{leo*9ypX zLENqQl|QGD2|<~_WT1#U$NtGM`i=qlizOf`6!^~*F&nO-q&r){uaQ}Y2o{& zx}7HRUK8_e`?(~#M6AMBk9DR}NdL8G1et|kO!_+`aP;~nldN5zpGW};$q%ikha$Q8 zD7R+(CN=cd+47bhV>oz3Vl9Z}&!u0SDFru46Mqnp5VfO;Rw$HHXs?M2_nZSCo3?~i z!rx11v55_>p`h)!&y8=b$MwYGz{=M5ls~c88`> zu_!XE*i0#uQUMhtrs5dGCccS6qbze^P_bG@>L|p4Gg$J`67r?U>lgR8BC<7bGHKxq z^+rlI5*FDiM@%008^yta3ZI%^=UH*Q_2AURiLCXX{D%L-uhl0zhM(*VaGCusL`9*c zR2A1tU*;rDLZPE)BRMc?pltbM|KISU>=ti{PXX1VY z6 z>fGVO6kYIqqdk{U3{RkK=s+K&(0N>RmQm`=26{sV*BYX#lG%v`t8l zgoSyyc2%XJa9diU8s?u1`m2b|9J1gmF3hbt=9^VQF=;oE&W^ens^jhe&7Vib0Eo(O zV<+dB6Uws%uq3`oVA&MuR*SmLsn*W zWHF;bm3M41-5j4ub8m#dF`S0KDbNUZo2e4&GFPS8qydGJT~-JxkacrulnvKdsgknG zSH|IIsK9<{qUoZ}P&v+2p`Y`U+%oYk zid18*(G2m#u}pe#(@|5Z5G7=3qaDTOTgPQ!B!<;>Z>OJfNbX{Ba*v8Ip7*s4iN^1P zaX}52L}=F6Z5;`V?+&Z<EkfB> z#~Dble#&{<;msZgx19Ux!WzZP8NLRPvVM1y{k(Xk=a z;AQ9UE~fhQUu5*ph0nbh3!;xZP#ExL^N>3IKCi=4Gy`)5opA79WL#JNlZ+|%L$4WK zF%$3zcJ@dS^N~*r>?Nm7EXCzw}t;L8N${7n@n)lstn$|&3D`X zTgA%cv&EZ*D13&`+iV4AeCoNpUUE5B!v5M7Y}VLubi`R9pPJhcXz&_K4{SK6M6z&l z>x`S1-EY$Rwl-(48EW>qEp z+uAqG66GPK!R@negkCmKFk+yir}gapzLqkO7mV&I2m1OB8P51L3KI8Tu&#=pJ~#(Z z2fQzbsO!4@{PVglhv0A|tOHtVLJa0sW2vYw=$5#BWr{Fs)V^5s8N zk@7ea*}zihbD6$Kr_P~9@LR_B$r?{X{<$?Pr2!wy&8G2VUi#b?Q^*5XG^x#k`76KG zUrt5XsC6b54AFx{{3-dML&|$@WCJs4V-C_kaqxGhnUjY;OAw4DQvay(LrOZX{Y3`@`nq} zTpb4P{jvYSKSTx{rmW!MTg{+9p-{@r=wE$XR9{thrcNcQ-WvPVSW!e{{|{z@3fPo% zWQC8@L;exS??0%d@N=txnbklBuQ5>2eOOgI45~FM;>8muc$$z(+@K4fSb;ic!THG z1OIT>gR>zQ3~gp$Oqbiy#c<;`1?QZYQqt?wbY&ign^$z>@1V^js7)glTb!;(Sli0F z%+Ol?hz_V)!9Ja_yP0dnQ&$leN_IPYBbcu4RjZJQ;|o*pu`LI@P{g-v2p4+w>my2( zMOgE!kmBrwx@};kLB2g+ii=dAP*GV(@Lz!XDm5H}K{4J}yix-|DLLIho`w3q!Kif= z{{?oc{5ROI`J!rPvt~qVQ}@9pK(8ZgmdDQZ=nPM zXU1=on6`7`SvLMohYd5H{epLmt4+{Z$DH|qN^8evJ6g?|=|A9${{X*5e}en}1?Kz& z^VYf|Z`*v|vK{TW`|h&8{CKqb!){_tTf5aV zw&B#S&<0>vlh`b-;ascG%EGZ!yjobrHCLvEmvts^p`fZ`HcyW<>74pVRT;x{ngV^q zCGMG|(u(6O9oSD9lPa-D$9}CZsOoa^e&G^%K1MFR;!hc)0RE!2bV$>q#M+Q+2Ak%Tw#|p3%rLW zRZ7gaR+J0qkt%0m2qBt0p26L_7)qtDJQ#TvltKWFV9l2!^!TB}@3L_Bla-N2$4_LR z@#Lhx)b~cEVBMPKqbD77Pqip`KDKuVT9$tgmj}9O3H4EJ?(9u{nX-I1U7w;Fs3$5+ zT{`WHtq!vxR({R&a2ltX9&(A+PSDKA;j0yHU1H`f&1sI)$s&SQbL6_TbT%^B;NyJf ztnhXpJE{tPcf8QLt6k9E2;OV;smlK3s1gSQavZ_`#v!>w4F! zm~#iI_4m5zDx!LQYkiX^2DQhEC98laT$zM;$WhwyaBm{gv1HFcVK?b${KXN$>2rs) z)8`Ie1uvVF z08tlCe|6s7w@uU;>MY5ELRCUvR6Up`L)EZ4?rc+DNtIP=u7nn>L`IEVKI8M?Y7@GE z5QMp=KNbm%GXBK1%7`jJvsV?+Q4nOV3V@m`!2*L{fH%ko6n~MLlLAY|@FW4FF+-4{ ztxqYzQkR~KSm{uXZDy$k^D9ZVN-lw`ptUkk+-dy*g(Z_8hSdmF@hrts9S26GT7i&} zLalzY`gwJSY_@7t)dMT6ia0-`dI^7Dnip$zr)VDgRr3t{ldb;$McrG5RkeNLqbiLw zNOzYANOyN59ZGjM2+}1D(jZ+@(yfGacXxLqq29GOo^$lX?|<)yd!OfiSgbkM>^bHb z^BwP8tZlj1C*KHsBN%IA?Y$eS0>5KUJ`vaHC^A9KMLPsP-nS&fDs}Uq>*p2uZtaDZ zokK#`_^?Tz62`x_XKur2o!74as5Q*Ic2(#1A}#!Wuu}Op<*3*F^!w(>X5Kktf@axZ zu-?w;tiW!(qnAn^uF)cY^pXv{B)y#A&CO;Yy`s%y;75!vk#;^6Q+moDzeL}!gAE{(BK-xU6fBcbpHdxmXW#Mher1XWMLOChq zJKBy{xDEgf6}i7+S&<&hD=580aMy90l})uu6pC6bryM`u3u)9-A|o3=@5M8wVV~&# zIp4TPXZ9)Q^cm6!!GZ5Q!AJ-9D*F=Z)7KmvY+YQs#Y$`9ez#7t^umy#7PDr7u;bM! zuyIu>!Fxo^`vN45(RF$hevrK;q@1Orv8yq3t4it1p?!Vm+IH?+F!2^>lH$g16lIqi zs4m^n*l#53uFJWmPeL-L$a}x3D@A^YF@B@=x}PdWd=bB51^tBeijp(AP};R+|0q|B zCVz&~#erkXP9$_fX#5GzQ$`+qg*qfU@lGcVPUh0F-e|i8)rhdYI(tLysdr>+TxIw; zQ=VUNi|H~IZ3vMldXg)XaOcGaC$ib>OZlp!9WIK(Q$M`4TG!^aAlus2!sAps(pS4u zS4E&oUt09o-EArfQlp^aMkRtb3K(lIe80xZ%5u|)jY*Tb)v|{=KD>=SUU@?V%K)Ru zWD!|kYZMSPe-VNowrjpr)y~R%G#NdD&|@MlJj&WraCAdoGLX=$Vpvhl_L8me6=If| zNJoaXL7D`%i}8M2DA%B80iH*tzjj#?#rjPjJ;{`^F$FM$U-BLwVAbMrCHS4u>=a!<6Ld_5qOoI7$O z+!m)J>?XSv2;L*)W&0*?SiM?TN2dZ|YBY{sIV(~}=jiFl&vJ#Vl7`twZ zNxqKqhdZ%PwJ-`>tKIE9f+ef3IvKuy{gJB7a_U`KP{&RtRcJMWft!>8b7_}y3p9NX zq-E*#st0r985tqEillQheLpG2auJo9lTcN>!a{=Oen`2ytR?PJ=4OOYnMSv3hoeqY z)aK|^2l|R_f86}+>j+32_#$LOE??70q5g1l3wUnQ$CWeH^{Fwf&VAopA59ARid%nq zzfF>5qq=7Z;Z*uQ{=h*}S#MH%EZ8wc-Mh>ybW=QqQizwjIC>*h+gnk7^h~5I#E2ga zq62M;skK_2S;`UbDDIrKu+$DXM^xmDWXsho6A6$Z9$=09DuIC7SSC+C&L8uG=yp`g zpsc%CVkCML*JJX!wvpMAWOMN4V)_IxW5#Rl^NDQD_Z=0><@+s^Cg-qsUT>-2{!n`;Z2^Kr|e_zn_I z*LZ<3)dRj(=-<25lJPTN-um0q`woZpS9EI+^pR>!G*%zHNc%(;b8AXcnuJgc!vYyB zWGJe-muG|iSe0T=X4UPbWf7zY@!ofXlTAcW>T}!46eT!6Y8JH2D-ynjRNR-K;nm&Pdl`E-{Nbi zFF6C>vuvv4650Dw-ggrH!t&kLS!oXy2Up^omY7v@yJSA)Yhe;^+3!b4!aQ+SVwSS6 z>H9p2o6{1{^C2oYeWsw&7PVF#ZwJlU8PDT+P|WhKEf)HoP!DduS&cY!Jg$2^-nlVd z64E!?94&pdew9iSA2X&M_l4rSi%8WX4rDU-%SG)=E{lb2ZK%il(xY}?h?`5rzb6g_ zWpQ0b_Ue~+32$!0(h#0i@K78&-sQom);l*$GoE-KBYu>A;hgT8JyTOCC(?`>ztnSB z+mV^U@L-Oes8?Psc7J4RVZl{vY9YMbX<;Ez69{BEE$FZo?j4HtHXKSSi=_32ws`i9 zAI=GjtI1}`Np5_WP(LeF%u#VzY<4)$F&`9{FWPMKP-5L$h%MqgN`Eon=Ay=GHIVMY ze~F)UApJ4TZ7XS(!&<#hb@N2h#_(&VcIg8vVR)a{d$S6;u(FQTE$R5hNTQJ>oF&v1 zBP(8P%^wb|>U-CoUV8?qpU@M8Yd_g*_+nc5Jx{wNIx&t*Nu}T%a#Ac{u5KxAPIwX1 zk-vYr53NL~Xb992EsY->}ms*$2q&uh0ql;%h}i>>i4hhn0s|E{VNzj%2iS zL3U1@s4v#D%@!hnc0B4_4vgnQ*j@~84VQPq9&X0-!&~2F%=cj6S%TQu&yg< z_dZ;}o=?;Xdbj|Aa6_$bnGy-DcIFes(Bpe986kakQjQmNoE zt5!;{akLwle*yfWDiDD7yC^M1etERpum}XYw2yYV8tUlaG3GZuyH9nxeJKb(3oZTo zb=V|^V_&%O_=8B5`2yQlP9I|E$yHmGT+A-12+g%2t*?c<`kv zYvmu)JWZxo(KT2$BIi+@64pSAJM>F~L&lgKzE zS4hEkXS9(3B`Q>%9Y?g0Tqz)60R+DIN*i*QrSJ75s%2*or>WV*?sb2#5>nCFzf>}o zE8r}vfm0y~EiPK0fOT3a@tSkHU`uw?ZC>Dgrv|{>L+%8_*4_Djij{Ms-kv4U}WA9Ug8`>7kpbxaw z9I7#)n)s!Q6RQRN(&sf+3-3qfagljcjVCCG$5HHXdQt3TX@xsjOA%0~`hgwNuMc=& zLvHWTon zm!*!_hIKOW1Eb)&?-as0ZShSrxHE<2xlW`{YO3Ub_+&_3jiV8@`pLxLCtuUt#7b9v z`ET)9TZzWN|7!8IP$YdO@6BrMifed7B~(q0u}u4++DTjG>ZmxHPEB~3ws@EB)oWv3 zdph_Ewo0;@gSRR&tRwkr=8V?pdx>$VFYE2eUZ*P4VVR>0_CR4zg;{oB>uaUJ?=hoH z)dCjIQ~NQ~)Km%ToL7N^sOJ=^lFCg>Jb!-(Bw(f20%s7?-@V}T>k6O7;i04XHoLAB zIamL7_b+3;nOt!wrgya$=nvoY2nN}(e=k9YX2Qv3LuqQ^oe1!YK|>$*7HPPqjwZqn z-5VMX4`;g%`O27N2XC7^>=yxBamII)o_ghWwca$;NVVm;$jfiMjgS@A` zGdUwnwkfvN^h7RC05vL2mV2$jjyLWz{z@Y0erZ;FwP@bgML;TeG zzKJ|2wd=GjyLIm~o=(h?b+Cyb-q)P=%x_L+J{;~Au3TTI1J$9YiSWm*G(vPx(7NT8 zhnFXS^NHpScg%a+*R@6&WIGRQp@mtK#vYbRSI$8kKK9+AFndi6vGX8d zqgMEO&gdE`Yk4E^PNYv5$6Wq94Ao#trjvKdDO33o99-QZw_kQM{U@KuK4z9~!k!)vB#pqKl){JEX-KK_)g^YGT$p8fzq)p^N<1{f4_f#h5Ls0h^wT zI!g2t(lQyzkS)9(5MU zUL=!N7Va`9(~45jwU(eB#~D1=hKA5s=G~**@O9Q?Ghus?;*_U00dbe?d10X4Z0S{u zeQvx7KbEAs(j-;Z=4iWPFOff*lb^s|Hu|A$LqhA|No<4u=xoPIsf<*4-EiW;xms-J zs^K$CqEhlvtEVQ^h+di!_9I&R1#qThhAv1?!>z}Rr^x%W*ZY{%s)Y``_oS9(Q#alw zPc@m<1*c|pa$BUbn9RzQ=`cN!NXvOdU0YdP{Hjj~_*VhN67+~7{;$up1dS_&+$>eY-I_c{bMDee)c`1E7);hb+xGT}IT^NXeP@|KuMu~Z%RkA^ytt~cfqt}OKaAK#iH z6?d0ET&G{@gdRLM#dczgXXWErwDf3wyc)#tHKOCl@nf#?vdy>XOD!?@*B|(mmK6`= zu(Wc5sh~~A&@@hb(E3@(i;9Oh9M!p z_J|gGrSIc9OLLj~nb~u8bxm1hn-v_Dk+}K{eh=uN@F6@DGPY3A4;RrzTTWh?OB|i zP~+Jwi8ipN;~V5-;)Tn3e|sE+5YH89^c*2&iH@9sgFL=#n9e_<7Ir|s+U_xhdP->- z7aW6pof@pU5=15pdg09Y^mb+fsaa`Q;I!<}talHpQ)pQ* zE2rO#co@h8gmH6D@=I+`+Pdm15vnx5cpk*XWmQ^c_=dP@;b2_xJL6dwAHv0psNU(} zk8DnartTiomlI@c9AsVF;wuZNoO|(*xJt}31N^}*W0h#vofkUUOZN@Ilg<2*!+xdD)-&ypoQ*?-XJ@t%x*Z5GZ>n_v*0 zUDqU_Vf1=#Xq|&V;{%FnLxfP0%Y?Fra?F~)jZ5<3N+N+c6~~eKB}~8d7Qy$r&JW4a&B#G+cd_ zi5QM#l=76Stl|qSmbUL`ud5T}rGIMd`8ewI5ryqCdO6}n&GrLxB-O7kkMNJJ>4tPP z4l*WLQcW5y-Z{M7ONM?eKYv@4;V6u!=uwnd5a7;enqvRNXvEBiDR@0PSHPVv}`UjhFNMUB(m#*)FbJ8l!JnWYp%k%4K$>(Bs zdXAlic$>dxnvI_0yXAg3Q0BvQR)BDK==KxZ(=^As6E|9ZIg7<*P~Vj7^3*4x6zT@} z6>kQ8c-Rch?ZsDFM2IrQC>pyw!2(#e`XOCJsDwffXTv@#z6dnY3Ra=Ch%C|h5cACA zQn53_;qb}e!Qk2$RpxZO_RE+Pu~LXB9?Bv2xG*SR)kSWK&jxwkDaf{=-WT~=!usr8 zx#)RUxL+~|A4mLXpnp_~e2Y!TjPJq}2O*-rpoTN$9x3|pAxGR+-6KBK=4Jz70xU@N z2m{V#!oe`UYmtuI7E4ThXB0$LZ*>Ae{fVefD3)ZH$J=(_>6}U|19m7Ld+W=sV|8S6 zI3U2+lD{hBnR?D9{JDdnfHR7%{SupU&Qr?N5>x4R#2eA+>(?JycadAE=hwb#nH_|Y zkC$ulAjn^wEya9V?kT`)8}qrlsCiAucbhXJve$!u;%W5RN8l)K*T7d029ZUT%i@jy z#m5yGQW97JELgdPl-{CY=c|@i56FyI+P|lBO3s-=Nc(WfneEaTDbNRg+xiIc8k=PU z9k0`Q?cM1VP28!b_c027X{i9tbD1Xo&bS`7Z!u9>^<*LwvOHvd;@lA;OXnJBJeNKu zk{J%JY|ujq^Z11NtxF7jFHgS0%&slCb&XEW^mQF_OK_NHa^dh4KdcSm5_`Jfzm*DK z^81fe`rfH0XeLdN!>MmriBM8E8;q5!SFSOI3&PCXr{!Q%cH$bDkWz#iDj(PcAjT*) z5KfmPg~Lmdqbpd(7Cu9&O53`PgAN=U{+1~#Y{X%;Dc3SFp5*?)j3Z?3L8=hk+ahId zeO9Mk@!~u=#E^;cLTn{z#hSeOIL>JE5mN*e=YB$IQC^y1v4SmEofm8E1Cb)4)`-@O_x2FW_|MJws)%^?)(JAmQLTKsaj^Uahh>O)LQqIOJJ9980Gi2y=Y6DmBoHIXpY4%m|5Linj#?5(8{9C58|dwGoG(GVX!An*<~oN zI@MoDSNwc*CAa0&v&S(^bJ8$>N-Wnst zL8Rf7W!JfIl}YP%`NT94=7l~}#Kc!E-HdNnwwIh=l3n>Ou^VxOtkwvrQhVeaEweh6 zkKH+I`H6csPyEg(2z}^9z;nCoXgrGtM5%?7uCMD#b6(GX)Y;fFNfU|Xxif0F)kMS_ z>|Jtl|MO5HMo@Zzu=plbB_BE0X<=D04s5c;9v36O%sX)t?Rd@Vp9saXjOzlW4 zr^gK4XALWIUCV+o1zLXOOqzb=BPD_{+mpnSjuuhmhc1>; zMl>Bp=rI$%-CT-uz8jh{V$f9sF8#fHXzSI>iu-T@GOzOz?uduZ^X{)iu8`e^NX3kA3d5h3F>G!I39t3-K`IV(K z*3azzC{%k+N^Pm|V%{Kt-8WHYyYv~KYQa%=O{jJC3(NkQ1>bN^-o(&`m2$-Q3BsvY z_z0%TOyj#x*0yRygtb99Is8_n4T;plU$jx9O*iBbYCN${aQRoo z%8^F>RnIIsJ7RqW0vX!Z58iGiTtXUgoJ5cFWDTVA3Auk3Y*^i!n|`SXy!b@NAW=3o zsWRpSd}(3gb6v_;1CO4o0Ys!P)%At6RH7!=cr>+#5lz-Sc{5LA<4M zZYBI~;6fQ&%HWHKUqn zSCv*HvW$D4sW|4ZCz#hOpGvFD(_H7?9K_uzZ3vyd`TD}4ik5y}@NRc3ueDLBiW>2# zy)FEk>rtLEWO14Ew6&{jQT?$!)Y#KEg3vCyS~nXjWKG|@_pk4cPpp^-nj&D1dYj0i zPsjwFNk5Sda@ep#J>M{L%6z4Oe9*E8qtqVcsu5Rt654eXdp%&7&C=G`F4r{W zLd>y<$#61SCy>}XBYd(a`d|rIt-hevG8|-^b4~IYZ zJh$`WgDdF?lP>=@r4`q5Rno1YAF!(vOvvSG7N*U*igHizNR2HYc#Q>aY$)WB+OT)f zWu_)zl3ecfCG_EG9fvLrCq^4CMMGlLm$ayf%{a&$xz%!Nv*G8g`Ftd=?owPjZYdGK zY!b*B+jND3(rwQjc$eBsk8vjwSL8n-rDHac^6VdgA zic8LhKvSW;nF*`nk<*7?mcp7@9xsfvB@X6mYmJs5T3LRb9a(M-jY273`w-T@IwD*^ z{qX=0KnoL4m#&s+XnFT z4Dh81Ua`e`!J|q9_xDD_wM;LAj7(&8)EjT3Vc=kBxE8nSc^N@qXrQ1E9oxQmd}k5apr~ z&?O+lf8HVx8k+Cur>Cv>ACsjC`q3Nh;rr7B%@N~E)Gg@wGJ>O8Tf{OmZ=m#g`A}e$ zC$ouVdfp0h1P_75q;!pAu3{Q23GEqeG@Nt#yc-Y@a3iL~VJW;r0G`W^>emsswbGe) zNI=Wd@s5r{lIj{tP0aVHI0MX+Z1aRa3mNS;rQJ^M2+4qH4;DFb^wg;Y; z)z7E1eM65_EXq_#2sC`?H*E3zX>=d?(`?-lpN8BpVU}BgVHH1J*pKABs``>`o3EViW~<=s+f13S@sN9*~S8yd?k`g*UUI z>Bk6?#k$;U2uZ%}$>n;IZ8CWqct}3b|rT1Nv6ZE^#l%`w0V#$uG+eUtQPZTEq5m#0yhwb_H6aR|ETg-Ur*j z^Ug6G;*5pdhVkrSTU;6&N}ekmO5XYjF}`aEp&w-u3@|b(J(l|_1u=fB8L&*iob8BU z8A{%-)_+O8m!Viu&I66Vua-eaI0`R7WlSy8LFNrt+5yW>zE^e>jvh_BiFc3NP!J@q zK*Cs*G0I4=8ji0-|G5-o34orxi}=0CF?g`Z1TnxW?6@t$-l@ODq0Mg?E;p7y@<-v=aZbr({of zy#KBwxT3iJzMLQ}lWYH}WmtAYxXCO|>KBc!9+xWR#U!Xe>O2p48{04;mi}c?ip4Sl z@^byX;q^_h(fnN&$A4SC*pBjC*dmRD6+UKFMCdT}BHWXVgBMYVVOc?zQB{{whzOuS z`DIitwlMab`!F<8a}fO($M%9r>jn%|f7BA43O$}Z5^1Id#`uej+(ZVNhyc-XULoS0 zr$DaL9p|y!SBTR2b5!*7sfVC!<)#fVpd{ZN!m79`2Kx9zL1|_fsWyBRD=a~E@&)1e z7{(x|QC?FTIN%r97yLlcfDs_72oU0jf_UmP?CdE6FexdgPM&A49ApP27GulKMq1}< z!x*R)c!<*YXVZ{!lr!?Y@`=5^ragL6$xToT`zS4jEYpoMd`Ae@tM0ny*_0!WuPU*B)A!{tm&Uv{{c?e$INtIyKEx&V#shI18{f9=74?3(_myjbm2I4gq|EjC(fG%!*cd#o18W z-Wm5ivH_!@+0sZ`6pve27_m8Vj`9%z7vYvg+D6e{q9RSYdHVY~+NB2=(?=Q-vdBlf zvr$sP#t7!8hxd?B;yCWkB;o_>@RulpehelkxLH>Py`>aigLVovBH3Y7n&H@2TP)IU0BLMGf7f`avjI~EF3c? z^LsAbD}EJzm}pSBoI+_J4OIkGo!%eSop%0G9TKqJ1AQ?>i6zIMM7Y{V$^-ff=WF=0 zN$C6j=2_qOoC;)=;7E(f51F>hNMFGJP=* zkT{F+_(LR+*IBg2V@O#HWu|W)fCO1;T)G7H2!J9$Xb>!6^G&dX zfhaL$t{_og>P{5NaoxNE-I?;ZjM7^EyTDkSgT>z(-li%jjgi6gK- z{~dRLw*rZwC|~-&@BkR{{RJYR0h#g{i*6GiU%gO{0`}z@`JcAUDl{4d@v16?zz84@ z1TX%Mktp{47{5q+GXi&8MyG>xY=0U(qI=Zz%N^p`h`})V8S%UKE2b%d_n&6gw)oj=`{)&Vw!&D*~!w<*Z<&$_V?l^3tY-6H7RsLh;l6h;h8}F$z?zxHBmWJx{kq_Yk?6|+uYuzV z_}nYmfvq3?20g<4yqoCVtID=W<69WL(1Ztiy>fW>=;_oI1*u6SQZTmP4_Jt?jJWr^ zDKY$SHG{YRFvqON6+gk>KU06sE}4qbKet?y27feukXYG;Yi6~vsiKPZo7N@7m@+1h?MwmP3DRA)~#ayus{x5Si`Va+VuKrxq{|17> z9FO5E{%y3s!s}>w!ha}9I4Pn~D?UiE^7X(jy>80eIuk_MWUk^HohIH}TJ^~&SRmCp z9pv}}lNv?w%c9JdOa!dX-+V^mP?bx`ZR34Z+c7TqFDR%|Rrp^Mu9w4dJ5m{486AM%N zDuW^kf43@XH^ zvDdOKVSR+0&^QqBoA+$Vn4Qy(z8H!bpcow=Q|GMkK`CXC#&m@cJV!;^&x^EurRC`l z5i}*vVH*mdvTt1gP-7D#T6u+B5oufg4!o~qxP^{8q~KsVDz;fWnVCiaU`2VzY7>M~ zF6NEzfe$#Eob#n{i|x6u1aEB;nG8DAeLjF<+6KyV0vn+mkO1HnfT7AwpX-Mu1&aSw zo6;dTS56!^xK^4CP;Nn)(Gbw%rW{eB-TDClH2^3LR0)9Pw%8m1EQi}A5*MHjq=ivS zC?~jEKMw+SkvdF2W+zaFEMm8{`)UEi2&4fz2I^w4BpT9tlbsm?P-W8umYBDMVWyYnt*R>R2(S1Qpw;6m|X(Cqfu~WQ_zO{NTMWhO;2AR=59@g`m?{j z%mr{-{>vLct+`%5%0mVKO?&<;fOi9;Xh8H&pmrRR$k&#`>iG4A43Yk5p88iq(0x$q zt0Qn%%ERF!84KblZ@N6KB&Mzo@*Krs=~n6;y7$ndY#aH!|G)-+zyHFSj28`q1vTq^ zBT5Dqg#`ovfdM>fB>)y(8Dcjvr5TepieAtoms^lbXT4MX@4a`ydm00n<$LA{R#u4( zaC;XEK_az)VE%~!|4an~88FwC%JZx3|6jNO=g&DA2vrf+Zgsya`Fo-u<&`d=`+?Bg|B%rCLsshvKQIzv0f346$8H3G-v5sv+X9HG{7Eoc{41Sl=KfQ@$?yNU z$NeFPpX-hw>R=`eAh7go0CW$Y`(HN6KlbZ;Rau_T{ze~G)s9#ZSIKxUiPQie(EQtG zpdT<0-lMpG#|d(6AfETX+?vr4hW|58cp>@lA2=a(2Tke@MCMNOPyy}_OZ+CoYI;M;cOCGR;QAcGpQTilg5XlxEUV!hig>z{6i)R13(pF?NtA-HID8 zS1Yfs&H*r109G3Tuo{pAH3l$MW;*Kh0D?0_0`M8}EQ4>kL_-ig0N)YYy4Mel7)iD&I}^`<#wWxG`Vv{y{6mHxu7&n9 z(v*l{)9}h~$6;RE^+{~U5$;qt0#Gk4##cbaXuE+vd|0lhM>NR@f+x{K$(=egUup&3H$@0?`H`gVtV-&eP7*#&x-Ckk93Y3 zARhtOzLp*4Mx`0=u-rrb?-CEiz4BS)B@kj8!tIx;tS$1Zh;ic!xs)_f5Th|SdJugD zfG5K=1L*W@yh_4>VMSZOl6*IMjJrx?J!hIoC!$eIt={@=pAA-Tx1>#K!uL_ z3K+r@o>oGQpm3bLkl|uB%dZk+5z*q&8hhoB=%XwyH8d7_Td@U~HVXe%&a6)~{~Q>P zCQ3IkFk7QY<1*}DVI4uzdz4-*P#NRtrh_X6mp6e&!C6RsjRf_|tkxO+^K}}Kxs7sn zU@SQ!&6l&n%^WrbEQ~!_o}&rIdPGzd(KE9k-=Id^O`xGOV3h@k21^>#7IfJx7m_6I zNSLwuuE_(>C5J+(VDB?ktwve(S-~3DJ#2Zn*h@Nbx*o0*6VraMVP-WfCkZhwCwm-& z8)5Qb)IA?)e)&aAjsM=%SWOOSogKTmQf58`-hN(T{mK)NFc}Oj?=QVImKWi%gvSD? z!=j2fFeb9xYVfL*ShlP8^dnY9bM3p{lQ0u}m6Jn!Y$-m=Ql*Rr=tsLnciai21O%W~ zn}w|jYgykqqXF5$K5!+?6?8{z(H56Ft6Gi!#ODF2_vWn4*~L z9dH0X&Dp5W&SS#TRO4pi;BnUS`p3rR;ijSMVmtnZ$Gfz$ZUfSvBr)^D))p=95n`-F ze&ote^`wzy^fTI|vO0NbWO%SEQk8~2>?k5cE5tGYBP?V_wDNi50u>3NG1B(z0Ot$pCOB~mWo$ega8-c*gU%3Rh})z?JrKB> z^6shP5BD5#Qz=0E_GBoYiH8h}7sqio)zkqVNSva-8rIdeh^w0&5dZ^&u&}Q{Gvyj$ zM+9tFL0C9fBzZWnG(rFk28pU`Ixn7BMT4npJ|C1=6^QhV4d9G5g@Y3CfI_0%$G&TP z9rt^=U?J~*>|lmqe8m_vn11o`pSyVY4=xgXq6=`7zi^U=`u~dvd*J{D5MhWo=$9`* z5UI{G6-0!16oU>%+sC7S@-nE&IhFu40nx-)8owblFmU-vI!ds1f?z?NPcqsOfFS@> zZx#dQSOdX_pMMdP;6q#H-%m(cO70KNMFhdX;!n4O&oFl$Xgb(84&-})`WOW692k4W z6@fV2Yrx5veOFK-IY~cfpn6fO0 z=QQU3iOm3F``0mmeW`m&%%`Z@18y|>Nw?A;unJ)7IT9P3ZHrlVZ+gt(14?l&Il$rK$Q&4_5p$`_h_YA1{vVZyfR~_eV?Mmm2m>08K7`C-85Ay|4cMOpDR( zz(g+xktr${V1>b6H~0mgpC{`0)LI_<|H;^gJNz$0<@f;d|IFAEH2MDhkR0s70`GEF z&iUg~f;2rH!2$IuXP!I_SgM%!b4z)#t4w!~1BdY>ClNcmBfg*(L;B#~3 zAQgU5l>gU=ViQlS|39Cl*AOhmI>elf1cppe1PkaU2#7W_2(EvPZ+%SLHYBcvu1Ymt zof;YO_D;^{?J+l0%o#US#Thq6ZoV(9%or}*+}2ihtj;H8_Z;bKx3)otf+aQ{N2x({ z)i-8>rujL<NIC}ts408Ha>I?Jw)1qnU-^>j&Z7q`#x=o-9I65 z^|5;++@zK>wpcl}5KrM^#JtWL_ zOzbva>p^Gd6kDRees~gXM)K{eSE%0jDzpm3aQoHG&jd=P9b%268FAmkZi+81zd6Qu zUh}Z5M>k#a;I0_ed9Sqhn6Z}#v3SQgexoU zbrGQQi8i%WP4UsuVX{=?%!Aw4!7@3`*%ArN0cjw(UM<^+Z;sSSsAc{(RA;^RV~>vx#W_ z$XX`sok36Pkz`aoLt#@T%U3@ih;nRtGbfxY1*Kl@n!_Fa{Qx2LXS=5@N-6LtPkgchrH4$v6DyqPEs``YUB|+85<*=rRe&%2X zkwaZmWk5kz^&OS=z?adYrdHgNqIhlA)!f}Fq?2@>4h-v+%P8F$fN8Zx~ zBJ@Y4*&TWoJ=7bLq*JVnM%o@Y2(~yr2Rg+bOQAK;Iyx|pFtKh79(DtcO}QAmvUDl# zi4s4u#l@Lz-g`Rv5E~n}nY6}>=hDtvat~pozZK&YN%Eh8=4m${m?y7E&^(>rR133M zx=qCD&YbQFHru<;3%gT1Q@`;go5R|6YjuRVHQjv7AZ2`nSx;}(vzLAj!#~&WGI{1- z%ldrXfb)q{yNYYTC$n|K6i45LwGMBUmX&ZMU$}YcG~UvQnYDmHXq?G+P~5s#)@cLi z>3wv|28ESQ?N)U0N&XB@H32}O+`$_Nwp~l!R!HEZhdZh-cSp9eGj$Qf3-}YBy|_%) zpQ^O93X2)qYahv-Iq&mB%SIZw`+99cw6=`yqL)F5Mg{y*&|XU_#gE>@uV< zqaW7XY|di!hC_GLZRCkF)+v3y3#v@ve^wieD`}BtuQd6l0Qm-FIxI_8WpwAR* zpU)=ofWw1pc5p<{Z92;i32Bz|xWSl{I`Sopy=`F{M43gr->HBY8BEXtzN=0p{&qvn z3{u2>rs3PXWKIt2twHb8pKR>1b zasqwY?xi~3>@^0DqTv*-iL^55&+MyzWtZmvE4%Z^pV^0UQ%)?C-N-4Xw|s^C%KN(1I$sW*`t{_+muZ}z1()hy zac!388ysOYV)3bc^dNAU9#eD)U3i@OVY)ejbAQK``^#ZKzskOD7yhMVPMZFe?*^@N znE{dqSLg0XJNn6CZQuuV+f08CH(x^DxK55jTX~xE)WeWeS#7*hgSuzovN+4O>sZWH z9!x!Ixvjjdj>6O&30!w4W0dc^SD=tIR0&VUHrWH#>^*yT4GcH(uwnzSf>tif)npPp z5%(j5KIlDjP59I)BDKFqsuW+TBhnjM@m2zkMn@#n#)gu)`Dx`_iL3nwl2`lQ!2OR) z=7`oZJ#7cdS4X751V#e5KzHCWaaY!^e3d^{>x8;O|%DUsq{KI)pr@7tF$o&Sd$pUX9Vp1dR*bG~)Btj-2sh1}AEDRoxhQ zn!U0kdwLuL|DxOMQ1qm38kz980qgaP-0GR$FC<*_ z$%`n}&Z}eZ5qde;Mgc0Wj9*Dqz~RN~M#X|gY)!0;&5pt;T)glr;^cuYA0lLBEVj1h zAiCH~g@TOe=VrUC=?IV5f^hLTuiNfy>eIzVy&HtmQg7SkuO11jTzuq=fvG)7 zWm{Z%RD0u7(xaec$P7D#wehB_E;GmAIj_89Q|iRHBJGF5Y)#-}@tnYi4Y15uo$zxf z#_bYRmBmZvR=aLfWpY#4+I9d~Jmv%tQ>WW(Vkt>#{3I#hEP5-d_rDMkpyJ@QhslCn9^j3XxdQ#f&fFYPN- zd8k+?V3HIPA{}Iyam4y&yKYRJ zl@p~vnb62cvA!;{5n+*tcJ8)ono)oxQI8|1AL-Gv=PQA9f3hl!B!HC zlgwZN7fhcnd;;HLV>*leXkFKYW_^2xILHrC;Se}`IN!cT?^El^Mi>o;AmS^BiCZsV zalhgket;hKf06graanC$yD%Y*bV#YRbhn@)oeI(*Al=<52m%7qT`D2n-67rG9nyLu zBB7qS(7km(&%5_?&hPu3_dDPD{(!yanq$p-j&Y4K<`~y<-yBJ63-4GKG1|O*SJOsG z*mRyiO60oXJMocsj4nLq;k3J)(1Agc!*fC}5?f2sc{#zXM$U!l73J9tLgZ_do?Gzd z9q~GjCzY$+Zi^~m-G<~AGy9t>sg-Y1(Ak*!*|whxV#j}a@Cic>{QHxZ+q{fI-xM4w z1I!%syqn{kgVd}Z7q2!_m!Cawwvq3L`zUq6lZWwPHQE+~i1ba^M{hJK(k>Ex;`h{b zdWhCWhz$=Ul`XZG$5(GBI)1GnLy{L6O@N8&*zoMrcbTx%UU+($niz&m z6zrEL3Jlb-@;5L`hb!nZ`(7HVAKiDd;E%DL6g5EXYUo4`*AKf&9t{GzlbhGJ-_&yX z+VC{^V@<+%_k0`A9r4nppWJsxOkBNctpE6JQpl=w2TP3JPG7}A5RvHzt{ic~A(rk( z;+Y5^3P-Ruv;}kP)F*7)^mdsyOQDAFj&*D--Rly<Ij9f? zAA=5F^t=}H8U7r~=&(+azI|n>YFaT(hbemcTHpgie^Y`6(^AY_`HI(lZhb2aW)Ivl z5Z6=!Zn%zph4~h8YcMf2zmJVAj+|lDv8F56@tu(~uD?>A*zb&M%$2y$*-yv$_Ss~7 zeq;3r`jj!JPy$27Osm|}ek@~jLuYp7o8|tAqAH($8zCf8^b>UN>1E$FM&SxT-~Mfc z1U()BJubH)r_b?PBV*I}RuK>N*9OWefq}rJv}H#cDMfBSDu#~PsmwQSUBO>pVcAoi zwo=c0a;ZvDzl+3TB%j-y^ODb~hJ@TkBbvqU++_MnnYxk9z@2rH_KKmHyh&A66y~2Ub zzE$8J6kJ)I-4*{>UV@rOpJc*YjC0uaZNnL z5D7dxtzIeh9((6+LGO+4-1M+<-HHFI^v5F@`hcUGUX4<8gZWpi)9H3U} z?K;ddPis8b3U^5pG%qUa#88!ok9nDzdn?q3CiMP8Inrt8z-O}5s1EbDI#;S@qjpPsBAC6y6z7&@0_J;ySCmy^HfV_Y<%D1Ij;B4_^UdUC z7)wWKP`w4MOkJs_$wh{)j`8xsKBvgt+D&yToS4>7Qx8jZ(t$3N!Nt{yVUlFhx=yRT zd1k9n@Z)G5$1BJuOO~3P6&4n3Z1%>XykewQWA^=TbIBM3qY_*HGKZBZECKIya4@o2 z_9}XYjZCoiYuU;-%}mB?*ydz{k{NxkQr`?4-QSXW6mMd1p;g#C*6%h8FI^w4Znk-w zx04q6-jTqyl#}Najw9%2;E!vvZD0)HJlEk?6Ki#;@ovFG>?5Y1V^X>m*7kykyRjoN zpJa;LsJ)9nwsS4*V5~`yxy)-XzwrheJ2%&T1IzXWeB8wLc;gMtw-`7<+L^339&|jRrF^Qxdyo4b3*F-_;!<31-Fce1+Mz; z-5tAl)=`mY)RfC+U5XTynCz%fUg4u#K*uI~eu8GmFFn{B^>RIh`Sb(Y_))3<>4&lQ z-plLALDu-A75czf&o5VYPNlYDWkoJxN}{mS?+V}84z=%jo{p&sTfu+3MV%_k3La~b z5%EMg_RK8rroG{fpx*ObF(d7zM6gh*fSL*DLn{xC(q;D5%s#~!yY zU={e4aiN^_WKmE3th<7t)NH(vQi+YnW5|4CylWH1My$p8M(?|n!et-FfYJpwzAvS7 z>Nl+p`IAID6E6f!1`m!OC03c3_9l9~7`USn?xo+34{B5H0Oh`P>NL%7tV*XCaV(V= z0`wl07yA!SG5V|G?rhyHi);2`^l5doXYm;nFlX^WdGyVX`cU&*%Gwfm28bza>{204 z3F|Ew3pUqvFV_SL4kmmW)w z4v@nQ6qjcswT3yAEJ=>C=0hnrNJL??5D|Q(MCqr z8On;zm~=>#R?@1$#G7zuO*O1c>yvAnZ8M6fm~_~tDT1D#B4YKw@;N&E*)4l?aqYIt_&q~ok zkB!~vo(_+CnL^4P_(4arE#|!j4K3#-LgGn3de_d8v0j0@Cekj8J-u-Y6!31fIpV$y zub-gj#vbBjQfIrfIxsCsrLu^dYM!#zIx0RcW&H zsfAmd@xz<7m`bz24w+SHecGDITbxps-*acX>?sW0H-ucs!{;Dvxe?+Yl2KDUf7o|p zO6SVp>$p2zD&w#&CxWNRZ#RbQ@G39tbi|f#nxD!Rd2VecYn>YF=(YE{V6oT2bev7j zh5`Z6u4lhdzc;vEtiK_IlAwLcTF-TAp?tea|MZ6=X;3p}^rqM4SAT(ZAgLffWOYwA0Y`$nqTt|C6qLz>IjAziHZNwo$)6`a7(Ptf`I=kfIQfX1leHQ2<*9 zGpn?|o2AE`hgqHWI+6fal=n4Zz*`mG05G5*evTBnoYpNLJv5b(%8t?9pN}GTzMOy)~R1B1*{x^VX?%}Xod>Oifx(C)< z%sv1Dfff+SGpQsu{v}+S_G&LP@`wDh>i*TyT9ggjs0;rDS=EjVWTI3FU}1nH zJ2-UeUbJYqGQAM+3D1WT^eqHF=s2Q*W`bJojl<%#PX}}ERKo`9T7D(pPw9*=$9uhh zrsaP{_N7r+5XM_YUhL;fC6Ah$Pfd@Q&XI~2&po|3NxYrBol9UyDd8ZGofp-V#34X7 zyF7?AKq{wKYa)Wr4oU)WMuaCcV9rssY?SG5)L+@oECGo&YP#E$#mVOKNWz-|2`LvG zNjDP7LSPsQ@nt%YqEp1c z3^6W$kmk22rlr$QTdGYO#M8gr_gncd7e5_4RVdv1nXu^~59n)mul@=37nlFLbOq<^ zpU2Uo^nuu~F9xY@Ijx@aOVhM7QMo)B@E8nGDqa2B)jL?WAKSXigcP|~7(w|WdAssLXP*5OW3syPf_7~D^kQT5(GoYy1eRLW4@37QyI?H#QSt=|sCDP?1_K){5!#!qmNT=GGehIM7q8nZ0|s6Uw|1PASR$H`4v8Np*FVVYeU z2X6CVcvb<1PMTda92^Xos@XtvcT{kY6<+{jGLtR2uS8fQB~vxanZjNyTcj3_P*-w* zCnj~3F(srjw^6LL?l1&{DHwY)2NZ0wB}c89itpBn9_}ASWcl6&iOe=tb0`Zcu(*0m z3kKt;v}5QI^x#140g8z%P&DF=fueD}0%WXvAeBdFR@su!<75-6wpO?24(M%9knwmEs9f+CyfHWb-DgHZ#ox|n5Y4Jhk%C$w!{89J z|0BFX9Yv~DT>5*-_5F^A4T8;#-S|m!B<-3jBH7xtHSsSxb7d@OW<&#+)8R&8Mt!C) zxTCI?2`5cN2`7;Zh4OZBfUoDrYs33of?xjZ?#+lhlox1}lw=VR{Al{MLkZ9B(CDKD z`=d=We?N0xa2Tpx(DAApY-C9pq95HlZg4rw6;YX(XfDbt8q0Ys)1F!wKe{!1mc?9C zajZnDVAU8SQa#CL)LQDL%hOqfB;~SBhWH$wtP)m<6oz0peg=oEH{J_se{{WvOzH51 z(EShux$#~TU$H_@E6Ue!IF&E~>Lu5+Gb&xSD+)oL2FR(AHZqHNcj(2MIQ$2@`Dkqz zmY#L<84r`3X0NksB;%YOEXu80xU5C0O;ukNYbq%xtusCuVIG(3;iDC2T~5R~?ZhOd zTp$coi;EJXUeZQkQQ>BQ$tQA;8@f%`V$I6rVkk|j?skpZ>hETK5-81*PUbp!l4{== z6G*MamNgW6EURH_yqm>6KjY5eg;l8A>n98DuZ&O1ZaY|fRU#svJ5e#P)Ov3#*~C#t zQu2^VS8I5fvJc@6p+*Oc#1|UZ-0~GK^hACH>3$2H9nu{S=pGP+aCf|SE7a5A=(Bj! zW7HIAuY%M|F%7idzjRk>LW{KN&)|Z|_h|L9lm3XSf?6F;Fz@TnN#`Rm37NWEi#qyE(U@{vpf$v20m!9sLl ziClg~o^sK6WFb9WmI5&6yhNPnY)sOf+}NQt$2d7YzEAj1Mjwf^e=Gnu+|M?c_|q#t zo7JRO!raIoG<(WK24rcTV$xTrio7J-i7#Fbn(Q^Hc@1V$9yWU-xl~vinPOlUoNBD) zX&qsjzKt%RWhc;sbSBuLu|PvZx(jsl^%v~X^t%U-zC*+1o1st^$Nm8BsbG&bhgpN4 z#}g&CmRf_+1OYXes|y@v{V7az^1*Y`o8i(1n?OotUV+m^uFeIYBX>c%F*C%= z;xM{RM%>8uI_@RL7;^K_L%5C`UY#-1gwdPbbGgQ%a!H(~Z|M*5x4N}@!T0hNQQY~d zWL&oKV8si7-BzT+*dbd{i;bh2SKg;FRAfXG|ElMQj!f^*DI8{@ zkAET!K9uB?u%5N`ID9h;RJB9lW-fcYoTmCv3cppHv#hDfi$pLAqCzGByv$>C6x zz)fqtCHPD2=zfam&i>jbqcJt9h6f3bOLR|^>Z9GI2`MMTrS*;MfoW_4zo)DnKo$wj zU^?W=?f{EOE-LT<_+swe7j{eMmt0g5ae=hvPXqX94~>rZCw_jGzzr0;0~F)W@IFOn zHQm49N*UHXy>0zgx5nGTQc@qL1li+TK0;ifBDRvD#-7!K6F;gRtQsM4|w4BLbG08)j90FLs;Qb!btEu=F0t-JS94Uusm zSdr0hg7{^k3qzU5k9LPwC?3k5-=P6&mKvsQNjFCQ!t=ftq{3E+wDPJmbo=X1O;s$k zgy;fddw@?v5gK0qGeR4N67cGujFP(vO~?gFD?~L$)v_o%{yZ{1EU{EXYqWG=;wZeG=k6WXkzGgLfSS zXMYO(Ri~)RE6Bk9vc|rmz=0`W!J(dhp^N*6G<178EnytP_8@`#r(z`|zr~|q=U+8O z;lqWJu54aPF-3G+?>Y$PMa=I4T?^1NB=^)7P2_@gILyMq8AI2R$>1O84im8pZ^-fl z>l+Q*-iDG)T2^i%*FGAD8Dsj2H-zq8;HTQdv!^EfuR!q5gVc*82|Tu)5agw`PzdQa zZn^@MLvbILLqQJsnQChcM%90%+U_pC|D1t*e_{4K?3c!Wfl||kcUECZu~$g1NP~}# z#YKrNx6{;4Zl~=mJXV^+EbT{>f`n}_h+3zV&Y`GH5v{qt|7a~#`UspY(q2zq0Chov z8v2Xx4kmE3{id#7uJbn%1>mvQ`JfDp3uRz&C{euuiR!>~hiPlSoc1<92n&aw8CRRb z?6;FeYAreC?-H&mMf4`}Wt0L>-Au?2mpF3}Pu^fx?@u<=X| zzte_eY39A<3S*82MXq^X{OA#0Q217Zz!v7KFPo$83|Dh19?QHm5ESqAbI=5(VFoA- z?UZt)G;AM%x-n(Kok19Dmw*97!2%SOYe1sQEtSfs^(iQl#XynF6;-HnA9MO`Y%z-| z!Ob_SB8cqf8x|PENF{G$hkQdcPiGOt;pxDh?!H!Ss=|2)7o>R_daYVjL@{Rfd5dU@ z7E?OzHt;Y3p&m9}%2{CKAQy`bp^^!EuUj1r;0%0dQ|O734AqVkUrxFw-xZcqB5y9| zpj2I-cc;05t`S+h5N2}QDVgyGqoMzGi*Y@ZYqKOzQ+do8PO~$+k#1ksXw;eYZ6A7- z?C;-;J51co$O;j>)kk^{D3r95^+~*m)?tvOEwh`C0=+!|Y6?1_rl9oL;4j7H|1ljy ze;QC(^0CemFV1P(ASq}un!vx3habA^EKs+L*c-}X=1ERB1x<5bOGn!DX1=29YaetP zR+Z6-(EjMvo4L{kmH$snC>?ZF^4ZwlH2?ODh{svgUv zYwgCDEl)QUlN!v4J{N+8J#F_51K|1vVrdXvE9|C<8-fc3<2G@4W&m~u1oqvBzQN#R zi-3GXi~#Pns))%tS#mWS>{xX9R~Pir;kU|J{?DcfY8(#J2E>}2`L4SWe&_pL)mJV5 zQPo~h+W%G2XsV@0GVPHNyuAQk{_^9aQCkzJYpMY40W0YK0+pebsSs+JELnzxDyOT4 zSHeLPclC#N%YnU}VzxlqXcM%wg`_+=#e55m9uVjO-4JOYzsE)L1%6L*+Sz{i!m|;F zpArTtbBQKUnP2>Bkg`1g+Bnp^a6w)y`S@MeWfLd?Itm-`zgpj7haTq^tz!xW@$Dkf zR0~4c6m&hDW^a37B@Pr7vG$_SsHOz;Kq=FsnFEREz>Q-^Z4IHmrwk}IX!*6_&+bnq ztJ}JBLe`AG63EYMf}W{s64s#n!U}`p0BTErK-vkc`x~4E-3)Pm7s)A+Hn%UDx~DLn z{?e#p=&vo`9|kz36Kgu>#Xp^0a9vlqn7+G?A!H%ebQz@q2!BRtkf*Xe=%}C=r4w&r zhz?9*#ul2or^NvJE-=D?APQ!YpG~P%4DnQExGD)bCllnH{gXqof78=vDH*PL^c^X% zRIxbLP=lTgkrx7s6nv`suY^@Xl>+#CSd@?r5J+R2YQgln?7??y9Q$SAk-58`Dg^-W;FUBdP9Fp z(3EKdKw$KjrXB<`W7bMH6qrUJFjHpE+{|jq0gU7jjPbwgmUK%X6DGPXWrz>4%mk#lQKj_6@M$Z={CH zcVjBuda{=d=05)iDogY~q3o~tr1j1d(T?=`jkfsRhf#j|@WjWN|4ttUyj11w!|^9S z(k}_O-W!l&GdMto*x-VLu5BN}VKCW;{8RG9ZvLm_yX{&}b^aTl;bHqTEp7N9MzZY{ z-~!SrIf{xH9m<5rVG#%D5PJhCt|I?9#w3E%Y&lZ%vfF#wC{|g(mSDsRaWV8&+-44& zB7n8Z;{N@Zxx;qV1&ZDKQ0$I^Z&m$&Cn=4ml}CP!mB3kd?>%WK$A4K+Fdv@aVqB(# z`?0Ay3aTXMzl&CA0d}K9gS|cp^=3rBW^iR_CMYMBL+vd#xW<*4mMXPaHkdl90sXfy z?t0;AohJ;M1f5Vziw%dm+)_^wz6qwKD||f0!`s<__uF^)#ofRN=f~?}U$NXy;ILfi z)%(%XzQSpol>XHnI9>L7iEPHlPj&tvDL8d2cA^X55Wrj{AZD8QC+IaOWdI!$^bim> z!Y_BD3vklGB4RmCQ52@{35cl11baejDiq56uhKGgWU868mn`6=)Vv;jKEE(7M9gk5 zXUZGcTVKPdB5s+4{m>pldaHwYbqthiIC~*&rdKBnI^Ujtjd*m=aac+G9e?Fxnfvm` zO71BmWuTT^(G)-mM~mP&{(n?ZLaZx3zxfo-&-{$k4Q1i~Tvt$cg1QlZM@|01J@oZ<2q|uvaO{nAtTW z#ebt>0jYi$kj_?5U&G-8-ETs=2i<}Lx&s!S*G6}JH=yNLm9e@7j?3Rj^`AWSWtktxy?9gZUy1dtf2fr;(_G9; ztzNZLKpg%LR3Vc47popXDDdu|aDBc+eCkeV`>QTKciUausW<6RQsetB=A2^6j!<`jKf_RJQegSb;lKxUT+#ig ztIP>H@>g)AjE}Y^IisSgmE}2lLC`!l*cxpY(mCO?`1F4_^|qNO1&x*Ou6Ss^YO zvq%w8u`=B$*!1Nuo`}#@3gx;m%gnrDW;%@wQHGOvAA2JgBJpf!Aa3pd7u54PAao^$ zV^2twp8!UL+9oFn&^o;tuhJS6Q>ej9f>&5I&KHUy0lCi__X& z|C7;!sP*5eO0Pet%4h2TeN<%*!AyeC|6UgFuT`;LHC;iXEWYP@FPr zBI{}k(ENke`s<5?^|fTc>48^97HG&wx4`QYIKZ-Nw%vula>+G+^u2s#vVA-gqm^n1 zIJUU{quaS^zoGc{@Gs!j`TTruVs2&8m07|m0N$H_aVTw8=Wa*3CLb$-4O(tl&#hHKUJ>pjUIbS*?)qVWD?Wev*y zzxXm?@Xz3+;H~@fby&1q*YsaB{Y5gPz$s|Wk6hWeU`*SDU0gXI)! zKW->Jlf<@6ovX9koftjhfjJoNZWc~iQlMk^q@lU292H0up}K_h91^TjYw=kwFzidA zob^t5qEuTh(CN%`FZRe{XwNfvdVY2#o)Xt7;qnnX2*J^p>jl6m%g(H939| z%5H1!5@^9wDb${xIGeg6CbvJRUYp}=!W^$$&o%irpSm7#+uoV)iOr%lrqGeI!E}5z zS$k2#!nM!q`<*4!Hhh6!UF&Be7OsUqizhRfSgfyDO|G(D5M<8pqJVwZ(W>q{JmA@w zAWS`O@r=G}$HVNi`K(CNc#%>qe$Z%rBUGPue`L~Cf`llOMjFycq-pW{cg&qyj=pL+2N>;5K3XE1&CmYJC zk2JS!d7iAkYih9QNtjGr(Usrr|4^3gWc)>QOpxn#ky$E<_f*9o=AnhANgy`SoX6sz z#DngsV12bF1xyc^)064QV*GY*&(v$qYr7pK#Jco>shAW+wl+jpyGUYnX z0z(ykJ@YI2w{@;LuWz@N+)yMATyU*p-+MO} zpiiI1ih2IQu@t_Z{emBxI1pQH=QXERK92$c`X>xir|e0(qVCa6_H-dN6VpD5M}{Va zSb1FK&M2`OGa?_G^S<_mTBb|9N?NTdtT%G`z@uch3fnxN*O`?*j8QwF{Ny2;HK={v z`{m}EPWWBB=0mley!PYXq!_`kPO0Ix>QfpU^9O5_DK!)24cW;dr;o2oPW2b>=OG|= z*5^t1ClxW;y?XhwATnPp=(?VQ6$QTbaO%4;B`@dK#xJT-YA8>UC`HPgv}HCV_z*pw z$q2dX?ONVCBHPc@-5gb5MZIBjW9y9~yg6}Q4je~7pyV_B!uvv$n)VZ~PV2ZX>T~Bw znic`f%{BmCY<__JjT8`6WD5I(AMic)9^D_I0W;KnegNJ<2;L14Lrfrsgx%^n_PXc7 z^zSTM^BVF=gsyCK264F;uY2cytGSim<#zi09vbP}1*akgFN&lEjXU>h0^L6_svk5C zoq=e2tovMbEX#pp`|Cr+Eo$-;RMnkFYPPdioz+%w#;@XfrbcYRvrh6eEV42+w4Jfu zz&Tm*tHFNb{>f2eoA}tt)PP!NoA!8d_3J&Ft^R`%W2wac8hhx9Oh{+NVyRKnJ>Ki%BFT#n z1)=k80)!456gtH`gppa^S%B22Heackf5N&pl*8z6Y-LGVzV3Ucgqf%xKs z;_D-bub$zR-j&EP24G5kAt-iCfpvxD_XkS~K!EY`0yED-0j9G@Uzn;jOz2hsS$F<# zt^1}nK(L;}9>Xi|ykMPhx^@RKuz}trXu?2%VWC_LJD{spF@QB6f3XoU(s}eqg{1ov zrEYF{LqwyCMS9x8rUeI6E(MO_{yiN~`Ni6Ty0PtRL;LQH0Td#^AQb-caAK96AcnO&XfW5te7 zCDs;Ty>)-1W5kzRa^1yu<;$d(Tbl_h8a%!I+nn|J5U{x|HlIlt423pBm_s4sBAxhy zNB)K4ca3l94eyCV4ab-~BJ*}GCWT*zD_lonWB6u_y5*_6FPi*C_X?1o{S!;&dIZ^y;kK4N6xV;H0)Ur);yulr#zD&E#Lk&podW+;0lpY_0e) z|Hqh8_x@1?G57bqD_e)S@@u@wtPbJpvz$E+oGvS_A6C6!V$lZ`9=)lbY}8z~hptA!sf@*dzPeNLnG9M1@HR zu6%;8N6xj8MKJ9Wg1evkMC{KYI^J(XZhhAM){p4M(Yq<9X{R) zf#+5oxZRPVH(kRGJJ7DtRiMwIDBgH>lN{gsQ5D|xfvu*%c%@wTagp?fmk-0AhvB6e z36gvkMSq@j@>MsY@y)rcmO`<%y>P?0%)15ZnTGRrg)yc~<0xoNK{*U-guBxNr=QKqSv4M*3s) z-jpO}S&w(X16HOc{Cln8CrsqHa<{3!r(b>V`tVGI$;as2`}NJ~`}&F$QG2xWP0byi zIc@Z`@!(G~+{hs<_ z7dD$(Idc;8L{Y`NwpvoXMPpW!8ubd*0W=4K3q+4OE5Ie+MZuWW%CX?Cqn2y+z^B}~ z4s&VOBz7(xq)f47$2lo9`E1tUDLNTbPABO_-j%AJ@dXxK2Yl;fxdpmS@ub?P=u%>Q z+u$~B;=;2zI_3ChuF#}T)Q)_O6?mpUd0s)+DR~;c$18ejJD+v?JKX|rVx1)=_e#}B z1P{1+#Qjh-I=9bQ^2efxopCaVgeBXfnb2xs$#nCO_%|TlGj4>Ki&hObl>C6MdQ5GB zF3E_xZ{6uE&qF6U*JFVyF;(8KsNE_$7mU?U>UfpGPyT_ERW|rhUD%xkTYg{JJTShn zWV~}=!{u~RtpR=#>(1xo7QO&{&O0M&9ko9wFKOp1~)CiGgaUju%drft}M^|4%T8Pkt=~>1a@Dy6)zXB*8e_~d)tUlLcql>u&ppkW!i-+AIy_=}tgv0@ z#8JzZHd}FlPIZ^@ajx3aeAjnlR@AiIiFHM*gfH=uFO8g^X+Uw3^O4rt8+p1$FX|mVfDWxh+VGyq~LDLEHPaYFj?Edy9V)`{TpcA*G?! zF;W$lJRAv&$Mai&S%6>vu^=R=&4gn7ph4;GXpL(YHN#uMBCLl|A&B_Top^*FJ{34u zh@NJ;>!@&*I*W-&`e|j2?K{_WHSLV3w^IetAv3R5 z=?U%LdZqVe*Krq)|D`oWQ*e%!r25MCKst;=4_+GMQViHI;D-&1+G5?U zlc%5N+x|384P7JMz|Yke zM03VYt^+-f8Et{&r91vq{zU+j-K#EZ2JKqaa8Xh(uFaCnOnOE*+iwcgHY(-8^Dd-r z<(l6VaOCThTMz7AMyHkq4>QFMgygSjd$|-PH=_CHv&}m#nPon?RSb)4w}y$~GmGl( z?~>DdDHbc^$~>x3))su%zwxy=;9Q*s|3Jys)!v1K|+J+EILDQq7*aoj~66BTE1XX1$h$~dfX}YsT-7Yb&T{tgn;-aN%#@pVESi#bC!Vk z?ri~%*UI)|8pIi9|Btx76a#P}=5JL6Q$S)3P`q8P*7Ew_#g3rpwc^w(`nBpG;u(ei zE)K0~46XJUL~ju%`;X*uxyPFajEI-3{ZOH7hxg&re9QunGC0{m$^gD{DfY)+D_bFr zFJlwhD_SwMTJgV$0SCPli(31+I*X&A*Wri?vT88`STF}T-yh0Xt0NOlDeXnpMOb(` zQBp|Xo3InVN;4Hckvatyx9{J1`l<8pWNHei=Y!MyfIQqW|Dl0p$Q4RXd@M}u~y zHi(M}d$&3(Ki#6sX<%cPcqkr!iUH&Gsa)oHAm$2X^UKwqivPRV1_Z^NVaso;{vm!( z>)*wpRR^HeF1@Q#`!bvV*u>p=mA=c>eyT8G^%_BqMXeDXES&}={lD(j!vBX#f9&42tTOWO$bTdU(W}RD=meG`ruYx)&hDiF}f&gBBMFzr) z%nV<0v^Q%FecisAE)2H>SX1WI)cC85t8Bx;88WB=&XBfG%z*)){oG;6a#s9aKd4(0}F`# zFnt@en%>C2i-Ft(0-J>Ww^ae4m*T^d|1J(z6)T6PF9Ule<%e%Vo506$AsoD1?S~4W z!g$-F!mkFRdL`vYRR3kKv&%qZC|CX1>oYYV_M^i1ix|j!mtsA3KUXIbOl>-O&zO=A zop^&kPP{*rpW7-H-;qMk3uodLj6y)zlu9w;m`4Kfo$~y?U3?^CX$mtn&l5#dk2aeH z!Ez@m-8|SQ+t#nJyB}Z34y`wP+kXMy zymr1}jBTyct@|m|c7%k%UZBb8p|ztJt8l3kvrj3fk1A_zLi2L)E563%*$diU6_*~K z(-$#JjqkfBgHZEI4^|zhI)W;;b~07;L%!yU4))zl?ZtR)qdSffVvvis8*tJSK{2EB zv<&fkf5s*TXSU=)L6GtZwS=^|y>|b-&>i?CYPNS$?x% zEWJ)NR(p$F-wp}GO`UaFbWLLVOM9E8YMktPpCnATwUzn_GyTR^&@dUkpI6ZwHlV%y0k&qs+ zv5QR}4Ec3xdq{MygqD;y9`T=A@P79fs;UzC8gJrodjH!j?Y_~Cjk+1~=!AjeJjKYR zYM1q)zP9HV0?AxmCJqmLc$*n3;-7yWlB#cX2!8Cow)_UE(H52t*s<uY&GRH73e?ZS|!e>!Ew_&!M($a{$($Jp7(VnW|KvP0nF`xS zdWFne6xU2ZKNK|iejENYe8x%SQ{0xriSLjFZ`%sT$J?J@aG$R)o_9>us?sb`KkrK; z@FI^`T4Me_v{{Vtruj}qLicE32Xe;;($F-=etE?pH*#VL1+*6>j*lHWvbHkaDe zXo)n-OgBh~2op95;}!`srl&;ND0Vlm^Ncs8!&dewQVG8Bd~|GGRLkijTfB|q#Je@* z$7+1U7lw%@W?3Z0tGu=-wwcmrm+(fuXeF{M0tP6fxXNo`sw2uoUV?C z{FL4UJ^zJam$Bw{GE*{w*;aQ{!OK~TQ2yx1^vQ~A_u8X%@;f0@5--J!153dQ= zPDt^h!>i=4s|x!>3?r=^BXFZ{l7(S&k54a>K9FEcNY_T$oFIkm$L1>{?PtubVJt}H zD85{;pz$=oM?1F0m2S!k%aB`89vN`y}N6gQ(}iT zzkf%83%>|IP&&onJm_5kKW(~mRngsQgeLnO5AkXFBzldv4tUtO0@v}dx^0-R_}$B< z^tY483DTdXCccT2Ei2dZY=cBO`}4h8qN8=`;WgL{18xz%Dfr=0GS$$*E< z>r~nLocqy4Jv`}=Jbl%ygZ!bu)1~rRhewq) zK_px6VZNEKmROzx?7MX28;r@N>m?QSZDm;oHs@8Leu4|5q zl68{5p8OTYM$~%5sg04T%S80lxH!^hqJ!=H{r+eUY9MPuizK4&620gLvi$F<+(cUVY@+Aw(`MxlK&wk%#TbdrBZ-rtKPdHTjzRZxC~ zF@s_6d9CAvq9%!NO%g>0-)_R3Mp>;kTHa<$1+vEvc7I2IR#mikp0LxqY59s}o?&yu z?lfM&-+20_>JW)Xds4!kX}o5RblZo+4By|Be{HOKY-GM0YKrPoTuz@{P2kqF&M~4X z{2XIHm0;m%Gk@+BtED^zUurC=G6liH6QYdC$0J18Qm?rR!fS7rRJ!jk&{jD&TRJaG zl^b}}`D*$I&Xx;kn_|m#?nuqy*Rw0PGKo7;5sS1V1ltje1x*hN5Y=gY>WXW%&mJtR zIx#P;FW2YlOpD!0np5k2CyK#eEam!O-8f8Hpp@AXbt*mME{oJwj=3*pCt58+!xJq% zF$}(LBg>Rj=4ojUmP~Et3g1tAq8}XzuxrZ#xr*MhZ z3EvItx^+rNBkr!W61+uu>sqOg;p%o;L#^YpRBI={huc^@h>@HgVEh5*v~h)23b~Y* z{d@$oIr>TqokFj!r>temZFt%4!&O@BGEcQ|(@o@$D$}p4S=J-Nb_2d}6~`weXQ|#r z;lBT3KBH$O17l&%Bzt*jl{k8emISG{%sm3ph=)S&xe;4$Y_<$5`pYd0_nC&qO!v}L z4O3)hB({$<>J;5iqXKt5wT{{DMk#X|lo0Pw-eQNd<1P)Vin9nYV!J=oToB*Y_L$k4 zNVC=C4g(%%w7Py|vQx|>($Du1;)WE0uiw2@y`A$pELdS{)w#|I)L&R!kNX)Kh`7r;aAfg`t2&Qt zUIx%9iNqy6xI;EWz}CaHlhl{Mg)cZioC1%Um&o6McR{#XpG61b3Xor1!tfk_RoL>m3Mr<*gvADIPfma)o}8&a za%^C$n-K+L`yMJz6otzq&O)b>Pim0d6kdUEEMizhHJ!h-SQlev{@y4Z?C}}p%EIys?ETAttC#}nQZEU)nu8}kFa;0r2)+EYUc>GD4%>uP@9Q%15pr?L{nuYS z%n(nR2PuQqZE$s0#qJ^Q9}zPUW3arh;<@#l#ncIj2^sGVQkmZ}+$Gu9)g@dJST5MA{IEk1F!O)<+U=cyAhS zg67Ctb(wTs3LEsrC=ni5#=0Ua5%-9S|&Bo0fDQzf^wC?@41ubb9o9{zaJMCQs4C zGBo-96_K8>R@%u=%0B6W75csG+87;M<-&OpZ`^J0DwbRlqp;*&o&Iqjrie>st ztIX3%Uo2N5*SPi44_Vz`aEkrP5nl?vOyI*%h*1sw98}xeI!dR09-OR>~0uPevR-C_~hy(HE>(akVZ8_8*MvM z_ai)oKDHsrFe0sWQnP`=)en@1JPTAh*RchzX(8@6X2-k;Ao}2`PUe_%T{oBz&hZh; zd(Gg-L2pWtJJVA9S83c5W9N-T>Y8*|$zB%m9SZCd){|}88(b&SY(ebMdQfp6V_Jj> zK~v8=0~=Y%rSk)kI?D&HM6^0Y;iM^5mIsop{+8okr+G`=4CS06*9>3bZr+Yllne7( zX3ICpb$%tlP$1f9_DOOiJxnm!}RNF>^Owv-^=acwVYg`-4iRZX9R)SsWnK0}Y}Wub(-LLBMH z9*t>`aS&Tir(>e$r0Q{=x7MS>&vVY{?v&f# ze-&_41GkZ~DF*p*x8}w;`XTxKkU4^l3W0$mrv^B#MXb>z^m`U$4h{Upg^}|; zp|&HZ*&=_>zmW(Dy8D&G3cC0ey5ICrnVu{)_v!e~z%lGsC_5IoZ_|}7e`qhKOOMNh zkuYC+F+m%zZaV?nD+#*PKk!gS<>mi+@=YW$7@2nl!9A>*BuRx&UvAU120gm+vB=_` zE5_BaEczmTP^2Ci*Fl^(HmkuBbX&Q(T))Wa(#H$hwg6f4@Fq z_;T!@vh2L+q?Pw|SaT#=B5^de?7S@&E(@mEfFp|gkOE%UD+i-4JPBtS9+sh8l=2sG zL_V$zWjc;Xew{rm8!!kEawE-QwyO)KI;2bFT0$b6EnrECkbvXiG7_;Sax!f3#dU<85&cgA_}-f!1{Y1NrgE0sCl=zz^Gzt zI`}%&F+;`47Z#u4SDJ%rZx@VQ&EnH27chZG)ApB9Aif=8?yX|g535Dk$*84ez{r3M zW_t$jc;A~-=`w~7^d||On@Ii@cjgYUPB@p;Z206fG(;wjeFga5$ev4l{U>o%nGotd zot>l}4PjvphH7}9etSEoxFrx$_^WlvSZ-LQ25%@ zB}}_~@EUb%uB35;Wk_-5DSDFqnlsLrCar;)XR#iZwD^_`Lv-67uY#K;BlVyux9UXa zSj342e@C?Qzc{I>0^#?TruE!NR5e8i_cHwK7X@aAUn{6@kuJLRubzG7I(0q|ho~71 zKNw7fe#R8hAX)A`k)~bb4N5x;3_`(IlpOc1*wy$J zC%*cZVHq4rPpj&``Gr1^SC!4`qH^g`0ZEQB7U{G9PufA*5)STQ3h&0?FtrE)%BdLn zMXw8kiAZ|*oN0($@5{hE@s{XxUU8^Um+&?Clf4-?tQut`-un%4lNAvrr_<*L?bEfu z1^M)-@HHKR$^Yg)P2{W+a^f+YKLTQLG$S^SUWAYHqU1nnnzTE_JP<~!-TT0G<9Deg zqER}cE_lexdKcefrUYM!&bh%oW0))vTIeg+KnNCDlsCvk%O&M)^}f5b#x|xCvZ_V- zCZhw6&WXk}KHHc!9|j|np*?xtQeKyHW{6j}&XKX{W z?+CaQJ@{*$k20$21}F01K6PZwrOt)VGxFfk8O{sLN>r-@R5`jtP?g<~gM7#`vb(A# zA3ah$=g6mGMTY7!!6EwzXrtF6UwL4}+V>$WUqgqtNjVMhBemG{v}_K9s)JXFgP7)# zYLf@2j{)47fk;51i}KnDJBJ@}inetZmTlN9o6Dk?cD%z9C!Gnqt#IIK4ynjnBpvdf zF+{@qNjwR$U@2m6imVnE9`Gv>13TCS)86Os;r!k_)H5c{O#*70R$tXa-k^mH2T$zU zPQO_~u4zB{Ju9urj?@#n%=a&1{bFi*t7!l9<2)zMIQEokd>=YUPArFGrzt8{@M?XZ z4ZayHrgI2OY_92Kh+Z@T-b$-t2iXtN28(-W;y=qB{@rL!yChYm_r)&am zb@jo0|QsS2C=<5KE>U)dpAs?23xEWbW`vWD#WD(bPP_1^}pdZSK zViYNEbP4B(e$}*15}AP5_&SS52b!S1CnQiG43?2yL?}ShldeU@E+$RzTq2bHdWh5W z@3#f50~)_&P{XT6Vt|N_@XsIlARg>4Jv8uVUHgFUe}^$aVy0@-X@hez#;2&M3v^;K z-;`>Uy71Veo_Qg1&q7+LTr`2(*_+UvTO3{_)4!Pu^9fegyC~IYi@DTSU+vPvB1n?a zl!5!$TdUxI)hXn5a!2=2jUZMNmQ^m!hVxnp zj@8A{1Pz}52%Y>D%v)l3!+_KlA! zC4SjuAd|;SAWnfV@Xc9ZYM*gmvE7XvZkPP@k~ev?cs*!$-$WATuW{&mv8RXLPm4EB z|A{B}1b=@;Of$WT^Ht0HrK(%XPOwMRLr7d9qjYQ2Ko9<=If;>k0_^6hV`Plz`?H2DHRYMDp;4I=tsI5^%u!+n#5r)D$JTJQt-I5+V6uJrNTsD-_mzPf zP5v8)hnx{d#e9|Fcf)91$BeDAJn(2@+xm6&qVb25hW$pjjfl?H`0rDK=&#$_^l|0h z1{U}6rICoNTUZ-}MO$81-;rOr_9io_FSsv!+o%BS>34FnF;U)TBWhWLjnRCikWigU zOOz?#w{nMK3Rpt!B*Exm8n9OZZ7!4dz}XO&L9@*H%eWsnB5y=a2ANbjGf3MYCwMyb z!yA&QXcNR33iWZ1-1kbI4EF08t|}f*I)=u}Zh(z4j-7QvrsQ^c1}e$aqzThxWgX7L zw+C4fw5mhP?-i`a)A!_tJ^dBUR_QKix!z<3{!81v-ddrwyXJGlyR|^r1TQ@~Id~$p znkj{=k`R%+A<4gfu_Pn6HKMynYqy_J16u=J_VReB$-2vwGE;*dd z$@U?n5qe#_Q0i0eL8(B5e&)Wszrc02&qz-@;QrFQ$sK=B2Z|nqvz{>tqsg@`|KTiq zz%rNYsU9^@%;(17H+y#d6%hW$TT25U)VIk4 zlq~O$MJVk05Q##>v^($gOyASe#7O>Djmdcj7Y9N`Es_NcXi57X86uX5fm8d{`BlY> zwzPrm0rN3+uxMLsb{+ws|G#{4)bOi4?TB>3&2(+>cexipGa9I}P_)_C8}(kxDoYdR z_xhmH#6Cd8pkvz+b!trppn{e-CXGqDt80*H_Q3OLk}w>_!5+zef!5q>NpY`f3b1QQ z`fb2Ew0de+>@#ydW(zh;HCvns;Mw|+ZbD(m!4Va1I1(`o!lP|^KRVC zF=Q9=845i!QIa#ks-qKO{;?**(8KRbtmFL@J`|jTh3{p?a+*v!?LqPhwGx40tUE7a zm&FzCz*c2b<(BekbmF|IM{h`&s$C2s8(w-^FN&>08}PB53%YX`>>)F{*{#K30@FCu zEsWWjCg|3%|0||M@TpQtOj=>)cNG98=YXR>d9X!%M(4vay+F%R`13ny>4~|LuIXL~ z)wjp?{S6E!w*5(#>jjxzGV7v7Tgv}r{;M3UhbhzZb#!6vE4W+YdYSL^>z#~v%F)jB zimC3?aPA+mkAH5X@Okq$Jm|!#ojRs!kI#49^Al1&ZrsOHgZBY^ziuc?sSk6c?5|z0 zY8VhOr(ihYY~!BdzFE8`zcX*g1wLMF_qjd4yZ3kR#;Mb>^3JPn?AHE*K-bIgSYe}a z^My^u`UUl)K`nxzBS~whZ8kMyw>@scZyl1eukxh7k@ecmSOqPkWR}WQbpn!g2mF?< z78y*1zM0fEtA1)GBPthRikS^{Wcgv z8P+sUERWe7NM#XbG2sAN3E^fuwo{a-)ZF}ic2!?$Q2G^D=1DAU(`pd^4!yeA;L@Wv z?66mcnr*_5o7#$PVW9*)3QVXn>Dpv@<=94B?jwCS%Ue#IRPG+&W6ZQp+`JLBtL>O% ze@)mZ7?G)g&E?~(vhm5E}KD}xW zPR!oeSxd$4m|}PbAzQ=E!iY1RS$BF#zdBFV9w@HErmYkc%mu7%ave3Vn99EY6X7bs z$dI419w%v>2}-vBXUP=%DxT1lncRBU1msmE7a1pOLJ^3(hf(< zYki<%4AOH)dMLa*fusCdmtHt9(>K{KACo(bIqiHEzh-$)ssK$>GIl{h%@XH~mSqUvRb={z% zK2AWx#WV~@t#|)VM2AN$$@Yk5MfEwJewBGNBC~#xL{N)2f#o6jJ@pse$AIcE!i9J^Q-NeawV6G$6=9H?t0%Df>vmbsNJcI^w3r2?dk9acj_En>hR?QN<~D?AYUJLs}Mqx11CX z14O_8DwLGq3S?C>4UoIrT(1utngEIp&x9#wI+PYR1Myl5S9N=ro!t1Z-1XKgy}fgO zjjJbqhAFO%-w31Fb{xLAw0L5HaJ6B;zRuT1l3xJ$z2 zXyyK}A3$q;9>H9~N0o(E0g8x=$klXT&J8b(`%7ZMohr27M`3s2An4#6yeny$JF-xl%!`cRr(HvQDF#1FsBrh z1uK9(i>o6s>|&!ubK6gU0yJ7PYO}LUwE{H|n-|UWdZcm#HddH$vq68m)URrc){N7t zA_J3O$lnUHd8xboDo^?xyG5-{?nnOqRJ~HaNAswGZO&3bS$?ka&dgl z0Ioe1tq-9{KF_z$*5gv%9U^HMH0oTO+Tm4#3|?M3JiI*l3=VWvIvxU5HYTT<8c|m# zJMUiBPN~fJ-YI^=Ki(B)xpvy4X}TxmgUp5Cx^($#y<1kcOvWfD*3SOkcB1rUXu)#; z!b+cr8!*d({{oIl078glS)7e3>a%@3C%yt z8Tu9359SKGmq;{3GiP!{%gaDdaaFp{$u7@pWS?rvIu{o6UoS;L<{V*ChL#)L8cwLi zuUqebdbh+BN=5Uha?2Cj5t>UViFCvO{7hD0`2bt$Z1a}HiNdzTiPs?N><#_pFJzRz z&rKBf>rA8WR#cQPcv^a_s3QWmL_t!mIG5hFvQMffi!jUu^50$XYmb@YzPY*L{?~<+ zd)LAPa@%p_e;ZNapUWf`A|E|8)7xwrR*`^eyDFj?a1!hz_^MC8|GWe#z=39l!>kq(1 z6M7p7Q*-Fy2jWJ+zRElj7C)~-UeX{zK@L3vKmZHy90w{C1>-30;sUy0LP;ZFVzQ6x zkZvg;i_D|G%%encpt(bj7_dUdUlItA0G?w(H_)IxSWwLgUPLbrf&HK3_xE|%vgm9F zMeZLEiSxzpAafonnI3CpFrU)hZ4&f@M`n>Wzs}y08LX|@0GxfXk%Om>r^FJIKHau} zDGf-AL`Y#{oq3+!wfSiL*mc>Cyn+%i|Rb+mhQ;0cDn4_%;o5t+7hB@+1pqp8tUoSKt~PR-B)Cn+*LxkuU?zi z-ZGE5Gec=lSG~PsOdD!jeUQ9wDnc<*?49iI#0HnuJPTGWRj%{e^$Jej@!TA%!D`7u z7+ES!Dh_i~0}Hmd9;S+FaT%VC@O(YPl0JNBY4Lcu1}^%JHeYj|68{`sTy}anaRsc1 z9dZhAq;4S1iY5!y$Os%O_UChRaOA}B)ydBu$3$S)eM!K_RcBK^c;5gu>$E~%mLv&( zN`t(7a(Ml?yeKLqF;Z`p|7Tn=_l=kYu`oir>Y3>>v$28S1P5yV6&ae>Z$)D5#Ufko zfZ=O=BG5%1byDnw7DCkBFJ~_=bjaksNXKbQ4JR6LOPf^!ud1liuKyafQJa-Nszh1F z2)-1O>Qi+mGs(xZ%MvVPk)zSCYSthyCw(cw%Fw@6ga);R-h(vR$IoA^`TV3eg9hUT zo9x!<%11sKU>RAdXcB6W;0+%SU%wZZ91wZ_+j$XON5eKS5F?)WlcmfJgn`;!QUO_EEm`b_MR4 zc&-$Sg9FL(K?Ljy(*1GS$IQvab>-c43BXgHm&=Sjk9{Bcg)yk4;I5Af;y#r{e|Pbh z46#G}RE?-N&DbYt0>cFPDKPQmXn*h|?4w9DlPRry^;7wUinrC;m9D5F69(;6%EmZ2rJoQ}f6cgnQU2U5zV#3>9{)8y zPtiW-p6v2PTSXxzo6)!M2q5M8ZwmI-f5F0QYJUOch6W4z0y)Ek7C?eBfS3Ha&djr? zdiM^2T>Hs)b>~W?1^O7cap(&4Tm=|WNRH7%*XLA?KMB<|d-|)kJeAc1I-N5p6HVXU zm3RIz_aW&sLVf;Q(q%nYy*IS>$3AxBYQjpcqbuz9%zOGUp*#YBloT^Y;ska{N-E>^ zPi;wCihZ(6opUPY7UvV)FbNu8J5#j7ArBYvfjOYsW-L0Wa%~>7Cq6uUsj@5xE=8`olZd3`fF7T0(^C z?oJyVyrL{EXBkVm@ne(`nS;N+W6&!`!w(5B*cuL-Vsa)Uo^rBb7v9ZCbD(e`D_eso66US@5YnAfTu45-3G)Htx>70^2)|HHic9o}<1Nfzr-p7&nPivfw zbw;+6UnDEZ+oaeumkQD0XVVhA*R0TYI=6@&XH>Z$!5N(${1ffzr8()A8N&6#bT6ip ztAI7CIYcVu>*CuEUwo`d#`y9qG~G7U%rwWX@Wgh42eA7R=W;r=A+b36z3G{H2LzM3 zs^SgWCn$H44XBLYTF+na_*zqpk9uW zd7OfDvjO3uu!#d#BrL!I91a5ld9r$ZFu&-R{G1HCKO>rWO}${?d-8Pir^_LHb_M@a z_wrjtuBEU@WQ&@O{5tINFweZre1+Oc7#fHtZ++e8}?CHR&D?jF)VOU(9F4ai-3QhJ!~I#N`eO-d}IrPh=Z#RmYp1`eAh z3Ng$*i$=eAAVC5gY538%?nZ<6Nh8lrv5OcS67fl;-Q!!)a;!n}5GqE_(0wvjeExJF z1_v03@M9B8!J8aw-v5gDpyiAb2&hnPE*oSFM}x7W?XS6T__lIo9_NamA0R=h4m}*e z4ZP(#Y-nZ#j7|2DxcK>N@pIM85F~JL-ulg&zxH8J&qZVKx8BUAJz;!PbEOil49H)S z1LvWpE&o>=8hCAB@Y(=}qvML;3Cvq( z<^r^3iOVwHjLIgXe;)qZ=tVW4mJ}jse|Q7HUnvyoU3+^u98GHwXoJN$_hUp zu;Q}=fEW164tx~`zH$R!>3{$s;H#zsfEBnwyL_G|IP{$9#M}yq3IN8aea!?YyK%j0 z-x*j)qGL~4>Hb~dkO$$dx%gS%}$tkW;0d5`tjsQA#2j2$;9gQ*#nND&g9sM!(0BV35Pc=x01h*Iw7 z6mh*|uCDlGjGUZ^@cc~PBEGd1Egqkg6oI**7407=bId@LG^F4T-EiBgT{ed0|0@;3 zv%STT%r0dOI>!-d;5>M7>8E;{gG|Xj7DHY#AuoR*FGdcpIu24~%Y~Rwmq-|??BjLu z^KJ2SFz{0JYNkfvMCmng(T4i|ynon=ddtRz0l^Fxv;}1HipKF+NJKq>?@51UfTLA> z6-_HRs|>lOFr6>rg#P3@XlFwc2sUk7A>8BZHPn1HL9o4VSvlJWnG`4|^_>3#V^pA* zN_~PUTOlH>eHS|x@P*_L*zSBSNN*b&+wsPd{9*mwKg!Z%ztO~mptIq$$DY_(bS zC>p?LLS~k0PF6stf0<@T7Ibd*wz>FtVFPrlFd?q+w6~Bz5GGikvAy~zPr}Di?cGv* zoS0cB9k3t@qFwD6%J1>mpa2aVM=m{S_V=23{lIx;eR@IPx|JeaHZ2Y`agchqF+_IN z$jyX3GweNvbx=f5ldAE3ceH;RQSn0LJ?AV_V>g!GEy|^0WeIbN8k7V1_9^nUl52m< z!N!rivV(eizk~pq3K49ufumeAj_Wj(yyNkWYL;R<&Ul!dqCUW9@%z{B>u6nMY_yAm>~89r%%G zO{1R$f!wDv?DpG5i6Nf6`J6`h_zq=uywd{z>1zwo{qfR{ zF97lSylmJNMJBLfVjbt$WXd*UigGt#*m#${Bj}x!j^x@LcvotIG|l84{0ClQ)MA8v z0qlO6Q67&t#LE!_M^0|(zm1j2;W1a(>2CjKEJE$Ev=__7cr@tm~Rz@N5SmP_-E}^ zv^^UmD@w&9S1@j+*D+vC< z^ZC2O2A#8$T_L`~7c0AA(1b>r9n5aa%F@n>#LD5vk)wPkiJ6z~RY09)l2-TlPq!kX z!7L^`A0p*hBw4<3&h!{#b}s5R(i^OdeJHg@gNa1UZj#JDr_c8R`Y!6PgU;%T z{RV0#QgCQKsUTQ6Ie@$=lVrN^HFwZdqBNcoD2aC16J@8Z!POE{^6>0hl$-Zk=gecT zj-tW@8`M7sGhcN3n6dvl5Y-U_+5d>R;z#bm=d|JxHcNQDIWPK)D*hTF-{^0 zXbkOEZ8accQShn}))wd2VCMbG)Vy_?zr}lbDt%idb;35hp}iGUIM-t=n)nw` z6#zsJu$W;UdzIO;EDq2fvlwVDaVQX$>mvHc#(OA&!CI&y^8=i{8t(G;J0t|>X^kGK zFVBNR1&b5i*P&7PU@$Irn!bDAYM(-ed$p*RwsurlI@`ci@O4f2zOyT=Lj(O0D}PQX?x)a8yDXmV zxuXkbY1s|@g@-*fdt=N>MD+VnUNtDK$lwB;zYqsjsFV zUKY3NA8crUKPhin^FVIQ1N%fC+Y_cmriIp$)jUo{?*1mD7_`g zjbFn?L%9aO;Nqfeh#Og@j<(4xx)90v!~3@Cxx9T?D~D87M{uj1&3I`I zlG3@l7>dSSn~J6!DFMNrXe2cYTS4&WoaEq9Z!_d504)m4JnFxQBILh-!$s!5$U@|c zby2Iv=?6Dmp(a7v)c1kxrnvw^AA{hx9S^}_H1X2aYX2q%%qRqq5QE=pJ|F8m2gHTN zT92Qkhy<0J=Qc~S3Ox_nV_cuheb3AGJx?ajI#b`Ri}PX$f5btowg>6;BpqJ=Vu0Zp z{M8j3HVKgb3x(mxW+M*oT^-fZ2y?n34cDQrWTR18gL;^8VnlFF$AkgV*A7?}cwY#}EcZ7dJ9Xd<D3Fo$0-6jkeftAC8t{IE}|!(Ybn5N$g%#aV6hXV%1f;R26N9o`RGuECSAKd=C0(7GA0ZA4iI(wYa^^3b0I8k%t8GR1BR|-~EXci= z;U~!dbjp9h@^NKosipZ@jVFu-zZTuv-P8e2Mjk`D`GFm>{$)TO4749Zto7M>&mS;W zIrzk-L&N&Pi0C7!cw{`Yl>ms_e&CgmG=5tEB6FhbPn#6DIq$WkC?%G?@j=|C&l;=C zg)di1Dq7;Ztlc$fDXnt_Z5Q{8(qgFrBJQtK<-dcUTBx5Jl8gve z&~9fZ-#{1=R%gDZUPL2kG*}qJ>(|%f@ncCW!k3utQq_!@-^SP;A9E-=&VXCN1cb<3 z5RGi@9aOSg-%fs{w}`6JR@xxeqk;#x%6B1SX`ybLTRgt$`(Q@8EL+^g1B{aOcXx2X zhC++GWS7ZOBz59BuT;NfCe7cfnla8E1@%S0jasKOVgDXoBK4uMmz*Q?-7$kXCeEk! zA_1e*caCd^XEoN_9Ui(Oe#@FkvaR|vo(Cyf^#d(R@tCHavgP)B9TP9D9t%)080WAd zy*LBT07iq!lIO(jrFTB1t8`=AMPa1TTHwFZrZeguWtjK(!l*&!nDbd=!=$(R$Y{(= zlszAgzWSrCDD^qLE5zwN1@HG^J?*pXEK*?(IZ*oYD8nbS5ba-l3IW#d|B^FGwoK*s z6ceo{tlei@!QRm%;J*gPZ`f=f)VUuV#1pQtt;(a_Qy<|ER5#+K(N4ZBXK+Lm(=uJFx5)iqermi zm^whR%y-s*nL@Lu5@dgO)bVS7l4L;>|DIF|Vt8iFoIks;A%51oun`34EHC_*_bV;a zQz^TBe3;e^NOeodGKge)K6H43E29IMGV_>wvj{d4E7*pGq-Xs2jqu-^ETCW#Ud^-3 zU;`H@=)b~KTtoQi)errDk5prvN80NV4b7?4jxl8)k&B-jAuoH7mpMp~r^Bm}Lk};| zoZcDBPL!R;QE3ng!p_%p@ndt=4$uGIlpK8t*_>ed*P`g3OgVBGF3 zf=PgPcjL+bV(F;sgpzc_;=eZ%VKy=Z?Qk-0s`mlzA;A6fp!dbP$tU81q{e2qdExfd zg(SaQcPJDwX7)-PN%=LdvhbCB@UDlE5$6VN=? zP-l9_J8)d=3|*12nlC4`+J?DJQWRU};pYo_T2jU!lsb}MV1abJ0?ECLx2K){ofNtI zbGL=8;CJGMtODWJ))it^t~xfV7n^&g20v&dvEasJkAdCGhxP{A>W6;YnW7R({?vp0 z3EmjP=>(`C)_|`pBkY$r>sIzrYn7<8M1W_sP$Vw>%gx1T60*)6>i-0XpOBX|$jc7o z#l``kv`pq^_8vwoJymlx{f_P+Zz7E;{emkz3K^v31J()sh`QPL(7%k7cTw3L63c>q zZ<_Cziowp-j?>_HqiNjqFtM*GrP8r22-sae{0XH7nMv*q?sge!T`JI@PKmb4NK#Hf zNcZdyncaw`vdJHG7#xm14{p06t2h~N9m^U?QGUB|DKn*BTY56wk`76S9O77dKTikN zQ2nV@%8I|}A(SLxoIoN97h2ncbWaXv;avBz0i7b9LAYE(nXFI7Te0QRk~M{kZ(`tq=dk6)+uy>C@HKiFtG)m z>hak^x{%FTrtgo>XdQvEQT;SbxI8)|`Sj*(df7a6lafiXOVxC9`Bx^p)JUa%l_uQW zQ1A#Nv5UwiOW5Zg615ex=2&|XI73q?PtC|#P7J4;-gC6T!y@jisZriKkRVB?)zO{F zOEDsk$hk+;O_a@(8m(nKbo-uI!u91oEvd8ec*=L%94^uk!)_LCybC4)Qj0tPMII3_ zZ`sG;;%C}ocnSw#0|LmFlOkb4rKYBD;kJ<*X)s&drkx2D^7>m3Z)$VSU~$gSDjA|O z+|&!i56A{y&XwXjJcNmz)(a#D2S)eUzvgzD4bdJMiE435R^7Rdja*$*`8Sk{FZ;)DU0L-_h!;eO1fDcoI)YJ~RnOhtWHmg+EFTCRDmE2OwXQ3Vq@edc#X zn?1}kBLuxXq*n?lZ*&w4qX<@Xyz~uT;y|!i?SSwAwf*f9`1EB$1P8ph{;dAkI2lcG z%THw19j&bYK)xnioEZPHV#S#vE(HVf^oH`o(RGwgnEx$|b-y4l4%Bccq+YIw^deb? zi-Ot3fqD*wRLi8{a6Lnk2dIe)Wl^TAJi}{DxJ6KkLhVaxB$)mpM8lE+? zsrP*oA37h~crOg+gYrNF8yvZR2X%>2b@0ZABye-#?$llI=bERHS5byXuu}Q|3;@0u ztZ}MNWY;XHILQR~abl0b{{0-d{uXXag(2N5kEYfxOL+0uP)lF>O-+;`0myZixg*L% zQ2=FW>6?d72-xYx^wLel08IoKEd3KNZFnkmK;7>8JaN?ix`!CRB;obNsML0)Px5_J z&$fUYG&V#@$`orz*E<@A7Yt9aJZ(L3T+8iHu1q`|4li=3%&QjQ(G1i;py~d0h}QYs z!ug1w&RQS~g=4D)oo=AIvNOz z)6uthia!wcO9=74Ejb+wyB&|c<>z<=a+lKW?$IuL-bekYUYJx7Qnjzn(m(SZVS{na z@3r_R?E2nan7!p6OM?fgjX0O*b+S*r7B!kw5j-v68rcBLkK(x-RPT1SAnQcSl(LVJ zkRT0*b95+maiFfl2GufSu>{33eBKTNHUM0ehB%CxG)5Fp>I}U{kKNgeTF<&dqt=Z3 z3D38~zrSlu@b0^mWAZT^H z<=~a?M*%mwXhpql+$!;N2HzKqJqsl`^ zT(F(+7grl{bgv6C7M$goZ3`1Ug{XD{7$KP$&fW<|Gy)=x^)cp_oDBYDU(xS$^!U@G zA``|!9F)DSr?(kwtsjI!q`(5K=E>wg7F33(i=4{q52iL7q&~F0M%m6>JY3n(b^oG8 z`#UmAleb$+HsMb9nfy4s?VTFK2fRL)mQdl}>9XI1QKFgzqe*-*3nV!hwD(XEeP=^9 zh1>f+nt0%X9!-!gnX^MIJRN!QEV59vyhnS)D>AS^nKww%j+uivH(|=9K1s1-a}Ubb z1VPs^CFHjI>?xhi!A)-r*_E-AaNb^(BQ^MY(sd7F>zFrCMRy)74gBTq&6?~Hn|`%Y5dDfHo8C8Y)5o^#wwC@+G{qSavl$1( z!;HwY@F`MuIll|QYT)5Lm%nwCXrtBLMN7243C|YfPzPs!1^)Th2SbZ`@u~pS+fNpK z=V%I7CpJB}LA(AoL`8ycTXXKkmKgOU<0cBO1JQRR%~lJfk-_uE#x!Zl+q0x?G;71TTv{qoclDEIpk^G~7=^Uh*L?9~}U~K)6UinK+(jWhX2nQWHksXu%bnR=XYTjd-<;G5!pRQh&U)zKA{wmwkP6?0sOr zv9tRjh_~syFgj92dKa7#U&%5hHTulA18N}$Sio`Mb-edL3Ky!WN@=`0$zW#V3g7gg zz(oF!!(R@LsDb2jb>}m4OmEEG*;xymx5Fy9Ni%`I?AYT2_Cq+AIp{_gk)w?}1+HgQ z$d}vt-vLvUiGQYcAGZZ3Z;&Jj&O zh{YEwkV>kzr=b>ISBxfAAVGc0vMaW~Fwkgaip3TbV5?6($e5P*%hT-aN$|c{<3o4L zNpr{iyz&Oy9?G6s2maA-3eIRnH-$6WjjogHAk>Pr=AArAI`6mAj=Sfz&Hhk+PYs1+ z9kKRno*6}Xcl`oTN0i z0}Ah#q0|B4As837UOrPt9SD|sqguv?^nV40g`{z(rssH9=M_+)w)cVR;N9$6wf!7E zrn4ry`=RUBdEJjnA*%wx74s&XOYEH%=Z*Uz&*(bg`p5|`{Ej-uPE_rWknM%K_{mFS_o5+Y6&$xH6A1TPvDq&_Z;mOB5LH>Pf;ZG$C1LiGaN? zeoni9)?Pdg_n*JA0cp{pwEuG?b`<=1`_+W4D#ecb8!5|0a1{(;M3LIZqjuwOqv-Y~4e%T$g)@a}P{@FRYn&_PKiW29L=)TEiYrCaL{fTavXD)G z*xIAYgDL_Q?kg#{pc7K?XU4ScQSd3JQ6_(@&&O!9MEq_2YQ|k%kzy(y#_-t+lZYq|Ibn#O9gU z$rZbHR8lK=UndQZi6RGda{cbo=RbX7;a@*~8tZ%;TYf&~gl6=ES5E|jgzR3LNd=^DwV zjy)$A!KNk67l(}}T_2MaQ^&F=MV;F%u$?s(#G)BinVh~Q)N<`Bz}4eAgONKfZd`n@ zZE0m{w*P^g?Wldc$K0g89x?IixoMBrEdm$)jX54lVtB&b?3K{hhq1X8F$czG+K{N? zXR7fP@PFwI`C}VTe6+JvW(Ec{eNiw3oF+1xZ_b9SvZYt2iSpW*^y0h4(T|Z4bJNi8 zBe&lYbm+^F^6EWK)^N4`csOoH?x$xFS@1VkvUvn!;=P5B`~!*hQ{_watjo*~6Cof` z_;6nPNNa2>tx6qNZ7AC4D)0FF(n z3kFYKjW!@60ZISdUhZ|d`k`Q+ns{|TW>blv%}9N^Vr zT`=)ZaWJ1qXLMaH>l_6oG6tRdcl1sCZKh#nV_k%eXt@?+Ofvo7&iA+ih3s&3E+pqq z_`Qp)x@$8zBWJBUJk~}H9g5yyCYcE_Nu{S?l1ffEZv`n zcqeBAw5b~)Fpw3&9rsMOfyQ9Ip~S3MPfwyS;6Oj3n0E%JYr=PXOXHV+-UfkQ|8{;h z8T(A-L?L&rv%lW+C7toM-Q$esnVO%4O`da0q1Kr6vDOl3gO59P>1hqYd!29@j0^3M z@#h5E%RII~%&`8m{RKF{nVP`jKqg>??0=RGA-Dn1pB-3HYU=r)v!2>gOW$OrWAEop zSMEXtGVJfX>6Od=9FaMD=ZI0Cosg~xRg|3CKn%ubh2x*%J|cckv}QQ=t__#bJp)Uu zCbG?B2X^1-bPhJ%9zs3T*%LQp%GT-5XamyriA8f9_}F)dm4xz9Cz0%{i!PnpJ(JHX zySmMo0*&2t|Ac$%ZxO$%XslaRPZ~-(pKmBQQ}+sxWMboa)Mxv#BtY_0X~Dp1LfYWN}p8so`kez6Wi&jB2Nfkr$=1DbBffIx>5ca@+O^ge%LO z55(5XFZV(Sh4L*>G1BMQ2C>&Yx~2w$_YE~rTJoY7rx(vYnpcJYY>se4%Q-gmPb6$b z7VeCE-iHL)J8W<{$CovJh}PDt|M#CuioHwv>L_Z4sqYpOHx$c)Rk6xZfa3u9OKk=f zr$DG&1d&^6a~YzkYLw6C)MIH$`n;bOzre*Cbz~oojVun45G|8z>VZh4H2l6DtA5BP zdKPi#-lW|NKaZ^+SU81?3^u!Uj{{5whMMPvv3GKq?CGm-cqT7Y29L86aLUl-!QsK5 z!y50}U(TLA?`e=FNCOCj2{si**r{L(5LDvQS1JDm1TG=he}&E_^+#R87Q!KwDDi#e zk&cM8g9tK*f`TYAJOcl${8&8DIR330I~3op=h86$BcP-IjI@>eIssijEKS#AIqI_a zIoL=zSMCsd&Cbuy=P(k0=2kWj>~GilA-DfFxe*<$rSOb$39agmOQ2F0_I0^7rCR&> zoZIV5*iL}CRZ0dN-n9~hZ@sZ>8oyHPl)2wcS(5zAMtaf(RZ@5|#?DqUggSNr*Uq+} zpv=3qv3i2i#@_3(FFV#|omH%<EJ~hS0yDUsbFObr?-Q% z#6CfYRpmaEd=Cgd0soMEP|z#DqqD?WqGqYJxMf5>RQQw#>g-*t#T6x!L!X43qsKA( zU*n~JY9n~nP%{h%&cu4?-j{wFm3(h2c&GkJOoT9C8~a{voq5Y4E_KP-NHsZ1Xs3@Z zAjz;RO>2Oc)Ib`0-04Deb#ln@Za@Kh$B?WVc{CdR>+UZeUq7`o%L0S-Q4X#_nKdh- zh_A-8Qq}2P^8I5wsy>mLo&x`er>~BSt7rZ#6nA$h?kuo4#a))-zCdv(?k+`(yDaYR z?(S}-1xhK46f0V^$lZtc_ql&@l6+?}!e(+NC&^BQX4XO*05aRQ_^Fh)Y&cc#jnR>? z2v3ymhAb#}DJ#Aq$&%zNo(I2&kw3A4|KA!SxHD4BH9Q@^(W>O}5s}Jc{gB%V3 zSJ%IR`Yj&lmK9~PdwCTyj6Z7pa+ESpk$m~iS1EFTWQco^_J`+J`w1c$F`_#nYWWN zo1#Ijo$PZ%g8kuCIF2f2JzGPd`XxcjdHEa>A8zz(KMjj5v4P-s7Gt<46!~6wt~9zc z^6(~Zg+V!j4pw&~z0;89;W2z6Y^=0UOvws7k%ibwI`@xbjixC0Vb~5|wcsY*gx)Hu z>F+LazBh>sria}>GlV!kqVyDPwymI&qGyGKg07;+qn|+W(LbYon^MKn6L*BTFP3~H zYC}(dErP;sHP51CVD14$O4uXb^NTD1*M_HPc%9tX`Mdv?_l)Al^bc(%(Rucu9w1Ok^vyR-6c<)SIL}tCq+_2JdX30 zhViDL;A$j>``6_5Xd^k|HcK8ydVAsaJs)Vlm}hiA_Jg6Fh!JPGCj-ScWY?*3*@81( zx7DU#^hN-p0H7rx=8zx|a_sKhChg-IYp zE=w>3i8z)ryE8LShF@=jM?oIC_98D|fB;sZEFe3MEYlMHiahfVSM4SvUXJ z3nb5N6n8iV`exaMyQ~7Kn{}5iql@DAQp|4Hr!((%?V|V5cDA=@M>MVQQZ#hC4Kans zavB7Y7{7_X!n62*kxOVbWbvEgW*zDQB?iTZq(v{}N&~;n|f`}E3AyBs<*a2m&+n@XUn4^1%VVYe1`=tULHuaqionN>d zW6j?MyXeYEWN*iooC+Sqm6}yIBIw^?S!4|yCIyYRaWMa}YANc(zDV61mpsB$;>CJ+|T_1f;=rlK&M?gUsKEC17g82co5%TOXb|;&i$v&#C zRC4_G-!cb+z}z%a?X)Wf=qBb6TK(aR0C`Tx5Ag-VDEgYoqsOQ?oxG#+ArY6YJ-dZQxdg z_iTM#eM#WXlOdz%heW>qi+KJk@_J3~erpZOzzVLQ+Q3`&?^K$HE}rEqW8fA?s#&s5pNqCxVJQGZ8KG3#G? z4_?b!&O{JDWI0#eZ782*HhP~>Xiz+}$m@zSQk7aVz`(pk`Z&|YyIuYULU(>KF&0`7 zbj(Tz3l_^LJ=f<24~m73=IqlXDRSexKYW|9WB+k`pE(?F-FfH8$*AOAnO=ToJsHmz z63Q|Sqbhz6x5|YRA161)#ZF5^fuPxtwTB_KPAC!O^h?dx?g;eTPZY&z=`)`dDxUct z9>Fw-E#){}jxSgI_b@5CEcG&<_Qe)HMCn9Pn;Qw0T_#tPNqh0t|+g)T(e2f)h&!?doOfSC6T>GY~Ymki*sxQAiSqn zEDZV4-RairQik_eKkl+3W7|j*&88_aNvf+i$lFNcsk1-Vn%ZOfr6Bt@#D-J4?c=_) ze;VWK$#)bf9n(LNK8%@sbeJHq7z#cK2^5GtJzMyCX{YVArgwU9!ho_U>84qTBuj?d zD>9z;WU(^8Jx}c)UCEpT{{e_=p|yM_c?Zwrv&a~>A+Xi4Q65DzO^m1%Xs34p4RPl9 zKy+QdmbZMuI*2~fzO9XZm$5v#bCXBlA_3KF96|6?-_Q*`=f9cf!Fw63zZn=PUd4Jm zSNto*sZS)5Pe7YJ^y!bYp=1XmlZ<3dMc(%e_;87sJF`N(Z=e2Lo>X8+dr=Qac5qz7 zjSZWsnrcjOvM?w*(`|ZUifrq59TjNKFxLfti{xYbrH;p|2$y}8*MhwURX~lhmGdis zd~T=tl$PL<>N)Q^`~Bxmsv<6eN}-0V<4ErPPFPY^)ekCzRedj8!`wNoJc%Lv_MbCX z^4k%I1SflAfetmt(@5q%+!;A8k-sM{&^Lw3jGPDf_}}di<~tWvEvr-1HVM!wNo4DEhbOqlKFo9WLXoi*w_#ZBMF8fXd*g<%%(RT^$pdr~w!FO`px+7_J%(6h&;?tbvfl zH6>Z|@E!e@OyOKMl!{zvCq-XtE7eF);VAzwxe4V_5w#7DkSV_Miu#9ic-CKQr@lMu zz78f$MSrs5HnEf}b5WaN4Sr+HG=g}u&ut>)B(kQ3=g|lyDDdYpoFO0~d3?>_?}zYq z140^i;l=BFl}LIb>=GYz2KYfBmaMlw?EWlRfcr}XDiSIWh=nyC;;J$J{eAgToYJ4$*4_2wZvRMRIr^K?4*=`(4r|w302yA$rtNkJalz;sPan`BgoUw2FYKx)p7s8i}9<2d32C#w} zf7;v+7|%KESXL5D`JUYWXoxfLyM#+j*dK3zgmHNIM~Y&*@0C7E6xn>|1>`m4<$x~? zJ08t~PH#;)Zivijb=W&su`Drs?ar$k-eZ}`FYzoLw>l`{lOB(O{NRX+J^l zc=}Y|zF%cw+O)kn@LKrFyUdf|X?OYYr7 zZSzYI7Ev;L7W)h>FU1tU+UQZ2WER;Tz!s^^`#|@-O9$vN+WEd6s%76cU_j>J6 zmg+?li_~RQ@!HA%!qa+=4L7{Tpbqc4`;NzU{=>>|O_o$8r=`j*`Fj-?D0NrKj?CYk zYK@f+fRR!5ewS`3=6+oRGm0*~$ZYO7V5XM_p*2-j!9M>~kgQ9qTTv!*&xp-C%AVj} zyzZjqzQ8quxW%AiAIJD(;Tz50dOJzquFZxhv*Wp-W0!D@n~g+Y2n40E3}I(yMX9Lh zxet(TSaD2@%pNyDYLvC|{GNgX@4tV^k6bW2I4wDLBw0(lmJG`}H2@zDf2qnu4?^13 z0A1(c0Oj_>R`=DoD+dz#Y^Jnhn%qQ6 z@kLy~^rz7FMqmdM0^EXa)UO!GQH8VJNEq7;6^6|f95IDudevkOREpi-1KZ^dhyP7p z3;7k36!XYvQCnIXuWlgD>Q=7km&=K07GH1%*&3TYz}e{e<@TkL(Y}G zp$?qSu?E>!(J39#L*0~Q${$XT-<_q<>U5LEtCO?8=pqr>joVoqTeiqmqCjjE&PAY$ z`3m&liEc7Y2^$r0DzR6LN1l>jB=(+tA7vk5b8px1(VS&1eo_i4>9TmTP(#EszLTGc z-Y0Vwlcj4q?Z+9fN4y|zw=K60`?i(nHZxM80O3Nni+8tVfG-?3V%n#?^(BPX$=M< zEh%4Tp%)rd?Isx%eWN+ONL(WF4^wbm{~?Hj@U>B75I|pCkmp_x!G1In_0yg&B#&2 z+x1Hk;`e9K4N6M1@(zxprZ!zkcy5`Y=@D(<2u_Z0w*8uD;mTVcjyJTQ7@DSPq;99T zRfGm7X_eV({Vnc0J%_ud7K78~J*e~SQ;W1TVmrvoNx)UiiNe2f%6c<0YVaP6O_71x z>k9=!F;9Aj+gGvXnSQF$cIjydiu&Vv&~#s9X@Q3AlF7>upnRORlXghk7X(|GPyIWY zxQ)Q@zW7nT2i{z?6}>iaj3buLbb4^s4`#U}`8CKXW}&mGBH5!^VV#dBtuN~wGa8HB zQopwM3j~47^>lXV`nb%ms@)HxxWOKaGs@EKL}@6SudyQ(GhNR$BQDJkU zacEy%KULrl)uQCpfgg6)symqOQ=tds8_k<;XQpnxWAk@Q2}y?gj-K0Bp>2gq74P$@ zMKV}x0lYVu@PavHVdFRKC!C1^rFWRt$TXvHPznn{!B`6Jys(W>$G8Rh*weYjdw+Iq zZB2@WNDaQ~{dso|lnXf~-(TUiN0&AUG2)@;Xk}3S{Jrx}S+&l+R4oPKG>f2~&%pXx<+)K@#)q&QRIhETPy0-gF6+n3g)~|AI+*3DMaYNHv9I%3Yx6O z^Q?^$Zc599;6Tzg8ax)8Z5#_Ln9TYLqkS(|L1P`92yobQt5 zf>Tu2-@(z_R;S%+q@-<(0Kr|&5T3=|yhe>UMwL(7aoe$M@^1GU(tVYq{6>}kzIgJPzJ1sMFxqBco1dBy5Vvy_i*~-xn$AcvUwKgJ>a7H{*==OB1W%f_E|`p)eL{ab zn91>NeQ^l4(PUtjNR(KNgh->^;vsf;(t$AtFK!#L?_gmd?ww@eiz0O6yZ)~d;lJJ& z#jN>dV|H^KSVR39N2#<6{bMmCd>+MLen146lkc%hu-=LZ{EmA9#*k&3ur*jF%@`6+ z_5>u&91~CWSi%0lN}fqG-2{^&oL&|2JQm~*Y-JBtUdWqF9$++W!;FYfScEUA3jF;&Lwmm1BnyF*O)XsOa~z+rYKEgsg97t~>S zI$Y6uj|C<^iE-x`VU4#v+&~0Px;5980EntxGED})`xVzmRj4K7ykhy^e<9`Dv+`gO_aE~fp7&$n9SQEjT#DKpvaS_@scE;CIYuTpo|*GJ5uxK8Y8 zjfrg#>qMqIr4X&`#1NtWQh2{Aq8ybmV45zq4;)*bi4b87j#RpcrW0ZPBCpIH6qPYS z(=G%XK>G4FifXUTqE}%#s+!q2Z%)UgmA{GUw%AjJ(S!MlS7siB$!~ulWF=gsZw<~m zwV$AXG}&K%S#AEZT4-mhF8~;MVC=euWoZ(>n?`$ga$BA9~;g0`1+=lU2u0za=r5;?TXyVi*2r z5X&3g!tZaCXHYw6Apb_Jsjw1c^%`kFpv=?pT-GbJvG6rv5%mF zv?5KQm2>$~j6ot`P%5pnu1F1ATaB2V_{fc@3N+FBChZL$#zzxGk0jSZF{{F0;Zex`Zt)T-=qU-tg;U!c|F+^4LEugaZgc?YcoD`RfzMpjm$Vc z8&+$_QG>%5;Ss;$E~jOVT`dFb`{?XvYiwtvZVI6n>K)1K7UD`pUiJvvkro_NQs>q< zfg>&TDj*^=R#I|aT0XMQZ!OVeVLb7YK2vW(8s1U1th(DG#E>ZRX^QO3Tqrm5n$YrE zZ4$kIHe*!0{nrxJc}ga$ZWrlVl#XgREU&-+Vq>595u%qhCNK#6_MuMy-{l|`T>$f*z{G) z1xdBLFFAM2sYRhn{~q(M@=>)&*T1JV&V$qE*$STVe960X*Zu5^v(iebY?1TxyHeZ> zAs#fW-3e^Y!3K^5Vl>84jlLx9Ls!^Q@p&g#rXvbMon(IEzCbVQD(r7+?^B1Z+bpIXx`41Pec_k!A$c=@oy+8badx~Y zx4+-VbAcR{|ZBx zY8swwxvVU(hGO)47yNOIr~XQn{pJx(INSrJ7%Y{Ko!h{D z*s)n>d>7U~InfoyW*0W(v>j`8y^cqZIz&x46L0G}lb`gJCGw15 zQVJD=EM)tnxe=hf2uKN=ar=(84gp#bH0Zg(Np^TYF5z7$OJ{Sc2OxONZ8S)6UwtVD z?>1hD{*c1BVn$0a^CoC@cT;ySlDH~GQPav7Sg;g{zC`E(yQxcd_+K%6#XFzTP%<~< zrn8Gqs!LD&s<$xrH^(6Y5m$~!vmL!uC985chmkSeMZD2t?7^JXz0Q|Wgen24m+;$? zw{LRIeXX+ScA`ybuRfOVvHauG`fSFRuNWRW-I|LZy!crecKU3!n*%?|S&y!)W7Fzg z+=cQZ9Ng_tt;c2K7-1J`-O+Xh#tP5spkm z_33MA1nKOXQdBDB93-yI-WUD1-W8-Kv(FGc(W3!7=7OE}3iT6!vAwMxKzr3G3p6Q{ z)95tKSC=szCz|v(Oq$Upo_tio-NlwqV6*75VjnKl(cxDKkhxuiLbh166do4>71Cm|cK-{WHh z-Ba_aAv|E+x4+xsa3(A%y^oS zlH#%dY&{ztoS$OujNSweA;LCvyupni>!?sl<&M%sqfpOgE@NsYw1HPjr8$B`pG7Ye zA>pcrr7Pj^%@4B3s#W5s-}m?%g%P>P&KBLt-qlrT60lF?audYkyLBAG;4U3c{3_+Y^AtiTFt8^2zYmy&hK4?Aet>Hyj7)_q5;#o z2#w}W2dzjOY;Pv9RGTsEiZzlK8&U#x`?4ds?z}*{vs12$&MOzFxCn|Fhl=Pj*{ANUUSr^xFlrz@Hyk{y_Fm@@2Z3dcCgR}}K4t6qUmtNZD-&&luUPy$r7 zmk2xQnZ_Pnl$x7HoAI82-CpAwWfKtYmYk&sPX8~-R+`y{Z4@!QK6qd=<-}f@Mm)!M zR0}$VXM|Bn&v3k-?Y@bSFPT(T@DS5%x;0i`T+E?ry0$eGs@_2@s zQ1&3yhblnuQ$I^6%daG$iU10Bb8oi&B(aEjlqo%iVjAkaZAq+X-1fU6vtx%?PFc)% zu;kG6)Hw^XQPdx}y4K>Fjwjjdi)CIMwkk8Zp_sIK*wPKJ3!qY+cI$MQV_x zC{<;RTt7P^5v1eMz{Q7ksI)+U%?huGJ8DU`+F007OYzDcU`v*!Z+A;-T4OSVQjA?V zDj@&1J*l%XmUI$(AWH5@;x}Wl;8Hw=Wyy)QPfW#>U*Xc!@s=#BlW>&?YELVEg0^ua zqg~@AkxtsJYiXK5PmI>j6)hhe-r_wEnj}AA_Wx=nw0|0=>HJ(_(4u-^^*2P-1EWQ28#WEkkgQ?o`1+S? zh{orD|7M27K)y3NptCxaDmVa zm7e$9U2j01n3^C8jPm9YStO~Xq4tx=zfi3;2WI+lAZ5D1*&FnvD5|m6Yu?|SGK_0} z2&N&mQhd?SX2Nc z-$t$?i;r?<=_dPG`>Cy8mPtV7@b*rL;YAlUxt#3drO26J)%rw_xoZ;!Pmg}(;mDV) zDc<2Hf(%VsaMMg;*lvRPk`PMF%qqfm`AX0PKu&0Wz>e;R1jDQlt}U4`+o>eBHW(1= z%u>O#<55=_Y9P{fMWytBJ=EA^cuPSxgt#r-qM{?J6fGX=Y*e;FaL`WS|FW!eC(2+{ z*?K#&>LN@^A9(otjzL(uLC8Get~BpLxfhyI_Qm9A)4y^Ri&Wi!be=(tXX0$rZ%e%v#c$tI_q`OI*%} zLOj$~O0>J%B-G2gFLi~c`u&IV(-}jKoitM4xU)GEP0_9_TAoovR7k&hYb*0rEN`x@ zMP2_i)v;cX%|?L(VV4X0x7$UKs;Mv8G94suk-Dr0ybF7sh4F5j9z#UMSf4gDeEa`&Oqet-(nhl)g?V`Xe=i5EHqNMEKlhj`qxce5s~Ea zI>`aymMahC&QFuWOJ;_bdacF#7~?aCeZ>STPSfEAcZUazwRtrKH&WI0L0ttp3F>P9 zQqf!OYx9ZxTXC^ zKviEbr}+x%3K8xAS6JFzBOrPdx)!F_e&r!p8HIJ$rUTo64$yxEm4$SHUO7df9bLY1 z3er~7Wg-5wW+194Y1I45Knl@g^OZp}!OB*~f4vx&6gEX{uSj>Jb=minKxXXwsqDRIF&sY%Za&K( zW5i$WC|nqT9d9dKDm@^te+~R&KI@x)>EKfM>BVzyv~ykUH-Y(YrrG+Z;QU+Q9~}sT z#c2mz*FA-T6EuREwBhbF;n|8Wg&M57Q_0mGm>mYw{?g`169d{uN>x;zcf zvaFceyYV)OZ^Fqo!c&$Pxq~9lKb6g;R58W_+}0;>K5)2a(plpGro2E@hc{*h4u=X= z-KjM(@-uZVJ;~=BuKtfi(bLH=S;thm_7j#r);BPEbpksZQ&}#N!hE^4GDwY1me7v6 zET#CaU+_Db{6o%{cn3blIhBM%fJho36zSP7sG=!8ef(~YW@tg1g&ssvZ>_HOx?+u;}lOm9GHd|L)QliF^rZ3_;rnmtUw7!kH4x6+hlpWShyTg5E z+PO7zIw?NDYl1?xCX5)8Dt5DFR(3O`CKS@8Hb>k%z&9TKOLQGGZ6k%_#w8JmZ?qb- zeuS)j9lKc!49D7p0^w0;MY*JXoQ!o5&|o?k5L)$Bg zbF0v>W3@~n5luBvSLZP0wTLDO)QF-uo_)&{Oa|%cc9whWm>@CqE)s*Ue^Jp+SX{>$SyjXI*%ncI0|*t{?x@n0Q&t;K)~wbuphs_b)^3f%LMB zDqq$%eOGKx>jA0U)q95Jt4@n0J%4`Y0QJ^oz4YCT&;D5V$ZYq-pGmG&JJI)Jm;uss z;3hH^V~!x*kaSxqglUnsYq}_X4J2IYahuCeEmZ!T&#z!@`|+%A@0Pd6dU_XsqM4R8 zP=Ne#rWv&yMagK|*dEtzmsUkrpjsSRH}z^N^Xw34l}30Z{o{POY$*4>N3u0BjgF&a z#?+GGIp|DW?nymgw-miak?q1|s}U+xf0;}3#l8D7XbcZsb&dOh+NsQjY{bw+(( zWGLbwlOfF3%QcBmSPUG^k-N;%>YN15crcVsv`%U7n&~7-FA+dKQAjH!L1kS@#;cUd z)c>nQNKXDO?>iE)Tf&Lf%U85KPz zHtKe{V2jZx9F%wRx@vuM&y@u~sXng{3cuG2$G+V~Ozs$yG%bh=cl@QNz^eN7RQ<2- zN1xWx9)s`Io}5|=X9?6C;OzN$vgA(+z(fl#G zZ^SINRM85{T)|LPRLY_bk)vNw`>fj4Q|>m;J=h)Rt$vwO4>Ab0+v}op&?I8;G+6j4>r+g|1s z*rcm{I_wh?vH16IsngRxV&KJ$-~!vx240$-C^(VNZPCt=wcng__mVbbyuH&xyxU=Y zqbeEfr*4im$t+CTtmgMBJ9^7$m%IWY{Z8f@t&EflP(5!_z`f4+jCcSD!@ z_D%-z?ifZZA8gB5#Y-+G33tjp@Rt{515J)<47*-set!o)j7gn%iDq%{LaB_UD$!On zIm+9;1T@Y9dC;L*exS!U`x)yir43CXjiIQ1b6XF8{tl(V`$}sVuY)Q$K|Q#<4*q-$ zL9fRL7V&g~%d6qft^Tj15o`^UB>n$NTEW(p@aH=JS5gbMhH+X&=y=Ch+0-+lk9Ph8 zcfj%k!lQ}{q#X$O%?h~E9^11YwfsEQkyGEn4kpcTBgint0?eV3IgJN?h5~zlfN!jT zYT=HL7|{c){QsaF(|pm>S+I9U0~h^zAV8M|5L6L6ofbW91*?;CS*rp9GS~ncI%9jl zQOokF4p4Q+2|g@|@(VZ2ZJqQ^$Q$V=_qFsJ!D!VVRmnZ!!sdL>?^zdP{cbpQ8Ru(R zqncNdlGjYt?Gh-s`RB9uSJZ7vZcB!v(J1QzBrmMv7&4y)`rXa_5(DRB8?5 zCB3R_x++ZN*9j&M1@{`Yl+-xz-~lir&M5LlUsJZW?X<#>>8H3SVabm5Qw6iV+!QpF zp(JW?n&AmNoF`LBfGTEWAUIf>8{WTOuNX@ z+F^0x(5w+o@oKmF^4yE}1iQtKb4RFhtWKE2Hv*)|_RU!f0AVivgT`f-(MoD>{3&4W ztLyv~Kd-7`Ifq#1OI+2gIbCvwP;8dc;cXa7Mh(Dl`lxtIpu(_HrhhDy)N65fGrN0; zPN9CW&KW@n{FM+2o1sKhx`(HR*3tj9VP^YTtfHcuX7CMkx=8kbKE%_BjuVZ^{7fk+ zcSTy@oTFuX-Dm7^&Hp3vV6s}^png}pRpUNVdrvIZCtG1&FDBzrll6Wc7DIkl)xF5g zLyDjOvE0vBX+6U}uX-6XhEiPKYG39XRzB8i8NI}f*SJk=$xj9amR<&_wLbo@Y&aRD zUV15R)cVM#CZHrzK{rS9Qls>&>^7;IbGL({AFCT!8>rzXx8OpbMu;i=JU36XAgdqu z-#Rt9W)j{H+f2%La>X^?3vd)l-|G*~!c2KfC0M%%!ARc%BMF3&EHh7@&M}Z$ z)_B<~a_&TnKL~iCZVQjy-|g$!H>5~01}Ye*+z~Rd-BPFTUX__0spN?!Feum6#479y zTQ1+q+IP+P^t}6ySbFG3!mW|?6p>rX>ey2Y5~PJX6dybGZ#~ zMTAG2TXq-SVk=?99$AY60By{Z$}fk%9Y0FmJ@GsLQ;$dad9duAjuS-M-bO1`^HAPO zc{18&JzG~|VwWmuw!r^^hGlHegY~;Y&j(Aem=;(LHeBRKPb0ySs7kQUyn%pWf(#Yb zou(3KlaD1hf)!BAw$l^_1-1bJF>HVxSRoVIWCUwr2E5lA+Y21El(Gb$YJxp$W2bwg zr>S6LhP=yKH4xy%2KWaXGu}l{!@=s5T_Ad-8Se=*q}g^{BcZM`mS9I+aC}`X>^xQs zH?Rjdl|863_P>#65+;eXi%=~PP|pgm))}+(8{IpZ>gcKL$k8PwHfU~fxkOBJS<`pv z>;MArwX1t-fPj2veV8Yv{i3J&(p(_YwkHo$9gn(`u9`3vb-|7>(LAH4|D?H~5@vL> z?t~Oa&XC;a!Lmvv9Y0J+Gv1~#h-;B%B&4z@zyzio-HV#)m?`WKF(S=aBh2{Cx&zFH zUYc2gt+c_$m9gk~5!|(x*Dy#;M~^xwu`k~G)TJk^RSWzR){%DfG%`$I4VQbEc)HAh z7g%m?FM667RtIvqZ-R}btN;^O5)5y2uY0NkT+{KWMw&rLkny5D7S7*jfW5qP`&a%+ z^VBclAWCxDGJ2|my}IKsj4?1;`DLnOVI!t?tPEy0=TXZpSX*t!49u=fgckrL^`9{;F zvm0g?Ho&d+n5FFK-XKf@SW;etG=q~MV;q*-V;bG7oa$&{1I+7;g~y9D{MieKg&Z}o zF-&5~so@?Sn4{9zVZQXyb@8cY2Q;EI^+SQldA@x4cwXLEMZgP(XzGM^IH=1c9F&EB zaB>p0OF0px$q;+f*QWjbqOFWI`JBaSlZ~$MA2vnU0k^8tzb_5)j}I~w6VEPgcjZki zA}6t&)!k`-I{zf-r+eaiv3uJW(#*RBXW|l~A~;x=6O08NR_ajv{Bj}q^0cw@ayk9v zC%b2Cq~1xarFD8pnC4fb+u2)@e!<{pa}1Z_q8Fx!9CMdAG)nCsb@*1MxpsJ$5g@vB zGFM_3hyI~wAfvNH$y|f~E@v3?+NG?UYctD+YOxp4g93%5}kG=jP(hEcEyk+&2rOcvXEwUxLc%4tColtQICc2O_>#dcI*h0Kb>eJovGypib?&E0TcT7B>redqxC1lH5Pc=3AePopLar{`cDD=aPcxyPZw1#VAVcV3=`2Nds0*5L)lKRCoKkq9?(h7h_pQ3eMw{gqwE>L3$tB`OG; zYn6d+9ij*iGqv%4&7erOP*o-PSoS;lkv5-*(NCssYM-#wyT7w!)l3#59X%dB++#MH zUd05llx?H_pYcLCc=ar&ms~Hj*F$FL#~e&QAKBADEZd$9fFh=44uh&qM6A_=tcL07 zG4)GC7Ggq<=x?i=!!6R+9_%KG!XRyk;*$myHAvO=`UZeLCBr*$W9=^+L#bcaq=3`* zPp$vo30gY;-wAQhjiq!j2+000GZ5j-@JFQzp_d@2PWJ*xu=n(aWd|n(?bo}K0E4xL z*=NF<$kNKu66wjNpQ{b|B489#v}eH#IkuVMQIqtj9#3ZQ>%)U>NC zV=Uoo+c$kYHZ<_F13&g!4wEAn=C|7;Fl*7+rkUau0kdE`RLwf8nPx3N;XCf9IUpdh zaFVnFlD8aZlQZufc-f*LTOZ0`k18H%BQs8bQhKYv(Cg$(%o*`E+s(gE5#(iQMY*LH zz{6w_c~3k33o#c(bF*Ywhy}6JK;d1>rAn90eV_4aL1>kRut-YH;K+PbwtuHbYPYw^ z-&(|+XU`Spt@blpNqJ1hHBSpOLNbpMtp>$#w43GLNlERE$-OyA?33NaP|ly9h0N{I z$TfKjH*D!nuPHfsbNFC=nd24FX2Xv>Y^WPQc;OXJ?d5&qUW#G(t)!IOtbvERQ23e_}RPdd1eH`g=RckN0rgC37&Wx z?w-RqeK9hNu+JmUR%M2M){HDHP>D|}mG0E!y)>BX4}}Z%0fx(9i`xk0H&SSbtsS(C zkIwwYcGf12Xhh`?RlqtR^C9{@LnnrR=bxpQ!_%pf4@9K#tSF@{QQ=Wg#Cay;DlR3& zyuSrsOF&~y{M~beJ-*JGcvm1wxy;m2pBpCa9X409IY3&YV&06P(SJIwLN?+k)b*-a2N}lO~VjCbwdNz>Tjp5uEQM z+z>c|YSN;>rNXC`(gAVkN!vZ^1jRTF@)fiq6b7H8ccSx5oA-r7_8K>aW-duoO|5($ zbog#gl2-XaT~>6pz7cQS*d)IUu=s`9b&=l`*?6)zY?e4or2PEx;6uX|fQ3`SB``@g z^F)?8nPG0+$!(ZcE3P@tEQZ;q_$@f!n!CgF=Lkb>GzjLgrAez83$SoZD%ng{s-t6H zZs*{v$nswsJjUj~&4QY8l2(DA>npvvl&ghO0E!JK!ppR!IuOOo5ylr8ld%##VV?dQ zyQz;)r7R2dp!Avf->lY2V^j1oO;dFYn&b4!pBNzSi3itQRhcij&~U?20TCk zJB+d91m&z0H;=kSTq)x4R1NqtTQD&_lI!u{p0YYCS1Cg2QTLv1s3h#}lAkpwY84S@3;&Af zqzRL8FF`^=7qC6e`@S@^mr4q-4ARtgHOm4Go4gNl#eVQ8HfJJjulck>7^_#yCJgkw z*hYWzZBz9fSjvD&eOdZ|f0yEPBg9lwKZ0oGk=f`brWTYhd_3~k$Kn!g9DycD?cPqq zsn9Fdaq?b}%}MSu%P#w~LOnEY{`QRYv8vOX>H z=KPTJD&yZ)^wj^O=_#P1_`X&IK@eE!X6bH_j-`>MyQM+8yFq&Cl2T%6knWIfghi0< zSh`D)Z~gtxw`b4Hytr>>Z|{3=?ll@d1Z_Wh?^Tp8gYeUYtz~v5)zw;II+`b%_-RYc z1+G)2rAC#f*Yc`=J-M7@b^`U1GS;Bf;;k|=-d;7O%X%!#%{nqW>|1;o*=vD>3v(qw zJYz}R-XGvMN|Nq>0-A>|CRxFI=0b5cH}VtxVIR|bM`>TNgc}Mqq4g>?|46HqwmY7c zhC2j6GR{ROhPB5(=-LfsKkj=R?Y^=|zMW*VeW9E&!BR{pd8F~Y{%fw$^CB&k?`4z% zK{%=g71JAa$73TqyY^V-RbWw>9aGb}zJnp>etPyICknlM?bA!uUn|Hjh=yhywC4mK z)HtHuW*2XZp6!nPHaLpDf{F4_O~vcJ0S)tmu&vt|)zZE)FzgRBI6YJ`4s|lse*F>K z=iGVQ`4X$>#cl8PnjPd@jVRzX1!rEZN7n@Mv0;YoXr#Lko06;wpP&sok#OX;MWYa5w+Eo!OMC$02e}I z61a6pWl`|55l*7mUy`gvr28r)R}%$^bxhF;S!HwSUzNqdBKt3pyo~E>9_bQfe4=1+ z+thJGB#JuJd0iW3q2ICFrAjmmet+6oLm#K<`q&>(J!Pzz2n~*oq7q!wHWT1tcj5{x z;}koIO}a=dmxY>2-xD`mXLLK))AoMKapcq${r0`vE zQOZeOi@WQ0z9Iu%g7Iz)JweMe( zt2=$+QQ7S$MQQ{YCofr6kUq3EGp9qoq)QWHz3k^sVSb;llf*yX%Zn1!-~B?&Ri3{)`Q+)KIK_LIiX7yU=^zI0YEJiwNj{bl-ddumpmk{ zVh%K02^r9lEhwi#0=$DE=^I@Dyr~CHssW+bC{&XjjA(*C$h$U?P|RMN0BlBy`viD_ z{@Ef_M&EK4afLdsYqiyQoCRMHhV%R}!&5GcVTPQ$$GZ4NxV$%sd!gD&!SS7_M1yGW zYT!4OM?m9%Kvl0m28V1>g<(QaGf{~e(cbyM{)Sxa($*6JjW%su4Yog*Z_C0a5q6Ot zXBJlO2UD`6*y%ouy@k@51e8GcC)>S5_ri06i1YpffcF9v_tw**o$=3fv3bM7T3waSB2c7@r?;6 zuGvTz!l;S+ca=X?jW`dIs(J3RN6a3=;%CC|0LaZbCcj%0MM zh%G$XLY~C2CLNh(0F7KZ-#4nmNGH`D3=YAM`|A8w!JM9I7@0*`$pTCC^v(sNaC2q=n3iB_njc#~1+IX_hXidpJXLoZg!*Y6# zNT||V^3JD_)QFU5WEwOcm#dZKwA)5p@cs|f~){Bp?A}v0{S6$bA;jJ6nH4pk?)TcPd!tSxJC%Wc|!_- z(0U+56Bu}bLRJ4-2DHATR}kG=)|xd}3;07WDU(0@OD3A$lWOhYNcH;}bu35HAe-Qt zY6uU0@3=i#makhh7iL~ftCpMi)3RWwvwIH z_h#Q{nNq}=a_dEI<4p%=(EHoEfU5ogcAnRop({jaEgJsW^c4Mm2aJPvZ)SoHl;E$) z1%EIt1egAv%_$RcTXkP7Cc}B*!BIT>a%m9*_tl9c+Ds^3Dp4hV>Bl`H2~jh00-|v0jTPD{eKAhUD5j;yMPwkNhB086zY5- zh0e77OVS~~Yor0CT>jbA8MA;_Jd%@luYQlV!Fe@AtT}vJHQ+lE9G&i(`>}4Hk+q)l3tffnK$DMBl+C5dXEnw+GofSO(wC@W4D92QY3W`P zFp>WV`2%fKtwJgZ7a&qSaQ30w5Ddim;t`^~C@IqBV%DFO8S26nao&r=MeRMHvqbz$ zLhl<6mg&2fj%rATn{*O#d{%;Q-$KOK3C(f|s(+(j;1^Uy_`;HNp#W#ch4h*JEgc|yhznA*|bnch4!>Pgo&e)6LQwOzqdmU&Cw@B z9&i|4;U5vu*9_&`5l>-KBsPY|(V!2cIu#j0MOpVO>B%ieFSNBYFC@{oVvLC}vRpqI z^_UBEy51^rLkQA7D)~5ZTb|P+pfp4fP^wmSbs1UisZ7t)W`-bB!z$l6bwcALqSZ;D zuc4Z$VIKy~1V5Q=;UbNuiBS3rX$sn&?*wa52}UttOG&r#0s^OG?8NjVy1&NSk7=oQ zcK0zsE%?vhdCy;>nXrj%;JMxQ`a})1miG#dB9P^l@#YOj9D`n*xvusbH?Qkn8@sCg zn}T{av~s}G!0`r#WO)%|lp-tI!60i?r#~oaGbXfUGW54($nSZ=a7}+TK(hfLn+1S+ z7n*45qz1s&1!OY;a05WYElrG3C9F|O_)$`b(&xAWU{@0mOWSdq0u^nq$+ZE;Kl_o` z=v$YC!^H+Ds^iVl=|h6UvOAeVT zcSYkBnBRd|YCsB);L@)`B^PCr#H^!zc%uwtjO4!r$=zJ-TMNum9(0H${6r-=f0~IH zn)(Y-4rY}P2y_p4LwoNG#p2wGOfCEr`CA8Aqq-RZ9J{Z$q(A@~)+iplsOA8W#5gdg z3K*ru8U@3T@(KW{=HWqA20KxgKCNrq@bPOJ8 zY|5jU5&RF#dnHsrG9+^aVNu-hQO@&FT+)%anmN!KIyX@r{Ov)HN+Fre<{*E^e~#Sv z9){#=%(6l`MvQzs>uVE?O?woxmnHy4QMCE(qz3cxFxtDK;Je9C0h18j7IV;}1t_Ob z;;mb-GenKaNnH*D6M4h;)94Suik~T~oiscvgp9yGVG1;^sO&O=rqQu3`8~BMDvI0MCZv8KfKd=?;_bF-f_s|#py7|WqUBic?%YO z?2t5FE>|=~1e`vIMyI=5RbU2gh;jnzNIb5 zb2NCnRQ1OP;4iufqaPQ9YeU&Oe+GVey1kX?$Hm4r$5vBoxGLvgI9O@~)7fR3Fmb|u7h&Jq*BX#R1fPy2Vm%2W zZesjx_-Px0al-Knjd}`l^}clqQ7($(=%0VVFC@2`o-F!loPm7|4cG3l8nDr+v$58+ zaT<^G#E@i})8SfdWLqT0TQs=%MlNMDV5c4DX&&q88*$U*Z!`4nzadr@3v!jeb@EUy zI-pf~PsB{0m`y7e{#-qdoQrc&r%Y2M*-Fev(=O|*BzAhNb8NF#mshqG!=fwNb+4GZ z2^FN27VgvU^%%uDxvWFRk@;L))lsSH>MI)M2^qM1<&kNXc=eS~R+w6)QF|!Nra%6{ zT23aZEfAkyBW?Y?JU3)E$MITEWAYxjC^ZLWSA#xA{inpm9l8F>FLFU2TL=dwp-0;M z(Rl}Mfgl7FfbvCYH4|Tnfz({8n^@G1i5-0gvqs?P7?_#UQvX@rn~TU@l5*krvo*^( z7kwu+Qt(5Gk2o0wl~VDRx{o_W!1553AfBzZdGvwBE}mNtGrg#f`G1JWe zpvVl!{u2sd(7qB?3qj%13?Vv3s4>Ly-58jty2p;(Exg0qo_gh zb^AhFbV7dj5lRaNnmJ%>QlOY|m;iR}qSp)nUMzq=521`hD)ttjN2w5jp8q=WU~ICZ zm=$nDxjyz2VQea+Y^weTa8A%qj^6(c;b*ORs9865qPVmpxioN~<&0{HC(H{yGm<{#T3K6I`2a#EV z>}w=)R%(HeX5gwOaPm+Wz+eF2HTjsmk3x>I`4+>>8f9}60SrOmQWLBg6C&tGA?RoL zfUD!l8l}hu}Qi0;Cy zQO9^uOTq;Gq6EmRaO=(Jb_8e`0kSB_0<;XP1ya-lJ=K9Hr@80BC7*B6>872@4y4(dSAxmrSG4*;YgE>MHgWh- zS`Faf*V|G1ldllzy!yYP&+k

Z zBX$8nKRSB87FP^1puf)-&>ye(P)XK9i^?>eh!5e#;*o?u!%b znw59ErFC+|Dojwo`WfOMlZg@y<`n`($f^ zU|5G4t)qS`WZ5xfyexQmH!c)WHF7(Luq0Fe1gZo1LQW9K3=O_x+-su4;7~p zt@URmh(l#~vx`@jsgHNHNBCa~qvD;NI}+O|Ks;xk_S0x~<;=bjL8}*k0rs>WrHG@y|B$mP2S&3Jy`v>BnsDSAo22 z3x86PEw%-#%=lH*?E#SbH-q#HEd%r|f`UIV-~YH)cmpo3G@=wk-3YTCnJcs~V~!pf zSMYxj5oM`vU1)oCLV?_l`eSpwOTJvht^9kScxXAk%L62P^NO6`k}=yG_FKy=f&)Rz4+L;QDK&ofk60Ct*;FOQiDwXmP1dNSTO#Q!y`$=s0ZK)>hDnwDxq=u$mHc!{UW6Mv3-Ojz zdtzC;cF}%Df{ZcK;y#`32_G*Fk~aSL(I$lpq%R7u6|BETMuwhUHeA2YeSDnJFK88zW35r5tosp9Eqr~7Q( zGBdv-@q=xSEeDmblRXWk?qEH`h2hn?f3{39OvufTz zdIxo$amQfMvc|1g3Ldmm6?2PZSz^n2&KEyIy+ytZ_7%lnoE@*emGs6PP5H;EoEL{6 zB{9?UHjY^B6;b%%F)xL_2_^+d;FwBmb$)wyU=5gKX5!8PVN<1N!<*Zu4|Bea_7O}1 zzpB`p-B+`yHVfg^rguqJ1+~Ov*wA}!WTL+oV~sCV$Q`ehB?~+As41OJwYaa=Og&Ah zIyY)(Tx&PjYIjlVns4sOD7QW=@aMSNw6n*(#;6zKi?341vDc3ECgz^}-kX6LLxK%H z|G^8TmkMLb3Hi+-IxML^V}%3#=?;)Q0p>{aw!=7)h~bnfugq{5UY*+wLf`hCEoc+P zj8}2_x8i>;Se+wkYVK7%_|EtFw4oPJ=^sCMrDhn}AW9vdudrm#Gvt?5GNP0N_!^Lx zpuypYrkHH8fcfo5G^s`Y(^trchkaQLpfc^Orfns7^Ya7er*vl^+H}GWZu}jJ?PDBC z@|~rdx*92$zF2&c0*PhnMDGjfR7GE1y7)*1(wz-bMdDvP3^;pU|G;UryWT-UFh2J? z_5Gs~PPaWBNyB)wZoGoIB@RRF1s_ObsXvj~p_$i{-Zso=^=HPAD=PFn%1*^sQ@q3i zAn6D4_9dZ7X`qTTX|})k(Wf4HGA8LCmfsg!*CIk0+oAq-u4ZDWw`NYs9=Wd!8XSf20;!ZWYaXJz`<= zIkoz$cFzk{fS8F`W(h;nPqv$7N*fVh|JpAiwK9ceKScf%b8#z>E}|CNCpcb2t9)Ui z#L#pwCUDy}-{9Zn3nZ?};^kvRAYkcdDl$AU-Nw~T1GD$uU}M|bs@!V0i$DRvk?*B1 zNQJWf)$gS)YtKmOpEr{;aM`>6w8}U=QPs@6c9z)MWpxP_je}} z^AwR-7r_z{ZO^`9znfpTEL9rcqo zJN#J@M>7)wZp+^>csjf0Njm6vp@#u_+gTTJB*#_vy1aP!;fhYLPIQdPKk&d-g~^xlHz9cP^+Rmk|U$3ZDPi zh6TgSbnJEKg`I=FKDM#IIx{JHu2i9NJWm+Yr7dSqZ#E^}?j)Df5Pybkg0Q6&Gt@G!dSvOP^zy zmSh{RyQ7?)qktMoS;xgDr)N8)Q5g)c!??@B(2d0SO{?8=wSeM3i1L_Wd#d}A$AZxL z6%z~E^Q5~(>dK~8ZvG|;Jo-gN}=dv@|iC8{19U(dHRo-$Mv1<1mEue_>>*arJ)2s{@Ovd;* zd3;uwZ8VuPlI6a#CY2NF{AQFSd}$D!DAQ1avMr9o@IcD2N5QbC6jPE5kk?0UoGj3I5!tS;KZrbJH z+5!#=IXKl(QW4}$*LbP_NB3bO=~&<)Bz>*suS_)0CDwY4ck_J-c+K>I1d-)ra>915 z=%twC?%taY!dtuK)`UlqzzO2G@$4~6{vb(NAuz)OO zy_&KCyc#Ztb!_CZ6k^_mkqry;H=dzC)q3T8TmCw4w|#+!YHC~=qd-YV@nu~Fpa0%- zE_a3onT#}`!YVS2rCL87f0yvBrJvUSlPWzRwo##Q(Ddz^10vMdsNWtw*169gVT@O# zcG`+kJ(lJspd_-x()UFp??nFImvtu_k}BS>-Zpwvi`Nn)K!N8Vppeca5T8DvrN5>- z$_3%}iY_MGlW%QD?G;7rx!p>#3QFKb^(hkUr{yV5ZdegE7xQJ< zD#K@<7jw8fSLZL;9aIu#ZqC^qf=*LWeM&_7Uu|rx76;fV9*VxPPNi@BqVQe`u<8YN z9eWs|QL3>dWt-JfrcB_~@Ga8&0=xUY&0U+ypO~#U)0dC8mPSs{WnRgvWAIxqZTCXQ zk9GcMCd-6z&Y;{(fH{+q!$Gj_ha8)%qLk>`RQ6LZ8`k2AaheVdisRgi4&4tKN4IXH z?m>0GE*7p`uRl*vj4#Zqu8|y@J|FzNiOW&{u7*>dGz}gCq}gn>vZ-m)GKwFLFVLwBS)L3v@J|;d<5Cw*`AM}9S&a{mR2l+q zHt%*{LvJZwlm!XkxodQ}V#4ykHI}~QFs4l7sVmb_El+!Csfd10b=vp7*`LD{95^UQ z<6WGc*xtLQY16)U)${bSpCu=4J*v7ir}0|89E*z2^OW8=|Ggh5H+u4$QS37u5^u<5 zC-UC4@u{o!_rA9rWd=DZRgrB>JpHwD9MABuj}`JIIKfByP5hO@)0KPedF@pwa^!=D z{7DYe0~0ajuDoSlD#35T^sE^83+Pjp@<;5PZ3Wv!07 zi$XBxE!vn7nR~FTA5fu+oJN`OS`kVQ0a#e(NuRqHRG4ce9j*;-Hf87MKAyOuhw}f6 z$ixPAD!HpZ@{a#pA6=^@V%)xv#4VQL@m^_@ZUH1>>=qxaA3JYYJg~*5GkRw@=ZIAn zBznH6h=tj|)mT5gLifwhpM9+Od2YAPu(n-u|FP-t*jYGzU;Bfx&>aJ9N5POxF~9J_tz`78siy9 zW2Xe@#xb5C+Rr$<5$+xTiPYC=HoNxZX3bW1>n{a=x97J3*Xw-9M4=v$(!hjWKQH&zgupie-8oZh8%~K>C zq42C92w?M>T|HM!&;-uT1h`|IDW`c$2Amx1WaY~S8_O~tz9NH`@Q5bCw+wra5P#1Q zd7y&f8emH59Lp)0mGynSEjP6wucA0aVMCrcp>x|P<^1O7qG2kAsXzPZ0KXXFwRI$G zcV27h&u<~rwHb=fRTDC;AM701N450**$*Tmtu|{jD#qt_3k-{&e0K{xuOXe)IY?qW zC#HcuZffimj5vO+9VR;2v92S=D~74{HPKb%#wTYuzU-gkP7P7f=hPp3bA4NHY-V<0X$EHFt4nx$tyvquyX@%9^3|+&AAc` z7y9R6aKnTJCEFqpRs~@_-@)9n@_t;=LWQ}@4>RZS!5n73>@c28MEDL}Xe3WSwA;iG zB1~xwCwcFc7W5X7SGQGSN8U)9kpDP;@fXg>Lm}QO`&>1k!XAEpH!{GFC|cDc*c%3g zEVAN=w_dI3KW;NuHQtSA>5BxqE*KyVX$$}Aj^}a3@28B6-VfB!1-k0VB;AedJhdTO zk~`b(Mt8=7SGGC@hR~fj140W6D9AF7CUlI=+lXNES)aif z9~URDJY(cXAw1>3x1NHf>dytt<7h1HTs~Jcc;{>&go&r^*1J9LK6;3FWvcs{+7GK2 zQD4_QxA|7bwmVOt&@aL3_R?v|F=SO$Kc_-wU!bossJ)Son{dcGN9};(lrMSf?U$wo zzmC(3C>#=a$}VS#T|mOE{>UAa+W*ds!d3xdxoY}=J_HI7#1cxZ=@@Zmgf(Y&O}G=m zg17?;LhsX=H^0IwD#a7Dgu+X80bdkleQ zgteM=hViq9ZqYr&54A121`x9;oJ}&tSy#&*X?umXX6;SAAQCCO`AX&9_RcBuA3=E9w&LytEL;UwzWZqx3Qv|&PddkQF++IhEry84+$zr~p-#>@ zT*5=d3>Rrrne0PXZcr0WqY!v^Ru@-RKXHk`ppVumH5|e-W1#7k^X`-*D653=c}67< zZY&C4mEmqZqP7hX+ag;`TXa`n?;3HDHP?{`U1aw7fqvQ7{ zSp#}2bbbx_MRrJ@jg4x;6yNi%t)-iyYNo}i)aq;GWZ_-Dd#b0(k%(hbgAX~&H+rn$ z>S)V$ejef4Rusl9~9%9^`GsCJ@JtpCgQ|@Z`P_qB^hmM1W?6MOoWrFl)hO@PLfvF+=BF z#+HD&DTIf8`%s({k z_o@br{qfv0v9Hm>EyfTEM z@&x4Hcl9D{w-?CoA`&v#s9-)985j(Bm2=EF^;e^@4RWYj0O z_N=XA=ot$Wt^V~nPzpVZm6AX`6SzV78^EmQoU@v-)w)2oW(A>gd*pY^iV^G@?w%@h z%Nfkrx?dm@w}6~-4Lx&alx1xxKK|Jh8hY79#xc5kC+PMVCm1+l2sMRpT%J}OlKr`N z?cmMcnjb$W-Mur}}`k~?9;aMsIHUOuGsFA zj*=N%N95)eu>uqWMcTIuTefo{fC|4~&D$l(igQUzeufu=;eu#{n`>^|PY^hG8L|lH zuS9Hj>zbm!9I!#W%ToBB{oZevo98)DN?^_>U~B9!!ru5*>!XkEpu)H%LDz*9nDTbu;UEz_A2Mr2;J@)cK;N!jXPb0xEiy?4tXkiv&Lg5wkkds{gcirT@ zjSYvb4!jW-TDYoq^d+q2hw#p;9PWVkH>PQyH6_%e=jQm`O}f=@&R1?Mtw>y*L0 z92^-)G3{lyw%w^9B=G8M4aK|TDUzcR-_svgcwHAx(0on@>jz$h7q~inWd_}Q#|C}E zO(XEd5ff*797($9%dflG<+B^%}g8X`KO@lii z!Go6{#-G_Wex(4tboU`w%gp^KH|0QFUnrB}F8GO{q~q8zx!6GzhUh3K>1uXOE+!V}o*{~2p^B?LY8SGF<~Jg!K0V>aZq5bV+!tZ9fZ0G+9mBR1 zLF)5pt*I+zpI-37qQDr<#B=>E-I*)i!1L)&@G#qJX^FTad8F{nSTfp>W!l6sLkTp6 z)ucbP9LS+$@6-SQ&^vrk9~Bxk@atC~km74Fu;8^->o$%Iiu5)i;P0B#uN&nl_o>NA zN6FA2V=;p)IQ-zGyc+T8s;;4)bkOSeDUA_G(0;e~7_#7Q#KS18{A`Y}i_V)8jS}2@ zFUpJe;Tvs#JmOcpuu|u|q5G*jb`>XVzSbp=GDQU8CW)yD6=FLt7nn@gnDXhE@=XFp zQ4wv53itL3_kWm}{08$d9+_wwL~h7v{l(?iOK38Hbud! zPf7AGm|Ea1!diPp{qDDNVk}(N7_{jJL{nW6q>p?d%60ym2fwFk|9*}+GU2#bRa&1y z7Gre&?oUp`6*+wQ0|`4NQEL1CegAm*^y+Yic=v?!*d%N8O8Z1g3;XFZuFk(enZSOMF|?e4Q)K1PbK(k-0;3DNpkF2+?rd{$)O{tg5rtjbgkU_ zM9A+M{CY{u!18`&#ws8oL!ikhZ|-4L9D8C{{Y!Bp-7-Bac_z$ajlMqZ(DET=ZSgmz z&zT$tjNMG-h~@0XIVlBjDgecp?aVbK1MTrk&m~G8+nF1Z$~*6l9#f;PF5MG{S$g!&GloqS%|afG{28k0O{EAyW>}jgopWQ~v>lq^^0Q*R5SMZOWm{Oomp zuMsG#PQlLfT>FqZWLfg4qu>)>^k{L-q%x^|kFVfURP>l}pdrRByu(ksqn3QZ@~cFI zMR>=Hc4s>I;`4!qOwEK!UM)qB%?BEPl{`l&Q&NW%Mh&*I+GU+n`K}I5F0UNk zJ>&rW?Fcr6Lc|G6Z=z1lpM@pSWmunZzKBOXTb_&W{vstgC)IgS3rWbH3a{3exJwmD zaNN4|xab&?6f>cn63w{02Z{tezOfhof!H(C2qB$J-4h(*-1cW0H&uVp;hC!9kJ;OY z;>bp&TM0?r>-X(vR!(hNsm9^$j-WIH{h3fe#*Z0Ks%+IvHheuSZuU)jaK6ckIls&{ z!WTMq(Zum&L(N?|mJ)B2xBLnl)WUDL1oAduY6W(Xt4MP(ok%cRmc_*pX#sCD z20q~@oqm|O=Vh@zVoY~EVfaq^u}-oJh*HP0Jztt5`L1{teZvm$SN5YO)l&DOe8R}g z=LO6vOcqkez_SA;2I($8tDVg!T_ofReL%*=KuZP5F7=Iizu|8Gx8VhsbbhkPyV_KA z8G`vLC1!()_d`LY4~xk37yDUi*&3F)3LhdYsPgHT*qEtH=64;Xbj4%_ZJ*R91CdbC zj=FzV!Ro{5+m*XqeTiyz^TeqZZy2TxQ26`xl{Cvy$0*zH zg{XJo6UnCos_G!`ppK6SOrlnXUIS8z-H04VGyxRHuP5BYATD8Ihp%%_UK_z*Pq>Cz zwS(N2zpk}_lpIy-NoO}-H`0fp%ebaBzE=?=#*D=8^{fg&W**S6iyJTj_u2MQGGC-s zZ$PE6;w*$n*fH+ov!oqSi%--N^oCV_g^5^5kPrN=UdB(FNv)50%C+Bi7d?BcY4f@{ zdikY?-boyZlJzWqwiUJ41@+YVq1dOltVrX4WZ0vqp2x6aRUT_|crLTxJfo@NA;?$_ z`+|v@R{yB_uo)?Z^o{I$uq_dGU;fma_F2t_rQ@DFhsxz!NEm_;0nmD z+w>IaIYVZvtI8Na60G&XHK04DkWU0)!YWZEfcck)9ln#s>eDzXYQ?6qx8Ltk}8J|rK9c4sE{3zvSv$Aa=N?Xi@VvuM&cmK**cJL8)xk|`+ctDNmXaKS5HH}U<-c&F~e2X2vtlIsTkT~bC_N3xK`<5_PQ zpHEl2_BOqma}H|r4V*OR{$=(OjwH@;Miyd?Zpd=R8dCCt-T>B0ZRCmVK`sQWh}^UT z7;~ulm8ykq+A>h=N!}KLY83}n8n6NNr+CyDfl1hztYQ-uAXUn+jQGo2X1pvB8QPQN zP*^WPlHVh>q{okZIqA7KqIgMOXL}GNg0Tz6FSxS&Q}`=6&dQc__2b!KfHBpA$&Trs z52I7ZmN3htGD6xG2!u@~d3&7tt)%jQhJN09X`q_LlCoM1%*ot#1_(Xrd>fW*0he^> z9=me6HhfQrYTH}QO#wwO6Q@vz=$qlJg8U(H~edqWA=g{wrBH($GCWq}PbAk&@M#xZ+)Zk7I%Yxwqt@h(5YathtwcwGbO87b3V#spv8f!tTe@XK9{AB^1g3s>ZZHVb;M zQ(M8-umWJx^BspWH9-t(28$9U$c85S3s!4> z`0L9YMSa!^VV+rHBO41DWx@d$Gyh*vM@jTw3TY5QsyRbiXGsh?SdaXa)=7~}@b82^ zs@JjOaXXCo3b5-TboH7+vPb4u%|b^`;`~(Nw;?NENteG;(jv4m!7u!#m3NCoZ-@S-KchGL&0Jp1x*cHQo~*v3Hyl=%1dDK3^G(FAMqQvOvv|@a%aN$D z?32MObwF;yZAt6FLK1#SZ+T_c1Gz1Z9CScKa5~Z0XVueZjugplqn2|P{<%Q6%#UCP zU-xZMb~7)+^HqyV$t2{~i#eeiu+#3PPSh33Y% zLKg+Ti3!2Kl0k01lRO6?Wq5|{`#47;2(?GbmlL+{H9b$ro`kTs1>Pi*e7KD$sb(kl#?isP@QR+$7#qYE_gELsS*R;6t>JuZi zNvN%<5{6}!PxaM(BVCB< zh8xx}u~0~y@cmZ_ehhfda(U?8C$1AkA{W00qW+JpCY1G(`|>(-dqA1O$}s1&enf;8 z#p?liF~@olB0^FGA4{eGW=-8IOyMTa7cr+Kx#vQBq`i;M%%UsuuLz1gtG z3E#wSee8>sG@(US$LBhVZKGnUVgao>*RHd#U@C=XY;BdQSFID0O;-J~rb=hJaZqRP z$l==&ByqO0w;?6~@A950bP<#F4CFxNbwZRp%*>;8c940DU3tk5aYgY2$yN=kNC#|?GTGUPh0=0(34&hDN6v67-&)C zbVcErou{vLT*D-(hi^#U-}LbETavszQtcA&>-dV1rq$Q=5R{|mFx=|kygt<@lBzTa zpLB!Tw>W8e{68JsSWmlY{n-s_af|wl8|zs&$XWN{S#OFBX~}XGisULq=y^%gh|nhx zYKhQ?{arm4AGmv85C(34J41vjuC~iU_N@-VzCt_abR%Pt3~*8wIB3r4!rLefwIXtQ zvq@PUp%aK%G^fnzREK=RL97!;X}7DYs!~=M+T2d3`35oRoSc)${&~-T&-50%I^<`v zky+0)cgmBd<^4WCs?@Q-1^P#_IL>iUp+t z?G-eRDlHV5mTS)LgHTI9U4cQ9$DBnB#`1M>KbOL%SA%D4%@NaZtc6{UHAUQ3dyj|m zb%+!1?=Oy1&etHkq#DYZmP>h8gj$q;KR4y~)kgUU%+|MH8R=XU|3MGsmpYX1i`He; zIbU&Wqd=|m!9c-CbT|CRk!Y)|2WTpdNt^J#1_)NSjktT3;?6}mR$%PPb;`4`qNxch z`<5g(J0m_@H)AYBYD=W-R(gdZ3+=MF8$vA>|I*+2wMQ)eJoeKcGg$oNO4=n0dH+h< zB@6kfzN`dQO)&*ANhZ1fd zb0sqOuP64VTe0R%s;nU-7s`yte-|3CQ$ zT!_I~zWV=<6h6KFJ-v~RnWn?ji({ZXR9}Id;#7}}H&wm{;V7%A0)tJ}G=o)XdbLnw z;w~HZN2tYygC}{LK?OE6e3L~=XTu<*m=FoBht?$q)F|^Ygp9g^4oEI34BtWgI9o1@ z3j<$+@N!ESI3NdG(27Jaup6O%qApv~uo#i8E(P&eB5Jyo&`$HwVWz|^6j>CPiQN%u zG4Wo1|4z%DKaVciMwpmxk>6RVMQ0(mLtmJv0g4NagB36{=b{eUq?_GAO0kot2ChW> zxX=H*cn$CsXWLjc01Sle-$H0HyHI3WE(=*<77LH}v#^33c9z1Y4%S*CBFPT*dG; z2!|(BOb~jAWLhqfpNtc^%aFVpW$#=>?&BfyYKO={aU%H|goCRgl4-d__KXub4rXz_ zvCO`?h&;eUnPhUf_`HQ9(@0CAW8++_kyM9Z)70DWNRMRo!tFG}uGrwSs34!n?->m#;y1 zfF-z71?7sQ3JSufni0P$=L8ztl9vS3qDjZ$% z=jj{|5w@mxj_({qLQ`BfS;*Z{oSKn`)q{LWrSX9lJKd@}FgxdTj4ffT6BqZB3~h5TvQr5J_MOw3)1cnU|tLe5JE z%}_(fXjs*G@#)(!_+#LH9EUWfG#n)d7mRB-Ja>9js8M>O!IfH4vH3Cq_cQF?Yg(8)f+u^a2tPbxfzh{95#_;Fq@LEMnrt9zLkwa>qm4!UNa~;Ut>Op>{ zo?>q=c3ny*pGEV181L51utO@D7g;&agAcWeoc&X2FX3>EDv%*Wh?X=qZ?EJs$SU@r zda7zQ`jMK8{h#V2j1G)4&E*-u6;rFq+0X5dPN@pnpK~$0*fe1MIlpMta}fS@9?P*( zbiitXO9UNMFg3|u+Q1Kg`R+XlxccQen!Ac2E}#H3cC$ShnAfMS~iujb~!w=+0! z?;sXjJ2?#Cg#(v+IPe390}J9D;A;>rbUA?TNF3eAV;Gb&0aqw8d6)VDaq8d9P5o6F z)bC_<@z%)l2#o5cf|~Dn7;&S+h?#Ll@HGgJu^7QYP7vOi^j=^J!wvdbGN@2wjxKND zTecZ^r##3m>U$jK}9#{aX07*H|R+>=qWeoX*cN4ZqPGs(6h;)LXlPLHU(JA zc2ju6-xN6E#-FDt+$OS=-W2AeWAroyZAV$iNBfac5~P`h+-7ys&eV7o@)|#K`>JyO za3$L`E9Z+*B_4)VNAqKYGLh4D$787cjGWVvAFl`b8`(6c=iE7)+v&)=RF|`VB(Pg` z$Xa#D5#Lu}q1DXo3+q81;g@Y&!qOQwx*p_iuu5vCdH;HlkAW#wbIvm{w^TFoQ5JHk zN9Lens`SWxk?xD4L0=H~Gl6#sd_drX0-qE3xWFd`{#@WM1wJY;6!^5jX9WI1;GYEk zPT;Qv-Y4+)0`CD#S^+nse71KVw)h+bjlgO_o-AZ8QQ?UbJ+NA63f2gYkf%Oywl0LF z+5_)gH@FmV8Q#Xr;)NB!ZIy3pfYld{OmhkeFxl&YEk*Q-3`5#nXX^aX`aBeCZ`&y&L-BUoXV(kN@WZw;9jeQ?BDEjv12#{}5q@ z;Fp9ge}#2x4afKzgntvL$xIa#=LB99nl1;fPH7cJd2Wb79F7)s1xp)zvCES~8t+k* zg;tiq8v5ZFZa1iPP9j#JNb204e-J_~zvtIr6no~xNi2V{o*(Zh$*aPs^q#-s{(~%J z-~Iy-VdtE#qh6fJ^2N6hB#_frp1dVWy&`gY&M#%>oQ{0DXNoaV>~wD1-p7)fVW%|_ ztqi9tT`UX{_?A@L8v-{7d|Tk_fXR08BdKT|4rsgBHa;BSYY=W{4F|52=TEtUIoS=G z;s#B1gQmGb)7>D?j?!sT`dEWG!bLpN4LZsVn&Ad{X6%o4W6ewkq4k?#eH+&4n)8C) zLoa->631U)tei~Vt2!K|;MuxVD6+O)Juw)emY(>bUr+3USp0ePL?;oubjhu#C$f-z zdZN5fDGNDew-CsHP-h{ZB!*C2PatQs;VO_*wi?+vr|XFw1~H2i?}8RP9r;6*hEx%9 z2O%TrG~KB>WF;eM@ZOG&v5I1E=gm3grjY6wHnft>8qw@8w!MPF8AfIa$t<*<44T<6 zXp^{(pslmHo!+Y6w#?4l#qLl~&NObSpxMvuPL&38S=_!RTf)*A)>>Uv`HMZ@LN4{b zlw;i$HVjrX&p7NRimb3G6IzFM&M;?kTW~z?}u|EpS(XfxzAZ`wHwK za0h|g3ET-V*|Xmw5@$R5{vNk@`5J`(uqL!shjuwPNc< z3VE@WWBC^iX_=?X^`Naamf4*CovX}+BE`{dKnEk#YCu=}8_+h0#b2xe;T0Wr4BhO=QD(1YHV6s)+{*G+Um-*Xrq>zSCA+prEnsMWCU@HZ^CXF^h%Q>zp{UC2_--`VNTy)9z#7fE(m zH=H7@Pq$icm%)2n91_UsvNW?ECjlruyDu72uubo!9Q#G zb`i>na&Y>n556{Il894BePybs8UOb$O&>Lto(5n7sae7f#FSAB{>_s~rk?nJ9=`BM z4jl&`Aml!t)F)7xb67c+`H^JQPX+TN(X8JPcD{~htJHkO<-YFgOdj;?#>Grz@8WtRBuXYcjIXd{alLwGl6#kCUwNm zK(#SuFP3%q@5FTkUorb>)e|rf;yDD1X}L8p8lhGVTyw9FBtD=i$QLh#%*||HZk$*C5;=P}@E*{9IsKE*B0(sKtdVb93Rr{9NGd z=LoEl84g*nIq-WA2YxQ(*xIjCyuI=jeX}J8hXDl^f?2Dv5(`9Tqn~$HVmNVD$x@bB zNAHQV981>I2ukiTdlTc-MCSV_EmH@GXkF$_&0$s3VZ=DsS$sHii_)l z&heJO*C5=*Y6(2H8xq_Tm~{B_l$ll7UCBesbGfmDaD)`gvVf5+2(;!Ac-!?{G-%#< z&6DnOWq{PotOgfVaUV!t{Jv5eZgyIQa;(I^A6@oSLp-^Nia@FTpgj(wJ-&pYMSun?GU;bk1lWhV$u(XeKYpkM^KMT3yeP~(8 z|FNtwGfpfk?kwbQixP|bm8wRbIGCKN5&2IZ*H9wobjzz_rIiLsb2@UndXV3loJn(f z&cCd*&OWQy_tt~#F;zM7I2E17q=qA))nRF0LdxD2Ip0B^+X?I}a3_H~3*1g%2Z5ag zZY6LVz+|WPOKCl7-l>`Y!FZ>}*C5>4>eRSZ-_s#s(W!JCR*~sbjJh{4J zqtsEcAy4#T1!1pj;ahUV}B*AZ$5?v@lFM&X^UXCAj`;`5|Q^UP}k%rg(p zH_yC<(>(LcT=P803g^vbCY-mvndkGYIP0wEJr+iBc3u@dUo<~@{*@K~DJ%RXE1b9R zn!I{i;X|!wUesjb@J@I0+}|q0RSTlk+cD2P<-$C3{9vBBPuV>4EC};F&MF&sahY%~*EP?a95>IL`!dg*ax~BM z37cmwLNm|wn44$LBA93T56v?df}3ZqzcJ68P%_V4q-CCYin@8`1s~@5M5|tSbDjz3 z8UN<_5R1m1%cJnoZPD{}7Edm+!uPds53=I$%v_T`Pvkbwygl4J?`@Thw+fr^RxA7w zd7g>(*yYJW@fiHS&!ZhT*zL9B1}bCzI19`#{NFtDoj>!}sq~NxH{YxM-%gWil7DuX zhHRNI2vr|KDVB5~R-sAhPs9KGQ3oZNq8|0bB6Dh75xfi;hxms9l4fv(n*ev}8C&#~>fBY2en_z8KK-htfX)n_!z| z^DH;YwjiVlVa@0YxN3r7eev86Y4%1eT_4dKU(8AeB*~;PkuO_S=@D!J>M9hFyL!#^ z1|B+U%o+G^;}JV9kza|*W)$K;l)67kKM>_U0M88L6RGSaR1U;bPyDzsm|KGn1nh_J zeL-gna1E7vKMtn_{(^8SVAu5#F|Db@RI}kZUT>4I0N>Qz{<1~-1eBu{rrjD-dAwg` z0E)%MCi75SlGTEczCsqOskac*58qnx#F}V9Xn!O`b_~ROAH12$W6z(I&wGW?qeVWy zt8zoC{Z&>Um6qZ7gGfS~KtxacxF%-+$UFd$o3#fDkxjw^N+@FtKp1O{d}6IJl&g4} z@MehQS{#T4rwX~5dLAS*Vl1{eBE0MR#;r9LMimlk1(H~ZX2pajVf;=$&Mh06Y?eG= zpL-7&kA`O(GNcJsCJK2@fI9OtF5a+ts4b7{*9JTtPxKZnN9uDRsz>9WKb6|pKPfkD z-5a`~L~Gz{?<4$M)xZ#zbESBr$c-WR)&mu%zh0<@KBySR8-bY*-U+1BMMDsGuFQpy zcS|J3B)m7{nM&<&d|d;7;c_$wn)HlM{3Q*?;2&<{g~|;=Smb~JF@B$d_sz?xlK$xf za{7ThY8|dCV?*r^QKG)3{$VrLBMSORLuKRSQy;|OzrOhO2dh z=AUQ@w2$JoQuDwC9_he#PleZ0_}CW>VgSBUD+~~xQ3Ft^aWZEvaOMG{1(;kp##UY4 zm9-~cr~#TFQIx#p*u@hS&ewiNZUODr&(vXF8b+c8t(IeR=YhKSN~^^XtBzJ%JAX=T zl@g;?(LA)me$p;mAVai+xCWHH5!-4Dq>Q#gZ+zj~0FgT$A;J{6=f2d3aK3|b)(pMn zldAf(6wN<#w#pHxZ;CzVVmT{84atc6D z%ZZfJCL-m8{p5*;MqfPBHe&l^>!ej!rhKmw<*g{!eEc}SyCkEH4cKDaSfm@?o9$}B zCTLe_JSj;Od%a$eih+<29Y3(u^u$m5J@#tU+^vXb^g7!UTM^}ob{lPSqq%Q{>&7*! zHfICU)9TCQ($r+fL^4TQ3kYCmMRK_;oK1-Zhh$3Itlyv?tx_ zKDRD8u1{aGcQGZ4WWU4@jzzc=;6Q=2==7i|J#>mAY4#=q5lR8zNSiTfo%F&xtrc>l zxm^7>Z*kSHHyV`4<1GAbg8-07zS9g-*8!aeYP50i-4|cjsGISff>Ex1 z(^0aUBo~syr_I^Ur^)KN66JIYu#==4q!mwU4sbM1$|(jMZL7&o!S9K96nawK8_yiG z^W?EI!9O0TtMG9FhNRkAx?DJCGm1ZNEa9CYvr+us7@Cb~m|>Iwl{Ts5wwHnU zNKUZftL~$VNqM45;{efoX~d{T#8^bBvz zQS&(1nYItmD&y0d;~3<~c0=i*YGmg@MafN7s!a~WS85n_L-K1Y;?fmKokQ0p4RFes zksopXA!L z*jJE2Bww9*^xBeHhFqWP?g0>KMC2*u^u~8kE`VLX!lBlxr%C&mBQ*6Du@lwf zENNF$miU!6GbM&klo-laBqJquQB-t1%ImbqtRTD)Dy-uM@XNSG>3&-bZBHj3_c4~- zqFVvCP$ZwwoXEmPc3n!;Y>>q*CsT2q)Fhl*z(2j}qU(ApAW3@^HlI($=W*yqMj+B; zDAi;&JSd2^YWpdeV)2Nt6+C}f8Y&U)6sWpS3o~9)o&dPdqil(9Vu-&&k$o}$MH-ef1YIo+yB6_k zXKig(`Vpv*)u;}7HdaE$OXeD#)Esjj2(`j)Lya_A+kE1{ixxU<_qlkZ|ByqqzQCaG zwHfV?`nVsMqO!yW6cIirLHMLp!sAYUovR~@rXZ*2EgDvEnIadompcs>iNgh+QL6(k zPIGX`KtgmVLAz5&p=x7sKtgMrdRN_`y<>z#jZeM6525&`)1X}e9=>~aH`~vF5Syf% z%96{s(5OrGN&{18c{u819day6Ldg!+8oLv+gZ_8*3v(ijH9ZhNoy0K%5C}fzXG=*B zhKk~B54&lOLfOPeqB52OiSs+ge^%`hC9t$K-+6kfcvLfcQ(L?6mApi#`dJ7$<4MCx z4Jz%D+5a$%LmG1W0K}nD&z3@wqO+0XS<@vW(cz$PFhqJeDv@n*qwp;V-w|Ej@GS}_ zTOo4lEjcCIe0GhLx-y#DNF|yD;2hg=AZ`ijo^{R{YZ?+C_`vIk*A3CxYtqQzd>lJR z(#V*cL7<v!&6d;1h@Ml!sQ)owQEa>dN&JlsRRZ@pR!5z0{l;H05xIw)dlx*<4&2g_0eI z8aAafT&jP@HBXyOQ-J#mUtGmF5_>2nCuUx^48wx6vn2^*e@bT%J1SbJbcm?NQ9Z2| zEhS3bNc0M-1ag*-of-RO>X>Mnx2t7pT=T9qu8mPWC8lsJ!{HtMKwO1E^PSF69q)3O zN6(QqE_zNlDpDMp{W>0^Ia6+ST}sW4I+@Cg%GcQMMyTB;2Zg%Xnj9O3DQT-yR?`4W z{Gt)ZVGUi(^f#(<(j^~i`=}p|f<^N-$ z3b@#y1!^*#cNc6{-f?=5qqL~oNpOsU&0clKYB8`WP@fTCwnPF zG%Qu2(YmIg>ERJKhOI#TG(mA6uk;up3*PIS;9qCAa_+94+MF^pP0amB!V|pV z;z$}r)I2(EPWhp`j=bS?rfPC_Y1HJD89r0jQ}?suV;gmNLk&a+I@>sxoq3H*9BQdX zaoIEu!mrMvnLGk4-u_i+y1pe|FO@px?8-1`wif6Qny#wjNE1z1E?rB_>4TET&gGnt zc0VU=pIKaM>PF*miP$Refo*D4R%^mBMpDAv>~ii^DyOzcQfhXHq)-dmPI%9mqj^0H z?ovi$JhnkRIj-Y-+35vrW;5t z4=y*Qea6;Jla>qmxI~6-1-g`ItudtBsySTc0Fn~8aoeD2-zMda*#eETxD7wOffUE?8X&CkbaTo8SOopJFK?GtO=kMZ=K{ea|D)m5rwncPVE@Wu<9kbgf=x zU`D7^CVJw;L@2(=Ab>l8qELGd|!qs z$+?(}Q_V$vlb!B3q_pj8L8wz|$)!49$5+1$zPny-^_x+9MqN`$k~x&&QXF>k9M;ig zVCLO*R!F^_oJFN{(?d@4o~{>qJ~;@aH(WdTLXp+lbv^mq$XXwX-tAEQbis@x1iwd= zxDX70*jPfq*B~5d$x> z6tn~m0yO5wk+sU@AmoS_i5i4M@z!-cYqxPPfN8M3N6M`2Y-#_T=ADd+=FRm}@x7s8 z?gLAQB?bNwgxj>D1czjca|cv+9J#by*LtR&!6%v=#ej}L{I zK18lR(@7qBhB!i1Pb2MHvqwi))%2DecrT{yc4dn%DI=OFR3)4wYl1LwOV4yX(YmeX z6u7d~c9(=|LZt~xrOvHEYs!M2l)pcS{IS?UI1>C(y>7L&qyeVFS|}1x8VqGWn)u;r zlH}A+)F<$0JgZOGRx;d9U^IQ4EaD%faM6+nRN*hSz$WtUsPv8DWjChU3N#jO@!Nb` z)GRrhAW|?|pgpi{q)yj{6@-V%=h7slei-8hjg=r(>`7tzla<&EwS|-Hwg9{t!1K#c z-f_DZ|0Y9_`qvn~v9}eQj<(ktNmBMXEimYkR3I)&H^9r5|FgOM@!4u1BrZrb(7N z09xhXD=ys;s2X1z6cM|wX9m*FreK-e6j)6>Sv&}jLUhP4SJQFc#!QPv3m21({4ps; ztj8F$1@;(mqlyDcGiyZ&dQX^CGo>bza`l1N;|jY2Vlhmm$-Gq(ByLwWX&fzi(7$d8 zQqtXS%o5q>3}pKcvO`B4Wha`<-HVd>>5D^=8Opz6y zj!Z)-scD{YWo66PH0pm=XVQB{Ugk-KB{j|Vug2v?jXonU=B<*vJZZ_xT*-sZbxYGE zeeFim5E0Tsf5vbO{-?my8-bYavE;Ekpd~nb#g(@LZAC*wSR?W)2@p4d zM>G98xNf93iqaLI>c%1V2rCrbj_#pDfUqY%MswLbz#%@H?L!n{-7A6U4&w_&Z7Y=S zvW&B1jn6>OF3Ws0*5Ot&YuvxLq)@OplrJx6C}%z16t+at9Oj9Y3q=JsdQb>5E)V& zDm!q7%N9*sgM}2(T6V@)&J44aM5`WrZA2OuhxTS7IY-lCn~c!hhShbwY-prA!5;YV z1iDfu!%24z&AF_oKSo8P@y11I!|`U88R@Fz0SMCtN2BE%F@_-y7uyU2CKvgguEcjn zqB`TumD`+io((nB3PzW5WrXp-(vjF?tAjg3Q()syreHceONo*GXgYZ|#yQK77L9LC z;I2Z3bS8?v+gqaQY%#a|>a2@7AH+3@A4I^A)g013PO)U;Z%`Vq3DO0LeZc~3WYtUN$pFLWe-5B9DMaE z6ir(d3R5XfVljY=KfeMz2q9apEpkD4Btk5)?v7W}#MB=jiL^>@+yY3-?DN34Wi|+Z zfq{`l0ADNa`un9s_?194Mvx>>#tWPPsJs4N#go5BtEPwL!VY)W9~YyjH#B}Y%$B#) zk9>~p^h>ghb{oxl{tCrpHNAk)cr_8TRGZ%zl#071=%D7TH5Zq0PMQ;gTyU$~0J&^gxA}2Co6c`e7WM-U zUE17T&Sj}OW381~D3S&CVB{Uj;7j5J(=@JtC8KH%-F z*xNbfH^w|y-n9RqvJXP80SLki1S-FmFJQ=*<Rmmrd7baX~)y1Z`+elW|i6H}DTb2sapwfR-2zY+BJt z;X(#H0bndlX0~HX#u{TXhPV7OHcw>iA0lJdK*r1-bN(OcZQZ5(B1&QF?I64--V5Q&H^suIvl@=Fi~h0H$XGD8(tc5 zqU~mP>pEd+U|LVkW@q)}JKCDLtV-Cy1>y6e7ULZ{OXgG1B_?v`q}hcvLX=x?D84@U zk%?p!-3B}%XqYf@IMfXSHCpcam=rD) zs5+Ly6{%_tBsy;RE1*4KK3|o#CwZ?M)k-GKxE_Rek)ro=Fk_!D0 z^Id3ACEvy0tkhnYEFT55%E4Eka&OeM)px;Ex~^wPBgc)ZglD6HFUC1_Cz?-m_(h*M zq|(n2OZxC=5G2J$4D!!-Pjc;d6A;bFr@G(rXp zjTs>ccf!Nc6ctuyeFWh{!0{LjGO|w&55a)aU)*T0^UZ5C_&f+E7xHt%Pi7eQm;znVSv~2{&R$FV@YBVsFuIm|6G8*XF;PJNO<#5~5r=9U#YhgBYW8c!p zvdO^f3hAVFO$KWgkACWfP@s&;i4?OAa;0T4t6lL=#Ejb&_s8uDz6Rj~mR$i1nh-tl zA60aV%skSq!QFReOKRm!ZzYw@%qywvPn1cJbMfy2S>XoTp_ z%aLG9KdHEAz=#YuT+<`HXG7}goe#nR5-n}~*jR;UFFAfR@_`KZL553FX5D7BQd)w& zD##cYtyY`RQRK@~wLGEEZ2bIfyiM>m2p_cC#4w;BPquBg37vh^nIvn^oY_LeyM|UE zh4M+LbaWDrffvlul~ae$XD0eqD6&kIn##yc*i;4#MdtXMG}N|kwn|txTA2!?rlOc> zO@(q&Z&R`J-SkaGEiwZm2@gWs%1RSy@*%HFMCO{fGK6EeN)QJn2chItcqnr=|nQlHO*nm4xw_vM{Qt-L?_+_L!l_9Diem zrVC?9-RL>`(K6DxQmjZeeQKPe0Z!y02~KZBr|*w~g5%`paHvC0U{EER)Axr%^$kNP zCqJk>IkCZMkWs*(x6teN%VolA(G_laLQXL`3rsT>NPZz#%<__@)hqX*#tu|Nh$GvskDDsOvb=9|_}&m8J)ug*LMstn9H z82_33M0mN5X$sWBD`l}9kHJ}jw#+r6(Wzk3DrbLvAZdDlqokt+pd5fituH;{sP9~d z+24*E{)P1Hc8@I9BIw<5&PQvw#+H^SnTBDxqQ43GL8;gg@%T+11I2S7ICHM^>>MAm zBcczj2~RxnM9)Iu8N0j!Mvsi*N&O6o&Y$jqG?BD9ohZ4iJ^P@=ThV)NE>>;+S!#Q; zSX7lp!lXY*c4PIE__!SK`k6CwFS;ub5)vpovGz{TpBO( zU|Z0ukGM9CyYcCZ=BW`pMTMKRd4&`YvX9-Gs6$1%GT7g8ea2FB9OGdTkH!BZ8xC`A za?B}3iOHrE3xLOyKX`!JD9Kq_#iLb5q0zC~ zrA!Z?6sD>;=f+F3co@+NDG3+FryZ+qOf=)|;wpQ)%sg_%hzu)>^f`2JAZ78PtziMM zs3`K4!SIne&IN9ibB3@c@^|s$f|XYO0i}9WeBadBbnuon#7mWzg1a8kPn3m6ajimK zE&$(nDQ;CVQ7E$Su4L@qut|(vWQsrI4jDMqHg@Cg5Y%=jL^XXnt`f^{;BuMma40Og z)#!4g!;Pm*=&6vrR)R(^->D2~>eATd=#5Lqre3A&W~7VZZwln^}>(U{3M zQi>14%)b0Wk$vxnK7=IbdW@3b?&}q>B-n#4LSbpiJoILx{1BOzR212^r+B&u-8aTA zB9i6A=@&z!g`sAcv0G-NZ&1sHW}0ngTZzP}wT%`UAI5Cat#Y>EqSUr;4+1zYiU>$r zEQ&f0whRwQRjWnw7uQvMglfzcvq4XdC2p#txk01lIIway5;CTXJ@oB16eYpm%Xgww zv|XZ784HG-@YyiNuLDGyP7$ISFLvSMHm@;Y>~icm6iMJu%0UYxN-nZvGD<>8j59Va zMroy>tsr6?M^^hIj+7KHODg=xI)lpNq|{{L6>f} zQ}Dh_3}i!aiCp?cbd?bo@^V)K3E`21Bu(#)p$CO4m&goyPB@0-PtTv_MGR(*Qj*-u z6Xc$-3CK;WWuEFZ7G|GAFmE-bdz3=J<=#9_mnV^tU~bva`lTw@lgn7c94b==I80+L zn>#s@1YemTct$G09BMAbKPv4Wut=K)Qhicj=axc-L1Z}$Fqa#$RZ>fGa8|xQiuX)% z&ARR`V=d7az$-^c61`lkR~BBci_rCEyv|jxP2jkbJJrmtv>j0BNMx^1kc8KimRbu* zqd>m7VIgsfoKCLOMZq>jV(6k^+ag&!2AO2(vVGQ>F5B0;R=7EjTkUA?`^maHLDosB zWYxMQHC+LX14V04^X$$O;>qLgxnN#zY1gYyczF&>Sq#^(d06bZS3=?WqBSTkNV|CC^~WJxYc`bNG4mSo)AbE z7F!`DK(A`oyJ^{GDGmO*eL6wryQy|eH{;YR=UZ4a)TIwrPX1HgmuhD*dEnzsq$+26ld1Csyh zpn_t}`eF+u8LDgPrl59ZEwitsFthb41Ncp^VrCmB`E2`9>)mILkj23UU4=Ryq31;# z`6^!qu`?o}b#V#3`dAXc@Loao zPvsnTiRTc-^~WMF3bWNcOYw1~C60 zw4#{0OGZg#=SK5pXqLP&HD2+=V>ZnSB0QAll;)r+Y9I6@ZNtS#ORry_WaV1waA_lQ zsLhjoc+Da0L0*$%3@5#Jna)%0h@??WtAg`F#N@%}wDyf=LZaK1#E5PWjx1d2x~Ka4 z;)ddIWW~0tHtuvOrtStd=fc;{BmcnAHc_@5Ut5KfRlx6=b;(LLA4+nq8O<>c#s&b=R^>eo6nQFA z8gLYT4xn{tMh_5;b`HYnCEz&KnCq6j@RvT@Mv zTtq$&VcWij6wSxgz*9@SEXM4xtr$GutQD~ql*PADd>v7EtOFKR$%^V_7(w9kth4BA zZ^knZC~DV&2WasI8r=L9ia4xfH6y+y@Jj;!3s@+2!uKE?E3vpPR#Vsp4@{wV=~pNg ziVQ0hw-wkPFbHo!V+z9gFy#wH4Y^ppx-tLMHyQK7l$eh>F`r0@`KA*yEzQOQnfnWK z33Z~uEnx?VW7CqB+SX3YIVmMv;lyOk_&C{CMG03|5ob*maZait&Z$+zIjxE~XG$EK zt4E}8U@>+Y#bs#+2%wKwV+STbbrq?tsXDcjs*ZVT)iF=2qJ*ET zI<@~;sr~FMe_d>-BF=xSh|~U{sD-BGwN({yK2SxReiEk|nH=cE`~lRQPbL>y`3_5w z@Fh-aX>DP-m0FsgB|Dy z?Ye#!6M=4Hnq^b*n~rEWk9r*=?8*oPlktHOCcr#rIwSGFiPq5Abv?Z-jm&R^6pZN{ zidBc)V>g97dv09>pT~14=$(|m$oEKAblmVC!C-R?|{kDHNFu47I)S-w3dd`(ik8L#N>|ERz}w8*>~yT3nRh%i85cgR0|(HzYy3 z3?Eq+D+6CE3@U?gcZslvKwZkyfIs)R&gT)jDaYVj-VvSTZS087B&%2j=2s|2vt@6h ze!H3jdQi11H!hvb{9YK*I~Jj=r4t2lw6_abu?!?ki;2ggwi=T!K_|Mk{%-lfbr zZ%#;X_L~<-GyR~KK(~NY`mVSKKo|e% zT4;XN6TfsyiIWpNcY~{>IdQ?EoDTQ=gzu6d{KR*KaE=Zmx3wYPs%zFQugK(WgCvw| zAz6#8>poxu$F7`Z;iLn@I4!JK$8ye;&OJ^)`AJ@%Aer6jrql@gm&Ut^DPhiBbFl>l zl|rqTew&NMICn}Rr%#H5Z+>lsICH9>7_3`PMx{;O|2aYAs!dL$5oWItlSJ;4WPwRG z>x?1##O*EW#+{2$t>xYzkF%oO5W^Nt(NAl=uOx^)eUlR#Io|b{W+TF0;<*fi2gq>} zkuzr$T`mY<{jyE-f_HVwnDhC_8jVYZM^-hV?)~E2I47>UHhl|L;wzHO6%Fd3C#h6g zY@^ziDb8%Gs;xOcN~J|zO)`0F9w(MLiI}#GW2Xekt2cRl)3wFrSL)bWS=QL-6**VR znbWRTKTNk-K83}d z8;fCraWR#yCp4Q+tfeJ5Pn;>}8Yg{FmCZo<+567Gksh|~A zs$))T>0xVqKmz+v>QE{cRYA0bRpaVOrX-j|&O;0mPBp~%ev&UwkbF`@UKNzL^(x8Q z)ua;5OICFrk84k;vPc#$wI_cyW&g>phyy@BncFtS`_Xs9Cgv`J?=Z z5h&2}?a!S{_$^S`Q)HnBWj!`uxMwK}4_azNDVh;sE=(As0?(Y8hsx!|KdOlv7I2Q=Y|(52&*$PUBwckt6z|hllvYwex!>5&`~Kd)X7_n!W@ny#=6QCXefBeB8W|7Py7MIV zR-fM*X@nax%^c;WPdli%i1O`QEwq(ay_5uJ(2B-Xdd~@SKNBx4a}W5Q)q_z^DtM3@ z!4reeV-HPKMe@gh3a##@z6*Rch>iAgHVjbG)2NMNbFk6hkgU6Ki?J=1wNB~!Jf$z? z&N`^Z=-Csm9TE43=v^a1LeB4xn7=TbHunk67D~n2Lz4*z%_LbDl9BHL}S7W1iH1bLCriGFkjDS=sWbAm~2; zZD_pug_D^P|+(iqA=9^o3g}P$ZF~_k#tFu zbcx`xN^T*SmX@BlvLi_JdqEi*N|VKLS=D|wzgXl2fo}|U9LUDYLoh2gcJ8~EV^;Ag z!$I>XiR^^In`+j5qo@+{lbq5z^dBdqVb4}-)!jl^6^0pN)hI1<+D`zln>{^=>WkKpDORb;QlgVCKw}5KHumt z*zNRH|1u7E?^&0Y~whf&ey{I-GDnMO5-G%MS>)V`P~0f@D?^j2OF zTF*aU5H5eAXwt0l5-Cft*kErnB{fLg#?zw3Liop%1Cottu+lwFb8(Yf^c$Y~yd`{6 zO)V$T{!@G)R>7v&yMAH2oK4Rsbddwjw=Zmm?Bz?#_w9cufmGj7eJ$#kCmJ1y=(m?0 zQQEdauVX)wr&T-`@xS-|%`%9AqnrMQCJvI`_o6Fsc6XTFUl%pZp$bnEf7&DGV0z%{ z;<|RPWjYH<|7-JC>A6}Dp>p8fC#FjOpJC^}Vak8}I72v`t3QBWf09wz)}8N&;84ye zborfEh&BL!yIV;s;7!o*m!@j-h5|+^VX_QgjVeU_e6}?XF{6y(OB`V8G)eX9 zVq)U#j!zlYnTkrtG^UtFt@M~53^Wm`(+IntUMp;PdPU`beu;cd2!?;ke9;*@>Z`~x z+j}HmZ>=SJ`7u4`Pl;ATtUH2En)4uMhj4>*W5L+qf|`en!X^ocHIT22|rw zsNsGMH$>Af8AneyO+x?Or+HfU&&tbp2b{Ya7ThKJY4VeBi$IS8y8G<&np4F`s#7(f zLkn$)>oP{F#Uq(poHNidNWZY57G7oN#QJyJM1c0M9~d+FaniUrq1=;jKljCcBER@c zSzao3_eN*}$zKkAf^l6*_in(?SQ8emv8Oa#&kV}Rd#yH_u6c<=FSA>+-l|yJex{N_ z4JLo%$1ont1+!pEzxcdE%aN3l_6MU-K3aw2G<7N4Rv|~XVj*8MYG2@unGRv?SR+A( zTn~Al7eYs(IXKN=T#c6`rZ9?J} zv&8G(t6t|~*P{abHc*Y{w!Z0^djWC=#Bn(sqQCYiwr~L_pV{)fLNSUD`%+u>j&@cO z!)iTCFNbCsHV6GC53q)ily(8O594KS=B7i8?g<0Cx&=E(UO2 zE=4k^=mzl|3o1rap!|VZwTx&X{l!MK6ws>?KV%D-xKFOliD6#b(<&D0XAN)RZq8|HAe@e_PXvZ+4T(d!u2tBqvg5P z%vA;47Ps75)7#7~DI3=G_#djV-^XZtiTO2ZKW101onw8=V!ViJtgAQ~6Yk{4+<&YY z#WiZw(A}$Dquvn&gp-&A?ew?eG;T8%tsOLYk%8hHq!8t9{%at|FvxUJuUWpi`eC)` zGK`Pr)Wpv%e1&(-SlFZ@(fsnnUv)L5{w`Onp2AH>#+1oP>e<*jx4Bzdt!&+_t{2fq zZwwL&*m^>~>?CiXOm>M}4~i&cZ<3Gp%jaMFUn9QeS5^r}UsjU|4f_b07aK^+het7p zc_KW;!w-9B@)`fu)zCDJMV6{6iQ{Dljz%huN-km_Fev{-CzkR)N9J`SCOE0IdI2gj zuXVfTHd?>u5+gApUT=t($d$Yw>0)T5IoHaGOVflWUAjEnz0$)5bIo!4S|pWnYgkUmR~;OJdBWkA2+_T8rfgHt0m z;DHexVLnUXD(xbYq(I;D%YNyY$9}EI#bf!txGd?)p?r$Z#0Y1pON?ypgvM)v559>) z%e6T@TgpD%XTT01>&G|lt|R!-;@nRaIUaRfbt=hMO>@7UDs=&$UT*}M_Pp#`!UqZ>ob2<)Ja*NhZn(ogm zNQ$)+*R>N43~aIC1vvD@eAZjsuCnJBa=|NPV%o*~NQ{oRC&m~R#JU)gGHFSv!+khY>q~E89T?FyA)I5{G-+h) z5<;$l5!jJ0&8Y$8Kb6FT82LWEdM7rZ9G%g`&M!jf%*0mpS1u&O0Lb!f%NC;rXGzh* zC%EnD#y@x2qk+M4IqoZ+fxVz$LP@C&rQU?-5kZH1UpW1!LiVR9=5b}jz`#t;p*)2T z$u+a0U?7WRFp!^%Bn*NE5>Dtmn#a9U(K@E^jY;UFo5u~%*Qy}({hH93IggvpT8O~C zOG@ZetRZdGJKv1K=s04j)c3|f;O${zbR4jpR2@9m!`X8PMu_2hp0Zo0F0~O%^wq?F zW9n%9cbF05`6E=PUtw>b0drnSnkHA%hS|&G$K`;+!s5HV)RHb91^ ze7!iQ+=h(xKmqE3ks_eTgk5;P*}RgAMjP4&3Gs^o1$U3Vw3yn?U17rE|BjPmre?E> zAR<50Ccil4hNh=ueP(VFr~MVX<==h28;s&;NL`=9OsE(|0fjwT4_YtZU-o-l@Ho z|LF|}GPkX53h|%~wGR-PDACX$t&U$xuN>oz+Ts-#S06;1ccUXRfz;4Qs6kic+b|#Q z^~0x}SVRl#=au5bh16ka76~TmT@LmF=Kok?2NhBeTtN!lN{X`~LW0x-)0KT_siQZl zYWlXqFGUOH>lj9gFpD^-2g(YzjeZza$D8mwj3~HAi8he4L_1XP4UFJU@}lB*9AP`q zrF8O{klI3WmgaL03Xn3llB(mobt&zmb?ypG`w!eoedyY1^4xl(AAE>psDIydNNqDY zix~eI1)*8ZozmDODt;Ay&Nv?%I|x@3)7@8nlHs9;k+__-J3Xg^XhLCN4jja}ZUv`)i$ zT%AmNnHKo-(Np%Zr+@F<5;cScN6gRJL$y#*{&TPozYDPIk)@xHE>s_EWWHED7@XfA z%wR}hHCYHMN}wp{=pq2}Qv@}wmJ=tDTXeO<*=ReaX zn$SU&Y$<`YwvVxb5xw68vSmN1{8LVG9hT79fQ}wREeO*I4|}lJJg&)`LJIuWVdd3{ z1N2h<6dLIJh{e^=8-wDnT_2rDus%j_iZ9q3gB^Fz9s}k{(#RwkJP@+L=^CHV>2rtc zDaQwyVj>N@K?5S=q%)%-!&x!(@uZ&eX{;vB4;-@=2BQH*E?>=Qu37|lW5zy>W{2o{ zpFxPb9vM%BgQ=feL9sYLbNz=VGOzs5GC#1w8M(}OLV*EcK4A=oyfS!o4ea#Yt+-@g ziLiL+zh$Q7T@?;uX(6PHM9)cdY-l9rU5vD+8?4uv0Dj}dVk^K?Ye5r7jMtj03kT2Z z|27g)_hTL*SWfQI&XG+8eG0+Vz?hv*>%4e)zJPA}CeSD)6Xbi#>zeQx%o-X~*An^xm-YrUnm_kRgT~hV9Q2Fx`@N9X$*)`{FKEK{ zF=ZG}41*zL*VUb~n9ci7AnUL}o|58Jx=+d`Mz1TrEZ*VHh2)1e39<%zU^e4lxWF_N zhAi2;v-Dbp02l1mC6=NoSZgoEsWcA=AXfEGUKB4~7VEH8`vrnap1bc7>5V??P3V|} zwvLDk>(8oST;T=$Q{Lu*2zb9-5J4a{FaSt)3&)f|mg8iash0b~OQj2@q7yF+(O+Bu z92jYS%l;hXNOOX%pxS?(;^8?FC*)M(nzc*HCHT{l{MY+I`uXxo2Z?b1yMb$D>n!~| zI{!RVhxu*16P=#-#XTE3#LS9s(LM-}9fn8f58MaogbkWYojt=rPC?b}Z5#GL|HUhD z03Vw3=pr1=DICx+c@l(BlqTVJ$CAYe+ox`J~EX$ zB(-L+pGkt5CcSnzzL{Q?GY4aXeShpxnw>@yYX0;VUuwfSME^MBY#CC954QQjjt#Ln zlB$q6(*rYsGT7YU z2@$~I)<(Dt-k~SG77mXJCl;UCjlMgjpd`;z#rm?VL2T&&DRgJ>ko3PLm98~uvTV&D7xS$``KSG6Fr)YNyUe6MM_C&ZW4o; z;?R!jWcKGE8Rz>6H3$X{xAE^Ilv3Yy{6SU7 zwh<~l%kaVG?gjKP&WU2mbf2#;&W55km6a4dWSQ_3a4*|kvRhDOZbjTq8lhY4vUWRC zN>p}Qc+CWwUQX2O}gjJW2eHkt@)Zq}g-*)%sNITZ=IT6nkcYI3%T z;gLY^rC5^kulREjB&l;K30Su zDeW$^MtpX+tQ+u<)0yx*=y~OWb^!i|QDTN*W7*dPSv}edjJ0Acuiei78KzG_n!wxh zHCvOwrih>VU)B3SG}d?Wu9xo*1OTsrEE-ociz^|Ol7;+=^GTDB{3mLEPyp~IAMovg zG1!gmSS8o=HojZR!uP{&EHT`y5lgh^ZSRP63DIcH&>~SUz8=^`Tr-BAV@-WkWN`fB zwHQi8oZ9z=neUn_ek|GcVQA}PWFG<2jG+yCPnL$iY?c_=%idP{y8+`g+qbRuw;~bY z^H_23>nFMPw31SU9}FU49&W$+puSVrD)Ly17iX)cr=3H*$?K#Qotufe+YW=c*Y&WR z2x+ZcDMveXx{F|o*zc~Lb?<~c5Of7|2yPZcwTr|Q|bgrC|rA#OYoeD){Jz-I^91>+^?4C%AWWmPh;-tBj}fvMvc|47=Y+sHRnX=ZKhJ%seV~nOL`1m zZ$~ZR@vbrs112%e^5}2D5G}7^sOm5UUqNy5J?iW}kqn!X$w##BwoP0irjXc|R@8M* z&~4(LCZN#tL(|p3$zCBI;txHAFny-(3Sr0x%pB1?Yam!W0Uhmxpe*HqCle9I*m%`cBT!S;$?R*pG~x?)jg8P3wC9FI;>$N zil@`I{!$`9l0QQuUt$`Umty2-VRnik(`G&?@Qf$={57a|W8`0}PbdsqR&u;{;+Dov zZ#TwZyPd%-NxmjnpYK!6X_Ay#l+BA0%LxpT@040^ergk6orIWu8PZYXJ!w+y`5uZ@ z9P01F%ZJ&^DUN8oX;u0z!VIdj>#EuFZ>!TjcHQjxbk}8!1t!HicG@IM@;Lo> z%ReVcW3ZiZ`ILpo3sZ^z)5SuBsC>)o^Lh|8%d}|@zacT_H`d~((ZjaElp-Vr2SPRY zsJ4%g_l%Qs^43-Df?poDYcW^ajOWBD*cl^^TnznAP+8jRjYm?fGfuX+>&2?u<6S&* z-Q;BvygL(M&E`R=$q5Q<7;0>7o9SD8Rs)ulzxFOTIpGX8Cqd^Jn#W*QO<$QFUF?nd zyO@SVl6RRV&DkU%tOO@Fd0TZ-?`U*%5Ah~vH@T>S8nT^UYe&B;Z@u~Ujao4w@PoLB zs`ZTIrmr=PVs>k39U%j+f%OKWN32ymRzGUuRdl)5q=F`ib2kXDP!;aD;f#v+#ax?J z4e|Ohhb!=czy4vXzt>uS-gNiL}PN{QI5YBKiEJk6_DuDCJP|!%im2JPpgUb!W_PT-O%_ zksc|XFnkjx^uzn?Q%3=u#fB0+N~|@m#XE5vb=7+8S2YWa zMOB2nK&QsKj+EHzP{9|(X(%yyl(xPA80TJfV9ZQ$X{hMhD~48~7l zXNY``VKQ+Ub@nMNmOLyj$FcvD=wWaVbY;Xmrse)GZAyhS*%!Uo^Lk=CO)9Cie|Po0 zAMrr%2}$RbY<Nc z%Nk9ST`brReD@2YB@t#04Ob<*2(xVji=Pp85Z4G#tjAG-eu#C(QN&8I(TE$!-QXxL z;NJz(3gwJVzy9~`I(bkC_GVmdZP@u0VdLOoTGLCuanV`CMy!ldFTIGBA$Q<_Ds6lWJwk#$NO&w1b(Fu`OoQ9MY9i;F=hX^ z;UuxC7!pf%*ajltBe!K)s|J~ zTqp`rAq~(kDs}A<3ZV8$B z%QrdZRjJhy~IeEB%+WFKn<7cqX>*7{>h+(l-sV-uw~ z!7KA$Mj%zkLuScs*TDl!X>H3pvFT6m$?P)&(OWF#1-@98D2+}>RkLCzvO^*X@+K0u zE(?E?oh?Z?g92hlq=PX0l7lY@NX$n?!d#NRoAM+EL`khMklluLPGZKE<@_AVrYCm7 zX_zMtYtbp+T&q&id%t75>v#b!yJ*!3Gw*)XCeR=6_s-8=7AvPTmLL5wHEkn73X=gk zgjNJ9M84*b#@^Xfb=pPeHnvr<_b*BU%y8x%Qj2{5by=5N%k%+R!_lm`usq_WrIYvP zgH_>-cj>ZM&6^3BQ#R{zjW(NXgNo zFr5G1@=THuPlc-t_36JP52c+s&tntBuBN5_M*My6rI(xa^KR24dToWP&Vf@x@cuO8 z%p;MzJ{06@Es0rCWpr?L$6fzEagu)a*61KK2vuKV6H`0Kk8i*a#M`I%{tu$EN_%#} z8jN`Q*^s+102Os1&5$)HLKH?{QR<`_$62FlFw8PEo1-Z~}AbYbBHx;P(MnY}xf(QH%-pPx9K)B#oHdNfU|8A>U zYN&XKMkBF}p3qm0;aa*T(j(2+BB84hN3F;@b{4=S7}cw9VN+LZ`EK6489<&kc`SxY zTHd!dq$1gbDkf*F2B?TE`9#yyH(I(5a# z|2$!fmQcQyZFT!V3hclRu`73BJu>;{{2_udV2%Ih`6xs5sF}O2X*>R3Z^6l!)*PHR zDdJ+J1apeCDysI6Tu$3F&s2~32a`>)r~H*v^lqSD(q#9#wSALc<=Et&W$}m{>R9_7 z_!y?8GegvGRR*3B5)oQAeWrk{O}^)0S5MTp6-$YUiddBpVgqjdkJiVkPmbOcdmcj* zmn**$G5o-Pm-JeW;Y$dkWnx4>k0ZIaTm)mtaABA_O~c2JOTvxOI5^p(d>bOAN8JoE zv?mH56+LO7GFvEx58+^hoBt8I2B+(N-F~bfgq@`R;mvBi5P6NEt5D02kl?m?tc1aVPRp4B`^03Sm8}u>kRC6sYB2SodpG84S zf13G=Z^v_%qB(xIUwbcjd956ILdz)ixNt5gjA^q*i3qKqd|CKcjyv^c2H2R4Q6lIo zxNed|v^V^`dLL*=MEXJ8@1^ISg9D?y`0?qRe>v$y{wAMgTCK7siw6-X;{Byf&E+Em z425zG3L1tzt|3-#z+HGm-8CaE?!;dAu4LI2R@^dt)9Z2udB>U@q9fclC5!o7GrnF| z-;($yzvBT;ld|KpCoUTvKO3_$H4|7%=-j_8@&32!Z)`0M%K@{abB(0Hm1xRZM+sqhiCtiSyQ#^j5LeUE7R3uM~e9UcI>i`bBYb?~U`E81t5 zB#Ma(xKizuAN^C3y1E|dc%Rbf?s_}YEHn5^q?LPK9sh|5pz+_^)@<@V0!mRyx9_u@ zqv)4=0Rs8o=?VT#(r|rc-c~$Aa>L$CTq|j35NF!nAvSHBK+pj~@nFd&tvb6{2{{>9 z_5Pd;v%eba^HDoc7Xxf)`^?j|M>tVJwgmmtxXYOI;p|fO8hM(ua~yH1yrUIHz)d&_ zt3;k*c3g`*{p(=)4^r9Rx_zG-R19<0S*bvhbt26s-j2ucjK?tluu~WNp1~AJA`NV* z$t}0l7sqQ-pG;a1qxci-OBY@4Il{q(rK1r%|!gsMdJ@phtQS9of(p!7BB?+-^G zk_w8-sKp<-<^yio!1Fav2+^rP_KU~ z*8wRuMd+QU+KHJTyUp(ClIov-E7m)oQd-E+Z2VhE*w$Unbu*SVNG5#|@)s^+8+TRo zz|Dn*4c1%Fl$m1FHWK@DlT_k=glX}h4WK{t$=pCd!NLLE#vAPSciw=G2^bIsZbAes zl-F<$JXb5t^#+y-Hw?~P*EV#Rdm1zQq*R-iO$`wiUoe%`OM+aB%+?VZcXtr?kueq% zQfK!!4hhd313%Pxx|IPAE+qQ)Z`p%nCTs)hp^&{IbL2u>Hw=VCZUxD7!-k{~^oJ4+ zGo=3Zg5!Vd9-zxcaSf$yV)>tW|8xT_ zu+UwJ#xazDFi^!@(e+m4jgy5UU$^5bU&+%&zC(od-RNb|L(}Zsd-yqE3xSRd{UEbd z1X%HOJ99U2&=u17O$}5NiBwc%09#SuWMvv)uD$Vs@#L~2O+P^YMmxri!_HO@R6Ixy zHTqtDuBYyl2Rx>6IaO`hJB)qCe$W@eVoqC^Ud(_R_sx|gmGkp)Ud!3MusnMPc%$&r zY-{Vd{9GgO+4sd+wRLp^P!r8?<|Wej{tgf%!(_Q_neW!~@v!-*UK+Q}(NWYF9&^`P z1?^jCT1GV(Zl#M(Z{E3>kJ77QJO-sgc7~e%eA^xiT9vuxa@>&8Y}GJObhdIIwG9+s zG1cxGB2n=L%Vlzrp0#o^!gO)L<;wj?2^gv4#V@LtCQ>a={@~8rmvpXNT%qr}nuQR8q zKYjqa!=!HgGv|WnimPg$-MYi|6OdgI$63Nj^|^6IrpApz*?PbRu581VW+{E&OoIuD zSjcp8O}TnoGcRy?@Nuv`J<3tY!`XaPBDF$&6eT(!4j4ve^KelRJj%SY%EL*jT{61SNkZplu<)nVsyk*olr_H?& z;BgKHs5KLnztp^@4SUlXx*G?jD()X^`zktD{IHPmSc}Lnf5?zNAeHK9z<1Q{36_0! z^?EO0IJ7o%3vO;~r%imqFx(m3fAy4b&BE!A6>D>M{~sZdz^&(1(j#U>)OxvB*vvpe zS`WdxrpT;Q5mhIm_??c_7ev+zIg5*6J7Y;&TgP2sgGS8;UyH;t+V~x7oOTj)(s3c8o%ktoD*D=8h<&Pod7SpV)$E zhgHs=`(kC&@TKWD+Y{+Byh?r%4asqlKRh*(dcSi@`R2yH9JUG?<2wgZ)3g06DZX74 zp7{ry7cM7XfXvYZs}o(DFkUKbSQ}g?QFd68&-`Ct7Gk#8<;=phK_r|`JJ(=d+?EU8ELPMCH*6@7S z`GEc)TN=jsj6POsaTNcM;PT}#@@${6#ejX<*=q2oFDdYA`k}%8T9WNTvqn8)tR+_-~P&%^OAkh7jt8jzI?so z-UIizMyAe%Creo9a2!ZY5vLb>0TNzE6cNEKrUHH*=K!+yP&@<0_E-d$j`>tX@@sbK z4xOK&--^B+=c)_esUGP(`~1W8cWJsqW#y=ftu~&=A=$I%Uk^uyMNrYTB_!mTMMv#xbh3nhzF8=9ocaHx~4jmq!?uARh=o*o(V zaf!}`0}eIdo`yfGK<1{Dzia*le{>qpfZ?)ySjAXTjR8ii> zJJwMhAfAq}mg(Ntr(LvIrv^2QPJ;|QMc?_S3&Zi!I@w6tN7JM!qeiU$^cka{L&ei;2;(4F9bF;Q{(=vV$m$Pq9K8qfL zlHH2t1TNM@Lk^i#59Y1t!asnnIEMIT0#O7VbS;@`<}y}Ry6Qa98s;vWsq=HNh{lBs zFG$T)j&Pm0M4-J{G{-EOV@bIDEd?u($ud*ipPAS*>M`OBJL zf>a^KBcEd1Ual>;0y*e~wkoD0zmlfa-32M z)TGDJx_}F%Iw?k~e8c&G-oWjf@-1X)-Ces7_sW)0yIZai4-BU}>B@8kt)V9ASZVSM zVLC)lTa;9CcUF2~I#l5_b0%$X^ib`HI*NA?&z!@hv+h8b>x(*~;)S?1FXw+%#Oe%f z?JE05-_QDRceEQ00W>Dh8kUkInWx>Qdgz?Mml73Qb%N?B%qZ1vp{?YceUQRz#?DRT z&dsCzY)4#qADqr^MngN(9^@yarVZcJPS|L*Y@4j;tN3a^*z)$Ki0b6(xVp844fx12 z1e@7Bfacd;{o-mu99EZdS)$7%+V5N5nt#;p0*>+wX~bYD2&fwtJhqx9p(EMbaOUIw ziY+ROYUEr~duDui(bf=xM8!*dTx~MeICSQrz^bMtQ-wF{=#}cuY#pBCM!lsz^7jyuhuN?p-FW}O z#g&>(VI!EzOtFgyVjHE>) z6MfO}$mQh2(a4~qKz%_E0#aTGRnON;&2Eh$LOuPbN#9*9kn>o{t1CUB{g3aQCJy&#+@LZ`a2ImQ>YLQPQNxFX?1X-aK6|vvBVX zY|}k39r?b@rCz12SUhJXH)qCY{z!H^|G9_mXa3y1Lh;-g&B^Juv!=<)%d3aa_jJkm zE6j?;D^{1YGTN07)JLMJWG!#ht9Yr4tfIuSm%&c=`UeeZWGzhURTObLRUe`)pe%-H zjrR^c-|Sxfopqdi)zZ_mGi)yX>4H3=<#3eOZz{^-@9R?Yszc4R>DzLli%ehQ+{eZE z;#s>_)B&RWU#&txQjHd3^)7pUBO`x6Hb27+k&18231?TL${|#ykDSd9fu7Qrq<-EB zJAV5+etkQBMsB8(H4g`(p_`pAJCD!i&v8Z4;76^C73>RWG>@RawB^hW4%QvLcBOlc zk-ICr*Oo6w9#Sq?g~gGdXZBU*05xWP4Uo@mM=#;P(cv3=VK1SdDHjs=h>_CSk3(sO z6*)6#79i+eaNkSlKaoOdkIyrcqE8?Pl!E9Abk8xY_^;;-`(+$Bfn#GnzSC1m$?G0ujm3eMPK5JipoP36*^P}vxPG)yC9xMrMA+j!&k+J$-BhV!ED`_~UzseUVa zdx5UGdojH|E2fXrErvCIkRSQ*xE8-h=iI%z?NK+k7UTw60_LK3oM6A#HamS=V{-8g zoNKp+NZ#-8D-bI2S(?Z#KTIo!1ESFvID;L}KrUn&4BHlSi>_8o+tU2Dqx%^RKvg(4 zE0XPjZE-Z8MM`|Ggf1Qc`o|i~x}aLDTIC$S3zZot7oV}mTAyp|9ijp9hsJO9r^6E zph8NL3lO5M2p#v{@LNasOHB!$!hsagT?553$V3$n@G+NJX56HX_9R7c*HxP|H z5t99t^zuF-*6T9qKSuPdy(@M@%AErkCwcs#ZWi-IJy5drAHA`CZBkI4Q8Zv5bE1oN{`qk%O@N2}MylbDT ztYB)jt5YXR=14wl%9m4J71cU{%okkw_IF>!`>l@(p`j*{9o1bhg;=SnuP?Tsx+$*g zzhbl+E-ConCk;vm#+ZF-+ypxF?YL_NXZpf*%84Cc#_y${-A-%hbSVg2Ln%d61%e+Y z%R`<#+WK&|Z(NKzJY8?R38Yg6ZC0J%-uqd1JnSRi1y;t72$hRHqyqx4W7Yq5Ktekg zVoUa!9^?N_+o5!;r99U6DrW2~gv!mda#F?{soKyB7nkkZx}r1tkEyeP+q)$T$L4TH zcdr?-pFMXH#|`D&+p`1hJpZP>1O>MmsZ<95COxi4ikF~cLTb28paV`O^kfQpO*wm= zI;(jKf_ytFvxJOr#l~ShRIWv(z28CEGoH6D5mAj|DH6+Rf@yl_zr*<9%XTht&axN+0!%n$FFUmk?*5p@)v2o{^5nDy`ez|yH!%L_ zJCCQ1>_>NF>}&gCMYrZNqPoM8E_Zr1yJ=G~2zh%!wg2EE~LLw-!Zf*wam zHiuT)dcA-F2>sIAGo!&*901|-BCrvN=1-DTZ z4y3L=9|`PrJfc61@W77~1MJNjqX%s>kB+R~iWr-b`%J1-NB-u%RD2e`gaD=Gz&h$v z{-WFJSZaM~Fb5Wgx|nffylE|Cv!Yf$N7ZlALcPqaJ^O%54Q-s3m*?m;a`a71jgbKr z+e_w1%7`x*Qp_wV`UJ$5HxZio7y)LMKqlzZ2WO9Sl#l&50+%kZ9g;f^JpDLM6lk@= zULR=GuTL5nd+Ql^ZrE`wD0mYc#O82#aC?`nJXv|x4jsu7m4`O?{%eX+gwkK_11s3a z5gjw-Z^xc zbbSSYBTeeM_P+SoXHc6P5aiDEQVhMy0r04F9lE-e?z(ely$CxcZ)|$*Oc((2e}{b+U5Wth+>|~ z$-r|Ot$~?jrj6bpx-%rG>~K!=9}2Z{fAi&LD)IrRp(;+Bty00<&XSlb&)5Zz|qn-Q4$`_soIcWg;8L^OS z&f4@S{gu1L;u7likU*?fvShdry{ZsHR=jMu2`ULXyDyu`*CfcW9zYuDFThNpi_N88 zmiu=zpIVIIsV;z^K)L--s@>D=Wz27#zna2o{FCP($wuwFmt1rB#zO}k9>xgYg~X&Q zD;N?_)qFhFc@|NhGzZy!wLm)sY4NC-&vug;>6(9hPzGzoX!%_$+x2w$Clp%VmC6btwiXWi~j zS$!_Pt$v_Pb0Vj2oaBudOWtU0f%j18owX%Ka}Z97bRw|Z;Z7nm!KcXPq6M_IEFBQtcx+h_?}wSYFT?i`qPHj=055W?;6Orq@jbaw zjO^k8TVFt!H0O0zR z1{NI6&8(TK1owVRiniXdzs+9ZDKmHG8x;sZ36>>c*jG|LPSs@Wl(e?;3eVaw{;u=I z_nviP2n_k)A7N~6nI(?ScKq%F{}Hb0_A3!%P2j=U>F?~G3=KX|BtHgfTsHY|8J@YCGp9uWV_-Eksa6G z^ICE3vd6W#xX2cl?Ck&Rs_*}t-#H!b`~AMJ^?W@akLTn0eBIpP4PLZF$r0(DUr$np zR;%l=2%h?FFO1wkwFPC>mejL%^Du4fvj{rUTDK3EF0CRUmc?F#Ze&zV<9WSrg9%sf zyR8}hFvh%_z$W6bc2j!6gl-;o@Hbx#N9vatZ5Oh0Uy{frJ6LDs{SM%r3dntEUH^vc z;$v@?O~mx^G}28YN_dse4t*$vdQgY6D=N+XO08%Uw;6s?kDXlKv^Y9ED`PmbKz1lO ziQPP`-amJZnI}6XiYxj!%EC{A{`Qwwk(s_<5Jt+~%o1fII0LN+=WI+*1Nq6^OQt9Y zot8YgjNR_aR&do<%N0lJ$AtTEXk+M+4h7XM^x`e%u3{tqvab$OOD0u5vo!j30#(N% zl;u@exjkPh{7EeCMbe(T%ZYsNQ9$alipx>_$Kk@11Ij16CwHojpSm1vFYc4|Zr^%( zi_S-K%VY?~rEA8(QBW?L+0woC?e@qX`wI8~aDt4DSb^_Ktcb%?k+Pob+>_UPE6FBy z^8$W;a2E3TFLtSla;N7l;N%`xU>`_2xvU&UM8 z_sQBXnQYX#n19&Kw4<;)Ip+UVs>#o4lN*9&+?)S?C)Kgm|49}W(oJROxq8?YS)4+7t>Ew@^!F9_;)|9o`w8#`4fewaGN}25 z{v)psC~Rp7zhp-P&Iehp`yu9_>TZVpM>iSUknB4XM;^M>2ME;hK^;qo8-e>^So$U*E1kxKt%P%_MZQA9^C{m^Ma^E(g!Y zH-8lfp+f#z>cCW-nREa0O*m!f#O3(Nz|s4Y?xa3Thrq&a=&!r)kF#92+~mX}gsX0R z@zkcBcwB}33LkuCEoe>H#roo2+Ta0Td-F7hdDO>D@3z&ab{3jE9GU6S&}jl7r6bogy&`X6^5m$%t4 z>mf*&l`J{U98os{F`PPuPtOK zV_uhr-HNSPwpkcr^^C9QWcW9At;Y{9?9oge_`F@MeZNpcMpD!&*jJ+Ds)zAAFMFUa z-4io=XJ7MprR2!dLPlnF!Tw-x*{60G>qg}8<091L+=9rSM--}Xdo$9fb^yDuzvm)T zH-MGj-+L{yX0?!U_H7`p@uk$mZzHrm(`V0puM1eYQu5ibj`?KzR{}4Gyd3OynT*lF zq4mw2+k|d73$J^->WJM9_+F{Rpo|g5%;b?2d<|Bm* zBlpzbl*C_jUq(H7V=Hj++c%vzyWsZy+qjEp)xQp(eE8mBCpuWgeZ@)e}nlO{f zI%O|E%vW`>Y39LtTkp0-s-%6;YMpSE!Sy*03&*a6PvbP=mfZG(5;VoTh{|nS&3N|~ zU5^&s<~ZeQLiYnisQ1u~+;Q$1=2c^Pc@pZAv67D6KAR+DEt1?@hQb9lS$TEfcrRt% zy6n1$JtB!b{Wng*t2l&j9yVG1+su!`Af&TKjsSjoC$Pp6lG{0JN?&MJ>6b2 z-nF*Rv0~O#*8gK z-Y2!O;jTVuT=qHQe>5hH4)NTR95p%Okp4-p?Xj?l(7B=dVX=GdO-t zCQC5(?ktloZOhSi)aMgPc`E0;{Px5!x?PP?Gl$PJp4{?-{Xr_kvM0-|^y1v7%ZQdo zN{5VBk^Xt_21Lg^I}zyV%$L6UQsqa^p9t6|Q_f#Bxed%lC(psJX7fv-EAHgs9lFfJ zlrK)*%qq$#shDd}dQq|xV`Wvs!v}?#$IA2HaL0?2Sz|Flf2xI=x9He6w#hC=Lag(BgM?Agd z^RJEPACakdR~#V4|jXEFw-_PSSn|^t6QPNlZwdQ|^T>L`HIA10C ze${o+u}yV1FvqoGOS4}wUfRmA_P))Yd`Z-{CVD!8F`JEfbSA4Uv#WZCu2}&uX5yY* z#}i@bdyo6Mk9lgee3o;pDx|Q;xA#td*6-g7d2@l&BdP_baME)8jOnKZ{kPFYu zLn))4)75XmZP{_Z&vHw7pE zmm??_M(&+oHD2Q>H|Htm?reGe=S|3uh0*svq(7{$)4>8phBsC%%!S87@~gd#=rO^u zfgO-xDw_ujJF!axgO-%)OnepLjQhH60iJqSUSl?mWVG(vyS<>f;k;{iJ!#E0e;YS5h=FFuV;VjoXBrHc;Mx~I+(H24}U(!>031Z7bJ74dw z=)=19u{EtflBd8Z^y*BXCvxs1jso%YwR+5Dt=o>@W4tR;MrY#4wRsv%e*Ho#;frV0 zx~z}6JFKsLB6qvuHBgwZ=K~26S^F)$WlxXj>tRhCCS21Rar?uja+nYsR>L}-z-sKY z!l`d<^yH&te&)(&KQ|}!CzQ5)Vj64S0)auT53o2(i%@eYp=kWNP!{+d9nsNm@Z zepDSAq(v9w5ucyzHoLBM)jq}|*Cyhb@bNu06*eyR#=8@8`<8jmVw8 zC2~B%FlYlmS>ra<)i-$_Tz!PiG_2O}`Mla=`((t(xI5QMs!vK`CSErp*Eu!j1z%cedRJHHg*=YWnqonQY)e!Gw#QI&ZB_|w zZs?JA#8R(9dZmKHbOfTG>{8fpHGKO*#W3;2%!@QKQdvV+v+lx+g4kTl=%4p#U#VBU z6?b*DDuk`2I##|+_NDFCR^=v3q<9UN3JV1t?pRymheviLTgIe(&PQMk`sad~sTSws zsaJoVU-OLe+Ox&}=CL7}Oe5bbAoL*?*MblcjWza52Fs&%u_r=RZu%9SUX{U;1Ojie3hz#j$IYJjsEc9O+yAUEV{Ge>0ZH_G@@u#nRJ(;r)Fqd-_uJrWUFAU z-SPF8wM4n#EYIXT*!5kwk`RB2mwm;B!yGOp2k@Kz$=>R+bTDoIqptDct_sO2H(!y- zt{i`bpA&?t;i|fhU2mz~thQbGU8cpM+dd5JzrB+>&ez>ZLwM21C{eFUjd({HLepWC zaFloMel=(o;99u-TC3dc$*%5@3R_%R-Mw(P+|?RLYArl|wZ>llXs#TOC`q;0U7V1R zs;bc1olxnmS;8X_a=Yd(YhA&sj24F3lcVjsj0o0v@tB@{+1&~Lr!fBFZz35SlO#=2 zKKM6Par{p__2@ny<0?6ataiJNPC}xa>^8V5-wZmBJdGOGGUqIB4Y1V{L6kQ*kJ=lg zDve@G#R$D_$-mVVtk!NIYsoZE>@3zESq^r3d5)bt8~Bx3@By>r_DEt^ELq*wUQaOa zjCReFP=nB#d=^_b>G>vHPl4M}!O@qnR1b^8A4OH?JZFTTyLfR;hOyET0u+JbufuV>ngpJD6ggLpeo}0$))1ydz@;)Q64R zDwo{3>m?tuKW#iZ)YxTa(D_Q3lPqzYIw$(z5)I9>hMY2|Rv#hTN0V|t;B6sg5}_>m zc|SXQ4tw3neG!WK9HHWm1?cGVBqkd&y=24ht_Q z%O0zZt>I)AX90J{H(n&Y5q{2bh7$eCygjck3sS&cJ~G)xOVMFH8I|n5MJWsCu6#`Y z_K>T?!0E&7)SZ%o5mo9u$og?1!*81T0s5{9QwhgrnL+w4q>kMXltMGJGNE|6dPMBi z$Qa=qMbY%NfVbUGQYTl(Enyi2B+a}0ftiwaC{(#b5&TKcPT9-H-?&>uNj~3J75&}x zi|zsUjaHaxn!|+$aI5snwUig)MOJPG&Ia|u^&-`O8sDtv9X(PF^EGJ^x#`}bcC61B zd_1mp94$s8!!nT0NBCqrL=k1^c%gXc5kHiT+C+f+9P-6gjGz^gv$P_62190Rbjb>{ z`N9uHa5wYoFkYEn5~0~@A5D0fVmP$prK;u8K3cJ3G*?+UWlxJAP3qPE^`|hT&f4OY zGvVQL23ZJ<>h@zay|_+bS)fVwPaR}>M%|gR{O2#|2i~$|g$!+eNP)k$auAO`>!O z6DDIgY13cMPLt@LXzpW#*%Cw<(k(^~mge^xni(px*DZ)bHR@w53}owIwizJnyp5*nDoi3P#)WscU6wY_wDe&&8Ba=+L|TfsoU=?ky1z5ZILS`= zrkQM)w21oT^k9pTnlfgFjyai=hQDRLuVaH3mSXF)r~DI-_s{V;dFibmQnlo$?%f@V z*34T{fBB!(k##D&p-^FES($7z(x4>rKZimBMQb=D;X_bOa%RVKOSsx{7Eaa|%Tb~i z`x<_k(s3&;L68W>?nzJx*^Sij4-D z#0J0XboUd_Y}$zUO`RfFMaYWA>=rI?K%U@x*4iL8$vlf({)NC`R&C%=rrdM(O#Weq z{Ooo*3j6X8PTx94wW1r0bID%aXwt>K;-Nk--@_E_>UdoQoq2&5=4*Biw?L=B;Kwsh zI+~zIhAy?exK?U*@gN>?>_&Tb5Q-cW_!&&OllbiVeZthAYUAJc)4$Y~%xKYWRWGc3 zlrGEv=HkhDvdLihvS+eo{Q&y#(N*YwzrCtBuso`UuYQkVi)RxE?mFv|hO&{8hsiN4 zz?{>w^z-|hhTMs$1$U>0llv{chLXPTP1a@linq4!w&XCAyvX@Xi`a);Jc<^DdVF@~ zDG{sv;uAh|!qe^?G-E^Row)j^=Aw6%X{7JVzzH#=rgZRyE+#nNmSeI>TgRJa>KUz= z;+n_-(XX=O!7MTSdI3Ks>Ty}R!frezqwVWixDVzJtjq8BUymiUUnucTjAcZ=E??0T zWSub0(8J(XHB03@$LL8rZ?Ou!<4iRC);6ZHd1#Gapg7g{^$R1d;XNeB%4y}oiFnwUxh(Ux0L#UP0r}Gb#nWExvHTUdPHW)uB z5znHkaa#M~_oJxxdGnGzC7@}Kp_Ie+?3f|A5mP2}214a8b5oD3R_V zcMidyoKu#+)FO7I9=wtElq^R^?h;y6?otk)U0YvHr&YJA2?A-R$}^jbq$wqhpk;i9 zvSAZ<&*8B5cBx-%C+RXT{K!NNc{R)c=`3k+xKQz6)-w&**@TFZmy;5B>XgovVDuK$)|JN zs*1hxw3NR$S0}jUt5VPO>NETkT|xd54y>I4(>Bfb5T^FtMxIQO@x_NG>fI2Sk%_D) zq8swe(D`d^%K0ZjE95cEytR1TYaRDmPVxWj1nbSS_dNqQ`^W1Y;>}#`3pHJLcIddH z5xY)nUBwtr3*?}gZ-#dN=b>92U1_yCSrs+4l@$q`w zZNVAW;#=eW<5%wsH(mEUFMOULp5&$`;GSKf0$D5)+O;!Rcr7u}I&~T5$k@UZ!C>>T zSL3Sq6F?wrPNXd|wWaajRPAy4L@q^_5 zOhO>Y*JR4D+_aiHol&8BbF*{wpBf!5SP7Re!{sBS7+l-X@o8pm1*>8B^`jxB2VY;i z<-SZ3@?-MR9Q91n(KF+3f2SOj45H!>?bzES&Gn*>Y#p9MY@^=ktITnbavUUNj816; zylwC|pbw%`vtDSJAN70r^Rh9yh`Y|EA72Gb?aN?BR9D@Ns41?lT&7y6VgMRs?-X{Y@)%Mw^!<1nrqMea76lU57joSmJ`V1Xi?X zfS%*Pvubf|L3_yajY-XQmn0KNmGf&(8y^tUt`@rFJsm~I)^_&`o$QJr%_s}q@)A>F zL-y+~gZ8-2$)8JHCm4nfrpX2Eoj*P;Ig^xPQPR>Y+V+C@Y3=>mu41nxcE;S}H76d# zJ_5vKJksn0<(#*FoMJLKEQL%zDHMf9?~=Em3j-KBYHOFYcMd+9;7+Vta_l|0cUC5M zU2y#~NW_F?oInB2HYs-cvRx{S=6n9UQ(07Q`YUo}YkRfS0XBB&TAdZAN&azTtzvy# zCymN~@;y6JaQy1lr_b}R@XzO;Ag;>aHi?##v-SOCf@AXrh3chcp|+;q%9yOBgSlK0 z2hY*xDwBMZa+8Iu5C7AUR4BTKa2=4?s*bn!m&N+fw~Q7w zuqrQ^NT?2XoQq)Ws3@`ztyY)RkDtSdJVULJ-8->MWRAzS`qQ=TNKK z8{G~(-dGo&8Cw;eRa@)umK0U{+u*;W zah_aaRALs9h&n1+3-@9M`_&@P*uf+{uT7YlL26FuG3NR#X_ytFHeAY3suIv6ujb;F zWoCw8nS`RPZ5^;I&J{8(goJgbxg#U)DdC~sd}@yfz7(oAOMf`*T$ z;rfALqTw83p!g7Pf@I}8P#M99huFm7c{`cp3&$dHJ%k|TvUp7)C`L2zsYs6gqDzD> zr>b*=8X11ppyO@4@yGlGR+o5B?l*QX4>P{em60Xbt$%pSgimRYpC@7E!zca0I`}-e zQ6(#+S0%eXHo2)(YhA(N-2=%v4EVG8pyV-F%Yr!ch-qHi+~>VXoAVbFq3^U}G$(t= zIXR>&Pl?P#aU3oXIrv-^&f`HV3jOHmXM!7hik$lpB^o6iEgn@3EglL{VlHy8(! z749B#G2=_Oyx(Oi8QOFHWD_}3!4_`Rj1{j~q}h3Wv%|D0`!;rD?*B)TzL`)Y?=`H9m+0wFuY32gD=(W8j_AWSB=RCmMY7EI z9;gm4pCx0=rMLV=Q?Py5x8|C@fP-X7&e{ERO*AAdY$Jstv{u*;~Umd9xNMnA!3 zQVd@!{H-tFX7Z1Vuff*RP?>$K-A+=+Tl#dpo4!?=OZIjOh5?c7ZtqPZ*RrU9n`+jY zFy>0Oh(SG6TzHNWSF|N&RDkw!k28Us`TA4)pdIU!cV+n^@@77-6OV`&(nQ%EwV-`t zkydmFaoK#5CL^ns&q>nbY0nx}3*C?JW|WcXYk(|jzF>+gJgR_z%dh#~ubJp1($X6`>y287 zKJw}*UdbJY_>A<=F-uQtr?z|+kMjM`PQ>HVQtYk!JVq6n`?kM!q-U_X$0x}ei5SLQ zDTghVgX6r+VO1yZiT-{}146QH1(L6)apc{G8XlsAzso5s^sE}483qV)DoxutCRdG? zF|Xh_i5@0bL`^#Gvk*w;n15kpM_XpPDUWsV)7xG6yW?~KF%)9AvSaaSDF=>;1(wyG zf*BC|8&Y*c{2~rOvD!gX1D!Dz;tPP8eE(cHp3sqEwT%?{=^DBhnUX_IE&-pHx}lz+T~LC)F#!kn!hqFZ;bA>e%3ys7H=y(2P+l(D3{#Dp7#XS99G^eM0;Mb<$6#uzN=_Q5GeYQkH+t1z=1C6zMODmYj+CEgYq=+c= z8MNR7aLD~Wr?R{am&l4&%BWqJZL>LRL~FmMfu;$A)1CJkWk~x1XeoptSvT7}P@#-e zAgy;O4^pk&h!C6T4{9)0=KDFrz}@GaZKAWep1yTMafv;uPn9bjwW4h;*;Jh4uV30{ z2vwBH-#T1M-})JM7V~T=^HtZJwb|^rddP04Re_ww4djauSc2}V=`yvskfr>+LEh&= zWa=S77qWznaui~uvhO2=drh$KHmHX@vB_P=jhs`LjaOmA1SH92b)}fI+2`i*lKSpu zoWAPCxW(VqK~fc!BfnjN42%*ODE<7h=~|6Gfrp|+yo z?4R;RPZ|lRgQp7T(YV^aNBY*L(vn+bUx#g3_Gs-qHurFnr`Ntfjx1JpY{d8cI~;%1 z75O4_Ph(A%K2KjqvWxV>I*1IV4@W$VUMuH%O0LH9DCMrkq+g_zj z?>UuWyUuDOP^Hx33%E0vtdOdA^dKQ|H`1@O(Hkk=5UCJ2eHi)`_U4@+ZANH*lw5vH z-{pvq`{{vtdwta9gMF4?<%H{HZhni((Qjjn@=2)zPg~zVU~%rD9qE|06-i}di+U?miAC#~)qCPI&_oq9 zQ4(miIC_9uxsBQ~mBz_RFI4QA&M{<-jfg!Lt|6CbPIvxq;A_T01f%INUndy3zWnQ# z-|=~dZBe6v4Gv%>GVR6Dm*0KOYuT`ikz=7l zJlIIT6*ErL;pzYk8!Dg2pM>-I(tF$=6D8e9EHvuLKTD*0-vJLpfQ^8mOq8nmz*X4) zu8tH>t@QROx>rsdeU>6>f6xm}TK7ztDbNRk$}@*6(1?E7q31Ns9gB?Pj=cL^xN*RH z{42AH5!nM#PuIfQ7})fm6Fu-e{iUz0)qCI^eP=GQ`bhZOmI=~52v?Rg^%6woP2Ua= zX)({;Y_mqkn)^c3$q8-n5K>fleYGl~*a=v@B8d z*GX?Q1#fLhFUl`a``e69nF!%?j*2YLfd>dv45%s^5pTGH0k_rF08mmNCiGoar!zud zqzG$U0`qJA-~1L)kzo8l(k-ykE!x=#M(S2WuxWmhO7xdDlt5dp%5xsS3}UKi*~UbB z4CBB`rT?w;ykB%Cn1mDg1>mlic>n|D{}>DMJ3~ZjqbV7~XxCpV@jKVneBNIRz)tX* zyRx(n`33D!_uCGd#8qP?J=04U<3;Ad6rR_zfLpKEy@93UgHwcsX^p@? zs1?H?J_-vByZCPcVJ-S#Dd$4}nfmT{LSy-;7=!wsd%z2&7o0(d+f9?L>=Ie=9vq-U zyV)?Jhw%7Hd-pTEwz@-FGc`m9L5(>DKAnW5Rd5^q# z-sDq>hB5-k_=&eQ`|ZC6bk46JoX9f)kR@%SfUcLl60y-n!9|z{u<*VZ1t1I01+Kzl z z3Pts?6Uj0LEKpF4NDP}`vKzNrz^-eUv4c$=AXNo3qhwU8sF$ta>j3QQm>8)_QMN{t1s%sglf%0k#8ATUwG1N$#6^74Tq)?RP z10jRTZ1>Cj2PzQo-W~c8_Bdg=>DTqRAZ!Q)3Z4FFLeL9ZfTEd3K+*kQG&J`|`i!j% z60y+7Y(pXUBiWu?`8~$xwOUAo!(E?(s1ott>_{Pq--hl=TAH652XpSdF z&1E*Gr*(kjbG-hrIciGlg`feO_mQgWP9@FeZsM$|RsOR5I>%8Qb@}agEZ=l7f_y12 zfy1P3Eoa>89M_EhB)9Yr^^!q#(x`lKSM*IbJt8Ju-5!H3H;4fOMAF2If8vRKq*koX z#wiHHn+gH6^xfhvCJ8oz4q!7nZ$)NH26@i@gF(qp_vq{Nj>>`CYZhcvb3FypA^X5RXRg_+JkI(K82l#Nm^;@zVGlNV5Ppgyh(i{} zEsbdG+SZ9LkB3BKE-}ycPs9=}LT!NFYSak7A4wg6T2nF7%fEMY`34|t)*5!O zC4zD8DFFO{h^7Oxcjrq6Ly1cQ>+*Y7x`wsL4dnj2<`DLB=@=~Z==#4k91Z4x8I9ci zH>2t0$9pi=uHWfLmeP94pThF*r{BydUH^e=*}z#(e}a{%em=F7Hiq?-;kRS=i&;VV zB%d7uKJK|N28auBOZmLosZ@!iR=qI+HvZ`5|3<^Hs}Z%;MAa=prOj*xbaTVLKpA(G z@D3G90oYo^sf@V7dqBr{I?q?CazM zf{0CTmXd9K&Z~UGim17WoIB#f>TjzPXexksn^=!j2_e)A9=@%XMkERHH&15H$nRKBsq z_*%1~B)r~H`&aDBwdcj#K38yjb_ckr$Ex8%$)KbNj#ORT2pzJ}fa3Ix&>iQxNd7@{Mn#NpHFCm7%XYD`?rczpG9K70+$6 zZj4h5V$VYXjg4Owyrax|rNjrQ4{KA%a=>+9fPS*v109@Esa@2+F}BGl?!%vI^jN+G!*zlxQ2}+E8W5y$AfK@a@d} z3*R@7XG>)P_ICcQy!yYQm(pWSA6bZgMjs$bpGl;M1}Z||eyVKn+4xgg<2tI5^45YV zL#9={`@xj&}aMG)>V*la@^+c8Hc4TzM|EN#te0(P;g@L{#zR~fz(X%Zbr zEAx4}r75gl>AP%AMQ(j~#rAPOEhJ}$k+{V}vQxfZ@_pnpt`-7Vc z+h(DcSaFTWt04=Ja-~z99GNA{f&1~Uhv3{w7w`es)+S~e@O34rm_PvnU|cHIbniHb z&!73Ji z9fw$1N^k+ekzQy6nVEv(xs5;+t-mfcRSq2w0J;rS%eyt#JhXK0D3*b}>SF ztSy5Yo!s|6TaXw8`c}iR&<+stPA$wQK>)&R^xjq^89GoVqtp!q_}mYsGllXhSoVY3 z6g#30_d$VvqcUneTlGLfb{SaAP+H)0rrrLq0*+gPAZwfiZ|Songn*opm?#a;?oq>@+cC**{NAqMTLQKmZivYh_2kv)e%=p`DNLHV6cY0$~ZvjnAzl z_rP2O+Bf^)n^-sKE&o9F&JQCZJN1szpQ^tvYzFZX0HZ?_f3rgx(E)rI0J-DSe<1%c z)Vl{O6PEvXIf@SkJgV~7TgF6@5^SfdU>fIzNb;z%|FCfea>CjcnI1AcZ}3$W`kg5} zBtWVK@R*g>(;qUkirI99KYrfnd*{a-jfL9Sn@Z%)7W;ETHZGO(by?(=iJ)(0q+f}! ztrm9k0+Dv;U(U+Zl>iVhT3@F76umw=76_K0ESDgh9;==s0sKc|J3?)Q!Z0qv8GvkK zDld5C9V%QEu8^&MiGt)1?S*!WY6VIsGab;W8l>&zY5JNgXn>ZGLfLxksQ!)SM-nV3 zNYvuAYzh&(5Qi5VvA_~Pl~~D$&}zQXXt7WN`TXNFO3sA+d3!1!whF$i4uor+p-_Ak z1EQ|l&ArgSDX^nyDF-S)YU_V?eTESQmxrz8)-g1@-Rt2~l^|-kS`1o-^(L{_ZGt#B zoWR!1(RIK_K?qf(&Y+qJEZGEtrR_5;_k&|G)eLI`8A$lK9ectj{Xt^x>HYSLVsM?Q zH!&})>Q%gh`zwD86sko*WKl46HqMqgPeCwOAZF&&qeRC6JnOjVt01kHPaU4`6B11f z%?1ju03h<72oK9LwrmjH|3|ygxH2h7LKM$4{8~kOmFrriQT<(YWa~{}62?dWyuqc7 z8-#gyI4pO%c|@$KBsv-}wBkL$(7Hy7^o1I=4^a8gyZ<=YAiMhiULNKGrbPdbu+w}s zrN^4m;RhOREx`I40q}!g;Q0?V&=o-1`t4nzJ=kYXg@5rgt%mDVP>|cRhNO|176K+9 zIG0f=vJ$i7^gu1e!VU{PWas<8foo+StfDWi^t9x$9=<>h(vhcsM+P!#Y&iXtBTZNO zQhv2Hef&ri`@=vGmzMu&2IU1kQ>&S>CJ~S}kKS!-wh6WegW>H4Bq%EjPP06aC7cX- zmCsG+ojTHL%_K;EY(9U1n_Jyx1ydiL4FQsu+`VUXMgpWC)^;Luq3LQQ!q!rn9Dj+f3V{42RcKam01;Enlzgr%D0%Y({i+xZ8r#6Jh_U{H^6 zg6-393dESf7dQdluasztc^XJ`e5I6%^rELrj_UWqoaP52lV(!Y&LqFS zXwbP{P86QwFV^al{k+6b`5!1ub5SA0355jE7y_2t7C>uOC=yLwSm+Hob>n=D?6QU7 zY5G7ns|KJ4Li3x)cyAVBdFb5`_Lvm~^YFe3N=(GeSW)eh3hNbhg+>i3ht~&0O{hp$ z`eM5dI2TVibQYBlkJ1y!N)xd8ZP4H(>&of1?ph%xFc1*{H*-HEwN zaqpjt*6*wnC&O^BixNmoU5*q_RL?Qb4Ck8l7hJtEehL{WKcraGhUkKk(Hy#lFvU& zg4oiNHfTqDKa+9?yIvyWIf|&?Ya=IjTijG2hJd77ivi)P1bEZu-$M89&>Nwz zn+Gsc>QHo9AWZqM{3}@2%Z`GuN6e10CuNyMBq0GjN`;B>>7TWJ(hyZ1y{1Ral^;aEW_15iPUJDiF-Z~Sol3Q z{!-Ns*pet4X;rq~wTo~dj`N=kDtqfU5x5F4oO>|&zOSmCATO_y5b(FH)PI>x%0F^u z`r1sj7kc#WL-ab0UocPu5wva1@!yWcQF&1s&xC#47IKI~ywS=idTQmkwUhYZwQ$R~ zx=QW<9>~*VfyfICujVYk(z&hf!B70X%Fze=@M{v$-}JpWQTd~wq@_k#G_ z;_kJ|MHrjjPz~~y3gBU^i(^ru7iqDd4>hwAQZuuf39}~Vy6M@GASe*?z!5UZM6fXY z$h<&_gqe^QD8LWrZ?xr90&e(yP6AZ@o$ukkRT82=Va0ir1(g?f19X__z0uabsvul` zu<*Z23Z4gWo71c=~hi(cAlvv!e3ka_-TejIa0ru#g+T~x~(bAn@RUx_#alCf)rYP;Sn30YoE^D57gK;MMw zH^XMCymd}XuJqg4b~uKaDj-tweg&D%RNZoZ1?L{#KO0G9pM$@R%7f#=V26=G7CKhpQ;u!OTM($KS5Z=iFk7dnk#;$V%%Rxv;dI3%F*iMxalB;oBVh;{tx|& zF`_2Jj48RY6H^@$(Pt&z(qr+8|Gz;SCrp+^zL09W>;XEkPOkhhkk`qHrZap4YcZ-& zzQs`3;W-t+c&?Ehl|5);a#8g;0SlHn=k<@p;40vV1)$``9Na2m?%h|cG}!}5!8Qsf z-UDKKO)vKOr$qF55OFF8B;rLc1SVi*i4ns*)Y-!aK(u@2A~Dd)b-z=j$~a5b|Hfp& z$w69AjP_sRnSj0Xe+CPfD~a6vSFjloV~jj4DtiXXb}xW(q;)rsEd$wCP&4d`G@Y4u zmm_k3mpSpLCzSYeL^tZ^h?T=G?N3H>3ehJ_H$V-L{uywj+V&ryVmC$J)+|$AY$UA% z5e$V@_Y~=XLV3I_D3n*R0Ykz&Tc|agxv0T@2-8lzNZ0$TYb-)TYGs}ZukU8UpApL< z(i0$8C6<0b@}LeDzPdan%W&=o!MMV!+?p211S@||+i|;$xe`sxzmC)k2-a4% z32uJ&fV`U%ihK)Aea+oV9|7@x#fAZL6^l*<#OuV=LX0sCNI<_?>nKnq4?#s;6FDXZ z4D0bsB0&7D$6@}B)+>&g^diUL{4PrGPJBmFvp1Y5od&*fh7{2uc$CNg1c1*rqS1n; zscUAT%7;>)`1edvXuEgm;JC0S#jAgXt;iz0+k%@<7HA2ei;HP-tf>6z%m0dJ2Idu~)yZK~ zYBEqb2#tvX1w!qwLaky_B7hZH+xLJ(0D3KwS|U>lxPjO|3AIwa({91N@V{fRO-}>h z?(AsI&eMEXqR{&kP6kQ?Dvv=KimscOgg@8_JgtXuDz6Ao0=*X1e4&Koek(guI8oc} zN&Xdo$}2Z0l|fS5bsHrjLOlJ0KOwS6TP8TEJk=@()u}+la$ALNdb}iJ&+IP(S%Cw& z@-2kUOHQBtqqK=@_W&g^QES>Z!R}r!R+*Gg-S$A75OG zH8ne-L;o0!Oimfu; z;rZ}Dk$d2JEbNf5{_qb0M ziMsib-|cS-8ipk4IGaUMj@`Dbjr(*D=X4Vs%lpgSy#I_9=M)KEchPE6MG*2+E;;3L zBz_TTF*~sp7WEvj{}PZY5*67qm_BHZS>NGFRZV`%)*{a-V`rB^nAb^Iiqj{wMlF`G9j@cWAkGvDpQJ(o?t%zAVg7q z$zdxz>?D0Iau?D;P+uBtS7Dtr>6#4pwBqd!Yl6K~f}Q#xeyToKs9yOcGq@(=w(pO0j~y=5P0jfoTq# z=F$$gL0uKf)vU`1*;MVyp~|aKDQY?K;|5W@dX*Wt{`z~4TcbgxnvjfAWqwWi!9+Rh zq)Z)+k1z3Q8VG82<@d^@#%ROW5uRo|J82h+a~bm(7@BI6Uw7HeauB8-*t ztg+|#IcRM3tZg=Uw(d>KtEO!9N4)O0fH8(7Ua+pep7*YdzE0q6c8O8IqX7f^LU-Ge zd?@CiZHMfZ1|4_yVTWLlDkzeqeThta@i8)uxyh8(*7;?Us@`BOZ{9uc;+V*^wXuGv z#Z7EU_>a$#X?`-?SLPsGR%&l`b8K(9Dwp*{-4uVRtgNifn>4B}ghP)~4c=2#5Na#W zjW3mC9ZcI$S6+-H6TpVe7+6X{qg1#3kVPiznT73A zZd+ddpUm>xax?cc^1OqRjYxvY$1IAolta99U?DekI`@n324_c-mrMQm&}hlVN=@H4 zvp85=s!Yfg=J-&mm9JlUyPSNGBsf3P9d4ha9CYUxMn7fv(X6a@ak!(*h)P$l=l18a zR9U7b9^Z6B#6wHd{ad0#i97WrJEb?`tQ)TBHP!lbZLtiCj2Vl~Czm?C_)01h5w9GXN;JDPz#uo6L<;C--EZ2O+>;DAY)s3L~L88OZ5T&!^^jhN`EO)fr z43np!jC}#?l6(O>5+!dMP`NmY{uETs<4IlO*0{fKKK21Jy?9fdIXg4P$rAWUl(Oh? zF@2tXe%OBt6Xs*@)g0gVm6XmIJoEVsQI2d@40~*xp4FE%FtOK-h>s*q2kNZQFJxm|$YtwkEc1+qUhzJHNNydf)d) zuXVdRefykK`|MqvTXm`f>&a_;CXd$c+fOSPkHg;uL0QPluW7?7t*!3pzVdF1CF}C0 zLUD4Yf^pF^6zv>T9`@?AVHFc${UQYF-+w8HRGR}RNy7>Zs?;b+sf7Ct3dE>a0z-!l zBx$IB?kwgmHQ1~yHOEs;pUiTgzk@-AEn~m)PT_R&d*F2c6ayA0ALE%dK#)U-5(ttM zux4A3TU=TBz-D_?e>h_H zamQ@fc{)u-)!;QF)jXdzhkR!Gy^23?OOKS=Ch8|$+hl^bpw93F3ss2RFmUF#;76dvEjCFQ&@dt zPdzdHPxzPty8)K%1DwyPsmYg!YuN~&(sg%VZBUWvUn^X#8+XGlpe-Q~{eW6Oy&TLE z*|*&*s5FD>C#TB@AAElad%Y^57plNxBNKWXbZXEhx)0W|Mgol$G(4Zuc~CCm~4 z&1XUdL`6ykwgo^XxJxUG5>A!Z>|K?U%veRHW)LJnDg={Yd9cSpe^+-SMtT<5 zE0WaIlhlTzn4EGH(~rNG-8KI;H`iV~d{MJe>-k<<#9x%#a2IOw&LKC z=@-XT7H&xet+S@C!Ov!!1kg4HcEh*j?3ed3L$=b|@TM!523eM_zqHRFqJ%Ol*1u|{ z{Ryc3w+vYLkK+10s1PtsWF$-7s^NiS5KrvBmLk?$F?hvgx(M!3%WO=gri`Vw!$Hws zL4@}8ZF*c8=aJo~rRe6LLZt`24Y>FE!03XYfjs`L>}(Ha=rPQy@>c#WUp$EMhuc(~ zo>XFs_c-`$dns*gJl#uq*pD&k^UVE12Z2A+QRxLDu~oR*`` zj!HbvETQ^;F_wRpz*IOOKT@*Wjo{CZLeEWK$nb6%-L zsGBG$^M^Bxh9QCT$-!JS2}f7Cq6cPF?eTjvox_HW3l%M+N$}OxYi~y#nYDuL(hBCq zsMV~5t9f_(&YQ-=SrR=Fry8iHapaN?%wN|w)hsoAV>HDsg!OHp7m`H?cvXuh1+<#! z?{ghhB?>p^Pc{Up{Y3f$<*(vzGO(C1ck`sX#acvbn6gRxkQ~4^i}WYk1VNgFX%H{J zN8YMDSzlLxT$%=Rbk~Q!qq*d*D-5R#QCLJ9!n@vxfTF;d1$Rc!L{qS4lL@Yb)P=q; z^-C{ipweJV365hlIq zDv{ukAEPgMKKir{xOa8I@Nap2iziX+n!(n7p598cyt>|%9zMX_H$dLc(4ISuo)QSa z!u!25N@B=E0|o}sJ`~^^xO_yzMF}zNHaUT$N9?v*-yRgQd_Vl>21jJ#4QQ0TQFn!bGl&nK}!IFQYB=xq>KxK&8+ zGm$(OZg|RgVO4G)Q2Z-WJFnJ2`piHT+Aw&cuIvyE+J0#cug=!@YAgZ5uPC~auP=~y zMu;`SMeJJ?RS=@ApE_dUeM``=OV<4tXFOxEOaNh+CtCc60H+uP1Ijqg+8`V^ipmJ`wGAOFExPlWB+uMh&5+r}x7aZ4S1pfN`; zvr|AZfT->|1D1KS(uEf3r1E^|+;O6*CA5+2WAUEeaiGXVD<$>%q$PYwG>CW!$3k?b zo5*8&I}<%sWE{4;k&0|;jEF2XZszyEck?BRv^__!YKHK$ROW=xP|N54)p}3hw6TX^KKJq)36=@|zH|X9064^4 zx6Y9F zP)K|~!QvEvy9b3vad;e<2sGyl0uI6I2-v0O`=vh-3-pJ=a>V9^)@h%^4veRp8ClHn zk>k!zsTmQGVa4VUo(l_4w4a~&f%$sS#pdy?d=;Khcd0K2jUL;fJq*>OZ==C-P~|7$ z;Akjjrf`16V3E!2ZA>X8pu%6NaSkDlpXB1@le#voZ)S^ur^;u8pTkbZ!cLKLLp#vJ zk0W!C_@&U1%h|>Wu1izQJXBLT=Q_gSg7%~&#MLEW&VL4hV4lr)FHk;C$Vz2#frTm= zC35OCyZY<`V;r_H9JWx5UX_LF4(7%zoA4Wpl`&Kn=WJ#Eh9GrILXWC+P-IqcfV#W_5rtW+er>*rWhi97+cPvLkhI)cxPbFeUC? zmlW{O@+gjA-Pyr%Y zL+en_V4cM%t4cF|P5q2qBB(60M54#RLLC164Nl^y3h&2#F2P6$=~UQH+D!kF(tR-g z{yjSvSuCWnFmp4p_Q{fd*;;00E~NO8!{2iR2zF zvqOOWxy7kg*VbJu&xXdy$#T!pmPAwOlt=U>EgAruTOFE`tjcCOm*_wgxFZUH8Vdo< zgu1Xs;^*fm3Y?>mf+3lD((gN zUd;FtFf`+D#sxyM6^McR5i*i_AU4Aj#Q!!Y-)-O)8;6zNiY9`W{xTjpIbKbbjEx4*QQ?K~sL4v#3{7A_|quw#YN6SJObQBU01R;1f!7gHix3iV}FH zk5C})|M=WEte@mQtvX2d9P3(po=B;k{P=8N*!Xo`k8ir^sD5ZeZee8g+lJV19cmN? zLaiGk;Jc(6STvRfO~rzhU<2+lB%2bIe)6|`Yqi%Z6f=8y>r7v{y{Y6`aCZ`$&OUJ_%mYGx`w$ZDJ*cx}J319~CF4g$DoV<9wtVWK1y7G>g( zZui)yoa}4pHlSvoJLwqC#2}hxj&dN2ZS~LTXN6oRGA=(Mdra(Nxx-=71=3nRi9lv= z&s7h*9N^NAuW_N%CzC8F$(jwgX_{qAL=-7T8Pz#1fy3#4${OgMC@WQha{8MrU<9e` z(O9S#>YdHM`%nlxkOgk$Q*h@%@RUG&C;`?gAn=0WN*I}B0OeC)&*#nwM;4gD7=t8) zW;_e@md3e&@+4nz82RJ|dRfvIl!-)N`OpRiQGJOfG0^G4a2I($GYqy-F4Z;`W-`q- zN6L}JQYnPD5Tr@j4Gj0R^0}gW$m+k#K$D0wKzt4&7Mi) zTq^w}xUs~mTq!4#wp@sd1u)%Nu)#c^xn~I)yGo#2A9=wM=u;-JNdjOs57t`OWrG>a zF=~d)x<|5+lJvCR))#bxf*%)R6Xvc3@Du>N#lT4|NPaireW{aja{dT`3sn(7Wt{F^ z)5STll%s_?LX9nbjje5sEi)RsHXs{6qzU?>P|6W-aau#K0O(c@H@5K1mU48$r3~QW z2b9P7if81}zuRVH9v$T9$U2cs#D`P{#2M+u8?20(M}l0Xo&rO+@Rh9Vnl;jMIY>3m zO>3?-(rXAnW1LQ~%LE0aN&$464mGOwmIaMn1#pQ3JTApqc{w`Ctr>K?Ridx>d@eoq z=PM|H+u#~o^%`5w8e5|EU1@b)vX;K9bzQ4QdP-a7nI{)*eZOu{I!RF1DSh}r(E&c? z1E0d-#%L!N|2@_JT8|H-zqzZozDt9qN-pO+w-kVj55T1az$t*htAvQ01{<{WMXm43 ztM3y3=d9WsSkyFFk(uwHxvye<*Y1D!$pF%GATo;~ewz8#)^#mwY~lRtJT_TK()WTx zpELht2*zm$+8Hp}X)xJYuq1O|Q%m1NGv7l?Uvx8HbW2|vMtb!E`DDSChqgY1l%r>S zOXduXEi4+lc3_h@q)0(@1EgDXCI(60&e^bJn*^!H#~|wRPIkh$#X$Q`VqmE;MZP{T&ing4}<)#lGk+q;AqH5FTp?~i@%7f9l|;y zgzgpxd=jU*QUqb5Hfut%jh)UuP3rC~ie+Td%(ro<>uk(NhV&)%>6ed90w^D7uW#K$ z8c#!FAg%CSk3uR-T@Oe;q;+n8K;%^VQ8+*X|4uAxpbH{BsBTC1nZ#!cw@y( zt{9O?IkMb&TIP~Hv&B*_HWPCPa4mGFXc`22fobFl=#3pP)ARIyhqklwYyJ^D&f$U||0B{Ka zaPlBJBq4!}Os@G-PE4#SkfbC+`WlD7?u1l#Kv@VDHS-^4>$*ZTwtir$4V7n8rW_>- z$U~Y)vWbAGi`3Frv98O|SdUO1G{r@PMH%FUogauFX6w2@+13I_{e$teRDlXrTQ38Y zigI+I;J|}IERYBCG(8_;Vjk>pvW~oYt?Eb@ zSTvU(p}%CChHN;Bfxgu=C?OX2NIG;uG2$Tr2k_lM!xF=SmbJ^E49X(YTQ~pX_)?d4 zI4KFc5d0CzH#?mj(Hj(AO4M~OFDbyAB+Zow%@rzR)fW=?Z=^dXZ^LbUvcn()it4+p zHMUIax-^aS2y2yil9Jpsb#`zWr)efyK`l(Oy3rthq#Nn7g3+Bp%?mgxYB&WYmTn9P zhGiOC>l#~&^;iyp*+92wpj#D?O#*-eQtO<5bR0DYwwVVJ zIr|UhWM;mimcD2pnA21#0Mg4LWI)$JR~Er6>$^N?>PM$#tJ(0|ff{jv@#ia&Y2|*+Rgt zyFPM4lMZ@qb4}6Ff66~kd4n=JjYz9>8oN>; zn>HZ5;$Na|GRcB|(yH&0psCUUSjGE_pN95W`IGIF&<;sz#U#1;{$pxw=2kHP&P;jv za*W1StFfNag?UAr!oR3P;H4!kWs|k^xAKv;bdhLmxr3~;(kOw~tf=6lv5SWrQ`x_2 z>m$DF69Zp(Q~O8Kt9S$dhBkFO+>~_oZBlnEx9B~fID;fG7jA6gtomPwPIE;5Y0yHi z6HS#2q)4vyA7TAcMnzfBAD`+cf|#VXz6&Jx`Hrd6oYbjMZBw5%aSr`^-q&ERU7||TTssY4OV=dt%h-CSgWIFXfxji)x1-hlfNhNB0FI<+LNA((i zi@AVt54lVLCHAp~oeIH=`|O^DS)B!+}0aNxG7p03Xg@9!mmA#)J>MIij}+W%kkknZWY@t)y-4MS~x)$SpR zwA;)#S ziCH@yr^mgF-&WuaYFPR1wnIFLFWdB8@l2my-PUrmF*QIu(QH`!UEsvyrIK<% zy|~{}3+dbsJ{2hjH&-T}^M7*ItLUB_Ro&8IRagoBrQNh@agkv;ll@c53t^^WU?6Ra zz%s`b;)laPL<@+b$ z`(qtq?+Q;y1IxCQCY?XbHO#u^Nbjnz%EeoB$t#o0adZQ4`K3t*sy6YL{o0Gt4lZQnf95sP%1?|vs)l>@+Hhi>dj`(rOmAe?2G+L}Hxw7Kly`auHKW6(ZqX#+LVE`% zQ-`{@cR#RrDz6fI6z{T&b}{-N;@_7iVS5LgQkr^2Q+1o=7ZNay!&Z4Pz6V9woBQ_; zc1);2CJsYH^}mMn)^Gh#rZ3a8vq-a#qz@Aq+|4q(Qd~7H zbNIN|IrCi_nR&`h@09T6ZMxRfOs!?>-U;1x-U(pL?K^GVrcKrGh~R_8FL{Fn)f!Qn z)bD35EBo(RyU&&fo^yxp+ym*Rsg4osyq;8-pHu0-;BN>VeHxlO5IdUGPME7%Q#f5$ z<`%9$j_@*VwR>-g4?ac@w3wfKSRXCNGTaY56PiC;nr-MQtaK$Wv>ZP<{5MT1b(s#0 z4<>BNZmorMEGJgSpIsciN79p$-*_x4@#zmiXA|k7sg6nP=z;6Wmyi_(h=Yd=E4cX+NN5{ShR_WxGGiSE1kXBo zN!z{6AGoh3EQ!tp(spg6L{T`TH3IFWjK5~y+6X<>Ts=!;Uo?Q@R;|QLXg06<77Vo` z6w28}y!$>BpU@r&yw^RrCwF|Ob;L8B z&}DtZZ%wL}eW#gpO`F3Se-`q`G_Pb$W42{YPJ{Pe)$Z$bBBOHq!AzZ)xT7^cD$TF* z>2JsX?jI$r#ABM26k70D@3s+-OW>f3v!FMf`=~FRb!^@961?uU5W#I7cJ0bhnyC!0 zFG*0oVacZl`C(!(susZt51`0ss_dVK>l+tx!^&J==+eQmpa1H>{SjQrn!|Bx#ky2A zc-Sugz!N{DbLu_3;bC-*b>skBGhprLy?~Wt2ldcbPXw5iUcj=u=)*OsK&xhwT4*wj z#5`;U$0Q39RvJXf(4aik$>MvgTdSBuusb)Ji z$81!yK1X+2fwo)%?w{_mb%LZwW^{2Obg*!dG-j{(W4s3Qej$Nb>O!~t&xqFUF5aMd zlTCN~PpxS+Qvvq{%!v4VwJ^?zAtP)%>nB6p1>(Hx6-kcMWrzh!&pdu)%m{W%P$wIX zEn{^LZg=SG25l{PT%wrOVZQRNetCf-3cREVYeA9kz>m7@_+pcuB}9cq^3K4Tnz_w7 zVN;bz__4+PB~tq9&)z+CHwLu=L`y-7x`1&!*9R+s(6pEv10*+I5sZD*oybnL8f;Mm zgXJK*PmwkE?~cTTB!$nyxa7Zn8V z;KC4KEl?fCc8(cR8x1yxQ{6h2Bh_7#hz)RS7Eq($n9oG8to2JWd~dW6$pPsT+$Kab zj$ij0R?vmerSSVJde*|nLTfcWD-zdp($%h^gh%errFGhZd$3@g3JjOvW;cVKIC0F( z;`uF935ltbNxrxcu6N;-dMpFY=DQzobZ*(4SRHyMtg!~5RdZ7a-G0O9ga9$ZG{KMc zuN-#m1&>5V)6CrF=?i6!x)NI;KO6ZFFT8XPXhKmo!G!rm^Zd4ccZarrAG&Q*-w>7mWCAo$0Tq>snar>d~CL6r}$T7!Ph7*#RP|eCcMH0 z{^F^Rf^!KA_UvX^rQBL}nSYj*HK})+rbibQ3*mhAEW)60vcDP*QaubhwdR_3Va}RJ zhw!*rhVoXcPVkR9BzV*;A=x~W@;&2u7p$H60G;Q=J(^SzmCN$yU5W{h;yefg`Ey1S zi+LTP1;YBD4VVbMe!OKvn_?oc^4&!6u@Hq#2NS3>IgVHaLL!x6LWYrG@kNhqHw6YVL@vkaxV#+q_spy*Cj z==t!h^{ndK0|Z}fhNdy}Ner;P#o=;@Uqe9WQ{_)PR5fR7+w)mryBzOhITVc;P_}E~ zE9yB0gUYFo8HXaKMi@Q|;2htG8aKW?6o6Tuy?k3hF%RFsAi&C5re;&vRP`M+e!|UqpCDRXKBhUsOmMpw$4{O(dqZ@ilhjt zQjKUHakNIKb zf==Nei5zETh^~tkYcYdy*L(mlJ~E?ixgK)D)cx;#6LI2>Q@}Ff^{I8mlVKA?1@)O? zB%Gx0v+1QB#K&X)110)JP@5v9@xwRpaF?S&x~+MltOZdiQSW2UWYvezJAyk}_%8KN z2cnC{v`)yY6*wl6pzfhA|1kHjj5rxgn+-M4(#WMz-detvo6^mhT{uLq_+c~V%;x0oGg(6b zel1T5o+RmoF_?;nzWgaN{%kuEj{b7k zi?EXfO;c|Q{JJ958e#@Yk|+DO+-CcRUWBssWUL}EEknRM>f&H|j5_Pk@}feM;K!kd zO4S{XCLRDT;x-a!#CfC(6)RfX;yCc#j8{&|`ksfi{-)+CB7*n_ZMTD#)h`#?T?g@` zfq@Nr3~44IpTX&d_ZJTusVW4Y`zL%XO?v;che|(v`*$;%Z*V_a)x$QT4D-Z3T`Si? zL9k`@W0gbAz+DT7ZhS@w>lLh~f=;ymNVwnI24RReZ_kxc44 zd6tlC2~>*q7N6|zNI#3j#n~@%UYhT%k z(0aE<#Ts7yoCm%(Y@T%je(Y}=j4@ZzrJBw^i1RDc!8fgyA10>UJ65VQB{%p`D%O}7 z&O=H$%~H`?7S6y8UOl+6i+F6@B0Rj5O+O4;tJS%;!iUK+nsh@Xoo}V6E>PpSq(S)i z&mfL1x7<97LAImgC)tPA4^zX~>7mH{JzM%?$&xlML9p0ye&Pzf&GfT1eTP1U+|}Mr zY}_lIKUcY3>5jJVhGDnW&JzjhHqgg7B~>@Eglt`kA-RDDhV`5(EtBzgkzsRT`b~vI z=fJv`<>v6P)LP}nO1&j#U-60Y@qo^_UxZLdk3id?=`z=d?tXQ4xx-MD`?8UP%OW#% zB%(p{nya%Juz#k&XWRk?A7!@OFGkjg^ZPJHzCGB7eR&lmRFRQ3-liw2locht-cIf~ z$6FDM%j;iEBQQ^!&~Jr1o1UQ3VV(r3(oh)c#9kosxQPm$)g zB2hT%j?a_7yJ(5NQnr3Y_)%rYpI@*t4KLkC8+C>3j2~M}FJ8yT(vcq7DrU9_$fjmh zQUiSHn^ga*8m;N;vqqewxL^ya`QRAMbm2Z-f)UpMc2eCgAuL#|Sg2%=`Q2)S;OkDT znLwCayCk7#BHHwuMR8Y#@f8FIit_!a9XsXQ8EcG?`I&Fg*_KlcJyy~FMoIDwt03vQ zF>uQFLSD+Kf5CakLTr8yVtYdIJ;TVv34WNn(GbK z_g5X-6Nxt`c0LMOE6b^>RrDr@|YUFt~M9uL2M?dqyTPMW@so{AhR#$JY{ zvM&uV2<@Yiov#+uzOQzfQTYr0Zo52)Y`m^x#qakpbBF%zl3eXjM?QUTSXuK!m1=+Q z_52xSsXNoX%JgCs`+m3L=Yl{{3WL+L=9~diGcwd)Y_VS~wmYXn4n)Jw{{4mLtKH8q zBCRDCRaxC@-ehiwX$d!!3wd!jvLVBrCzzqR7b7=Y7E;P|aa(9fzso!-iq}n!(-_{C zl;yB%$-=i88C0XdCoX$1Dr>kg!k#zjO{gv$BG|Uh;0>E9N1Z1L4({m#TO#_A``VBP z#an}%j;C|CI7=mS~g ze2IsSi|HX*r8E7Kr)A*kp$!It8ALf4=IFMXKN(QjCp~H=|D#rd;WHn?hMC9=_0BX;Kd(frg#M&QJB&w3S2&y}pouZs z`*B(*?P1(-qxEp@*M-+*+r_}$>#7^_%4hIj_&y%%sJ{`iG!3~=B+Yj}f2W#oD2f{1 z&(B7aD?z&Cf3^G;C$IeVIda#uh1kMUfOgJ5Qpr?%));P)Kklpa(Eap-Q!#fF18kX3 zpf&qMOMp%3crkep?dxq?X(7cu>O=HOXzS{nGs0HIPN>%Cz13-KYKl$rjgS$pCS^4k zT8j1@11q<@4n&Q5Ldc~$)Md|~xgM#$Xh7u866zYT){vR4N<4n^CL+_2VkufEiCJ3N zHQ^Xn$}$QjuId{Fz{@l%N4xbFpR}n-@cGwCm?q!LN$WT4y%W z2*fV!R{2{5`AWa<*BO|rMhmOc6$V;J(mWfh;tL3oKbDrz+G(wi z9hGgrc@sqah|hZZX@0pA=H4LnH_8=v&hS}7V#&qb0k8_WkXX%4&vbIev7$UcG5YpY zB&!{l)&1Cf@w*+Cvao_!bP3Hq$$K<>IZ@LM${}kyb7}@zvu21j7RzxlpB7C$5u#)# zBZ*|CEIcN%t@~Cp9OG8&(g*jobnHwVR7O#msH&K?$+@7{GN{cZ13lO%68-P>4vmKL znev-sn+LXLhRWa;y0|F1Z7_G=Y)p?ntxtYD1>SdrThYrEOrD(v3QN-N)DvH;xZ*YY zYPYU8;=d>+P&Go-$^ou{Z2muNgPvX^7%!=kT^wqdNHYg$HE^r{4tTh3YkvE5ilgXs zI;B7?Gr6rduh&bnk={3fTcx~Pt+MYXaB77d{#8XHw!xZCfg?~ECV2ARqlbO=;wIHe zK!Jfly{ov=`NkzyhxS7*qRg5q$zRAF=LfzWuH%f0|DK+!u%OZ zkTR%1PrV_kO&3Q^Iw&Lz>hd06^q8gWct(6^&57hC|X$3B2rjJW$gh`TY235)FWaur*WJOJ%{t5^U1@TO4Apa01AFDO?kDv_gb||3 z&&0e(48W`1iv;}XWoDYhHqr22IVDpYh(-Ug0v{_o&Z6C4|1^09k64X0`FMj1H5aNy zct&uEOFh_*(mGnXd<@uIyo$DpqhDRG=BWLE3j4>s`-Z44C zzBVbs{x&hfo(Xg~CVr8(y?{yv1C!o(q@q0E;%q4I>Oxj8M?XG6kD;+ zF>2kWfj$HM-WcQsRe{q;`j%Q7bVHmRsCC#Pvi=;H%o;Ql6pOuBh_2uG*_-(A3Gx3K zM+U_7&Zp#_V9Z~>xZM9=rWfK@;#U+C7FN;~ml2UY&+v)X7Ij(NG^^Os9`uzSBCUG9 zR&g{O)rsQjG|e|_Ul+*m?^neKD{!4xT;(IDsRaAv zpF31j0tQ<=SJTfMFailXGJ(60_WgT40R%?Sx0I!4@0T_2^~TF~+YM~@a^!*(kIVJd z#@D;!*`i5yuNSAytdE#ijqA-;@Q(}B&1J8!wAYR8Uyn31wVp|x-sjzokhI4p4bHVJ ziyG$Iw%S(hQ!Tk=^$R=&I-q&>pUMSimKiE5^9zy{s3Z2x^_B6>3x3|{N+-ezYSZ_M zFQk9F)9AXn3dzd+=$odo+*HMW*Oc;>X6-M;ehg|Vj?k0*7HrY9p=lU!V%fl2xQwqX z)IO=J1m0QA?5oY)2UD*9jlW(1w=E07|Jjt{P1{II{$BdAsF`%vp3NBFo{xf;;p+t10#OyEWZSFu%G_GvR!^=1=2QYE3hcl;Ns1e(vJt2aydei?y%0g&6%mArnMSke zs;aaps1W}t>>0YJ$`&Al%=Uo!b|>{&5ROmf2}P`_M1jg&2ZWdF(@hYdLrZ0cDzb*3 zO<8pRLHzVoMxzMhdxehtCQWhUL)mW3jNnV*rP*my=CtU7#lQE~+{C}+Wbd{Gg%CNj zCzqFXFEz1g1yjDbyeNl1Gz9@J3*=)CN9p^#TOIexSiEH|o3zhLg%UwwDxnNj`PaUr z_~^DvL-^>(m?U=7-Vw-g$5%_S1FN|_k{*6s7yzw1LyNDe=eb6cElbwYpLC6t$1N#& zk!Nm^V2>{gYtn1=4H0YeQaOIJq) zkJp^{lv}szEF(v0LkFwerI~)-t_Ni(5F`KY%SR~8YTuc|4DNrqMbN{9K%g%oIT3~^F=Be%8 zGUwMCQrn=YnpmP>H(l%oeUUQNIsP<|4%sIm0?0*7fskRR7<_{QM>!2=CniY&h_uYC zrYsuQ>$<+|JD7&6x|_eAjXf`T!b1v|RWlT6OD4}UN$QbWx%_l+U#iCf_{#gOnYaW? z(-&A8u`fI;5jL`9%x{u)7%B!)CowOe&Wu6D?&CWwA|ACe-H$ui)V}>%XN?8Vassa*-0=YT+cX4=5%;8M;n_n z?f2r)9*CsQQ=yeBoOrn?47Fz@4}>*@9Bb9lRXA0>@AgQx{hhi`OnKU}HhQm$1MO)$ zHpw06k6l&vvK4hQhyyRxsu*eczJ|wb8}pdMIyOGYlJ&abE}Pk9>QkS(f#syWVw*TP zaG~i2Icm6@ClN9L*{E%TrjGDv{EXOQdt|#SJ$_Ze;^)4pAJjE+-8#~teH(M)xxqxo zvf-W;V!yBjiF-ALjohv1!BHqz2z8ISCiXhHmvW!0t=Iu*qQ(bX(gfeo4OK-R_BC() zF_Vs@xwF(vOGFb=1hw&g74#W()9XCc!~AJJ+#Jn08by&sb!8_1g$3g8uAznvu6-wO z0nrdq*;R3$j?xvPGc3_C5Qj(oqe&qn_})|4{c_DX-8B%?4@b(~Q+-~@m|I3QJvu+f zt>vZbxCK_AtbkFAuGDb4W`iS7%I?zx*X8uj!POGH&6Ll>z_tnLT7IH&MA;izP3Ny#U<|F_S>#$FB}gFH~syP{`d%?hmwMaQRLy& z-pVVV)PQ&)z4o--FA{W@Si%qCUchGse>MvD>az!}@TF04uIiv2a&9@=i&O@S%~~XR z3%xdZK03){lPlP2&Ss_^YN_f(*rWClr?}q=pXpS;f)>-;sK#^Q2fqs46%ZL9$-%n; zDp^BXVsIUoXn>ufl|o_Pv~(LS6`uI9G}W|x5*7%Z_^nc#p6J0^JksP>Q$C;|^ui#5 z?S>u)>2hBgNU66Ms>Oo-;ag$nH4XC?=?q(ewA3^3C=L#I&01Iswq;&c^duN(!dis8gE-yz%2h;q6A+9(*(I%1_nxPaX!?Ste>k6OOfB#3)HRt@%f*)7wSuW`80o{Ujb7aun8PD(8l9s; zxDJCKyn~?VC6I!@L7qwZ<47dI-Nf6OFpwvG%-9G#Cbq%^@y$uExttf`yJD_fa7q=` zaGjHqdalk(JITF!^i7;G7VDhU#0NWJKA>ft;EW96Oy-c|J8Qtwj%FzYQu7{naH68p z4Ir}xOLSD<5M!PvAmDv*B1>tT!Cd=-Jd9v;+{WRmL%i=NWg%rb7VNH#t{xrojhi{1 zJs*YabTmAG@yftLpP^G-S^TcoLkk<>LdM#egaHnCDj69Vy98;TVKS&K=gzAgsv#~X zRir~6mnbr>i$oz|Jn)NxX=ilm9+@U4#++ufG7(l;?M}w{ix z0C}4^Joog3@8Ab6`AB>HpPpSCEfnusYXALQg1?OoyjRe16gE+do1B*HqC(u1M78Au zL?d-LeQ^%xh-fwjfW_rYoIzky56V^a1SZCO-~nj-FMfh)~NP2`;L zu9|e;m5%bPCs%(y5}vYPaJgPWz(6%hp9KsRmC#_saoW770a-}P9Z;{l3z8K&pBljA z2u%F5OxKs5zQ1PjytwWP-(T~F-z>Umx49m$?1IHS9*F7}pPji`IAgG0>3f5F z0_0&A>y37gNy3l3iV{B{n$CNe)ITP*1AVqTT0<^2l_L=&>s<P_V+#LU4IybI>I53qt#fP`Klj|2#L?gy!Q~$745|o`1Nz0C~fml&P zt*fr!!?yMN*@0$;Dm_Ko0A^hSv$Cg>EzQE8X5lvw-V_1@ewnCt@WW1 z%uU-7sP*`b7s7n}gvQ38LtMCK_x+I(NMS4ZWw{({+s1QFXmpV89(up$x<_1M{3r}J3#G^h+gsOuNFFF-wT8~& zTT=Ne_ubr?D#3)!9x8vuWBGm{P9B~RZdw+t3<<f(cjmhC#|sOH_2s!E7HB7d^NpL4lr z-Bt$s$bpcKVGRVK0l4ZXQU`CD z5u!ih`0Lzv(*&`&oW%?yK^CEjpRj38(Js_&1pnANs5#}|{jFS24^*zhNacgi8`3eA zmTkoQiWo2_4z&~C(e7#3aKGviyWd%0Yi!u=PIYPoXXb`zuS^%l0b~KQ{LAsp*E}ZJ zx%@O*L?%<=SK_>M^27mkBW?k8C!&p8ngzPQBOgA_yC)=TIC1S;=P~W*XKTki&swiE zl9%u2Htd`1<9p}b`Rr$-r2DEDlo#kpy9!lyhTL)cmre1XhaJ60!#A$lBfm24sD0#; zHNOSOgWmTGzPF3ukf?m9i-M{7v~LDdeUrWNUFV`1 zK-i=@8``KlD}96a%ZNH8O2AsAsl})MVT+C_ip}AjyJz~baafQ$R8Uu(MM5I6V>#j> zHqOK=xH>GK+EuDmWgzceJ;6WlGDJ?T=1ElS&HR7bI?Jd!wxwM!f_re6;2zuwZo%E% z-Ccvby9Eob!8Q28A;`i#xH}8IobS71oV|1J?9n>=nN?l&r$=|a-HE83NVB}PnqB2a zqj&^$c;mWbroSZJro+yOpDWvTR-k@{yTFPF8EP9P?W#{zb>>>&RI0pXg zy@bGtIu72S`y?WIU#;4+(T2WCiYB3PkKUt>qjYs{m=ndIfYPU=*#Mywgj%zXr+ z3&%BuZ06ASWmP53aTL8)c24jQb8#LsyhpbqWdzSBD-@Eqgj&duf( z>&-m_gYxC4-4TaA7D$GvnjGTk#!Fs|0TR``1$?!M_33p``EEO^<{8; zYA{n`ZK%iaW-hvt)a8lkmQP)g!5|oM+6hHt=UEX-W(Y7N{TcpIz0`Eo=*?TFn6YD^ z7Ao8AC(P+I`F_f8_ZeV`tC|Eg`H?u59GJ`vaVFB-b|`-*#n2!#8maR4N$=th8d;KK zLxkzTT&?r1b;#8Z%?VsX6GA{*Vs5MTp*1L-K$w$ulk8oWBT0_C7afsc9jXm$&2dz$xJ*Vpw#ejwNel4yGobK*(C?((6|`O`;Zqh)(P^>WrnZQ>hACf18A9u6)MgJCgL^0vLHCQ*pmBpve)6W68EhH2Pk_tnyCCrKZuV&0kU`4r%@slPCL zD^ZfWb{Z*jYI3xunUp~utF!}s0D`WE!qRWV)!fi$m!)}Nf*X)v8sI>;up58y6ZDSP zGg`>dT+0NF<^5!+B(F<%INctQJYspR7!xQgb*q!x4x>RXaZXlFBu{$z;`!N5n8?>P z_KXepg@J+^xlaMB1iQzAorm2IPnI~|QMHY^~XwBJ8aI7SyWTn3rP7UqQB))I&m&g*YY z^!RPDXB|~V?{&?}E{VDYY3+Jf;{IZ5Crct)qU`AAC{ugK};;>S{JzXk0N);bJLQf_S9|4*N# z<+QDP)b$~BEHo9Rky4&MSLD3RI<7x>ncIDkrvVQCx-|TWvuDapVN7wm1GCV8SdY#r zAP`S5ek;Ug8IN71;qEHyqTL$d$82SA6YF~Vsa$lNeW6#`wP6U`vTM6U_K<*XsaM7F zT>dJ&-O-`c8)yr^kwQ3$nj?1aB-`c6qONQH!-Ks7a0oZWtzx7?74qqM;#bVJ#t)N> z&kM0^f(V>r^SZ$S&Wg7=Y66Q)>F6@wAl(aOT~JVefVre%H=VB0uwuf0HkM7zBCQD) zq?ua}n#@sH*R}~f?-_VkwCznRtX;8--YZycm|fCasE{t7 z%OLCDH*i2BZ`arQ%pgTlcS%d2?&RxGYcnnHsALEDq^GF);~xu)JZ7m#N2>hfy)FY8 z)rTu4u&7ZdS6t2ZcPFE>H~O$ikCq#`%i{>|>2?XCvk&DnNWZi)mOegbzxA;(e0(r` zO+F&fEiXT>CMbSwT^2n`?+|U{ojjZ(&NvEQ5$zJzHzqM0yzjepTLfLU39rEW5^Hzj z{lX}4c=i{2_J3+{HgI{2#$2n8j6X za_z%VK=-G|z-@{%9Djc?>-qL$GhMhSm=}KGJOBDGXfMJeh21O9SY3H=JIX$9xow?$ zk}AsSx-4%4nuUCKvdXsLpPMI}Xixs<&qdV?MWs>rW9450-hM68ga8q7& zO`W&a>_jwX|K&o@wOPkgzAyaSlfTi7AWVyn%Q=UAQ^|zQ0gf}v?cfnZRx0=PFnE{ zfmaD4;(PNrdyNJN5Gh@%-dckP?wq(mD9vsrKd60wh{Z2)=F-nt*9k=|6V@fGFu2#^ zqv9=F5m>*#=g9=N**(Pk zt$yOS`wf3|cTU-dH?%Bk3t1lxNcO#i9mPA@Js%yOA~&@^hP{iQzt1@g))?De z#zM_5FItxn>-~|u_<3>Ss=in}sN|xFDebyA9T-ttp+)496Pjk}T zR3DSm-8_id$`8smf;SQo9T4Hs5H$52e-Y_BsZP(({R-?9?aA$Zbp8#E%;PIEiA-Ni zV72|K3;T8tE-3C#u7<7(#=4hyuJxp8bGAlHajDPRlJ?>5`{N#+eTGHWrVSm-(tF_H zSfR090@=(u_b6oVy*+tzJ1y*8P!{F zPZxlkDpf5>P1LkKbJC*+kfo$BTYE``c(Z{VSw9w6zQcS|SE6R_<%IXmMt%Bwc(ge@ zv}Zq@$$-4pLD`TJR)ZRO3P&ME{oZL96T?@A(kakzhG0NEi(XYd^<10(eW55vm=)48S^Avt%=?mJ9#@Uj9?VV~} zTP5d$zL5nd3M&@hBmn5I7*1~)=fj^0&>s}0PMny;(!81%yKaqb~mw}*leL@tS$J~t} zE4{SkgBxkM9m}!#0TwbeY(=$nm7DD*X0gDYO|jDKrI{)2@tTUv&C`}Yj{iD?FFAoo zgVqV1_h-}aESgqSPX?g-a;(+$j6e2aSc4l_jkxQHv2`D6daCaIJFf~@e~Rl z>tmhFG+mN@g*Q4<(IkNK84y81`LPk=GA@(ma7DKtC49n})lrSAJm7Y2KMuX`ynm{q zA4rJT~aW+e%hUTuz&Qap=^h} zN5**aL#(c}k6Qn@rKj^iyC7kRMc{d0qpSE%+2u5Z#Js<>r%coYI)rU8+nGw3GoMKL z{+Z7*M7~D>I{9M|KKyzwyL{v7J+#8q>{z9-44R$QWahSKV1GX%hD*prmbH1q&V&?4 zfFtMVjZXvvjE$q>7KlX)-Wig@$QhL?PwpcO2C7R1Yb5m@EbT^=%Iq|wfI6{5;^z8U zC+3;IED9vW8J=~8DhCtr@^?0pZ8@9-%#nQ4F+XP4-c==fzcca_^*&w4?hv3fwu^_K zQkFW0u;>*5TE}+$Kw z$kT1&p!S&~j^ruNZyXiY8ibs<{l3o^8^GGyX?fVhX~NN4_Nvw;NjvGt=MQfh8BVJo zGpq0fV_xv>Q_TJBMdD4-I0RqyWB0U}o4kQa5PY#a}h zZ#*@MjoXsz=hIB|Oaa*(-M>v7B<}`z^bl1$s)`@C=rQrYx9y!&A5~&^eLoawTnF)J zZh1j)d&*&U-~yw|=FNCQXkU}En*)b9A2MO` zkzps>O`KF2cmubNn})ZY@fR$VQZprMd|{ZF;(i7%b>!qPa%e%Am$IG~ebXQ$%#koII|6O_YG9E;m( zCK8DK6b1I(0UgUrdk0+j|g4a3& zx$ScmGnTsUDgTEWfGQ>5GlJP^odTip(6^yvf5IQtrkc~&w?6}Fz4HJiYAv1+NIO#3 z%h}<%eoL~=AEzDB_$B188+9fdK@*Mgl8xHCgpNZ=kSk3PjAXA>mURkmQ`i;fB0t_N zm%ePdt7x(~d4j4*wp}cEc0-_68k}Xm|Hc9?OdWj>b}rR@#9Oe&4svv{RS*BPbXgiu zx7Kew8Ot{>pbkbkCl>uVD*y}2eLMoYUcYEWPJtpP{9$QSBSEdXak$Hg^H+3|@Qaqp z8f6_Gg%m%w$>L$`9{~#Zfh;cc-#Ru`Yo9U`d!>BkHR#6dXO1Lq>!tct2TiqVK5ST; z;t$4-H^Uex66p)24>mxjn}Q4UCIyTz)_0x1K(tFNN!cb)9vrjCHK5qv#(Sx_Ieav43N)jO#jSzmMWw7Fb1(!qnADPq`Y%%7F9zD7xb{KQDzZ z>PkuS$L0x9gE4dod6ly9``?LL4u+8N4-1E-2-kK-FE@3x{8#6VJm?}mDF}}zN81zK zgp`dnj^NPZ#>!fi8OvdZP4L_%imzV&>_VHr=vfI0@*$>4gGO%H!yN>ILdH`)M3$Eu z;}h%q)rz=`8Zw|wleL*qgL_>YfKHB(M+nF#K6~Bf=Clykk%kiwW?prf(6n^H;t}8n z^B6((^$am@li(jWin`(lsBcFI>t&Jyy*aM8$Sh|W`kr(6G|W7V*#~$N9CXnReD<8G~beUWzKw-LKL_tBr2t3>7=2dccEWw(axl> z&Qg-Nb6CSF!)8i>bB|hh8L)))ard)QV(~NsCGG{uk!04sXywb~i^SA~YaEU6dP<{yKz)|w z2MSnbq+ZasfYuR6>M80rVYaD`9O-*2`WcO!HhZB-&Ja+`a+S8?NgSm&o%Dl3Z#lwh ze-FD@u72rwWvgSUBW0R1uwSA#^jsBX(LCrpDm?e88B5T0H3m*HoYpr_x9_HvA1Nx@ zZC>uLw)gmci?K+QXX~A{Xd_+7KTHG+;*kF6zjS*UdWd{56nPoq4%TZPfMquQ5N~wx zySgu!7Q+(>(l_k<*O17IBYw769iK}0f>k5XVS0u8A7T_tm zof7c^CMuC25HY2f^@UG&&|(gL7p<%1i>TT`osO)|-Ha^)B4`jriaE3d0^7!c>Xb7S z_V_gsO1~{3wq%mD(P;d#nf%O6Fs)5%dCnE{%ln5hPKjUbyrhi;~j<${Z}14OeY<2+lHP| z<^DT3q67*s1sy^ZQIcuTene_%v1F?2oK{L}^3jPL!&Di-@2HET861(!vTs`Q#b$fL z1F`W(YVexUZ4y;JZ*kg-3^jB2kMp3|ql!*l4a&KZB)ZD+%-s>qKCAZCM|h1o>CC}k z=CQZJgoY8@&)|6^f<~DvF2)vH=lSY<1Vbg=-$zSH{YM&V8|%auz^@S96y|D1N0mUuMf`}DSJx=aM@NI86zaL!j=h(#ZfI)zby{ji43UDTj8Nd( z{wJ*ftORCHWODtvJRxC46pzrEX{RDuia%5;x8}Y`m8LO;=WvxJq37al=W!DSneAsx!?rC%zR&1)l7MottAsz?QEAtF=dRf+Vo z-$1?w6>Z>9UjCYU+6+f6eGFbnCJm%7#b`Av)G{&o*1YllH~VMnS4h9Tw~;T`BqwZd zrH|upVyNI`rJx|KX&I#USafn#in9#6lh%ib9|2->&SW9%5hymCA_NW0j)C-F=` z{o8|H>4kS-grfrKjL-LSA$HA64_0DDuKu!JrlS&@9rSppSkZ9e^Qf;H5ts`2l9bfw z5utKI3Gm@SBF7G+F3VGGh~lqwf%(+Q2>eyVkHKfMq%()Cz3nk2HQas9XksvUsX6w6 zi~UlE4<2&AA<;yh>-9-lk7a@S7r&^Vkxd^j#!p4%9|(u*f~uOe+tbRXiS|S6zR8+d zIFLB^bqj?F?c*=6s% zVB0F19|Ln@s7cvKyB(hrlAWMU>3sI?K2h%=4u6zF3D(w+ed;Pw>f*g)A{Ys*#tQ3t z^IYf~B>do^kGs@dm(&Qg5=hbCx?C$GZ-aG_!JM|mF$%vqLo~&;xx}_A_7#x+MX#(! z&o$!?i7OFpT|&E4uQf6Rz$Co~Q9i@9he>c5N-4>Fp~m61^hvB);EiSMmOM{MMhEp* z4Px3tN`Rc84kE?H*g`sQ0c2Hx4owg$-zE+AGt9|h6D|{ThsfZf9L6?D(6EGQ4dbD` z)Guya2{(?K!{4n4C{3D&cxhFHGp(7^*DUGX6)9)}nKOE64Y)1G_uA585^1xYS0Zok z#YWhVZ4=HeBa2b%21-#E{oo$u7CSVHLw>)PzD~!jh>PgSuHG3z{6){@92d3)cb;eNZG+jq?g`NSVT8HVV=Ii?i5@+^2d48WIuJ^dvR(1OFWIl4-}3 z@1DcDX(5plp7Oj{fES4RSNgJmzg1rx3!sRed;*<~FM3W!t9-DdGOdX_VyhNr z|5F3UB9bG2h_~&k-Ns#DG`zc3TPaTMemQ<^AFRd_Sr3d^-05w3I1j;ui0R3|q2Ou% zDoBKS^@+lUyu%EPmA+N+RHGW9f_5nrflt5)XFcZ3Z;sj}?DMOj@+VF9?~kHY;J~I_ z+EH1xatIn?e5nMUPZCf{nS@k9@lptgbUW>ZI`--9RcK0WN_Ui>6l>qhviu&l7AP@u zBnApd5QL8$)AZHutL>%JR%_0#!z2YS<5&oc4$yBOq^%e-YF59J@L4H?OU5va={-th z-|%j=KXVSlit@003ELddYA?`F*r znMLNE$52t-UX(ynIj2ozwYrEm*sQkOx|hF~RZ=K?PNCJYsPcyH2G(^gwLjTaz8+5N z9ka!O=0}PqgO)N2amN^%!p~7UJ<{Z~Vh+{HtHj+NQV0rS4&h(e?D1xc9vP0KB8jte z!(Am`X=7cfe2c!4%wrfQo0yR1$-ne7mZ$i{cvH*GGAJN-uC=&t;IH;{%d{x?s#@)i z@N$}lL)woWj3B%Lt18JC6BX>OqSkXu&*UmvR)_*k-1p&x8$@8ZHaBKUQugprI5~uu zeJfT}x(&)Ng%UzuKxw8Mcovk5HoYV6E^9k0d;)6m#Y+k@tyt|C77N9VpX5nC;D))j zY`apmyD0IZr_+FHC-%})97GhTQsS~POKD2Kq_|OcB-o+|3Z-D)vG~j0mDkeK3oF?> zpYJbomyEAPVmAZJV^G?)ltQcn<#vkHIj%dMZZ=^^=gyQ~#>xtnrC_P5nB^q@LtF?M9 zcEFZR4^M)#E%Xvog;4rjw{z?k$P60}UqeDfb%=Un;Gy8>imb+MFAnroaNe45+nRd@ z38t1A-Q~CI@-YBjQDHcJV-&&g#9KDYz8V54-*pft61(U^&VY)Wb*wPU1(trqiW@uRbG&td{%6r0HCyRPU-I=?T zWU@D)@TcQ`orH)^dl_RFTS2RKGo(Dt>DIz+9R~m$CqpHD>T$SX_7t z-f6Dfe+V0Mob_8NBucdaS3RK&y`Z8thAiKmB%N*89LT9N8w;&zO%-ryAI2vA&O+B< zcioQKs3^By$#v)Hs}!7rPl-SIhN+u|5ln60XQn}|!3~IQ@MeNn2Z|Z-`^+conzVPE z=LV4n|NM#yS7#ecu&Jr)DIbG)UD#7c92AaI{)5BRuL47*?|zif_kmLeZ(=GEaL^&iXnP^E}^j>Q2>kIr!;!p0=gB4>U<(L(pZ?svvT)LpY`lJ z)0%~pCfxmMRtC;W{qQdr^Baa#8DqlvK-1r@^L`5DOZ`d2W31?Qw5ibWRJH?Uvd8_( z&}ekzj~1D3$_gXGrlMZfpk%|3FjB-~A7C2|Gip=^`Zi&_mK{p8LCroxL0}CEnnLe) z7acmz8HcOz=INmBvElTcTmV%P@)xXcsGMvP(3~u+gK38T+Y74WQ<7emY%`ut_c}W0 zR%_u*)_qfsn&m4aHt6>QY2wstMBDBbk&F&zOe4OnvuKj*Lt@xX8!=U~(t(FQCaZF{ zPEyPCrXY4kw#1Pw-JD@n&ZYLTmJXAuRUM}_>ljm>sL#2Q{158IOWCFP<6Djf7v+1q=p6=5Z#V{@=&g+X!7@-G~ zH4Dcgzy~ot$Ln_V5!OP~xNv!F^_fYl&T&iAoCN({qNEs1-Qp@4ZI-*rXJuVm1yv-E z{lRUH;VMHk(5Md3cWWW#o+CD2KKHbYnU6yg)s&?~`-XlLocGErt`&QI;Qg6w)|aor zi&L1O!q~$#s-e!H+!rMsM&CS5xQ zF?ScBj#_C60`0<^A_6_BYIq+@>@bVcR^SS)3i8lGwK4Ys8{NDry@k@q?t;WwSB{sL z)-iAu@J4AwP%u)N@s1Z54!vgjFYNqyiBNv!Xh5vg*wL4AsY24bu~h(5fw-G&6ClYEw=l>#6zkAY*#1O5d!y^4>LGoZdAr zeOzGHrTUaBfJ9LS;sYb#f0d#9?|LETk8en9&HzBX8zcboU7`5zCZ?hMH-J>)A0vVa z0Oaxm00{p&TlIYyaa$u}X?t@AF_FJHzh3IY=ywUK`@0pie{tR4000pM1yxxEVKHG5 zS@Hi-D*Y1|e)rS)9G1BP8UTPL`(Itte@E~Pe%G_gN*X9DsHy(Htjs$*$;5q;{%$to zUHS_DU+{fPJ`wHGZeY%{GWpNb+HDyt8 z0~K*mRcQtJzlZpz>HlbF|JxjD-otNYp=fF3VkTzm^*0#Fd#-vK_5pyBiS<|BO8*0j zi2W1z|EZ(@YpIC?@-MCQ-|XKC-~Y0VT#*0N`~DOB{|WW}3x4N=1pHgJrzisr^PhgS P_o?YUg%b|%3&8&Yk32SC literal 215113 zcmbTeb9mifvo{YN+ivVUJMDd*`*%O*J*U_8{*h~D zukY+xGoQhlYiI2(DGCaP2m}Q55hyNNmJj;)b9SCN5YU4rC=e)Mm0v;nwDnU>s*>Hh zQ#vVcTqd+u1x)3j&z%%G_@_goKE%17vhcAYRXOOWka(@UL?SUna#8{=q|=T-rpUye zmD8-)TLR1CD`q6N2fN`M>OW5EFq}8ViZAXmq8-^jr6Q5&%bo0IsZqSGFW#-#w4J-( zwLPVs#itD^DBroHiYpEexUW`^Fl7?3?$k4!Mq_xgXVuVifZ<+EwT zrk+QvKZaG-AI*pzSI(K5RN6J#<=HjasjpMl)*YPq&9S|-XE3NJPnX6twV{sj%ryz_ zBirtJawn)LC_~t-_s%WO^;nynpdkVC;k$qJAXZtvIiPkEKZ?RC9eq48OPxY%8$GTr zuyDEYsDHKi>~LmGReM`wf7HO|Kpof@K6jNa{UJ@qR-=$-Uzw!mOb^Met$Qps(KD>S zr}rxTEtd5@{A7$z+n#rv@(`d#;DM?M-TbNU)@+ul0?ViP-yBIU8Gc&U|QWRT#VFSn8*t1lW!~G9avfobHzj{O- zc$+t7jV~}Dq+Z%R1X9Bm+gG3B^UsmAAn zO^<=^w0A?k_Gmt-QjhFpupd%o^K_-@ev3dro~d zjJK%vZIw|rQ4Zq*&2u3dG3$iS>dgn6-~u_wc^yCYN#l7dtPGRT(PrkFtOK9AfVg>5 zHd27d4cYpH5hk#P?FdnN1)RHKA-sNjaGrw*9eXw_Hv`4QQE1a_XQqOY%XTsLxsjfN zOsx5vid+4SQZnL`Y>fXRLO5#X>fp&rnX@MEA>sIu zk5Wd$V5eLCKA^XFX8g_wJ?`Q z6&3sy!x2(5TXCY?B@z4dWI)!Afm9nKW@*5bLh1v?reggPhQE1j#9-CtBxO-%T}}80 z49D-xXSNmI`?hB4_UFMU@!BDwkM$F6|_S1}&fk7%3 zfqlBL>E(nXHH#7?Y~EL=mk7)RmGRNh+2x8k_|oF=xpZBUY8yteMYPIhkIlYWo(7v- zNP|XlZ+Fu;?CbKdu$Xb9I2IAcxaCTzer~?nNBOZwtrJY@J~FQl6oDAEq_}2KZnRwV z4w`5oRd6q>k5s*3*{y=tJ4z3=A!^7(A+q60L38*k}`?Og3ufdqNv=;_j7hJ&;p{tOu9q1c`L6cR$ z)p}m;#VF@to^9-y?+S9V#?@lrdR_E8Y!%fRfCr<7*6zud=~M+81)cF%H6_P{OcSo7 z4AJ>Czb!y#QO$(y(-+$GX#FVOw_6uiTP?hDxpt6hN7IKr>9oc4U${b;$Xjp6N5?vU z7SN{ZBS5W!uPA@mTOE_a`pk@5;q`b16o$pFZ@pn4bq3uJ3~YFjtmt3If1mn@(EoWh zZLllUF8=Z(hz@=+f1mW7=XZxgX8eyj<1Kqk9*mXHFi2rI^*?HjY`j@*Gz^-ltqg3z zN#bQ->%0tTiMCJ2d#nS-ukl^ERS_&a=7ktE=eq&pm$`tovyJ34tmLjtu*Aba!bU0KAK>u#uZX2C=r=3-q z`#l>c;CnkCoEK(7-6oTRBM1ze1VryJ-KxFpP3okEE^Y2O@Gtq@*kGj)Y?QFioh-8- z_n^}x!}35Lj0O)aanlvrAO=~kiJT%>+w!T75Hju9nZB3i6Y^^RI*;)T3Rx#fQisoB zhh`v%6ZK3MFZ?7CxYs$yamlPzq*tt;lz6t`5zyxt1`f|}Y;i28CzvYIYE~*w(!*+( z0`o|Rp}ZrxajIwowIZ(ruIJyA`Y3U=Mh0J9r0J?^N&mc(KRxrvedwNVY?n7-ru=zV zL~}reuIK}U1Qvb$d}5OXzj$Dhi(Ij~vmBmeITCqrpi8md?k{JiWM2@&_If)HjFw7t zq|-8m>>#n!uYRx$@Pl0|6iHX@+0_kn9DJBn1kHN}dF-Wcj?@QDDQ9u9;YF+2UTk<# zGMdgUT{w}0lbS3#SCS6hF1amhu$t)#Hyu01IDz`==%EXV_}jA0G7HMZA~kFdh4eDf z9Vt87;N8$%_RZ7Kp_2yQYHVf8u(cK^6KR-%bxA))I_RRN=EAHPI-hz5J2gW~pV)KO7k)0dB7vQt7VHHPGH*rRp9sar zYv++Mr2Evy<%mk~tg*WSbJRWh+|{gg(M0VeqFp?EJ6|xm4)U&u=`gI2Sn5B(8<@ zawd^cC?z#DqBqQs3AzdL;23FXngdzTwnb6PP-i7iY5_iwmvd4rJ84*%OD$z70{uCo zk?K;#hqOLyjVd(ULC`AmvWwQ37BHg|ouXw!Mts*6jx>grK2n)A836_f${EqzPvY|G z=^ky}6-%gfYj&xX*hkN^0xy&3U27ZLYu^&p6DROuP!@R-T$<&1?jskS^NAQc22qj> zy3+~Ez;9Skrdx)3?KK)2DhP^1Ajs-0+OU^(-&`thC8o)zaK>yag04qCwK{R%KCX!( zPBbLP8Wy5Hr&5 z(%91x#KJqlh)F_PMShQy=3vl0s3YdNj37n_ zFpPD7`fH@YHm91exhdAEgnC*Zj}idGabSVge{TQM&rTR)CG z2S36P1qGv-ir|iYEfiy>tKuYj6kAq)qRL6mjn6*jrp}7HtUKy4=i(}Vi^+mDBQ6bW z&IlXvKnS5T%Zj)3RHyUKwa+WZ5}q5*wlKdd&LcCd{}GX?lk?gEY8I#;jmqzIK(0_Y zeJ07mQD0DPsFL48IQ*nbYOF`c9l*y3vbunFUvE!P8)(rJo2a*nDFQ~KXDEky*=Z#)WNV`fg^+%mV&6p_|eH?HsBgk6bu+PwMr~}uut=#`f}in zzFF4{)NF}(3HcI@H6V>Z<;_-H*pd~pL(4H$UCzs^%(DcBX}1kLK(PmXUg8xTLdaol}4 zuEEs?kM6TPn@MG=)8o*y#tl&_g>ydr*y3CeT8d%(6vH^@YoUlm2|5L~8{5cIyERH4 zHTh72T@nk{SK%W1Xh9Zzki94zf`E2w#8R!ffN9(yD0n1_aVA70cWf_fzw21bKNS{9 zaGP?k_LeQ@4`(^a03*I5cCQDcw}!=JX}# zZ!PVb52k47qu8C{Pd!|I+%`7muDl7kEZs!;Xv|S>^)2>rVi>RK zgN1AZ>G|18N`!9krJ+4Hj9~EP8utGB+V*pE)z$gu_0p9)-F*qYeUz#z(ZvUd>MJ%L zSi7;}Du+Xe$=F5YBv^jAK9%|aNR4O;F+&SgEi#afkVpSRHX@CSnzr;MJLr*MO7fsEbwKYoEo3{^~271FKiTsw=isobfRp z>^6*-h-=ojN$h=vQHhM0akmVq{Y9t0t`;9u+uCDO-*zungWpW;xx=tSF6W(7-fjSj2{_(h zyB)IM8c&{i=I#P-vRd?@d%P#xHu_rk5IU)TVNXbmFcRA<9N-;pDTQYQ3j2MgQ zXRsiX+n#j`Op(ggn8=`AYR?i2D-?`E|FokUV9ze+Na)IeC3dX#An7}aBfO;0!%&P{ z@i@C5aydDHU6TyyIj7y=M`>Q9(>qh-DOZm!y(}QrIwk&GWGO&+pOJ#LMb9r)^(}dq zk*XA$w?p(Rj#7f`Z+*8Q=}QN%7} z#+ug!r8E%l6L*-hm-^t`rP|tU zexB$FVuf5n0CH&&kXOQPA(wy*%XtuZ&{=$VeuZ@y3*owE0LKlv%zhw3OxuVfvygJ< zY!?!Z(vGlb<2ax=tyYuMlNA=-99;@T|DktX#iP0%M5fkHlDC?bhP<193Gx+EO6@xW z@@<*Oxd8{ueqSjmPfY4t^JAKG==zzeQmOO`vAU!#CC+VPoM@w)xIBk8o<)7mXMqO} zFNovi%iHmBjpkdLp>c!foIaYN^`S9`S`MekYH8>u{>Ub!^}N|8%{Y5Kuu8U?Q~Omx zTdVT2vstbcCW=;GL4A&3IU|RQZv#Ks>bkprV2UE|8mqLJNz{F4&9))&z{0K%f(@b0 z%%zO2Jb=gIy!8$J^j&uWp$71>ra`Tki&sg!n7wW*%FlNn>8HLvOX@LwKRln{S79Gn z5>YKr{JjO$OPx$G!wT}MGW9T6IE$JOi4S~@XGAXQu|5lFstNLST*b4kS z8Keysz8+kP%+2wTN zxm718+hs6%1};#q_|LPL)d?{7_`vn)~fqRg#^6g8<%yK;?Le zcJi)YT(v4u7Iow6@yjTB;<_vT$}{uobNJZkT5>{(qMVL@i7>xXo)7XQMHbU_6r~)) zk1m65mmsU0!VEb@lB$s)^wTKy-aygy@L+y2?n#$PYvP-|N{FG!d$X|pTtW_V5&HEE zRJpKYYYVgJ&{+d4lzI^coDUna{fJixfJy6+8x%dXnivmXsYknH5Q9*ciIr`&$ucX8= zljR}W1*6D|9a5Q)06y(Unjie^_+483>l~DHV?X>)t9pDuCQom&b0(Civx;&?A$aiO zQKwTSDJB;pa|HD|wIbN%!cKgOg1G$0QX)wwu$y#3Pl>Q_kjch0ARlAOajyR zO%_%mPuyrl%M3_@3E|ze8wOCfN~; z!`b5Qo2caK6iaR52Y<6rjj$)wo8;r)*PdLK+gytvikr~cUet;2Wf|~c+O6s2str<( zjNs;;;$uR1!Y)eUpnRC9oy)C6x#@*s8O;{X>a#UZY{fm*P4n5YAX~O zUTrSD%>j7Dj_&rNE+)zl7;zk)cMK z91)6{ySv_Gk=>>aIX`5yohTjMD(-P!8X*WC%n!Su4O;oAFI#G7t2i!%lB?919xQ zuW?&(hRtL?-#tGYu#-#1&eAT6vMW5^j=scg>v6>r@U&N17xWJ}*9D6wI*CP%=O76@ zpe*ts$z`Ar?WAE3$KkQvI+ZXkwVUe=m<{+(NE=$O84EnDVDDQDj_r?DE$v0CYjQ~O z{Z!Bu`G~R_TW$e0M}Q{quqHkoLY;69y@7AW>UE65&Vsc2lLJyYmW)${3vim=t)nXs z^2bj*=|o(eA6s$Tz0F$7)XQ;^xE_c}FQt7G*WMX33ec zY^qh^gcgl~JHy)f^Sb?%+$tw*L)0r$TZcFnGnA9hixQ^P{>+fzFK4?0-GF*TKZ(Xf z1-o^OXPN?Y6Iiz#Hs_!~5?jROV38e*o^MgBXx&Q^b1MH5>c!mGVTB!*QRYW$$|+i# zNKmU7Sg3co?J}58Dq&zfeC}Zpan*X9h*)ZY1!2}iZqgWqmQu_5Fl9cuIB{UL-2Oz! ztFK1oLHc?5xJ6;(Qkto+a-)MTsnK6h##r)AT8X6%IEJdC-rUF|OMb_{Y|MO@SBxV4 zdZTy5ai`RcjUzqeG3w+wd$ak5ps_nPef+5>#r^0h*qYr^*Y=X`ZPxLP?a@YOMYQ?q z_3TQi_41XPXT7)`gCEI;y^!PlTvV`^YG_97#_Z@3#53#Jbk4RlnKAu#^d}=vI*XCG zK_s!-BJ3$wDYH<_-g8L+@#s%>htb3_u^-8tVRFoeC=iBIJ6j3{_g7a6(>Z%(wk|PF z8JP(v_a|mkJA}7pQz(Pizl6crSSlp+{7D73HFWo{@{aX1ZKy_IPG+I|AdH$)(lH>y z1|!awZCBk6kMx_1Is+7ZJHI+;JgO}|NXh(k<&IHmRjrlLAioRuf|iP=Nuzcpi_cK}WbyewUEh=?9v%0+hA?d`Gd#=5@|Dj%g|0?`a z(w>{ghAsy%NE#pxm7WPBZ@=N1(=d-f( z1ETjq@g+@M)0Hs!8>mvutEBu4tO3A{S513vg)kgI7Al0f(vvELJ-e2n$63212AeEG z?LDuswq`WiQGk1>6V`6T$P!pItw4zx7~}``;nU7o}71kD>ttqbN5q`01PRtK^5!5AqRmr9mc8yc&pC1 z0y+Jonw0i!Pz%CvFdaHGMHq(FB3yUOJ;5H{TLDXsI-8mAq?!uCe*vib+PicKK>7$GAgyAma{Pq%KqrcmUO{XOyOAQQYTj{zz=P=WgbDk4Kr4vT0|)ZmV===0+3hm zhJFtQ1uytJSaG3d0?)mtx=NXko z!!{oS9I#uYKLF3)W^Dg45&ggR1gtV#Q1mL)ovNVsUc>ao6-4 zAtT5C1nQ3`PuD&pV)vR~h5(?&y7l=;-gW}$R@Q&gM_$r*m?iudc>%e(JJp#Wk+ICRhpcncbw^DltxqasdPC8qj& zHN=sCs{MaxGz3(||D^wSz$L&jj{dpnzZQRI0m*w7To1)`E?;zI%zyuX%=-^XAC0rW zY0&~Z{+kHi-1c8Z$d7z@NuNf3d|iY9V4Q^GTLlZAdBVW+Q4a_D+oFLxAONVoRpZaN zR}jCA_X1Sc{{*!DQp<1T{|z|BE(|{(1dxYK8Fk zn0^1NNTuUnMXKfhonoi|%+RX2xqnqh6Ddv_psysd-3AXvCLI&OlqZJHyVDOWQV@5C z*8e`=Pkeuu$J%x`!?q61kUDBl!moeD0#CH>H~f}=`kn6P->SaT_`T=;Gdcbn(gzxU zMcOgzcWZF`SBv-;l@J5}o!S4U{&YY8nJfR`rg9;2Ur@k?H~C1{~h}O;dH7v{a>Sn>6|7eCC-_@yVW&O`N*0n zc?*ma>y{!(`s4{a#4QfU>JA9KbT7c!_=kpPNkDvjAJpDQ3IG~^PT${i24J86JDvD2 z{Z&K@=C2~aU;iqSY5iA`7!d$SB9Xr<{eQ4J50H%mQ-~3V3w_>HjlhH2tp|b!L(btN<>3?bj)9EdZ30 z0%nju;|5^N_}!e}M-f1?#?e6ho#GKRA{x8Xu;2dP{Mrxx*B}F`PfK_AZz6c$e=Tf0 z<^8{EDf{0O?%fvffxor$Zq-5*aHZkhC^Qg2$q=5eg#h|=G{NEf*$rr96M)>IzdIl> z(3foiOlXk@3GdBIH)rep`Jr5k<85;G-9SvE5?~aVaM2wiThkp(d47zAb!CrSLvunE{`j6oJWs?NHTgl#XDhf%^Y_qW}-g3Ld*em`W2 zvWQ4Y=3g#vzaA(Sc7-i%$Mmp%9t_&uAN(biMtdDmGgdPO%Ut9hWWLW(X^I$pneL|% znWWezENEx}?P2jW)N=_Qj5ZyBagukxv2W)LgI(bG$~?(F)ilvG(=>%{aSFRWRx5s# zFlmy{BT=`8TTeY#ON!DvhYm?O#G#IWGeUYkM(SZ|CU?l|r11SAuh!glVc=>k^l~#( zL`U$ulQ|!vf=1X^ihDvi;~giL?+=BU(rF{45)j|zXSRTy)`*9M&)q#}msUTbrFEGj zA-WEZbKRYi1tTNKpFJjt$*{H|3#Ma_cBK&s=3a9R!x?q{A@T3KzVY3$P6 z6jaEgiX%1&pfshhd7i@BM;ZY7RZ;us_}GUmR8#w?7(h=9w2#)yVDpNIHznpEWUj-d zq%SYk$;!^6WH@J=O5O~V^KaZLYbVexIEE8k9HWu&%MB6OC}8c{ZYIb-A?|u$i!oZm zsklZHFmdu2<(KHKeS#gA@U}i~Qh4Lv}XtwZ1d)5qY(br1s2` z(63oIvgimBrrgb8mK1h8Ng$S69GP=}iMxdko^xMkax*MyW3j(BV1H#7b4?L(sBzfo z?5ICW;9+o?^8Ra2CMga!_+S9&-~tumt_C<}8LP;(H>F9FLjx0Jtc?JA+9e+w%T2e8 zJL$eBR@#GiRYa7RHTNT?`o%|?NQ|V-Bvn#aw2D(n^jI4L2Cxh`ag&j=2L;>3$HRTNbj)3&HZ}i9qhx}--M10k1dZUaI=;(1n>EA zL}c6KcntVP?sbpNE=O=orYCdf97SohF(B)NG>w+r-4wC;;JKiN6zPYizBcEtYs9lu zj10U+uXKHrAG3-lYO{G1WuyDlDGgV4SEKo9A@ntZkT$wQ2!!BM=>Jc~ z@`Y$Z3Fi)L2!XX=XB~71&QUgI$w1lQhH4y@bZEONpl4mdt(5deZqYy&#nkmN{vx%< zCyS7`o>Zxkxw3?2NZjO&a>xR9oveUVqij2mgqoTj&Mn5k# zo_Fc`(0)-6G#^D7wm8Mfji;=N=I9(FwuG+y911P&gVg48E4u^P46OUPWLp=q9@Rns z)ytxO!jnG#P07)RQ-M{%yu0p4H(}Ql7zfPBbD2l+m(s(C5El5ROc!oWkGdPrGc5B_ zSa7zvV|O7v8I5hTmzh^!qxEB-HnmpjcDxBXsx(D#q16lg(JE3K$J3?QLnoEra3(w#$k~LL%h|M@CYhzfiRZJFryd+Aicrmhh+bBUT}N1n zYUN$G2Fe(Da9d4lZN#>iGSeA1UApFX%ph&&kfc}0DVrq}UN+1kU56ifF~{Q2SA`9T zSv6EmLZfA_6a)U2{OU)=udfU{76x{$%lM)ni}o{M{WSJ)01%p)9nYOljDy z`q9Co^Q4(R!rxkufDcoq41ON9D78C2jY&sNV5hFXHJL)vdyBXXJQe_QXTvnAhzo<9siz$2oW(_~A z9L}WPS1$CK@|)o;giN?@MuVP>x{tE|09%N^&uDsc~V`Wirgod@f z&{}$K$mV0T*368vWK!-=Ze(@CL`!CtZ z26WQ8FLocEQ24gB6U+LAPJjoqIrtrqS*%+hI_!XD)zre@VD@ zDQ^2kPNGf*6uv;c+GYl`0BnYe;4*osiy??##MA)HJJ}~8szX!2H1bt_#sS2B-;UTG z!8z~+!&A+*U>O{Fm)lEY3=vym)woq?KUjL+j?;@dQaethppRFC-kRip@d(sFno)qDC6~l zqE_tf9^7nfk~XKD>bS9VUaF|D%|2FZv2p_&)dv6(SD=SipTe0A zmqg%}RqF^`oU)gOOFZ0Zug7mbnrg^}^2&ObTe(IBs52bdwbzx^)4&jxH1lAwDwQDg32za_v`5V78)mRe9_T| z0)2waG^4puDbpQnrnOO7pmC+O(W$W|41E@eUm4aX&ox}3SL{z|Fgfx6<(oF=em*`!I_~~&j~WffanmCQG?$JM#yT4RL)M8VTvs0 zhB?-_oYCZq6+t~35y-TF@XpDBuBz$wNIPSJrmeZS)AGyqEC!De=% z?B+kdHw-Ihl8!5>xGLr+&z>pY`q@Mecf)_nL(_n;GnZYKdtl11Jq=E4<*3Ga*L6-jOifix;g<`*u=k6sMe;n&-#A#x;vE9CCW4J?K72S1uUkNLDzoQNmE&+{7EmGW zXeoIebbjJ@xzWE~M38NiiZkW{|0+4>477t&I+zi59TIBm+|04#uJ$z8pGR1$(w=Fq zNF@f(lYW-yyZBSL#P$tkZsvJ>EwLxe*qmN)pD(k2kphNP#y77T*lVWFEsu~Xz*iuCXh%P)9MXF=a*BMdXM^1wsx7ih0%^Sofn^=XDaDe*F- z!4Quc=@}Y*qKMDbG(by>s5hTqWq5pBLZ%&2oj01jusklCQ`9{xC==WZKW;7^gR_Ax z>%bg@3pFJxb0F#?LJ-|SO^9R1DFW8Hp9_H}M#P2^L*6AJto^KUce`ambe?7lb{R-L zcrHJ)bS_&DUKv?QJhRm!8_Q$ z#9WD2zviAG%V3xvO@PQjkI{(QOQF+h#I`GUuDaN2$ezkPs>fo=&8b}H*AKPPm8fwP zf}3^EN#|3ySI=1{35-Bjghk2ys4(07-u?+=95-bm_T=lS|bt2m$7+n=?_3 z-w6flrm+m|TlQ`r{;~8dxlw_2iOz@5VHeAp_)>L_k2)a;y~>`Z6)X!KHL z!|W~iBvH&iH>HK!*;Ei@sA4tlH}yzLeavuQF--SJ_T6x#z6dX0*@67IK1QZO)R-93 zXpVYqV!IIQ7nyg9TUu5(>s*rrk}R1DI}hy|vK%4kuM*=#Lm=tmJCoj8I|JEiu*J;) zT7Iy=8*Fl~j;_>bdLRk6=?|%4A~J_RD>#ddqKh`OC#3^>4?I(KBTEa(iE+5hc6PCf zcY0-SQhHDrQWZ5ktCn2!XuE@W;1Yl+QaD#a5G-ri3sYo#v{DS&OHO}fZ0JTQ)3~W> zLf!J`F>mw2+!DtzO*=O`rpmZp`6izRrsX}H#k;TNGThZ;#UX5_DXIOOjN9%sGeRVE z+c#clCo$Y=2yP*@?X@d8(4}-1Lp%;WSNX8*5Ms}BOW|+o9CxB%M_)yWZx)|xc1q5yvi4*0G9*o(eL= z-vmaSmH3F4lwo-Av%;!WO^lqq_|B)e*-xgfr32P-Uu5N%*5~eu<8#&uCYoy`{HIFN zx#__BW&HYnEazR{0rV-rS}L8Q$wMrn-eo8Kv+dLM06Ect)JarG zv|!2ojKPZ-uFeS-)QOd8u^fX7SkOtBHtR^qS_X}?&|VlDbx9Rf1j=sD+4`s5Yb^&K z^NpB=-KZ?zRB;0_79IjVF3^B`lv^DeOJtc`ZiE9yXn0@~o7|}bZ4r8drnsA1qdbDL z10IAu-b)I6GUn>D?`uus0@t#kXVhwj)NkBze!!Tp+|RkViYm_h{UJ}waziwSvXr|C z-Fo5h&|K3ZxeFm7qxV~Q%4CddXfIWt;|_(Ut{3|=87_M7zoWQGSWoa2Pe&zbOah9C zR3GxiNI$Phz}tM94~gV?-nJ-e?-YhH8!mSr=sR8H{MWmAmq|0@H2(SoZOqvI3CSS~ z-P~tBHyV-f=mCuDeCjA!NQ`f^Be}oOj?ho-XYg=vDn|;Z@7$;n^=V)G<)H~wFDvRH z3AZ1avGc;#Ned!vu)f$Pn4`5Evr;!|M{2*BsmO;yg_DjOrQ(=`4PF^z6eJYKJ%-yg z!@=$g4AQahe38l!5xixMx$Q9u4 zRm8b@vYse~AAa_B2?6d^HipJSr_KY_y=6@`Irk)vI}f?N-DU`F%;1kGK_)SVO!8Kb zIr5PW6@_8S$5fpSTXshy0T`f<*@MdbDnCTRN6Aukw6KeXl{o4Ya9nYRZ9&-Zo8&yS z+kRk{;Y^uD6txM?=wU);^WziL!=O;W%m5Mrsxsw`evRf0I5)Sku6rhE=?z_aLW+pxc7W5+n;>oEa>?2PW&%5by-UyjnZ0Od9qq#hriu4bf7bd`6*@oV_d`PZg-Pez+CsRqeYH-)U z$Q6o$0ZEJSg+m05vZ2f9R41nN*6c^PmDZ!}ga7#S{bb1gn-&9V{8L5PNc7pJTLtB* z)b{db(OBdKb zf|-04g&v6i#piLFa!w2OQU;XKYORdaf>r-;ggVHsxh+$sB(Soy)?Q>FWt#;UxDH*L z=L=(Ko~FFM#e{$fBwVWe%NnIzL&jrvH(!*B)L4NTq78Jvy`&a>tZe_#5Y?2rwg7w7 zeHbv%Qp7cB78KnFzp#|Pw8q_do_RNNHZVxa+K@W^VlDV@iIqf^dX-$esoHRbvpjkE z<#xsW8R5Otg-D`3l;20atLwl=LhRNY%x*h3n8~mzG{;*QyY1ybG8BCpdepNyD!>$S>eZKIjG-FxqaG&^{W}kT;1|${TD%!^Q8l3cC@)V0>| z*F}z%$*=KQQ=cZ%Q=|Qpp736Zu4UVuM?088_l&y3k-|>q`l#JZW%}6FLT&pC z9e5!grD>!|TxC^w2!p=k;sPe!%Oc-9Ye7WPo`q0(R9~b3gM!b2#0joE_!8gIB=!0A z;_gWoa)2T+0r-HbSwdA|vGQcyS_eOXDQJ?7M##9?#|gsT_GEAvM74w3ytv=qX(T)| z%#iqP(X~8VtK2*mRk&?rOpvv3T&K0eViQ{+g|kx?Q-9FGns<38TKk zkE&y4z~#%H=KwUu7U`8EaKLTI9}%jy!w1es(LaI{kI~sm27|bW7F$N_Kk_Hs_dXg= z1W1INctUxp49HCrlzH+nr*>-{3UvT~zwU)WRW%zxMdI%f}F$M>jJ$K$VpL88PWvhO-?ANH- zA^tq;EAl4R>2&<{NbG#9SjuUy=UYf+_U13WD@X?HNCr6V1%#CLfNyj1PL?$e6q1d9jeUK555bMMAqIycp7!=HyK| zC+JaGeGwMb3Yx@|^5;e#V?7FmCT*ZuoUZWSjge60>LC#EeL;2LIfUssAX}&F@mq`NAESENsj1fC5e*3s1 zJ1+Af9BKvvjmiW|PS>8m9yonX*8>5_Hwj*7-_ypr!?e=q6tfWl+K$JdL>%VtYvh;s zH}~G~dBh64AH(a@nP;lyO#}>@mosPhvj%dKK*TokSOuhG6Zno*KM88X2`H^Hgr@0i zPdi%9I&$KzQetaFTr43o8CwRs*bqH(TZYzS*`%Llb>OdF84n4RYSBN1&8j6)qpgj5 z6e+0B=G)bx1$Bob5YLX#VPtzFQ{})4)4Z8%CjnzN`Ac+b##2=XZZ3cQ?9r>;!jV&0 zw;JE72qF>SNW-VG2P7tX6O1G0G>tIe6l$+;wvhT*Q6+^o^Jy#(452Bv2n=vb5yTyN zcRPtXYK34;Sc(}{RbKg)uW2y(TSAsVXM}-HT@2njyE0;F(nPjS)49N-s5cG)cJq}S z{#7@*$uxo(xG!V{`XXS5M}(4sgMHu?5SZ*Z zgok@;n?c5EMru|%5UOwm$aQHR%`T{FSqRPA3hD^?59F#Z+*?SW6H|I=E z6y}>Zc!T|>_WEMS)OlpbJ@l|u3$MszuJi}Gbq3%smKlzOm@XLC%OJ2AU2yjWYpo9Q zzp6bZ_inm6YAgG@6Hx=#_HNSa2N4gqd7-%B!ymr5hVJT1eel3nH6Jft@=HJAzL~Tv zQp#k}n{g*!aS-Ycg>`5416gv-@JA@&i^J~jK-HNN^k%sc<|11qEMTVLT#AN4?$e~& z7Af%cV}U>?FFxgH;cNeCXIKjd4lSB;7Kp&0ec}m;8yQTN?VvySX$>ze=VnOsQNNX* z>QrIa-}Q{^o=v$5C;%N`QIDn(be-@?~st9mY&jAw%Vrv##!N40yGdP3Gr) zaeANP+1qF)mIrk#?*Pwq;v@7|TUy77SF8n;H{{&_j94&|Lj4H+`POK$VKRa~hi=jV z8o$0`ih%`&M(ja-|Z``ijW z7}s7}?muwm+9wC{#Vt@%@6-93XY9OBkIg@uX@2j5p--8IbY($?Cr*tUZdy-Q`r*@5i9P%H_2fc&Uw==ZvO#&`4x)hKy z!`3gU&%82L`*p)nn{v4JhOAst_G^i8b8kY{zJztlerTqh>#|nng|>n#`?hge%9{v_ zf%|@ub%beYFOE;|J$UL5sannXhhMCy06)bdxqud14}p&g*-y6B`KyALkcF$Wp~-}@ zDM-Joc-(cW(yV%(pZ2{?2$9OCA!Cp>F$z?T`6~+W_Ytd*2ddOk2v;9 z{#|0iQ)9S)xyHw)IZt$L5+{R6VWbKuq?v_6_kv0!OMlNd3PdO2erTp=Q~t0?=9#a# zTr!=XF3~+`IPcI3C8S=J1g{qIcdhqP=4rKoH&SO#MNTR_7&>M(iNErF;1L)&Z{%+!C8jNyTcBH(O0;OWaf*Z z3JhKqec620J)%{YF9={dZi%*pqC33ZL8&+Tb0{C)2*oLV4;Az)Ot~;O)$@#nafQ6p zuVr#{tjAkGM#Rn+*NEtt3g2oxFv>sHvXF;dwimz)JZ!V8(`@Vpvyfg$rWb!uS3j!- zT5JCVRIT&5h3E7BDXZ&-R#H1^j$X%8Qya;~;`Iymp%9EC55OQO$9PlAZ+J%0|A(q~ zj_#y+;)P>uY$qGrwr$(?#!fc2ZQI`1wr!i=7&p)FJ?FjW{?k)kJ*Q4j&vbXybk(Qe znR>Tqw09tI*V2nj8}$hq$(LJ)E~iBOC$JH{Z)b1S3mcbj$<9;F6#~ zlaNp)MuoID%Ju08rr_URshmbj=o(`YObBVlPKg{!3I>~N3I+zEXZO2K%gKnBs2gnx z-Ct?S;tgp`CXK3K5UheMrG<0=mr8Ju=?KY*B*Qy^6LEnmrfZZqya_(JVvK%$_uQL* zf6SKqyzlF0{$d=t=bS0$N~w*LLMz6D45qPiZ;tz{`FWh&>^NK3nx5*|OFiToti_$O z8>Dk~ALX2eJh=*mYI1L#(i--~M!r8}eVL{OkAWuF@%d7&z|`He9e;x;996~^n{oA) z12!rk)mY*N#NslesIX*0=}u3rqk*gR zN(O|mp*4UZB5%~ismp8Eze3E=Q{GNpuxd~(42f!w#z#T|aBp5r_hxh`)<#FDtXu!5C60Q^47tH z>$&g?a21pdmokWj8HW(d$R9t0&dU7;-8TUkS5MP+RU9O@+j z)}3`hhY_bdW$0~rMK}J=Mn>t~9l7N0J(1*PLIC@j?%UF4H~nkq;iNE3BX76c(Mygp z@~~9L<{SgP>&7`0nT3|l7++mD`olb3ztU|qQ!H~@2{LC zt+yS(L7$1-eemo)9EwJ3P)Ro@F7-mUYd({|Y3ZA+LA)|<>A-X-(ep*xUEd7Zh}EP8 z%zFC#C8?loR$z?XiaonwfRQE3L)Y%Fi9z5piv~vw3#%;_kHQa#RPAL)Krspfy z^F-=IPAJa4dh0d&y+3+y`ru3s7Z!OKd1HwSnMOia=Te8bQ5QkGR>h}G@A>7A;x@*M z!1Chb^zB4Q#LdDphYF2US!MG2tpjVZpWC9o8s3)Kd8RBD=5akxYF9y+R>1}=4DH7Z zLm(7|I7C<#m9gHn%0K?;PFWhz3tzoMd5FeI@AR+FeR4eqyLMXvlS2)kBYwqCB&@=M zCSyN(CRr8Z-i*%Cz;}pTkkJXhGN9I)Q9@Le-4j)*vz4TZ}8AA^j%h&yS&-v9eDk zihJSzRJF#j`<7S4Fc)?eHeNwXV;Ube#oV|#F9~JAPW_`s|8WGc(ai7uBBy(On|Zg~ z99{9R38Ytgx1)v^)ujU41I^j#e$Iqc8*0f;q?Qtf?_l1Ix_5LS6Mi1H`#oNHcOII& z#_SuTJnh>MmiYm{y{)*|(MUp;)M|e5ejPHA;qBVib2oQeI2fe1-Z8l{+MJK>=^r;R zpZ0ov{d=7Jd~Zj3Ba!{lZeOHu{uCqBpLOv{TA`$*+k{n8-lMJZN_sirv8nxIsq#j; z-x^Q9cNvR^6o<#gt8R3>6rZ9^PnvX6|=BudoR%BQ{Bvnu2$+`XC@h zwFA^p9`%Wgj1a-pgq^(|oGq~qPjM98=yxAFk@N3OaU(Dsj)w0Ux-A@iEQ*4IdG&T6 z7=}Y>nGW`jO#yc=Bdnt53)t?>GuZ37p7dH>*U`Vcb@Vr|T32XZj6B)o4+!g61`9PU z%%c-s=-Glf!cC5Lb4zXzmIikz=e_%p`C~n(FIr%wxQ1JXUsXAE3l>PLw!DZL)?P$pRzplNIp`h3qi z<3Q|lw!&$18nvJ6@Wi(KGvJ0)6CVE4H>_9jTI+Qbo~Vd_Z8)=AhksY3SVV%kje3&a zJDj>?igN$*ai>6JHy%_h83T!kxkC!3*8gpF=JpZGq6rM2gqJEPSEw7 z_pQM#3lolahR$cEkULBH)26th9ub5XKmP%TX>&ZS?AjTW7QMTUlVmKVi9qoz9aofH z^s7ZhfWd5W8lz8y@vh}-(JP`Ls0FY)q=EWb+KxC`TXWaFB)~S3j|i2AZb1LCJV`5% z(apJr|GszDqOy$S`(hEe09<*^=a$^fNI$Q+)EvRcSHpOcc>e6<7%j%fG9kwpl@99`Y$S;-fqO>o zB42pIB(szI@JcpUq~bEI2ImYB?kQChMt!63yhw94Y*{*Wmx4S0t;< z6H!%`ilVjaN;p|CPnI21^7_d1sP)5AUo@e}#}S(jA@)o6KPM*{NsCjGJl>)3f6r_P zhxa83wTx_XVgrxzA~cIH@y zo2c;o^A^mqA~@@H>+2<_#f!`B#Ibv@ezpQQ&m76kiti*(@c?kOAbgMMS#<27y-_*0 z+FAJ>QqeipP)wCGl8;TNX|gffo5SWoxN{p56^9S!;`$}nBw>WDmykPKM6bmK9!fYC z(2Z4hm)*G78~Rb?Kt2j_!Ziga$X|>!-=P=m_?3RJbuPUJUUZ>4V$hot`wPFt#QsrZ zMa{(lZ8cZ9Vk^Nyt^dJNX;!bfjjjiJF%=55uW}-;&2lXwLk30&qUT6A#I(IslhgcrB3^pJtjI35Xo@Y#Z-T5yXt#l=wDy3s7{4WhzzK-vTgsjO(EW z_Y351q0VQb3DPgbLyeY5O62c<<7Wq}2Q{&$Eml|#Jr!H*B}J{4q)k@5`Sy@F+wQ8Z zAgX2PM-Z8-{;n0TA3{BhRLr)`pP!swho3f!8~tZE1|AvBpl^U=vsWTw!diTYub}#n zX0GU)o0pv~<4YyZgqw?Dmr~~_t**?-2$$y+u5jfjdNN{+|HiO+G1+n4dW_Q`KyJ zs)J#bTY1Lv6I-%7p@r~raQPG>jco{tQ%48znmkQr)$qWx9mVn$+HI5CfI_o&^nD40 zbz`Q>MOPoG#<^8<>v@LD3f|3;*8XQcym7Sc^Vyyy@CHjhz1nqQRSs)Zt zHpmv}dX(%i)U1a=pquMDyyCJ?bgOCqizW4&mTPS13SEnGA;*^-awsj+Ko!apWAhLt zv#OYaGxy@JRHKd>E~|>Dd*|dIeJ{5T)*R6;~Gu6RAkDImz0iPicUM z_#?;IPOA?%H^9SZLix4z6axlvDxtGOKa3ZmL`)e z8uB%);cI*TYx526a~L^}k&Cu|`h4_x>aBx2t1o(Y3hdEDnQOX2@X{yV>1`@&xae!W z>Aq!E^jZODDkQVi<#3KOJ{?tX)bRaJNr{MSkMEDiqS}tz8J*hg{siL9Hul&ZzR*8V z&nn&``K@Am#wH8;uuznS=DU?YJWm(g@(fddI~Xy>)~unibT!gdT*X>fJ3$qJ7u~h| zN=3r@cYQ;lm?(u`+|_c^C1T0}y8bSWF6HhoVP;m`9o0`0QIAO#+w(Tf# zZsLwIZ@nK`c7(cM+Dzn6<{+G24s?e=DQ!i}RNitV5^ChKu<~^as9>sX$*I`3OVKLk z+GV(#bC_&5gUyt>qL-sMH5R{-!Of7}D8MBxBy4(Ocz_&|Kt@K)tS|#*FL-z{0Dljo zayCjLHelGfJx6eE+|J29%<bVqS(exw`~zZWIQO4A zFG{T(mi<7omK97iL%9RjPto3C8)iePodle-H-RfxvMNp z&fGDI|Nhk;2>i1U1+0>n^TofY8Nn&8s0$~(f$fn?*NY!8U?9!+aN4c}U#&j0+E9I=S9fQ5Q+_yt$tHh2w#&$tB z;iMNJdQAkPb>+?W{H{8s1b8^K>yPIrO}+y2Y%{~efJEZ5%#By?PH{D3_>01-QST@S zcoa96|Bdtp@=SR*X%{o15*C31){1zmQ@wezC~y5qP+=K-SE*`>Ki4OUCeSKxmTWGGK&jht`)3l&$;&? zmdl(Vas0C8-4wprbbVwP0WexsB4LT|9$!@f$QO~R1tj>Gsex;k@};$j*;zi*qfb|G zwru@8%!wyK(MZSYv9HO0mc7VReEEjU-4MO`rJ8k-BOGHo#>4!ljXWaxQP*7Yd@?Df9Wk&7%#h1?+Z@~N7aQz z>-B=u3I{;5(r9kT!-!q&9Gex9(0PVmm|WS?CL<$=s;z+JXQM-`24SZC+C^(ZN*Pr9h04zLI}7 zXG_eCh1`}?_tV9|#72Dh$#W9MJOp4(7*WT*Dllk#Qa4ZlW&ev*gGg3!9S4L8 z__&g$)NUy@_`d40HiRo>=@y!wmm3e}LY@YP_*>?3QIiK>Lk2Ha^0#$n<@uu;${Ii4 zV%u}ib^K@i6oF_H?YCL*t<6pPazRx{7~@ZO_r2O-M|&#QIehiN5zKhsNBnx~$QI@Z zh-U0o{`qZ*)9vXKr2gR@#;&IXXdL7q4O2r?711uHlBy^-h+`780nLGznJcO8Yjx=Y z1*lc+A1vTCwX2&y3=)D@!&gBU7v`yI{bd)rf@%&~w?X{+1}E^e@70b4lC(!0u@#00 z^L->!ipAN)aA!RExbW}zR&aTLZ4NioKAGoQMK?2l!iT7t8H)Tp8pqq z9K)RhAyI%OEBgyXe^iN1?5jYCA2Hmi1=@w2CRk!=Krtu_mBkD zUaSl{5)cZEhKUlAr1xX0${v0lT8-svgm3nAX*qiG5kD_gl8RrDUVm*!9llW_7Tt%) zQtV4@*FlTwjN!25hf#k-+9oN8ydPTp@PEw=nIlL1OQ+(65>#AOMoFHj5T*8Bh=E2C73^@Z^% ze!rF6wC%)pJY|5(9Q{o8txo42RW*Gst|Ww{Od4V{sjLXiF6@<+Kb^()Du#Enik}0o zE;Fp3W2EIaVu$EHm_PEW&!@x5^$m>?UFB+S#MlsbsaP|{eIi5r)U?$Rcr29qG83W2 zUo#4%Mc0ICQWf8*h}aUf0Y>tQuVV)8h^Z?JOSM`>H!%T?hwp!F`YS;~i01~*QT+)- zcXqF&0XJ|BwABIPttn)KuG)j<6?j9|s71Bpvc@h|=i5~sa@`gm(R7fWv-@%}uO_OQ zieSjxNPLhW=aY0NmpyS{DEdlh$bn;e+n8zLIuMFu{||sOXSd{5zjs72Ab1oVQ3~Mj z@yAzrnmt>pa+Z1Y^ll%hyqRCC;1V>iURT=UMOt_8;`D+0CQEr}d>r)V>Y$X9T3Btg zYi%dm*pB$*HhauR*}vL&0!gB*Hax=Z0B(qJ@8Q!ice7*bwt2SX=_Ss}KvQ&GIuP6V zjJ+zc84zo^ZSjqf{VsWo%>JdhHJ;<;h0HlR83ATO*zd}BDGcsrgJR2lRo`}&HCx7UU*{I3v0Cj658b;4xF*LUy#q%eBsxX0O;TD=c<0x*} zi%}0#{5cfZL~=K;6rs)-Z)_%hc14Y(>ZNlOK8b_Jky%$uygVC=iE0TXEBH%+#+I(V zK$tUrtmfk8RB>gc7q{S%nl<}cGrbGk?8LHhg@K_%;MSPAByd{WIX9Zo*c?PuY<_upyPJ);?o#Fr&MS@9qw zZ6>V&+t?<+{gWA!5^fB?oGPdzCeRB`V@Hv`CG1l?gMFJP1e(|QQVKPR9%r6_S;Ikl zEhQ6lr5L$y!EomcT@ej(a}1tV*_@;@gLevDz81Lf6Ukg%JIz-GuvS^3kmLg-zqEZW z!OOBX(Bh0F3|b-UqG)W~R_cT;+%&~2O%3J#(svwdb*)mNbqc5YkWvsp8Do}X;FK6f zGp2UNCBqZi@J~?KOT9Uyw&<@{M3Ymw^s5;^Gsv33*O4wJITE$Uqj}Zbvl*|0XZCK3 zVZvIq1Rjr0tf|q5!ui%WnpNg?>ysKE7Sg4eZj?NXE_f194B%)RX4+OIGi%aA@(!qJ zhWeWZ7@J6P?*6Ju-#iVO#cjuXV>=9{ECRPavZ+lqPN;t}9MCUo45sZk5E>gd%r&tg z{DdP*PMGCC4;+INV@utL@#M6aW>YlX5#ON2gslfK9xa5=bcPv~eQH{f?hIDBPa$Id#c`7z(HD^0Anc4cg)XCRzeq?}92JLsJ zFN2UL&FQRZ0Va`-da}GisLlo@w$R5I_@woIS(6&rSr2D{z_EiH)9E{X7-H+sl}V_~ z{1k51YSDr%YIKSKuc9_RNA^*-!1@&Uwz@rPgT!0?og`e>`-puKifoB+DD^!?Ho0ge z=VyJyvO97MCpWckpx%pkwCcAWiY{ztfsp}UW`T=Y@ERiXDmKqvxf71DMu21ctuZ{^^>6tFkf(c; z#+8;fen20xKLLX>gLZaPZ+RDM2^DnWVD{rZ`kL7wBof>jV5k(o4zz1 z9x1GCE_;yF*mx2)c%8)1Rv~%|9SZQzzXhA3G6tYMLs}L*sZO*z-j<{gOwb8FS?E!; zIjJ+2g)SG3u|uasVCF13^=HGI2d)b+sKUp9J=;TkW0s3}1+&hY2?zgJ;XoTNS@?|) zAPwsoJC$K{k+}X-lERRx2OY(X&KEmH(Tn!*bZFJ@#H*sQg_PeNvBBjSicym~>!*NX z_d?jF07nROAh8`tCiMZ$y`BE*gXLOfUG;VpLzk%ekt1a3=UQ0$lWRf9DUPRDAoHGL zsKs5JD=A243Zg;SOF+&lmCoB}s*Y{fyYedlhat#yu1E88H|41?xUTxRj}RP3C8S=S zsu(7F6}t-j&H4+RBchA-%8_ajTst%-{itZ$f@r?bwNF~6*x4|VyIP<9d^Z22!IhH| z-oj}kgrKVs?O!f2!Gdry zfkE~1QM9&Dvaxu)?z0hWfihO%Q;gCvS75r2?i!Ibj_&5hY)ZSnZ-|Y5o0w({C*p8w z$2rUGHBLDo#BpY^J^4)pq=N;$n)x;=kMazE!@=}F{E?Y4y)wShF2uD8*A;-Nt4o^1 zste(<0<;w+Cchb|pMqZgm?;AaF@&M`NyvRL00E5p#8sIG%{q+7Xx*d5bx4}oR7Bps z+92Z#dDwhPXJNd_0YS)HyFJLw+v|uLN2{yA+K}d<9`JH>wtDt(1L(^x%wcBRkmtXo zh4AinB}>^kT<064x;3M4yx?;dEr3NHOFp8MNXYn157xz$W$~HG#JpHhaTNju?1?ig zyqrRpTiCr+ElqvP<%Ku-KM}D4SMDIFt7Ojx%<}6HNAuNEwI=c?k9n1x7{WyIL?~@~NxK|&1eP2;<*ftLBp#n~!<^~+$G_ZNaJVTRNnc6Pkgt~i}6w?e#DioO7$`}_azj0l{FX z$#D0=8vDN4@lY0xT$ovz%CaSk;^gVBD(2)5h0kbqxsDkH>i-)dDDrlOtB&pZHGf%w zVXsu6H|gZpVKSjVPi9@q*`-_hGRUBy`1Oex_6f3H zPIr77J&aau00NLY>Dy_7%-{L$D>OWQ>${wr0eG_Hipr73NK3?T&D1{4K`C@;88*j? zEutOS|5q(8_{d)3YcEt@p|5&U?7wra6Mgln^l?@{nd8^EDilemUZh_uti4bQx9T7Z z9@T!DsPyA#zUtBV94L4B2AmVfUA-qS@n>nddjJqh*?b*;O0_Sy|89E7T^+cL+(39! zr2Q(~lB?_R^irio9{pZ0Kqxx?Ug*P@ko__bx$M}zaIQx%&QU8yQrUZ7; zvLV&6h0NR~Y%JT@JVErCUWY1j^}PPC>@c+jQ{wLKg{te@A7`>)Q=!+P4kro4s7)40 z7@Sy^A{yK0juK&vqe15SKDNS_cJV#>DFNO~R`?tEJoy-9LYXv?F`NCGM-x-dvijZH z>Je5gn+6+JBW{{@@!FG>i8kuDOSUz(G^}#UzO&~OkLc5c%JKj94!igM=KiW-jUiGd zhozPqQ)O8}CU+5>Cc0({#DItZ<2_&@^~eMy<)EZveu-0iGB6ggi{l|lTW{!g#$x)? zg!{Kf-{-fJ3nyPSi$)#Zt$4T*__Eekn0-vk&??4(`=rg8cuP(aVGIm5sCw%x)lLQ; zj9#V^9wrkZggEzky!LbkCq3t_6hGr{=_(f>T&Oq=&(|r_c{Q$;Y4E3$jHd;$kDvxDGf;D{E(lrm87~75_)N3jhFbvXRJp|U1=0j-@d8N`yhgRmr znb%n{5)av+t)<-{EfwId)Z2N>g-~Mx{<#50!0u>#Vsd>BHIn$z>|WeC8bSh^sxv`( z#Q+XBmwNV8t6VCW>{sS20WJ${G3;zrtQPTRNL3B0F?bs6m4(iYg<&WYW!}&5yruoT zoQQnoBTT<<8@)nv(4@%9D=FS@CLSKF0|b1)KP0AdZLPZT6I%o&eP+^AV;%TXHJv=vhB6kNi=z?5%%0cG zTpQ`Wne!)haGWXK*oIg6f%#Y3pV@#s}-x16m$cT3f1pj(} z!)TCA%i$y1MzGear&|);O3H*?I(TG9Gnheh;6Jxj(2mr=r!g$mT_1c5HK-{DKZDdK6;Dw_Jby~=fT9(qsjesECAN1K z`Gd@Ag2VG&9&VaA|IatPL#CZ4JG8-kNW$CNRd-&xy_M`tf05Ep@x=LkX{j(T}Io$5FY5uKD8B_oI+guO`OY znT$z~q3HJqm1xU4kfooYpsgy%yACpDy@|9V@keOHJ=JiU7zX*RKzWJTd+vpSmL@W(&}JCxP?vtw%@#-=Iaiy!vB-TPJ>(BC;%1+=z$Rk z=+{pgJ5d`$BS||mdl8|l3~hT{bF2~lP;3fP)a1fEkko9E;N_aJx@>RKBWaKUZiA@#oaBaJnU*14;FF-o;F-x0&`dm(vI}oRX#`V(v z(F&H4BGsOnBU(JE@T(i_RSuf3R|tuT}XNGN)}sa$1AP0)vagN^^|vL zA6PeYP;#-bQoZvtQUx#eopnDU&ilf2*r#=y7mOumcn{jJ^_Dhtncrl$`s&F;TZ+w< z8!{brRq3iSjm@XBQ-==xR39dw3qr8(aq= zl3OW@m6@EF5HHqdBEn>_rl|Q!3$2CRlVufdGn>8k%4W+&FXB25y1DNB5r9HA@V_;_ z^0=aRp_gxAHRbV&lg6kAkraHED}$nm?}!pBBagx_{#>`MI5lT>`%jWi#`h@{>q=lq z8M=(rTn{r!rxepXS|WVKP?O_ci20Iwd(Zrd+VfDPD+u7jHM<;f?nZGzU`0472|Oom zU~4Vw8Ry_h+~-Tkd6HTr^+X`ST{AItZo+uW$uN+VUj;7<5HsWW61nY~B76)_$Z5X` z^so*eFo$v*t?^$aGt%6Z=moz@K-`p9@kb|Xntq#mEp?WdJ3cQ%-IZ=9&gWvr-#N&MZJ9e1lh~1H+QZnDcVzVt5u)2+Dz{@ zn$MIUPGuN~lG$oLU7m3%SnKypmPMu~p}rQ+wKk~BBq!b4RG`LuIjeKDQ;@bR87Zzg z>c-y#sXybWTiS)~*IFd8Hq@SmvIFC57lFWw17g>jQ>`s;B`pP1N&Onk){~MBUGOs!->Yb{tx>sUda6>Q zRC&bil5`_gv%oahCTQev62!&K?#76mwDem?$*E|t>oN`Q!+*iV`14g&dCohj;o5-A zTpDT?duzh%qiLhot|q*cdW5^%P}r*ul~Ut(?`E^+GP7Dmk|TGVGcM|?W-!7xT0K}Q z>E3I>Zk{+HW2nNqlBAou3z98RHVuvE*W{3Cz#EcI*doJ778T|!ytzIa3N0_BvVy~u zwt=R@Bs5~$h5eBhxxj8vrG;?JF||5Le+iBYWufhx6qVjx9 zYm^5@F2!^uqO*gJ^W*xIj@-U%d-U*UKjv8(1jc!PGjbDmt8^XlTvbio!RHW);HycW;%4@Lgbcncy0b0+6els>wU81g+~NXf`@x$ zi$elGn8|Gv7@xVUykHVA(?U^)Bw@?E@7c(b~V6`yT7<3?q7cI%EpIXKurIaEaSmo>o<8;es+Qkjwl*}HsZc#083 z*VZ^s7$u_%KU!?^hQ?ooJ(G~qUVe6|#{W$;ruWcro^|W4rkL|ka6?lq#V>|&jlaZ4 zcRGbpNfIua?#;tlYM0oaNB^ok#rbP{AZA^A<+I6c1k>o z*qvm6aK)_$Q(O%F%tV@DG@vQ6_l3l_O z)w>S{n_Hrw3Qq-WW`f&g;p2+3xvQXc;B>22%XJ{J~_i7R}`Jony-`O zyE+I1p!o~qXzRgaqZd~#e#d+$l56_Koo8U|sJ>ckn{-ijL z@$?7~O+ki}M{O)hCiv?>&=xrP^uYJ`0XG$G&RX!j#6WEA@e$$!tIr*K+tLO4(l5>^ ze`6#WNAae)+)F_;k`3h@WtlktnF(u^+f5E6#@pb8tm8Fcn&08AhXy0ztyAS_k8?Z4 z47He&L<^onxC5NVF=oO(y8d zJnHVrNza6=y(c`X#D65IiNawt1eIWv?*5xHBJVO`R)5LE#BYcCAd@9rk>_v;>kR%C zscUm-U{Z)5+lZLgK{xD)!tScp0v#G$kJ5@@x`_~B{q^8+5RhfXS;OZpovi+PLB;E#OXVI#Hgp*PtwNR#}41Kp$Um=jMn2!Ako zj)I_|U7libX$;zsZW;*8B6Iv{F>M1ej%o`t&R28Sn4wEg-H|hOyzEuU8)__qi~Z^y zM#>xS|1?PLh8uA(=lY46a^(Zb2yHbbfFIzspp+$C+M zIbw{OXyM&T9U*_Ax0%k{=zgd$*=Xeml14+g6mC$UeAjy$N9P`7+$H>v!Sv4M+$G%j zTwtWew{N0_g*wOK15o2C8*@^Apy=9$aj4Gk(ec-)uM(KoEf5M4U8(BIv#)?s^47B zXqhkk@;5&}B=-<(N|bwCFNndQ^4;d2ZC2cO3_$_bM01 z_;&q!tIJf_(H;CLU|wgU6fG!hG3Q@uSGW6}k2^ znRg~qMhzuJzLci$rS-q%mN!ni0L_VjTk8B0E)MJjMxCJ-Q7gmD%1boxcQ<|5;L_EeFo{NOwZhMM+KZgS*gT zV`*dJtea2lxoXbCi*t*e$(c`L1}nQYb)kzv?78!Q%zn(R|Bsk3cBcPdn-8<_vsWR9 zwYoihDR=HXbkL;hL=0}hOBSPZfMbX>ROPP;`9lgFjAwJa} zo`oLA@?|$o!@R{Se0XwfzlojevUA?nlWS!Xdj|a$Vg4_jDQdq5XDH-N=sbzS(9@gHqRE_?#N z!N&E|*>_1P@`2LF-Jj!%{9F1*?v{bH-$?@t6y5oHikCqi!ygOl&{An7YW`#BJL5Sk zzwb)_nz`wTqs9FCBc}JYQsB1496^BoZj#NdcR>=$<#NJ1A8+C)sX0RNl`iSkB(g4O z>m&W?9Y_H^oQqT3dZK%%E%6QZe=U`=pM=|vH;@b!;6Ol-L_k3BKbDH1jJUp%ysC<* zzNDO({8hTNy|yUo$cTclC=~MVoC*ahd62T^Bk@a;NU7PN3Mj>b5VJs{eRI@3j`+kp zlaT;~;Q)l*0Jtv2cf1O^xOgJpZ!G9Au zI_FQt&f@-LzocR9iq<}A!>`mk@_OE14`w?wX%%a17iLo|qpY&yG#R9gl9`U2PN6M$ z_YaGI>+Ggi{Qr>n5@Z-s{N;W*Ix0T8VVBnVgQQS}>1OO6qwdQIwg#ZdR3BaGnqJ4$KEW zMzvYAkMYkSc6?^`ukShru#u|Y3a ze0Md8dStbe!hpry73p(_K=e!VOcb@5-jebUf0|Kb76Y0X*BSpC(sum7^KGh7F#2 z=+T-A^FIB#Xk2JHc3C;5?15+Iu%P~!0yTk)Sp9V@rI&ER`&%-b!Q*qH)6*!_+^2QU zL(TJAS_8Mw-Vm`SEyaCz1V%OP^edJaY( z{|URZvFj2Vv^rvo$};y!d~j#O@&Qlxe&hal`u6A;mmj~D@Tz&FVN&xJ>*y*IobtS% zN2w!;wN0C!#&l9~!PS~N21(McJY-hAXPW(*mYoy`z*Nr!H>H#-y>Z&2ncKg>TL{pvVV$9-6ZF{F%kQ^Q- zMZ~BvQS1n8H>s9iz-Zt51zVik4yXCere#hAO3*azft*`L7A`k9f~iQnlTk*YTufe7 z!XS~o#tDr!s(sgaXwI&Q@}{7R!y1NLO`;w zuz(^sDWau>a2=PF^DmLYTvcBB9uh2CTV-hfY@7};@Z5V@-rr|tymOAgU+Z08YX z=KBIBEO-CZABsD-GQKHUk*3t=AadK9xDgxu^#x_3GrE9vaISqt(EG%7wEph5(WIsu1d>E4|+gI^V@7eaeuLafqguCGE3->;_qIKCy;fBqS z<6l5CB3A$8rtU1pX|dz!l$8ZTpo0H7MEPCQ+Sfss?DI(0577<_ePp&Q_)LTi`gzii6R5ZEH)UCwRfLFf6CX>_6){8T+h)l2 z(p&5UVu0g)n!)FIW=T7cE8J(EL_3gYJvG;T-A2Ke(NjiXaTL<4(u##z=QU-}c{GO+ z{7&;p^hf=U)9g=JarU4b-ERF;D_S7xn`vue;FB-(G1K0c2c!1hgi6nt+rR_xQX_6XHG=T1iyLhh6<3DcK$m+Z*ZZ%sa2$W1-q8jvwrQ8kQIRGp&sGJ5uZ_Jn1e%i) zX`hS2Y9>;-Pqi#6#hy2#$_lORsUMXS+CG+Q)sAStc*o(psojT0*PVj&c$Y2JWpi0Q z0-EWyADyA(6*BX(j@a>Ziw$9fSGAi1iO9@b$j<@!Uj9o`6=huYxq3!rL@cVI&G@#DRDR| zv-4Vu|C4H_rJveAXL>eG4R(eI?ngQ>R|A)Z%oCw83UbNd=o)O+_2yJu+yXZpfy61i zwa?9w3hDgYW%suk>hf$)$>uCx88&4qzmiZ@Fauw5$P{+|*m6Z+Kj3cG_?+}aAR;x3 z-Q7X?uZt~Ihnw^Ae$xo&W2eddKYs?_p@DKxdc`s6zgWe|Xd`+Bm`@4PNu2n$3(|Br z3o{31NE~hqRHqvug-NtHgNB-8OhjypSI59&-xvbtQMsm5GQf*WPwf0vjr`2*95?F+mN^oi^u;}zqHYU0s7iL7s& z_T>3*okkW+9ZICJU>gZABao?><$qC9Sk`HvU|DZDabe+D@qldWIR>X3V`c~QxL2%- z$4)pTt7*IocTRiGdJflS@%B-C0J@oT9K+?mzlZSuPO*4{>v16vARs6xAfTU_1|%XY zc$F+~y(oa%tKTG;FVYuCj-btjE{L;!X;vBPS%;5G4?Yx0lVQ?mdiF)xQ5lyB$)8Hl z*AdgSV|a&Zc=t>;rR0SY;b{>fv)%c8+?76NZ^pN!8Q(b5U#V?9;#(e_oU8R-d>-AU zG(iy9_KY==gL<#xm@cIcZxX~3k9egKlEj#ykRuVm>*fi#mL_AiY9@QZxR)dH5cUY? z8A@4TFJc{7AGr{~mjK;L$}x5ZZ7N^ra>Zip5ZfS?qtKpE_qrPM`Y>Na@pii#k7soZ z(BplwETuCeqpw51kFW@pz-COwlOkelGq#*);YlcIsN2K?i0 z_|okJ?@=Y(4dpvnNTgGaNpsAUBySiiGA7F=YMyPavuC=3)x{~l_;AyRz+Z;)2`Z+p z+;D8ldG9_P9V-Pi>1MMxWOP>&yCRRy9lqlIkZS(^$bOt@lOSp9ofR zBN(41>nOUlzsZr4)tl_O?$ZMCYHv%T-Etf^n6Pb!r3_}-N*FhVx7=uAmaySM*eV&; z3q&=p<<|Q^uCvQEdiFN}b@l2NnORjmfiUEWPHZ+dR%Jq;ETh)sWK+Xpdx8KYj8s@& z%9_9N+Vg_k;EM(O9!^v6(t1S|awS(J-iNj1$f4{*y6=1r=ds4SDjO3lBxTpt->Ifv zFoM24B)WaG%pO_3)7yo^w+3ya!Tq6i4>i!)imc%Fi)Br9(}3EqA9yFSYm7gz>%D^= zjBq~?nV|oFKe5NObeQrV-5h`d1oU$O61K57w!TW6u*XqF9j=uo#_xmDr~?X8Vv>#P zjpseM6E1++s4oYjLQ4uoRb{~6AgxGLkrcR(0rxW*4>FqwP+bK7MYR8%ZJkNdKvhYj zRkZq}EI+jGa6WIo9&J@#U*52=Tk3i>{V5n;>Uq@TQ1pw_O<(kzCOGjmFFF#l+X8Im zY&bt}vNh|rirvI)?Dq)IWLUQc-E{-3pGu^+`0S&}CJEYZbWhn{{3Ly1>-nr#EUuW_ z$Ev)piCaZ>@TuKSappIgyKAEh|3$9DomRE&Kh9O8yvM)3ceuibEOj^t>mF)x%59_#Ugr?Xq3pGM<%V^QM z-w1s2CBW__33*NYyUFw}|4H-7t0u7>$tAArvl2%a;<|PGsXo;@aok2E{SKs{=ux!{VB?Ec2!{!GhJba}HZ;-}i~>yU z*Xaoq2|n}Vdham{sKq;JJ=X|KqD^Y+7}a92tX#Yxvt2uklnDe2SGGcPu6~HsR26yy z(_L(Q!G!eai~J-TN_n5&CH@_~3EINsmN7#x;KD@Zwh9gcEg*xbJKU{2^*uRN`s(cq zAhh6~xSXHKG3Uo2R))gCoUX9Zo9h(c6W3FNaERZlhxtOyIrQ*B)GWvjPy+uO*2b9T ziNpi3CJixN?ghR!vHTg)g<;F^j2;~KjzS&!&(|+v`?uFr36PSnwD<}>c)FD!gp$Yq z;p?5FE9t(q(b!JMw$VvCw!33H>DcPnwmY_M+vbjK+vd05-+S))?m6R*`$z4Xwd$!= zbIz*q?72{Ly|rm`j_gATJwjd46nL?YKzz1Brj2C0`Kq8#M)QF(_)2%{l*^N&g5WS|UtWk!oEC>|QByv&M zIyIUxzJ=<#1QJeSmneQ1<8+waiDCCA7Ye};ovk9pHAzGQ;~`gH&g_B$J1{M`%??;( z?Nf-^4{syUzkGNxEV=~~AyoLOqLEk9$IWN~U)e^V{H%y|PY|@(?`KfTBz31vadq{- zqThNNta4Nh&=kwHG#PS=Cn9j7PO23i&9P{h{56*g=Z@&+L_C@K`<`%aOJNL-OO{}( zwKbRethW<+baeQbak1jwPZO$&35{&$SP_T2aEz{&8}5G2sZ${9eVJ6izFCX%ik%)Uhg(nmq*_(3VB>-sSHXORExci&lfFPVfON z9&<04RyqrH&_>e!JimQhVtmmQ=E7QT{r)p>Uz0_!3i$0n-AB1X_FA0w8Z#kG{oK0_m zHKqmjI1+t%z2q6GZjg532>}^)Z4Mkw+#*4}O+k7>cq?hX8D4MBgaDg3>(6AXI_Vs| zt^!6O&A%SAZToO*r1_e0wJFVL4yegpn&0~utw$CVR9Hq&Ax~+I)wn|T=>!MxWEAP* z^^VNbIK^0xU+HJ-^yfy#$Vth-7lssvQP6lAEmbYC@ytstMFwA&Pz{3TS9Q4Bnq)mH z(WU8E=OLI%FPm$7Lu+P@iinq(Nl4ur^;mz7<9{z0D{}NpQ%+nk*3(yqLggV5)zdTj zR(qUs(@@EZIBTE~(hFliP-L0k@Z+zZ7KKDY1xsWGl~I!XRsnI3!Y^E51p{PhLZ-rDBqu=0o|G&ZoKU%`zL?DUJ0l6GFF#Io< zi`rW2o7*^Cq)7ck>BBMqo6-$qtiu@J3t*JX6n~?tFng;g%oY3sQ*@?(jw~@2Cac{B z7uA78y?M*7-5{@vRlzhu)yisp%5ngleF9paUX!1yS5E*xZD!ja9e30-%Y9B-?J91F zJ6X)XG6cpxKnsoE7MpS}R)aU188msChK`%~_S+{%(ta@b@!#9q+p2Fpx`wCqblVE3 zo1J-f7j?p0kF5W2_mJnRm0nYAG{oeZDLHfcPUcz$xd z?C}7vBC-A{i;XpG{mPfT(#(`|xu#RVmqQW8SAHu~;eQ!Es9M)1rW&l-fP3LFqMl8M zWNk#l{^p8_8uGI`=+e4C;J38B)Vit0;*+Z=yRX{E-KMyb+)_=s|9soshgx-lcCAo|^tuMwg6(nwf{=#sH`iS!S&JX0OED5_T*J$gQ8Nymu%?Z+^u{IPU(qqg0WqL4seGRcm@6+>rgUQQ(gn~sf`B@+C1Yh;Pr4>7ql_Q<$te; zKV({}zr?>8*A|7ffSM=2YihXV`7iX(x|NNtOtkS~I<~UvtY?~UJZ)MfpVzpjhbwb0rMxt?aNS4Z_7x-i#@_c?f$$Muq{uW`&sMM;xV7HUQps(E0pKt5#K|350wx3%?X>S zHy^|?Wu`B7mVSoTiUF89v~zGD<~ywo8sG1-8nSi+WhqB^3W6Ageidg0jZ6y7rE}Wd zvKkki-vbaEz2urtBW)2jx2m0q*ea_qkj7oPbZIng`x9_}msfRdfo2+6VT)p_$4S_Y z;nQ{H1{*=+dXp)rXGpuJEwt(19>A8K)1I938?5ryuEO!u96{ zY01&@K~Darg;lk4KUi*BwBWjxS_+@#@n5SX1bEUtDI`8)34EpW%54{x3Qg5-#1sQ_XO3JKZl#+ZJw7aF*5)cO^*v0GiJWfi@3!>eRY=|gjTTU-{WFNY;$j;^w^lug4; zZzk;{0)xC;eOK|FaCfA5|8sUn;D3hV(ISG(xxkiQlJLK+J$ZARi*zYld@+<^50NA_ zW;syd4)#CjerV}l0_3Cxt-n0{CJD~$AS*4lM)Mq z(svbwh@#Sa7x&>xX(aUVonWK(g3V<;Xnr-K3aQP5)Ft1BOMV>B{C3Ebhu~iMc2H zW@))2qbuDjxUKB&n&4yNfR?*@kD(RY)}KQfMS4`FdLj@u@FMoTW87(dvyjQBqZr_R zczJ$fG9e8Zh13!Ci!-g&>seLCx%MUaFV>%Zy1zeFbS_h_GOt3gTJ$eZde^7c*ZY0L znc8Uuniv2D45ywjdtdmaN}dX`cIq03jfW);E{wLyd5063(-$S zp=ZrO5D{CA0K_Hn6ei^*2`cFg?CLN0Y1`Z1l4HciZ}iYzwNY6PMV^rw%`;Tai^Di_8ZhxnWun=5dKuuXmC>+NcImNUK!FBeuQOZnjt(Oi{j%gm?Urnfyh>CHc+fMeT}8!$(T zRFn%7c`ERPs-YASH%y_$VBDPMV+*Ah!+}So#b9N;T#FB6Z>h@4<24VPZ**LwZQ;xE zO~>Dl2zDwcGBqt2PS_&MbJ!DCl9W!zTPI>ut0-2_0K^Vnax}$m%hMgT?$)FYLW*W3 z=KY&wDKzlhG^ScLJ(E{q`uS!9PLI+hxJSKKO(j_95+~uF3t2+~bC9E>*Nz*1FHh|W zUBL#>gf4oPfC#o^`a>i4RJSKTPd7%vtgao)WO}u{#)Rb`w`z}LbpTMXktQi~eryY!FNG~1dp)_nqw3nZ zxXuglL3bOm*~HrE=SN(s5EbeTUXXnM8(= zYU@IZ^QZNHvYQKqAVa=AxLawEOpN52ck9O38R_{vb~$|uq(4RUQrXRi%w_Tkew`%@ zGMyAB;$e>?72d^CI%OnKLVH;)Nz5bfYn-8?`9-RM=arU6ZqPWB_>Cg2G>TtJ0nKmq z*gd>1hAranFA>F)Q@tcl^%Hf)G|1yXQ#!bjI_l11ZKBZXwpdR<&<5kN+oURE6R;QMNwHOxTWq5qvnS!b@CV?J9=80CzPSU5$#88mc zbBPeg5{}v4Mul{Srb24ZHtm}xeL)wHRzfMibng#f^sJcg+V+~WN#dF;OpH_shV71v zU6#=l9RJ?(D5q|gM$`k7SA;`zzu!RSNXgya`Yhho!A?D)Eco0IQz0(>ZEHD|9maxT znT=_{yNBR9LA@tD7o0nmxW>%LG0i2-4~|a+1n8}@CwgB5=-m^#%dMTD8_g~BjuCGUj8QViFfgd;^%l43Y60i_{@7%<_8f0>$6MY=O6@-oBtA+-;^<^j$|;LAL~< z_)obI%gq{^rJ$SlcM9NnG^ro=dU0ST2nMqSB()eQPdGN8 z6dPoiM7UI7UO?icn;+iWkY(W|d0}RQ;HYqx9#N$DRN*od-O9Z8BElRLTO_-qp(Wj4 zk)52XnuW?RVq;a#j7J%%T&9mcQyDLN?eXlN=Y`^(cvrLFPO{$esf3|~14{XiXQ>+r zfkf(C-Zdj_O(skgB9`NSHxTyUUJE6hW?ZfbUO*&K_oJgq5WCRd*_xh;Gmk zdG+N`PgV8h;i3Vmp&9hm`WhplPy#t&4|7-cMd+I;A^1tw>D#l;huxZvw?CF+>ib`|txj^2CjIJC^@5~9x@w;A_h;iEs9EcFar%aSHsvZR7K^TH&`E^H=ho z!D;@=K1~km(AM{N8sDEv*%;BA)$;Gp^VEyKF`^s-3R#ptl-xzRE7R!sT2 zStGZ{ME*W8we>$aVb-}b6&c6{#K7&J&lHr*t;`K=Z4`~2E#vg{1);vM^?4Wdh%rI_ z=Vj^+5)8h;zN`fz5D*ODWhzQyqI!yAN^&ZSB4T<zp7>0ZD>xNbJvTi#I1W5HeApsbk=S9s0`6(D9Rw$@_phx0=hU( zREp@Q+)ft^gP#2NG#b_#nyfHvwv^C@{U@&hT1YEg=}ma-rt$1zXMvN7o4&)ZAa^xj z09wrq{zqVn#<=*-d$KF-dMpv!N{#RbD|_qOK0mLrsdB~GLOJj zYMjY)d?UQQc)@kFk`FpvRO;ezY5>nmnY z>yk7~LE{tV)qGX9b&Hw?SR)c$Kk1hJkGjYBRu+lal4D(5tyY?mz5)Xo*8G_5*|^=Y zEitk+z_52Q^M)C)n8tSLOuHP;v=g1)4)QqWJ zBy2{g2sRTD*yVR*+{PnH5h#-m?ugVC+VP!{k+@#PbAKpOVeQ< za7%y3-^=RVGEIdmdg751q843$O}Xyx1^LUOKWANc7?~TcDqk|Te;CT~@v#ukNF09z zLLPk`Qu4d1I|24K*fa3UukhByd3oMXZ*&0{99ck08 z&RlEYQHcU_u>}0Ou}P5(U+$s~zFSJQV|-3;$USy*r^9#j-wEU~*e56t4p*fQ^Q~O6 zV-Va0lV`Wod6H6|SYg3NsnR#7Bx{LMzH>1(+i~Muu?Ks0ffhdviVCFK42a^Z)!cEj z6FR2mn9yvB#Wn=jPmt|c7GT)JwEo0tZ49LssUEgxLHt3k72vbrZ3q4Bbs#_H7h(-r z!V9_=(GGu{W+`@U*H<9ps?;ky-`74?oCT_I>rPxrG-}$TFDSiZX{Cl&HXW(l;7FoR zs2#GH$Il-zdF=pi*me%#b z&Z0(kS(}SN`fbdSHJoGTf4iVO)l#rWyUB{@atQArG#0B1UD^1PNmPUH^vTjW?R-34 znpZ^D^HatR+?*$p`MhdH%3chf(W4c)my`w5>^1!16&03Z>}~{>R9I5hRBB+<_z8Co zQ_(S&!ed5l#vA)*vSdtxVM0ih?D9d3ad9spl?ldJxG)ZA3wOy9v+)XMGum+C<(dWJciz(7dxF#et8AS`;`sa0=0Y){!F6rUg+#wL=4 z!4i<`8;VpW0kzGa%wL=?G)4@fc#aDD8zi>PH$1B=11jwt?-dLUL0}?)@R?blPoP&o z0=;LV<1H?iihIJ&o37pcqEpqRYOL%b6I=`?v@265@xfSCxBO4#hn(KmyUAPk7N6SZ z!_n6TeD|OL|JT><6Vp4UfWXt}(b(44T(ZyIy4(R#wKe}{M;F%$@9O$yM|Ufgk4KA} zhPS(qgW6{|lYpBBe>eZTcioGm_(tsa*Z8ZkWxRCc;2R=aZ|eN5tqvMPA4)PCD?(e{ zXUDtsDd)P53HGY$v7xhcHIA>fLxzGLB!h2;FpGUL6#>N8V3ZJ~w~>zp?FV zJ^}>rHU{u5G{ZI~pDr?VBKU(Z1%97k-J!3{cMram^D4@H5=`v1IA%|gPiLLa&%ZDb z9+lyq_xH9-V!UOj%(HwiCt;DRSTow#5bk%S>P--et`N2^`&b$MVbUoMFOOx~T_l26 zW548H+KGMmFgrMc+R1tmKEp?a_5Ab@ABx`3D0UEed--&d?B#>|g~ZF|gzx1b8Q9-N z-=_>$28Rtqj3;4jkNA7Hfv&IazhZVw{W2YT-^ff=c+m@f@u`z3EZNWjeG>c(F>2O% z4GgYmZ=%BKAC75a=1t_5z7TXg^dGs)dxte_r$iz75&>fSzP8rzEAx3!C=BE2zu zLHF58_UYB(k9Uv5efDBAo9$nApYk%0yokvf`^=K}i+-2%UlI`^TWW02BWPPyg6&OX zgsFxh+}7@-F@k~>GKpRI5eMUVQ@8`*!$HpE!+hCZ%JTe_77pTgMn9(LdnV(ywY{u( zOlTgjdlC$pj`AA5>>oV6)I(#edE16x&CT{Fd&hHN8oQbfGVS=dne*}>2E{%R4|_pp z;CSj-E}xpz(!5#W_UTPe#YFB88g`|A`(xVsYe@#s#Mighe=6q!LrA2!iEd6be#JDZ zOE6OPyV|ihCnOY1Qe&HyY1A|n+2fWAjmvegl7RN}c;+z7GZN98{3|APs8#OMG_G$> zvLzkEbEi2ihg4Ny{A%;B&vM)qLs-(&L49)2YwzJ(0CW~*b^Fg#t-K%c9os%ww*XOl z@(OLt!{{IyBWvjXZZ;;tcL`s?tQ-w+(iIBS{!eD`Q#dshmR5)Cj)H=SG5&-YBADM+ z{I};}V{oBFMH(NjzdxM(KGeNC`80ND^z0ZojQUZAPzY)UB>}N7_~CP%->rDSUM7pR zJV||)I@{^@YHpx!k66j*8K1+f=qdF#B>Gm+cYbkrPOY7N1vE#sFASCUL6;biDWvD@ zQp=vFO@F!G5d}p(?SHxKU*UpWjvc!g&5Pi8$*uQAq#q4V5ROVqzD2*^zh2j8!)%1y zIyi-Gp>i`tsE;vCxXZYE=9j~R6b(1o?sd@gmrL2{!JV8}<)W1l)e3i;l@8!FV*o;8%U-S&Gh~V z-|e-d1aWlq^``e;|6c2M8F9NNfbb>owN5g38tAy|IMb{7w7pyF<%g?+)3Tk^2b8D_ai8-X-OI)@u3lKiEB*#}Jf z8AvxR3 ze-zSvg^!Z)whss)@-}?_Fn7~H8sbMYa~TN87_nbZ?tV|l;vXDSV&kNn)1gigw1=N` z^jk?HktDTEv0eF^+n3%oLrVN|*RsgGc+-Sik`QE;;nq zj@q3i1>a)_1ByDM*X$tV6f}{jOt7U&>(;h~JOl4Mj62FkQahHAviq9m-s#eH zJ0bkDZ13evhhazn;N?HZ7;gg`1W3&I@VoY1NB%r+dVg8J`rJnT6w45$sf{b;PoP)1 zy8;sNr0pk`=yA-z)57toO&xp`Q}po`+oxX4P&bvi#to5aCTUVAxYV-eT{o}8c3#4T z&GS|Q8pd095$#0`m*RnNyvZI?nqZ*l) zUad|{iyQ3W?2(Fmvp%^9O7l`bzge9D#0H4AX_Yj)Q9{Ckd7xIQP}aP zw_)ri-B8NMM&GeLN{Fx!zlV^hpCf_SBV`?BBMm`ZCAY%)2XW}XI>h_3MC2Y0Pm|=R zo;!7?2X<`;ntABQQ=iLT7`|`dm!yA3qV-{g7Ww`iB>AdkwZAnn3wAFM`Gshzb$T-w zYm^gfps`+;e$N1<@I_4FK&nX|1JRv29O@(+H0>;^Dt7apG+rhMcB7C*YTIb~zy) zGVuXNVp$`3-y7b)ZtJ^G4cdD z!>sLNgos*)zb5<{*QoRE?(mL60E?fw>MNL=eHzhEg#8 zedJ z^2{*;D2_Jx@n`bIG(0I=!%i@frd}RO8rlr99ck=3WX-1~xGeRdr7#%2FB!cro=K;v zZ(TQ|Ks&KvSNltrU@N^(7p=fY*SpU-ldlvkHPkma0zr2bKX`f!ROVB$V8US$J^LL$ zDQ0TYz(94YKOix0I$YLomxtjwu5!~K`FtpaTaMdwETG$Z8yQ=#=VBzcSG+7OATL$g z3EfREeihGEBRfA{K8A1OuU5`>DIw6kzxll#8cj1YOCt&Ge7kE0+tblF3b(u415Kf+ z28P3GkY{@1Jsh3`%ex#&D7MI};uQkOsARhxl-2^aFtc=Ehr_o|MB@srVK}pH)^|^T zG96W*@(Iu@K{)o8_9nN+t~d?=$iZ@xC-QMiqG2;#u4p$97^z6>2jwWRZXymSBWCE- znoWHw-3Lh7Rh=@-qB*k+ACrc~^)!Sn`%9vEs|b8ZU9>|XzaJeul%_=wWE_fe@<+1# za}K4sk7WI`y2;i))9x$2q7czm@;Y}f_siv8h9T2|Qyr60xV1Kklu_fW;YcrfTz-UKw>> zjjbMvzwjoTt5M)zMD)E2GIq+Koic?B(FZ0dv^#EBO=6PfHs*QbjrN>Nt6SK)=HzRe z@L{HVVRJR8L62=F=#<CzIY3RMZ@9*WP zlti%mYMPCf%MG%8n0&m|0$(|9k7D+>d>DYSc34010bt2!KSE^ej%Xi1m-Y1$VoE+k z<00ejDRip?`+7&QiMe|<21rh(ZIC7tYI=B$oq?qF^)&F9eq=ue^jk0e*wmOh*2T*D zwc6YcCqu{1{V4r?D@q1~~CdIuyL?!~@Kkq&0YHgP^I?Dr zIy_v^u6oosvVD;I`bTOF=ka8kkPqG1xje4@hHDaeYRiycj1lqa4m3MB^=&tm_;uUI z_j!0CvhUt%6Vuw#PX{8Pl^cpvit_na*31a4cX<9MweMlGV>e)r5E%#;Yl7#noRWX0 zm{B+nV;c^};zB#2iVBumj(-wd+6F$jhStdwj3ACZN1sELAxom!+$P^rrG=BoNhHA_ zla1<){^rF!v^mln-{#oI`qi>@uAhw_u67=i^Fz%jUN^#k2!HD_$C_**n+|#aCY`i(I~Gd;65JQn$4Bb*J7Bz9A$5=H>0oKHGCNg}(Whk*?{-$gY?0q755ropXNJbS^NnED&H#YGiFGXtu6O6&l@cFJD_py9`Oi zOHrWNe8vMVOr6I@KLJZqyinkIs7hv3s@anfGosi?DV$XH1GP?>ftal<&Eew5t+#rv z1ue4Df;eI-TA$7VuM>>qONuI40qNSp3Z-aLFz}x2?8Iy~6%N^)a5n~nLuKBKR_6(^ zowcAeMDdNaKux0l(ZmX!#L^I4cyEXsDe*;EVqkw*}fe4%~a}t{Zmj=y4_{#mZQ_YmPvlh@a zZujA)9xOuOO$`lM?ZE-biBAjOV8mX#oAt+KS*5hj{#BJvn<}MW1x=tdZh+HF4ei;D z8a9JU&w?C)E+ zJK5X&r%j9uGLXub365uU3FwSV`p24l$K;`lf3W#A3dh6w!pYEz(6N;wR8Es4IJIm& z3UQy8aiUsR+OI=Z?MGYyid(Rjq~`E_rRFj!R~Py3jnohw)}oqAPeh&~Xk+xJ7-dVj zE?VaFF%Cl)`Hq;ql5ug*Xw?PfJQkQk=B(GinxveDbb`6D8Oo4kElcv@7N_C%7}e<_ z+Yw}7%KKVoBG0zhHyn5g)N4~+727S;DVk_6#Ia6x^H!5}e@x6|So`^=<^Pz|j~`@iYV&Bb3bHU*Da-6JB*IwJGkzHs9$$g|mO_DN zPh=)|*7KM%C=Spmi}t@%-2ndAYB8e@|{I@LBlj_*Avt?dT&nX6s9>cGLS~w=K3JJs+$dclN8bEa5!h zm=(IPxTD=P%3S`;Cd(Fe0K>z@I)>J;3 zo+u*;hB;0Imjn% z6bMBj*&_a|BrSF#!3cA--e`-ZC({0%6}R988}tz+ zll~t~=(qkH=FqqQ48ZLi$Nj4*CVM7KYtnuZ08syB`~pDvk6-v(B&$|AfS$RClD|>8 z+FuQ2eA})gSn!NyuZJQZhb|_G;&&yGO!`M5$et#eLY_|FvxNmcbOMjC#KbXjx^uTlt8&4p3Wu zLmCbK=SUKuO{J0rQotG_37Z%0w%PN>`PGRwEl*{%z=2O-E>@hV1BraRIfD!6-q{@S zkmle5)wsETeBwEzSm$|-Bl3!H`VUgEZ*RzU3=eTK+Tz}$o9kr@Ka>MZ4tjU%@VCyb z^_xh?sod5ChD2byx63Uw4chqU=H=5|b{fJXNKJFh=j>IiErxC7G# zkZ@LW@ZT%9G$J*QT!^{P^_VmjqydB=Ndi17uIz;1IMx6U&zXJeViBg>J0YDa` zC-n@tpGBkov?^Vx?#o_?Q-3rSYn-*12kW^tH3u2YZUm+FXtEwJM(CYAU<=%p)hwt9 zM{o!EX4B4|3k|#$SXFHx>k{aAb|HoQ`-6g*vc`D8YGMfgaR-Gw{nJEKj-7`I5UMJuLZ^RYDphHV@mUc) zP$xj=sd6WO-}1kdp|+^695;snt|#}8LI0`c_e;GJq}?I6e9MJmAJDUD`LzFf*5s*2 zdEK@Nq~=`%SMbKv8iZbp-x%oDVv=tzv!p>PM$_Oxe-+aL-H=J^`(N(xeolu1(t~14{W{v%tV~X{b z+U$cZVHztJxsg#bDIQ^zyHs_Q)I8*Io_Mm8- z3r}QRtPIqD{ACe~K}WFgujmF8m;Mz27<4*iTCn}+iNKFfJ_~!F$JK1pe|Ocg8o2}o zT^)XY=%1$FigjMCiU%KTB|%eeCh7?^glzxlzZ&6K>L75#^cb2#?p-ZvlDcy-zSZI^%N-*J2Y_q36;z+q*-s&qF2-*xY$8 zA&~Sw8#wqZ=W=k%jlX-d(|OhDe%s+D$^Po|zpD-o>NQvArh$cz%frQlD%L+KAg2#Z zv{1*+2ri8OTZ0l%rmz1mSh%O`zriz={{;qFtg7Gq6n_2n`{3;i+{MM_@Y0zoNSi?p zsU_W`!QOZYQJjvkt^Z&&%1-AAyRzk&GMS2_&uPP?VK?thJ8Kr&xTCb7JgS>zvFcKr#3WHl^aLICSld@jOx^|B1rA! zZEXpw>bhh9d~A5D3l+>vb2bLVDW{xA+K4LF zv?QpbHYtxpF$o+QsQ`z}{LZmFt;nLn-W`--$B0K->?+HG_Y7I7fc6aVkR8NrM@L>MT(OvR-FT%qy1wB{Zf=JCDiimf!p0pkGmia%a>n4Sw3Er44f$`? zZPE4NA&VHxX75b#BTcNGeR+SRMJl21 zW+mz-=J1&|^?A__H?!S-dzGU{i+^c&X8Rl%qjP_}EIjhDJ>Turp;OrR>d`9`2&K^k z643It=gXehpB}K>v+$ zd2Mg%!?y0tb#;kjtQ4$raele|Yekw0vTjBE>o8V7&AB^DH$)|Gi%>ht+eOjW+Y#5+ zhJQ7~iqOV}_hU%S)b_z0tIU^fsJj*eWQ(WDTOJy+!g~@Qm*rFjb{Su1W9wH)WUElV z;ZQ8d4RxLU@6e?zlpGZty_NSy&)zGSJ&(s`BXoaV62!f|oDC1>ch8%wkB!NveSTah zR9~UJp7!)^tX?lb@@4xj+$9n>Cb73$P6Lk}-h*TT*o)-Q1#emv#|7W2F7l7$IWLF{ zoRBUmy{rp_3}C4sZdeDkXxWkjaLK(hnYY-cC6U6to?x*r*P3vwgNP2jZ;kIRn=blV zBAHj8Sx8<^si#@=y0E`JO73LVAbTF=so1ncu$F0+>6TZC($>oM+xQ&)Dlc;3trBx# zE3ngY+KA~vdaB_jf$?aq0cWr(OgTd3?{aE=$OV>$zZMi)Ihv!YIGVMPArD&e!K#uu z2$c}>qnITIWOXlpxBIAj))3z>@=TW$++5wAWe~CM|r9pdi7jxE#T| zSRl&s3~5fiQ#|2IP=k4l7$W0Csvy)NSdJhdV>T*aB{lxmWk?|8@d8O!yyr9$SuK2K zJbSa*AuEem*|IKwy8+|F0yndeAJeD}-p6)DMBJ|xM1-rFepVM$e`j{dNhY%6_++4M z#!cSo69BofFQo-TM8CK^RX%+j9F#tNq)v}RMBLtM3tp-EO+C_Wf3|wM+zFo6WUp@P zWul1WKCB9!7D;=nG~w!;3;gZgLIGyk5_(-dZ2RU+C467ZvPtrxwoq^d^I7iyaVYq1 zFa4MZvGV5st-#jm68<#(4Bflsr7*UeW_%mJA9dhtA@mAvN`f@BJRNx-P+pfymHuQn zzhz7O`I}fGVayOz3tc9e?K0e?Uxi|B65*#C5-_Qj-URf1v{5FJfDQh*U=x8j zU6q0OwJJ04fQ;ju6T2a~Uh`K7?0_{+yKFXo_b=V9V%E0*_&B<@o%<1Vk|o+t2@@X; znV!kNr$4oyoU5_|(~-*q2#8$WW%AaMDIQu#%^#ZwhCS)s_M=Gfyy?3Rr&Oa&PSFUn z-%6$FHiX;v?Rah-VsMnfOj5*%{t8Cfg#g2h%tKLyLxV%!YEsHud=tjcJK?HfJAMY5N&QC(w$9(!pH z)C@kcfqYCYp%k`@^Ld{?x2#tQo=1J4c6BPn{_8kB$&!)@Y%4#D)W}1$hH#bM1lk|I z-MUH)V3M>g1XML27E$euQ55?JN|AwuRA7M~us~-9onvclJ)!<-_OnnHLjvP@4gKfZ zl`G*fG(6!5nTK5H84e%P2a#0cZcB?=8Rqm*we>nxzqPQSdQ5&Y1PhgREE9|gjkX}O z9l$WlPUX`{4$Lxy*vfzJrWI$|0-{!w6UJeyY`KT#MGrA-&P(o_6wc6l(vXpMb+IR6 zN<8ec_U)jHkxQ`}FJ;-^b9aptG3p)_xvJA(Gn(8sx|L-z{fDw;Ht&!$9=J{;l0A&M zA2-5jQhFG2f#ctm{z$!Xp>>dy@W)=jGz;z&v_@d&jBW$a2BDl@UgWu-e(zt? z$(v{%VkTnY(FsY*^_SfnV>Ll3?LG_x>-610Dd`u@C=T_Xm_k5%a?PBl!+L7-EpNZZsw~j5s4Mr`+qnOBZG;DcgB=Yp|vn zXl|4>F2ma$nE*-tc`{a@JBsYw6yf{qqOHm;uMk+VpKn3_7RlF@LQc~VPYy!bm;N+T zW&mV!*b?kicpcI~u_>zczuZHJ4O}sW1(;3WV{9n0K^!CaNlfjZ4!Am17;MtF{qY?n z8{ZK{MrZYVt6&9g5-BB))%bJqBZwP_>*-n4?&?M`A8bxIRi3Wm_W4&sEh)jrK@mao zP&8r}>Q2@M{L73|e>Q;b$y0EGYdjLQi>mhRl?g*3hgJj*R|>MY3X=EK7s!=fu3{Qg zO>2d=qFItGYS(M9SXQmj8%+L47n`VD$>O-}k z0^ENJCQ$6JR>-Ue36`C+_no+y2I6Th85>a?T*`ru%M$pwHY`aFFAd;pRh%7aJ-!E2 zpg4320*~%1h_%E3aQ_G>|1CH?(8EdTH}BHnSx*dES~k2PW89;kbkoUc?UqVwL&yl| zQL8sBOnbNe!lL~+k`2z?oTv1~6iJ}8^Rbo63K)i)#63?3_h65^%`ueU5XE*bhIaEH zfLfA2WB%>V0kzciUwejm{hoUpges2Ge&3S~Y;7v#5YV~y?=4;BNZ>^-hITr-1W?k* z%w_@B=R|}ERfpgthHcn($HWaD4V$7PZBWO=%0bkML#L+P`GWR(kFYVH&;a!lR`|yzCSI5}-UURtaixut zI-meGuB1cM#k=6t2^3;lfr8_WGX7f|miV|5-V;VS`z2k`|K8f(aE(U}g4uXImUM~N zwu>SBvDG8`RT4Gv;khgrkkqa$?CJMQ+l{sz?Nvk7+SZA1b)5mfX$$K_i~g2UuvFmAm3#t|UJ94ShpKXCiZ&>pkmogu zQ=u|oYLm9RJt)f!b>I#+oOHM+YfI=_qnC{txJaeaEWUo z+16y44m}0&Jc@lKiO4|XKX0!vkg&CY3|?tM{>M<#_hx9~?2mFNjEhT&hvRTxF`!}X zByKrSLUc>5=51AG4yLh~Ns5+v_|JTMAcJdiUtOe~LNR??Et$xG6Cw^NM$`Vq;)rYz z0|R<#O`$RHxIucLk)jj%VPVRL(qo6efm1>5<4_JQ-6VJEk-v*8wTJvy*OlQfzF7R+ zG;}z-L}5!DwFU4|sr|9~Kdu~t53IHP^@OdEkQ%Eiap#xuMMY_5?RJPmItB#kEW307 z;^2>q*FagsKAKjLy}xl$*dkU^TKatdhq|{8t7_~1#c7aEL2}a|NJ*D;hXP82s7QCG zbSTmY(v5U?hjh1er*wz-TYH1&9M3uL`@PTax%WQL{bTR7=9)F;7;}vI8Dq}9Hp%8? z1z-e10HwREp?+1X4IrFa+^`(By^L!;8LFqgsH9xIZ}%DBEI!~jZ|h%B?`)6eoZot4 zZxj2c?O2OFR85bQ(pCEDS64#%?s3ANY7+XD|Ke53+%clN0)kGd(e=yo4KHE@t>`SX z5U%J|3ub8@H~E|$(TnMn>+fE!r{S*7bnj|8uXh{?-Z>Gt(It^~8F1~uH}!CQ4sb#H zLBkt?PvV!>Xi1>f?sA+Yh4huO*^wkvdhg8N(>cTp{izJ^LJqtAELP51(-T*T%?8Fz zSK2rwQBu#dpyYP`;_M$oLh^_?(aoagYc0NOX2PlkD}A2b+w%0O=97^P+>Be@Te7Vk zQy}RlF&X5G@JBLr%3BCi?(L)L0K=Z8U zcRTU`R!e8eR!2q~S$B73DB_+kmY%Smt?ATG;l9a=td(5L;&WqRpHHtsZ=zigoqHGv zg!u;RBV5z)3l}wUJg{e5XaL3Ngf~l;gfdyG-`KM=TC!upGf(KVOOSRcT^&B*wrbdx z5VsW-L^yRLhn{zr-S@USxsKL13KjVDbL?n7G>I7b0#;qBCsXqf`0t^aVY{JDlcktm z=%PocmdA(%hc#*p4YRMCWm3Yp#ae8nJO}(Gc$eB=?bCCu=*tauG^I6t)uU^WLU>Tq zHGK+7=Uz)Lp`$Y*^?_xuq2nGE7c~v#@mpl?OINmxLdke9+>a0YQFgX6`f|iT?f9GW zHK`Xf{}Q#UqF9+Q5_|~8>d%nZ?=4@e4XIrj6@z%;l#z!!IV9Zo0Ux*x?rrt>bVs^y}p&Z^JM|bdblFU-sTu30n zU54_9u?`_xS_@Uyb|HLJ*wsi(?c{E9F0YPTh$EB(BPxF5S=NHPJyA*Xiu?TxU+Oru z5i2cu0^P!BT(e<3p6&AfE_Zq_;d}XKcU8ufHlJzhs1d8w@7^h#ap zQYnCLO0#lR(=9LQZA|~#5_*Nr^!p!V$qa6|n=bCxl;k_+qFR4O(TU1zR@{p|trIqe z;YIqE0IQiceOi=@y=E1-9h0k(qR_NWx+ouiDb;7f%burH`D}SMQ6aWeKrU&E9ZxDD z9fl6$9aCgyMz~Jr%Sf8KDgWX}8w_5@aiVfT(|P<@!L1v4J*jw|4_-u$1`>Y7_M-Ig zlJu`aP(IE?t)*{HS23eW60hxno4CM(XMly}Dr}bC-bnf&)jf~dIQ!ZwHA;po>TyF4 zb$lvYH6I*K6jTpx>TpkznwNC)U&y1B2l62>NGw$30}7c)!n%W4NK}+sV0yd6NJwh{^-8Q*gO|pTp-#u$<&S9Bd~AS zcQ>kWPbuQlmbZv=n4krG`ZsNaTj|u}PuGEyv?=}g>%az-D2vHPSbp~S;AV65vGT6UOluw(4K;~As%6P%U#hM!=BYjj244#WB-n~_uIuLi@`)z zYdlF3@SyhmnMztF9eaZji}+_(o1@1rFNcVpw(zwV1j^c8X90hyElM7wEM}u8?gtCX z@*zUxo=(#n<*6P&-?uE?hGz~Ss$IAu2mU^zC;i7KY0v1BMUsjq7DB8G=s)60(UQ`AAS!D&(C&`+c^KpAPHO+BS4YgTYg zDK#7nX@Uuk`-Tgg_T=*TclR;o-`;=3xIwsh5iscEU|g? zgtSMAJ7~xvbV6A;t9NuVO}~)-3Et6b`qwQVo{^j$6cKf2Nz3CrBC$6JDAXY5J2+YO z)Fctqaah)r2{r$yI>Md(RX7dj<9AVV!y?G7tc0$|F-Ky7tg^A5|I&;qgAH8>7=)>2#2?9pg1q{@o_6-KaBC&mV&9$ZR2){D+ z>mEy6Dw8iu2cLvs*YId1#zaa!Z{!&rMUk_>m?$i$p)H$T9({Jha^x?FdZH5A%{^Gm zX-7)n%tm~*g+~-07NHc<(i;7FGYqcb?e-$brh_~L`QXOmTC9k<^KHBmVKxhN*03Uf zInmcZdZ`t??~X1#EpHdEqVCr>9}Tb{7o5jS4nKuXvK5`wS!jz5xKDe&J?NY8_(dCi zAFNgHIccipVO6mMVgR9TnQ|v?M^*6@Df|Pb#5W&fPlfE^X`6Pxt#|gR@sS7TwyH0* z$K^f6r+K&hnYdDQoXN*GJMC$v^2zvIS!ji8@_*!1WEzWjur@rwK$D>%>8`PE?I7Mi9^TdIP-tafkw1{=n-8Pyz zBj*1NLGBOZGJF1ompr1X@=77R4bDZ6M>nKMi1-)spg;;j+`Y36A3nkN{i z&SD}gTF2F5`f(hjn_5nOe4^>7kR5N=PgpBM4>Ic9b#C@8sOPcdi{4QF;a8=?(y z*@f>1-dr1q^;`whrhvKInR3F@gfzmSUPi(*IsbcUi`4f;$NOW) zI%x!>ubU5aBPbT8EQ5Ax1rZqW7i$Ov>!PZUn z@ekY%Ut{fES*r!i3bU(0y^@Cu1U3j!3{f$VH>`d#*yR)ZZk9%SyfR-|Z%gBLK}F`G zez_eOH!UZKF51*a|D`$Q zG>}E2vD{%hpGa?te7kb zDRdoUB0NU%LRe^z*S(N;FY5$JY$#&QC|Wl8q_~Y!cpvcRL01L}N|?>supQ0{_hY4R z$)?~Qvu*|NqT8BCxD>$(XP`atm1Jb@PIA;j(!ZGlz3#+S+*x4lfxX~KJn`IVHTeF4 zfT?T#K%Xm`-zfLOW5K?_#5`zf|2TkLMWS4fnAWG&wr7^WUzA)x-J`MvTG~h(!&EI= z!avIGeURioJlAE-ZUXP3;_yQke|FzoHc&s$|HFXNXV(eGH4 zjXpT!Lv~yJk#NMvWM_Q6^nM}oluKg^^@7B=;qa#af+U)k!`*7!TIP!Ak)7Xlu!yeH zyY=f}*#yEy?oIxTA0I#Qin>dt6xKD`xOZeIe4I}knVvVye!SvF?|1!bn9eGbx@3bS&=#Il{%Mx^6M6_e*WX}&~hbH%$3shvP}5`M~|vC->D^0j`e zq2GxFpO;!^U9v9S?c;sk$2yW$g*AmXznWz-(*0+%>3?sQu50^Q&IPtvv);OK0r4D7 zblZ=7++EsX2Uk_naHQhKX>qnd-P)awIn#H%mzk2+t3EgbH$F337Ax%Mi*--__sjgfX&CPOn96KCtyetzzL|=gnEU6K z-+b|zB}TmPtHejVRKZ`-;I9er7k;(V$o_8gf^fK@hBpk-WZ#S9fEbDbha=? zsMm0N>^yVAo_dz~b};wINFqe}M&fvG6xI2}vv0%d(`>+8T&!?mLDd5R1=HKwA(yV` z{nU5&SA)yP`B?Q_9NsrdWX|!d9FT@|`%~xpvFbctBW&&vH^;>Y&td78M-)hJ-HbYa zPag7}!%3n)+d)ONNGu+Ew!7sYr)87wTe;wDTV<6Nn9b-cl?6=4sfF+*SZtzvuoQZB&_L~KeF{N4Xh&Ilp59C`vf&`hlhfNc7526;MYi6v65qB8(U~nWA-+)8#l7ClVBo!Xp1r=n$3zN zh94@CxnA6u%rqy#s8!@7)oyh3QLPBxg6LKF$7xI)?MXkWx57;U1fxbapLe=6G2@O= zQ7^@qzlu>Jcn{uZ{W8LcfFG~uLmd!}bw8nk?Gp$|Qq6XXxMxv`z?^bQk4bPC-o{9@ z1~X_nz`hEU^aCDa8u$muhrl74^YG45LN7M0Q2Z$LXs?n6bTyn2li@@^)z3@XIb`3m ze@<{#5sxii3To~^qhy*EvNU9jY3sREH7IexrROUlBR%a^(dZ#1Nf(e^W69rQ`98G& zad8Uxq3@4|W06Io#)Pw`t)rcTwpk^kpbvX!!)=-`8cRzz zE9*H`4=<`O^JUx%tjV2raNMZoF!y576~h~jRl2

<8DVT;E~Lu>|kkvyBx#d460A zTPUN7p@U#?c{I?q)IY)KZgq~NJMpUD)&2ZjOb$_(%xmwomOAVp5ah!6PBPxA;UiXW zB=ZC^ymy1z*|rpj!!>}p(yD&}^Na;fciKks=55I{gvHumQWsq=j|E2xttHt47`=xE zXVe;a(A*Ysk{A!D&Qegtt_}xQCeRdho@TJAHOj)zJL7rpH)i+g_Gvng#} zMWbO3YTo~WF*aMBrjk8*@i4RA)6;mjcXK4@@1VDBd&!I{>VqGyT~#Ei@56+dV|2Le zA0$v;t{P;%tgQY+R@vOrlIPe8!o-|c2n+U}h zetlZ?fe>*$Q{`nI^p!r(dUI^a42+~c4=PHuvW+k?dbd$jNCe)3C!woe`PEl)AZkHN zy_YWDDQn)frj3)eolg8P;%i}!B$cbKq2X}WvnI6mqU_Cu|IvFaHm0j_=am#G@ne6b0pe)wgiP7o1v>L@jceAo&BGh|l1pNO#+V zR>MD3O46dMA-FZMZFp}O?Fl{$r8tJ}NydOYGIag`m2PeBE2JtZH( zHxG`<8AO(^W~JF^GG@*dEU{mO3oplYYqtqt#g^HfOIYGtD5}+TvTc0GLvjn#AH-Kj zxV>OqQuHJjHKE<0Tli zrv3*b_oUeqBg$D}Z^qShG#`4&br7*s)vTTu%W4Cb5B(V_NRHGk3PQn8}VArQCPl9IdO1{n9fPK*oG~i7qMb8Da8X!%72F)N6 z(ai!>^1|*)K5(a&Q4j_fFpQIYKj_csO;esE+)f3)423fQrS`*7V@KT)+R~AJ5i&L4 z5ff<*(BKiHA{t>00t&rnfe_^ZOr7-8$Dn`E4hMA6x`_CTsymV)?Xn91GXbbHMNSG3 z==kS&!R`Ov92PHVdPE-Fl-`qnYYIX&wAD+eogD+uoN|ZU(1JXnPuA6FEx63kEs<$9 znCKM+vpC)?s~f~V^g1v;^y@+(xdUvFT-UNN_dd1&f;<}rFq(o2qg%cMZ2N=#e~_c} z0iiRT0xTn-(8o`RE%`hE`w6)?r~v7;s}q5BEUc?Gz=eWc`dg@Sm7~j{9Jf5S-ksQf z9}>9vv`x6bj(mg)5FjhP2!z3RLViD(o)5^C+&=VH7`G#3m1mH`0y7a%J%FB|Pq1MC zmC^s~0rr)W4w#UTc3Cga()Lx4e?F>k^8UANiavdBJBJa@dl5ortwNQ-pFv6{r z_<=|@^U&)-sv}T&(jrZe-|Zv_@cQSlC}%gk81ESM&s>PoObtjS;DY`>l=cLsl&=_I z@wM83El?V}1J+sk3{`?q*uv6I_FgD_7!n{-XE_nMX?}>@2K^=fU`Lr9FkNkV@ATpq z?|v7>8ry$l;h&QA0!Bz)PVsj|2hX(34v4;`MIixwEl~ekk-HI#Z+Msve8U4~kmS8P zTK-KpJXgyrli-Oa+-((jF=5rahj<_oP!8_Di)TrB2RdeEe3>W9S6L4h>JY@m zCfQ>G_AY*@9RqIeEb?2uP6hpw94UMukSs7u|4sS-(466~44uDo?VprN7&}6#|J|6x zvLm6{fKA@|49u985($9?MS8KRN{EU3=! zZB{!0#V{l8)EFz43JFN_1DbnlHX#NI5n(n09bu@z-}EKn&P1Cq(@-!+kd43_*t89D zBC34g#v6Q-C-8>89kacHw9Hd-!c@DQ~B|-iaXMn4wNo7z=$k1b{vCCs^op!eood?i-ZE>Er%V!%4yR(d~3r7sIq#}WfS)(f`)Cq(7m4`#13SqDgC@t(|xqXVWn zE3vi{M6(GgrSq$@b?o0tEzgRK37qnPSI(&#p!)~H=|DX!8u2?yErQbCy}zHv_Zf`1 zz;)vQ8x!u+>OPt{(hM$t58Th6<-O5el&{JFI|wcd#s|`vdeO!MK)J#n)J7y#arsd-|+ZfEIS#R<#FAOcFuf_|HfV3EH?FM3CR zUAqkx5`lHH?so&3bBmzP&pTdI+_+hLb!LZ2M{vA&j=l0fdwH0rUYzL zS|1DDwRdOISJoib;}0_^jS1L8V)sx8y+IMbwRa8JerVQgKVVr7OC*O^AO3~UZeiNr zU`Mt^!a!RHX#dPsIdp2ka#HcG4+wGs-2x~OjeacH{-QG$0!Z{}`KdYHa1>sr(q9CD zs5!A4C>(=*dTaCla{d2@e(n(Aa;Bf3Y1#E)7gMdFQ_w zX6V})z@7kR#V^Ea4H*NCIK%?~X`dl$ZW|zD2r9Z=`ZA5+A&dthL(t6-1d0BFtG`S7 zj$Pu0;UHis`x5onsqqVCW^UesE<=tde}!Xz?eQ0`1p`qV0$}v5-$4JIz6by(z-a3h zh&I088!9|DIm)kpCMqj z-y*!N`gLGxyFj$c}({^LK<+H9}o>4(7gKe`@U?IPBIdAqm~#sigp*BAw@d#DE^$MRljAr7xGKLi(iwf`!5u4!XUkYVCP@5{~bx( zTD2NS_tyDd`4L`q5}PSrhe-s)(2qZ-@!bWBG{??-6`;<{WZNI#%HN1*=4vHG<6b+CYSajmb;UB zs@Ts842Ez8-gu{%12>q!jZe8h<5z-~$#HK^%MAbtWfDo0+mB=ffPxHn!qJx1G1T90 z`W5FPKKg1aZN+Gsx{C!PZ2^?3P^^q&f;XAuoo&$5TegK1lOF-2BqB~Z0NJG5>6HS= zCYXGe*LG=Px+2vn6ZLx$!wlNpg+4dAWuQUv5pMb}oGD|% z7cJ>8sbvGv54V#WDbdi;P&TEjW-MZCi}jUo_>uTKHyB%++)O(o%4HYm0*vP(p<=nH z10?QqRpp~qAJD?TH&!$*Qj2%sY|@-0Mt6n zy4DE8;!t0^w6rkj0z>t(n~Gy*zHWbWuWLZ({P^hbs#>w2b5HlC&qg-^&E+epV?TkT z-BJJAo1=#7n-6_Eeaqwy9I6-gt1GHhtIxw#Ik~vzvCzFGQtVTOV-nU*ui{jx@RCY- zBx9Xf=p;EYTNwJn;pc=f-F#rV<|Vm1wSmyn>4YTrm&_|9L`gjWgT1c_v9u%}2Oj0P zfQ=QqVwkfJ%d*#LYF)m;wo(Y@?J|v|hYo0h@}EfrR(i$^Qu5wK>gwcCN*^#dN&qTC z5E-NtfXJ{~z=({7UK6+r0)g8?if{!dZh@Le3Rg`MSvT)^}BP%=ki5rN?_DwCT|xW;JWy7 zdrE*6N%=4M3>*^yr~d@Xtz(@3O)$noPykSdu;LFKq6Ljr0PhKadTwk=u*c5kgm0zj zLzCg%Ne`^%?u)E+`WR;MpGm2L;F&{=mR@Jdc1tfJx!sR$}&i z5QNcU!Vs{ffiCt>ulp`;0Et>$3bpWyBj|wIA+Kfi%%ADm!$M2i-Cjp8?5(O&2C*9Q8Lm$+87=OJd(jpz-58NGj3tv z-$W4$p6UP2!apT@&kGpg==*n`Q#Iq@c`UV^(heTojipmhX$4UAAV_0w@{=bCX5Ijn7TaBgX=SIl~Y3gn&u; zTQ3q3EdK>g&1fhT|zfAyWl`)xq0)Q49A`p1OG{YC3q?#4| z6wcz0HVh!Pdp48Av)t}v0>w+YU;KEybp!|kcHxwRZE@&;Oe>h-h_ zJQgxV-nv|>JOL92v>B?rkx^byMuk4oo@f^lUJGv(YbIfUGmrbh8Z;z5=}jl!`1O=D zR$?O80Mtg&I10&Q$-bwf(ZgKX)S6Dk&(53)@7dl~MhL(y!W3oJd>3B&>S|u#h-8zj zIa4eZk=WdVo?QkzKX*@hh|s&Yt%4j?`r7pMifE+w^Hb1HeWZ=TTBEbXgQbmJyijBd zM;G*l^~C^^^#8fLlH% zSbjN~R+9h^Lm3MX;0UQB+UF;{gCq2D3Nn5VHbh$^)76tj1^Pl1kek$RglVY-!W00Y zIvJ^RFCzAZ%oa)FOH*)9;&Yhrcsd%GNRKzA(ew}oTG^3O$$K|sQcOQ^wRmF zD8?F%c1j~MU1ncx@Qj|M1F|myQ$80W$vdo(1tbZH*)*Ps>X*-?E65-yBt#zP^U~K> zqXlx1q8MSq0X*=OHWGfRq=9Lv0}QSK53RZnxl|8Xy8-l%0sDgz)lTF9r5WFRkn zOo}#Rk%Jrfb1|;(I5Zg?sm-kq>&iNb_!$#Nr8Nr_0A6+K4AIU)6_Oh#+tujVu+p3P z#6*rC`ayZ9>T>OmVnW$w&?F8U`vmcK>gGo-IClOR`7~{*k;_;WrY#1g`ssMvmhdTDmfzjttaUvLKqhudtG21*g>!` z7Zp-=Gzxp20TA>GCy~@pEt@=f@y9kAg$0sf4WJ1~kB!2Zxml)xL%{hiF_A&v9S2;N z!RLkJ8>x}_i$m}8=zL4;e*pw<&zC(MmWS1a$YZ&v5|&&IwLsebod5Asyy+A~$)-0RtEoSd2CC*{5A zd)}bUbAjjdR7hIq+sursBsZ!~;iv+;6m6EG7_q5N7$U)%X@6LzPF6f65z=DR+b$Rj zPyi6dz1pE9j*%u?Y>EVY3G?C*%Y7(4vc(0SbitNpQ6IpgFbIQ0J;08{fpa_jj`)Sj zz<7pET_Plw`(Xk=`v?g@7Q~@o))@fN_-2d(j~%6%=uVbSAihDpqX5K{0J6!!VOB^j ztk`tZZ2Uq4IB>(kEM1kijRHYk{6YY{0zSjn?OQ+Oz!~C70JRsXf7Moa{I|vrR#V5A zzx4y{gKfS=JVgM|&0}ndX%4W#A0m?%ksAR5QWEoQ(mv=LB5n@YxKk^;743e2?E{>NkdBo20%sY#3UrTd;V}*u#Fv-9K1&q0%}p6 zIt53Q_sc5)$AQE4+!)ABC**alHv@bWA9P)-Y5&_m35c%T-))$Ly;JEfF2x;cSu5yq zyDRkF`|sDkM6BvwME|#y`9ur6GK;qRJjMef9vyaoTWp4PGoO6`yn2-f-eUGH2?KAO z0%1CENSCbW-@;$FFkPS>yyfJ$$VBZ7023fA``gYbur&>aXkd@;S5)^uL!{xy9Di(I zjot2I-Gx>GBKM`brU7y=!O_OMjcf=3;KmPL3BAAnS4empw-V(C`T|0cgckN`G2p%Z zf9#np+r25MIhhd!JiHou@b=nw+TYPJA!KLvZ(hVMwUp`$kSu-g9|2tM$r^h_)RxHq z>fTwF%L7^jhKORngLVjn4%1-&%V~l#{wiuUMW1#w`al+Xk>$1+A7GfzVj}=ef$V2L zg@ewL1|w3SwcqyH{vQwLW{CW+hI3sik^gUnbK8lKkVu5Vp;Vt3UW;as`(2%z%{RR|1G$V0{WkW>zhk>|L_kWA%_R-iL*4vEMS#5coXxXiguL- za>xUXFg=oJr>DTj1z8fJjnn)}Xdn5s!-D{kJvN7k3<&E!5y$*Lwxz8+5C8x2mUag^ zWJ`Oape+tttz)9^Ia4REFsO)@q%&PeHBZ`5Tc$7_*vw8*r_|~SpG}dwXF3)a9!YH6 zVX673YcqYNeJdSAcA$#fwxB4348x(WfyqlxkCYIJ>jT7FMQAzhQDiUDg@~Y4p5DW7 z&ZJ$GZaNxj&MyyFka#=EXQzj^6L+uHvs+LbwVobbuebd1y`6 zowM`S(XNlGneg^ z=Udc%POS#XUi^0%$1&xCUbk9T)eb(|T9`S3;CDq`1wIbuowGNnkXn3PE6?}) zZ=dBZBt&T6+nWhhZ3aqX&sCEbdI9y}>#m4@zP!z;T}7$0DPdSlAUb^bKh%ergZ;C& zLwE>j{b){j|3m$@tBzLTX66;DOF!Hn7^_-~Iq{4$rHXhB6y6p+R^4b9KgIm!iv53V zvV6Z>3ygnCS8fuw-5U5+-UTLD!Lmp%G4%Ro1j7QQ#u7@*5dC~fXt96I{rEt8?=}3o zlvwj3=-mK~Ao^q_;zIhysU@Dra{3j8`nuGnVvuLE&PQ9a_gbV~g&mwwhEPxoF4_M%EJ?MEpI)bc_uktwLZT@LSQ zUp-e5l2GtNJi1W0{;4^9(8QZ6=~5{IZ!(lM#JQz+=eUo9p$og!6Qy^q-(V_i7jz!7 zbd#axZR#ao5qE9hgPB~Xr6{h2A$r%yprwOHP+B!bow&Aj zMQ_z|MFJB>WEe7j^=%MZVTJjbRGgs_$i72gmWMe%J#6s@w#H4#H6 z_lmV206J=%y`d+z+YDjvuMl|oP$r~@UZD-FRtr3rF*$k;Mu2FY8aco=VPLT4lB(z zeFEuL^a>OoH7&7?W}+gbnAr!9I|+YaL2p&h+l6~q&p$E}KByHQOuEu`v96r&3!g3j z>EW&V_Efi2C1So0r(o^Xu0#D-!XM_3s*T%he%LDDuU%F*e$y5o!0h|NJD~{Fa(~

8jOJAM*diZ&;Zq-x8grURuhE=> zFq%^kMso_nXih;G%_#_@IR#-fr@{wK`REHDE{W8b(~ zUC4TLvBKDzU697J3(|OYK^o64NaNW}9GE;{FEj=cROS^aRoSBtjS4g9EIjXE`Ur}> zA~3G=nbfvL+dikey)U~POS+|(@|891rSSPkI)ud5>!o}xOM59_%QC6gOZn=N+Lx~$ znbhm0T(496a=p%^UN7aPnc9~tWG3}`DKC}OzPw5@sn-j;r+D&98z8oFjo9tTo>jEr z8vXOJbZ>Z9rL=J7_mzf&pg0f&#sbZxwk_J&kFh}0UdjtJlX|_BBbeHk1DHv@UdjtJ zwJ$HwOzQPgUZAOcd4Xn9ua|NvQ~UA)&7@v0_sDvq;c)L2M*vGBKS3Jj zCrIP`Fa#q%jMvByQX@a%`*n7Hc>0q+A=X$}`3ZeH`Dqz2^Mg3fK+8Z?ep0QGpQx;+ ziHPcICN}cJ=`_v{moOtgK^o^LNaOr41S3C;*T@f2BR}EG9d>?raAo9&i5UAQ^zG!Q z)y@y%I6tkG`AM}#exeIjnuzF%m5Gh~a8DfPXM&lZAdT}Aq;Y;2f{`D_Yvc#1k)QBA zKs!G?b2IY8M2!4|zMcF`u=9gB&d-F({G?hVKhaSjO+<7S$izl|xWA9{(`M!;NaOqj zX`CO1VC0AK8u>wL|(6^HxZXB%h z0pd766D#wRYK{Cv$JaCw(fKtK8~NexJI>D}Ge1EZ=O;+x{4fL~KaAJN4^ksP;X9Ca ze)x?vBR@>U$WQ3o$I7&k=6cVt$Irj*peJ#E+A;MvuL`Y{O^Py-HKc`^ zxr%y76SE)&xsjCWl1QU)V$atUgX!$+)(0~UiQLIHB>Yd|GeQ+R*5S2{zOFghEv>d_ zsInbbQ1N1q(l&52<2vt}O%Z zTys@CZdA@(GmX`zYOdU0hA3EbOF!`49}Zu@~VJ6*TQ{5 zb5e!-1Qok;ZS&`f%9(4XiRa3_L&aPfo;O!+0lfWMxZpG=RXB62*q!S{f3B#Uxn`Pp zu3VKW=F0HAxpD#V=2|$vHYZg$zpB`s>m+}!sGPZGns~09X)ET+@VvQlH1*~h4mDPB zN)9IZPvKW)< zm|f~KQR8h=?V@AOypGw;<4$5p+whxuYPQhw6n#H3`eI}%;B2g!Yzk$DA}oG=vZ!!d zG~e+qHfX-TlG)0BunL|VVx?8bOnr4|QQ-=GIa#4ECo74@?#l@=Eu0W18l$f{3yQav%@HRWbB>9|>#HaG ze6i4z6GwV-HbkPaeY6bNKAiZ{lM~-WWBX`zd^mBZCnxTS#`ZD6@{xQC)>**~otcw{ z&hXUG^!DwYeH)~inMCL0rlIq_nd`jK%J=4EDQV~AsiE`c#%t&9+?;$RJvtd{=sa({ z|805W(GE4qt~?E$8OesuDt@^tF8)E)ySMKRo$C#qF*kbTm3z0xp!0eRy5>X5=HlX; zt8&UN$jz>>x59wfj5zYn8Tl7U3f&`*V-^m^h2O|-Hc3_Hpi-55QK8%lmF>$3X6Vp~ zWvX(0B~gxjB|l(c20GE0vr05pup5fl%bfW$oT`*)OfQMX@{%abq@r=kQKIqOgi@5< zV>J_$G%?-q?K@|$CK}UCqOsiY;~`FH5{=neqH#Mj&wIg(dD=}C6QUzW!$y;Rwfjhs{pNk7$bb1h*2IgiG2r}H@Z&b_>|5OF=Rf5Y_vQwm z#fbpl-;bAHGHNu=e9#!TE+Ls{ocVNi2Lh0vL4qA_QhXv~==8gr(J#++%QF=v`+iZkUC$S9l0qS*zR_3)-4_w4%?7L%Tt zFD%YB7Z<0Ri;MHj#nDv45c?JuOGIv6ERngmR0kV!9;X$yMMFG2|5HKf?oY(VGHJ-| zuyDg=g(ZlMumrIYmLN9562wMWg4hU45F23$Vk<1HA1f@(-wKOypOJ*cxW~xkR#-5% z!V<(rSc2FHOAs4j31TBGL2QI2h^?^je8vh3^S8oc+z=(xH*SV9xfK@7t*`{K5tbk} z!V<(rSc2FHOAs4j31TZOJU6n!!u;c~aOY;6;Fy1+u(naEh$GXGM;oajk7Co1N5M(u z{k`+1XAjHs9goW1@`=J3Y}iv#EleB4d2Gn7!G?aU!6NqtYi~q+u%Mft`|9+qhnYp_9X4K~QF!3McCSmfqld7x*n*+gLtHdVxf zZOEg+HssM@8}ewd4SBSq6S=V&k=qM7Q8+U&7joJl4tGOt&A?p94SQ<_=EVnldvzxY zX9i(aMhhlwV9vl?-3@yy2f^N&fq9*vAA89s3TFmksai7#a%&X@xs`(;w`LIJ)(p&& zp4??whsZ=>%^+38Gib=888qb43>xxi1`T;MgG6rZgXAW_nn9wlW{@i488qb43>xxi z1`T;MgN8hsK_a(jVDDrau+fadnJUZ~gq>;4Ajqv5m^-=AzcmAMCnpbm?Rwf!S+i)U zN*_mXZphj!ET7^Ng&nJg%Jma{?2-8i0Wkg8wJGsKkub8-P&xAng~jw^SCFJLwzB6Z z`uHQ8PuTutKaFSM)-GwNEI*klUT3+cct^|-a!s@bb4|1gb4|RHjK_>PDgM%Le)d5e zJrTir`hq_5HaWRw|C>#4~i$X^>k^gS`Aeld-4bD9Wig8cQlR$I|>m zlX*BM9g}sHp)12A{VKn9-IB-uj^3UjDIL6>Gv<<}X4YwKf*hTQBw6qb0L zNNE%YBGelr4u~0N_uRdsVFryAh~!`p73XB2;n%|Lp#}{m@!Cjwvj=QG6>a$8I#|Be zGQ5CY+`x6_kENTEEKf~IRwtX1tVA{? z*`=o`$qHjrk{ySdlF5w}2T8Mfr=;WP>+WK_yy7okiV0RC&(~d3UMPqvE=3~kg@f7L zyi%w&;&?1r7h*>Pz7b=~v~}m=xqQIVF>mgS?(8cZiLZJ2Cms#dM(F?xMY%b^!dXhi z=5)9Xv!;W%FrBbC@{j35r->l{71pMkLU~uQ8DnmttQaTac*2MagG$5D7O(5DINHkV zJF_-D@;bQ{2E>NP!t1)tITqg5Rk7)jS7R-Yhz*a0*Swou7hdyLvFVXlt1XX+4Ud!U zs7|({I@!)+B98Nj*zh>T_Bh4%IK}puh+~h43m)5h!wQb-K_)J()lA%ct0cralSV}- zQxqyt5cV!KJqEGiF^CstzgV0(Oodz~v)7|l(Q8@vwma7Ox9ma}d?s2R1NF7NqrZFa z?1^tMLnbdwXTH0NkDXL(%qv)1kxc#;X7dH@LND1FA`|v5jEY|x;HdazVk45Rgx8D> zZ*awt3_{1c5Xs=MIK41v&T;Y7%#{;_(aPy6J{HTu5X5GLsg(KGG}YMjnDi1yII84n zC{2&O3ny4>H3-d^@zz=#;UILZ3lZ)p4(DWF@g*A{yGzg8^1ZyLBLFiZ)f)}1g^z!9vuALITbmGxvITM?k zk_)Y|DU-icY=w!7dmJXyWADP~Adp66k`<;PG$%gE3R4g|)`c*oGmlOUnb-^n=Kv!h zljCE3-b)-1(_`<#=$w)UWU>{IAT*~q*$PMyI@U%&qC-tOmFQ5DiLHQ4@d83GaX?Iu zy$el`L2PWKAT*~q#R^CeI@X1Ngl}q^t%oD%x@-|i)Q-x z@cqQ`jMi5&T3^X%eI=vym5kO`l22brK7A$m^p)h(Rfc@}%Bl#3eEKb`A{6rJx2%j1 zPq_RQ&$Dk|$l3T0J<*q;?G zt0Khy^joq&^S5%XdRw7nwC)-j&uD!mqxF@H)>o2GUr9cFCHeG~OXzLL@UN=EA|8Lh7*pT3fO`bzTYE6Jy;4EgkxRT1L) zS<$j8LR>#9T2@Ag<8OW!Z0yepB@gEOmONAPl{`Q3l{_EtmE0BkO70MSC3j1{a%zQ= zeEKcfpB2ig2(dpaT2@7f{pq)4e>Q&z(7J$DX?cp9|A=7RmM4%ET0U2)(DE5bg_g%C z6rxfkwo2{9O6{ad?c_@BluGT?DlPe~m=XD{(pJWb{8qKCj1~EnEm@$xlJ)5;S)RUw$i9+&>?_&Et}^UmU&$u+l~oZ6 zTi9<|6``PtH)P5Py5oA&eOhB={)UAmCnYFPJ0MD`uMG3F&c&%u=NV6`be@8xO6Mt9s&t-$rAp^1SfUK`bVnQJ=_;A0`FJDs z={);LmCnou?+L z(s^oAnFnJS&T z&s6E$eI`og>5rCq`by?$A4^iF-d#qj_3p@0t#?P3YP~zMRO{W5C0b@%F=nP)p=G}I zxjOahow`%4cZZT{y*rdt>)oNGTJH`e(K6qPF*Dx^E%SBGovCB@+?lG~(|W3QPwT1L zJ*}r|_q3j!cg~OD4HVaDU^qS4MqFd+mn$6a488YmSHY7MwwiI6o z$&QPNtxvWQceEG2&XC_lG*nfs><`7WKJ8D&o7xc4a2zxaLq(%-EUY!+Cam6wTd{hh zaG6YZMOJwMOBnH}tf!UeX=NhLdaCb<_0-3UqwxdU@`73! ztMKtqeP7{oqI#=vQ&Vph?r!R>!fj5yRk+`&w+c5t^;Y2ysMd;=wK7)Wo~XXBm5M!! zYi0Ucso1l;R-!M~7e{{c{99gOD`%H{jIk2^B_C+4gk5rzwGwv8?bb@zB{yCxVVB&3 zt%O~2Gqw_T$!%G^9cyl-;+)>9twet-nUC;vdS%uDC$E*WTiJYs+m(Uw(G%Wi@zooJ zhwrsLg@^F9M&X99)+pTg)f$Bxz*?j5F-EOXxFM`H3Lk6K8HE*AZxn76YkOLmh=+w$ z-_y!OJoy-;VodhOA=yH*KND$cvkyip?kduo@0z=c)Trh@E_(n_d1I9t)!fIWMm6_w zi4l3PcNZRoRNd^Q?rKiUsZq^oIW?-eKS_;h?oU#qn){Q~sOJ78F(OWt$Nbc&=Kdsg zS91zXjcQJTsZq_jJ2k2~cc(@*=kC;~=G>ha5vR)IYid+;?oQp+oo7<3y7NqGRd<$5 zt?JH_sa4%sGPSBZOD0xCtNJvSTGgE;Q;+r6;MA`E8l2kIpNUet`ZG~#SAQl-?ds1& zi5;=4J9DIV$>ZzFGg0cd=4z7~)m-0Gqnhh`YE*N5PmOA>@2OGE^*u2P(W<)Orbhnt zy|ZgZ^mVB4h?h%cP&rR`lUDB2-G;WhZTfUKd?m&@4K}(6MlA#5!?WA$tCaGt%?>R2$#XX&c}ne_c-#2YA?DXEbSGy!Dbq(cR>M@!^_ZJ-aCbRb6(xp;klrEJTqjag%7^O?4+NiNqYK+pQQe%`Zl^UaT zsni&yOQqTcER{N=WU15{B}=8wC|N3XM#)mCGfI|9ol&w>>Wq@5QfHJb zl^UaTsni)IOQp^zSt@l#$x^8^N|s8EQDdpptfzFT)EK2prN$^-Dm6ywQmHXYmr9LM zx>Rb6(xp;klrEJTqjafM8#R_njZwN(YK+pQQe%`Zl^UaTsZ<-KOC^52$*3xIM#)mC zGfI|9ol&w>>Wq@5QfHJbl{%wjsni)IOQp^zSt>O~=~AgPN|s8UQLskKU0PMuY{a;&#yjSE!0S+aKO&634aZeLveMaMOAKhjUq z?|!7;(54^hS3bN?KUPUAg8MW*HA?G2jZs<+YK+oaP-B!@Q4*&*qa;ps zMoFA%jM6yO86|P5F>1uACYZ^-i@yk&9VKMn)fuI6s&SXbsm3UcQ?*eePBlhpoT`n| zIQfr{WIfdxCF`lqD2Y>@Q4*&*qa;o>MroYtjFLFj7&YQl6U<~i`47irJ=GbdajJ2b z#;L|AjZ?KzBTh9&X`HH!(m44K4rD#m871qf&M1jfolz2}I-?{`HAZQi>Wq>&)flC5 zs<=;kJ*A7R#wcB5HAd+Yt2Sz^uo|Oufn`SXXDulF)un{xMXH3GKlznSQ>}ZzBFEvH8OuBVuS@@e5 zjqdqcPvkPOvu4RlRK_ebb8D7`zZ%hS$vd@?%f!xdnS8T9nq_8gxh(vxg@#LB(2ZOs zc9u)-HjP3*S-CWlk=WUm%EPnRM&qvhbGy8r}2ia5T%r&YETNs|JzF z%-ot~;aBDxE_n|*a+%m!E|XtMh+JmomdmO7zGuTFFEvLl6FbXg^6Lwc%go$zNhOq@ z+;8|q7&}cZEw5;-C6qTX{hBqX@E|E0OjcH+k`UgPG?p&niqdBlBHpPq=9|@)*sooS z=%vE1RgJ>845Q>Cbhb@+tJ9iq*1(LjRg!q~)9{;BnRvc-Ric-Q`C4@;d=oOBZ&qqz zzjkS&mkPgDapF0K;Ww){v0uAB(MyG2t3tI{B?_UJU%N=<4b1#nWva!hQwTl3cBRT2 zn0~EV)nXMZgq~l!T;&ZczpYliLKx>awY2IL8fzcr4NSjQy=t}U6+&meXiUFZ1Jkcn zuUf5oh0ybB*Q>mN>DQ`PtyaB4==rtlRo=kxJ7Xq)8pcR*Lmp+hA!iF`kJZzwMpK?W zrV+W3%EaEtWU83P z7PgC2VZ6m&SXn;2 z9Zn0!hquSmLzLyi+vT)ye0cjjJun$QP}o;$6t<64VeIqtG-dhl_BkyaAKpGs4?>m? z_loW9bGpL0H4pEiZJnu-T7trvN4(GbJI!NLLtM^9Lp-myd*(oY{`qlIr;Dn>JQ7uW zbZUrAA1wo}k6ab|h^ubmBhE!b?D}ZU+{ZrRY$TncZbR()nCSb6k5fr!am{UrT_2NtAMueY=`60f4YBKEvhO24RwbRqHMb#l zeN6Fv#7C>7v$*Cq#IBF2z7OMgmGp+(-i70{z6uAEtW9GG@g6abSZSNM@@8^(I`rlH zaW=eh%1Zs@``VaKt~2K2YV1s1UO*X`N{XX;V0J_ew;$UAG`^j~NAEzF)I`sg#?eK_|zMotd`kL0mJ(%E}50LwQa-HGFsRt9BdVt*b zlj{sWPCc05)C1(cpIm47aq7VYryd~p{p32sk5dmOIQ0Oz?b>Oq@R50LwQa-HGFsRwONJwWdJ$#sSw zryjI9^#Hl=C)XK%oO;ma)C1(cpIm47aq2;vQxA~)esZ1R$EgQxPCY>G`^j~NAEzF) zIrRX!?}R4=50IPn0J-a@sWbdI^eLuO*@Z;2jiB3I0?)%Agh99RMOmyl2a^Fv`GyFL9V4_nGko$ge zUF>I)QxA}v^#Hl+r>QgiIQ3wXQxA~)esZ1R$EgRCoO*!V_mk@kKTbWEZgGo+3K<@j=b%r0O z9!zrT0dn6@t~2~N^>!+zR{5bVsvQrO``+jnr;m4^5 zlbw2i-1n2~3_nginC#R8V1s4<H%`!Pp&ikIQ3w%QxA~)esW#xXNpq~kel@Y zx$CE?GyFL9V2V=@ko$geo#Dr+2UDDSfZX?!>kL0mJ(%Lu1LVG+Txa-k>cJGJ9w7Jq zG`^j~NAEzEnaq0nb-%qYH z{5bVsic=4e`+jm=>}RS|50IPn0J-a@sWbdI^C_~RLlue=0U zzH+7I%P9~oeCglum6rj_SFW^tIYpv{-wtqm<)y&#l`Ab@PN8VwFM>F}@^WDL%9WNc zr&zS`heaG;c}cK*^5xYH_f1C> z6~1z%<;$xZ?%R$iDtzTi!u-&n?^vBwW^a z^!2Kz#3slryY9LD1AW;~DCL$x+Lis>Q7)anfWL_s+42iahP0!nv+-+5d2_yNrK0{t z@l1lOJjx&|^%g|kh5m!2b5^R(hSGPx{r*b+J9&!UzbA}Kj6l$%E9 zg*%&E7CCH<9JWRdk(3-3YEGl`!tG5iiyTgf98QQFA}Kj66rM)sg?pS_7CCH-9P-C@ zOCd#4a#*N7jm`@yT+EIC9{a#*NC zjm`_VJh?1#I5~329~3S*L{f0r%hr*qlXM@5omv?nADi6QBtAkB6{P4RYrQs)5(NHlf$>JK(yC|*^ zK^6_aTqA-}dHCfT5p1I2ho3Jg4L`Aph8hn)t~kAm;))Yw(eTR^Cm5B7U#>X8CK`VD z6_nEO6RXnj6SI;mu3x>2;`$Y2(eTUlD;SlBU#?%lCK`VD@u$-86RT*barEPA*t;mM zhCvn$zg!K2QF-{~Y8Y&y;fLRlDh)reDh)p|E6L*8+Pf&Otw9zIzg$~`QF-{~+8S)4 z;fJ51Dh)reiiR3TKd#ihi{eThWYO@;l{y%ehaXpJe!i+)IKwYmm4=^Km4=^~m1KpR z*GAnhJnzb7(eS54b)P>*AammM3Ceo{P^yvxyiNXbhu?#FuESqKOz6Y0Tn@SeNP4usjj#LS1~&noY#GRAU%T zB)(W<6-~srTw@kb#JXUohS@|qXYe=TWuGT3_Xr7h&Tr>gKzmPQm@C{C(c_O|hp9Sl zJ>B!yP`E8Te~oswzm7gh8^A+(+CbGm0{&m0%9lkcDs*iXx``FKNfo-u z6}l-Ex~Ubqmde2Rk(t+95mJ>^4E;x3S|E+SqXm8ar-5 zW5+FM?6?Ju6}Q5@wN`<`=T=39e<^CIxD;hND-M~?ibSTfVv*^rXke zH!nqL8#e;xr6_IV#=yK3C2h=0QL1qlfO#oO+qg@>ycDHv+(lqsiqbajGB7ViNgMN0 zlxpmC5Q@5WDf)lL-fg*&WXBTiPf97T^+=IaC8s1&o0KZE_6x1YrpL~h&6#fNi_Q7` zvj7hua9JL?A9n5ZwEzzQz5*T*43T)4DdHiQg@fUB6CbV}VR+qy;o1>~*G(9roy+n038afIP@6NYO?7+yDFxORkLb(`&6@6*l8ZX#F>jAKS0o{s*&r|_)D;hpi4bZJ<_)Jwmx1!-Q)dAg#)Q4_G z<1UJyHq!2S-Gt#MjxfA#!f@>f!|Nst*N!lxZu2{@yW9NEE4|F(_iE|Q(tu9xyzb?e zjNN%DF?rA!xK`=FwOR+RRXcF4-hpcs4_vEx;9AuK*Us6%HN^(5H?K&}E5o)s_hj2$ zBdq?~(d{l8Ot-se#J4-Oz!}iaTO)YM;K7py!DR%3YX}4v5D2aw5L`MS zxNbmj(SVpJMwn^teY^8=O|$P08r^4_e}B;EKGO>H2aWDCtwG=J%%?wSbY?1m{-Du) zrV{868r^3qg8rbeAFG6MtQN+xY8c1rVH~f>H)!}1A_G+&4Y^u9uvg~GtHfDMWbBP?CDlCe5U!+t!Vg6D?qoR;WMoP-HOzQZbgHc z3V?1!!)Gc1x)lwdsR-y+G<>Eqpj(mp(5+}NTnEzB`Dr7}+=tgqe7JUm;dK*+YeyJf zH(`i&=67EA8+Tsm?R&q+N`Dj=(8-<;4%WiH3WhS2n1IT2reBETsI)NXh2L9Bg{1SzTJ7brrG!H&V8o&_wCMo zrWNSho%>8{(6>AD>D!$%Qvvkt&V8m5=-Zw9OhwSQJNKE&pl^5P)3-ZkxDI6F;HQl+ zb01ze@!{GLhSyCPt{q``-GpJ<;dfpr0l)K7V!jpm4Mx553Vy5(=Eo{w9IJ(KtQy9# zdKkwmGP&~#Ij}Rz=A@0qNv4lMPPQT^H$CS?ooq#ZJ2buX(sZ}(yrRL@R^*48Zbf=^ z$<8Syc;e7APZ|W55eTj!5L`eYxOzZv>40E8Nb}&LfyYEK!c23gThS=jG<&)g4WDWL zbSoM@(+be7X!uNPK(`|Gp~*G(9ro%x;D$BjF$^yk8UkCnd9BcPKzuTQf(FC`}1 zUEo@!1J`ODxK{1JwR#7xRXlL5=7DQf4_wo0;M!RmxZb=XIj;=c?%WgPrsuqQoaM6I6 zC`OoR?tQ!Sa!s@E+nxJN^Y7c8`%Ej)w>$Tl)}U{9=F_)3XQl$^+nxJNCD6A!_nC^I zZ+Gr9l|kR`%%^X6&Tt*b#=%b;Vdg%(ZsNnWBMh&bFkCyr@VW`Zw8QVbPy&AErNn$I z@*9kL=N0@|9n6na!Z=n7<5)F}WA!kOS7dVM6>?x_l+8&Si<3+rgPd$dPHuY6i#pkg z{B~%1=cVax-FZcWt*yupHQkEz>XMyPO7O&?XPz_&E+Y_JLm;?-KydYd;L-uXdXVP9 zMFWqCVuYFIPPd{_u4(pkD;hr2{OMLSe5MtkThZ{D)_`tB>O;4p!Au1}x1!-Ql>ps} zhR;+4bSoM@QyI{$NPXy5G#IV}Y3ls65oYeg>n1*2JHqg~3B$D`46mCoL_704uTL9y zUg`Ud{2nWP6=6UpcV3@ocV0?Nw!6T!N(ZjhI&iJpfot^+T&sBCTFnF3svfwe*TA*2 zHgLUpMRHylw%xfW$W70AQQ3B<)BfttD;m)|uV^sc?xGRj?$iQjKs#@Z;EBV7Ck=wj z2n5#<2reKHTs#)7<-Z=jEDa-?uyWndaZOJNKDZpl^5XGp#}2 z?#!oecg{=&(6>AHnM$BD47WrE z5L`wexQ0M*0fFG^0l}pMg7qNHgNp_p6U7KK&7E#Vqg>PM=~gs+ruoyYX!uMkK)0gd zGpzyLiqwa0MT40NfNn*@XDR`@6%C)M2Tao(Et!OY@2h!B}X(PsQW!u`WE$oPVT(E%&VY8_8o?8X2TvLVmk|iAArM?ZAh>!!aOr^Hx&gsO17e~WVWzqF?as?J z&AxAU?laB5Z+Gr9tw7)I+-F*YzTKHm-|n253ZQRy?lYA@-|pOJDuTYioft^t{Cv7ZFGJOnkvK2YG={YazWGnL9q3NBMrn`0L z6%DqwB0to0E7Ge=c1|h56NjF8(jd5uKyVF#-~s}{)dPY{2L$Uungp= z0iE1=eVyHTDKXjZ0@o@XxK``HwQ2{h)jM#l;(=>54_vEy;F?|o*Us9&_2w1Hd1csk z=bj)pJ?BMb+nrAPt2?h~MDM(!!F0QeMtr+d3!DM%yfuO+4iBC*2reTKTtgtZfIx8d zfZ);r!F22-MP=S27S9TpT6BWGZjGJ z?%Zc8fxg|j&r}3`yK|qZ4ElCwK7G4$hU-8!4u0AQGxy~*G(9v z9e(G967V}OCFWa^-(b``ui(e(V1BF;#<5x$$Esl*tA}yCB9l9>kOMoTY);x(oMieK zK3Y zf~yAvmktQlgES8=8hA_;Bg`~+x)qIbO|z$4(eRn(Pq(7sGpzvKiiXd$26QV@AG#F{ zW-0)>6%C)M1n5>Ye5N9xThZ{D%7AV~>O;4p!EhZ&Q|G6RFmoSXH}T=x5r)@I7_J>* zc-@2{+L_;ZeVyHTkOyFW8x>cKWea~fP;kIdy2$y{EO%;i#)7;CZ7|S)yzHExo zXPST66r<0y0%cQ-KGPbMO)>bCO))Z40hCQK`b;HIHpS>O6+zh)qt8?ZWm613WmAj{ z*MV#={In5f?!)UQK3qG(@VW`ZwId9#n=ni}bc@4&#_rEXZSY_61C*I#RWLtR2jf^J zjAOMhj#a}rRuAKNMcDlrJf`1(m^s>5?0~el405s+IXSN>Jm*E7Y(;+4#P826rRn`y zG1%IQ{7}=aNUuTJIi&P4+t(D5UdAj9;^+I1m~$wbEjL; zm~EOp-HL|KG=I7k4WDTR=vFj*rZu2jk^0cBXfRU&(5-0rOeH|KqTw?Y0o{s*&r}9< zD^ef26%B^#K$<$&jxci{UN`aK+7X7=O&G2nVR+qyA=;VTpJ}5R9J@Cn-+%}Y@(qZJ zPVT($jY8zR=gniX-Ko>$&MR=O)`4r)4qU5u;9A84*J>WPR`tL&y#}tGwSnu~T_l?Z zon+fxBsV?hMP=KaPW!7nuV_T?yrRK$yNgDAyHg9C0qwjsf+r3So-_z9BM@9eAh>`) zaP@%T(gDGB1A>bN#6&T|OmpwsotJBxec$ffXPSTC?%Zcufxg|j&$I@8yEC7@-8nNA zK;Q1%XDWfd-MPvK1ajX)?v050%s$m?fhjF|jBL}7>^J8a}akQ~G zIj_uQD;l04H$CS?ooq#ZJ2buX(xu+I^NI#rTah1Xx)tfwB|E2-;E6-eJZTVIMj*I` zKyU$p;OYUvr2~TN1_T!kh>2o^ndVNnqEW7C_H-*6KGXc^Ry2I36`)(u@R`^E%GiRS#U#Yv9^h8@S%QA~~-N+wRiMfXuV_T?yrRK$yNgDA zyHg9C0qwjsf|m>)JZTVIMj*I`KyU$p;OYUvr2~TN1_T!kh>2o^ndaWNJ1^HX`@Y?| z&ouwO-MP=S0)4x4pJ@&Hc4t0)yK`nLfWFN#CK2sU=?aqAqcIOP& zfovT7v=L_R!|NtKTsy+>x(UOzBMh&bFiboA&I={rcV0@&x1w>IaO!sn!H?C!{8%N7 zW3@1jRl_(|594@6CU;&T2X;o;oV2kx$@DSE$yVg#rsuqIPaJyYNrT`r0>L!|f(r-)R}Tm-9T2PsX&ziO@R%q@m}%~GD;njR zW>2@G;WN#jZbidqS^>Hh4WDTZ=vJgYbSoOnQ~-1<8a`7A(5-0rOhrJqqTw@@0o{t! zhi*lK;X06}&QBX*=03b`;={Eg46mCoTsy+>x(P$HGr#kiegh(UBl!kIMcJJfzBq{A zc_}gVm~40IG`Lpjz_nTju2nm5t=@ra6%SmidEi>r1K0E#xOUbCt~akp&MU*VJNE>+ z={YYd+wOGQU)^~{BYNi*4W`>&G~(NxTHp+5=dBSuad_~gL2wy?;2HwK1q6bt2LzW6 z2(B9tTr?miiVH?aqCsHR#)&`Sk71nW+H!cIQ4* z3H0sGeWoJl+nxJNWze@f^Xc22Gh7FAwB3OTSd%I2hv#Yv`*K~Ah<)nr=mUb;-^tC3xb{Gfx@>mk|iAArM?ZAh>!! zaOr?xJxKH5qJhUmF~Ur9r(4k|*ED;&6%C(h{&XuEKGO=&t!Vg6Ye2Um^`TqQV5S0~ zThZ{DN`P)f!)Gc2x)lwdsSM~=q&{>j8VuKgG9f{-z_q{?VL*WX^so3CsRn z`T+ZvKPpJ(>|a&_%l=&@0KeTHcGqM4TW$r(oWC*4-&mFIXhDIJS?_2`fmYjx{o7Mt z{DE@Y=fnQ#kK6GjCO7P#A7;#t-RbQHxptq2-OC?0#L$`d!^7?EczwI&b4lY}LdfUr z$Yg{-n8hcb&C!Q2i%&k!qYq&g9}@Lap2qZ3O6o~292K3_AD0J{`rqAV^_L^_`rqB= z^}oB#>W}#*^>?3EW%nV>tp4uP>hH&4RUWY!b2>b~|BPqf0D|fVaJu|W6l#)6pv9a( z3o3#6sLPzT86->F2NGyoKmx7%5AeX^T)-UX0_HduFvq!oInD*NIQP5#_v2;v982j~ zsHS6w-P;agT^nR!g3tBw5~r16VSj%;oo#7^#`tn5z!~qrAFahKMrSLDI~Cw;<#B@o zoY8svtOT=?`a}gdqb;|ItmN)gfHQuPvpLz4xKjbn*Z`Z8Nz0uIaK`r_Hz&VtcCU(@ zWoPPsC8EpWt{~^T80726hh0>Fj)Mer{MYUJw!6G7d&qz;=O@ zh%i$?14^^)pI>j6>ytmgRaXP4Wje4~)+6t3mt#A&f@x0e6tGNl8kpuh{(QX&k@7Jx z&1qno)4(+6^Y!g=`+jND20YCi@HBbA)BF!7T;2GElveij`}@P~d3Sv~qS0M1QB>FC z-|Bh|D8=yCZU25rem@mSbs3QR?Oxu{z|&c_K=DK~=xx7yIz5D|xz~k}i;UOPt6lXP z{C3&xkHq}&@H;{G&mV73`#&zI49@8{E5LGy!|~4(COaJ#{PF2}-0x%L3laif5LHdw zbP}HJzn>0ePC>fO<@)C#ZDg2JEC=50_wPvSnMERAk)8Oy?H-{T zHLE4u==^h={;_+6QeNJl*9GvLWhi!xBErTDIKW;z%m{0Jyd$|2&8YekC zpI*)z^y&G0`}q9*@ACtQ#g|`N+};1u2nrOCGszcl&n*Ri~Bl^lKg!|m?-Tfmm{nAKc`lXS?v~6@a?r+c5ONsQ9x5hf-ZF89M zwn@x*yI`8}cKtTv?Y3dY+aou|@Ae^3fWdYhV6a^W7;M)82HSOj!FC;Buw4fjY}WzL9kx!0-5v(pb%4Qk9bm9s2N-PE z0S4Q3fWdYhV6a_>kH4w|47Te4gY7!NV7m@5*scQ%w(9_c?K;4q)ZzJ2FXYHoi81|( z#2DFW(R1^jW29|LQ&xAQDXZJil-2!b%Id~5W#zc@n02d}`syCTSzHkRJpYO7tJBL9 zj&QPztmDtO=VN-jvLK`|XKX4CwW8`t$U3{M|0}S7!fq zv3qU-aiRIgZMWYauh%7EN9Ef7J)*CtgGpPAFf<`ALzC??G?6Ytd*HJSZE=>Nop#I6 zPBIzpPyVoI8Ae~Q(HBGWJ^Xl!f|p^apA17Wbokf)3AaJ#_m{V&7>d508g~4-zn%a5 zjvInve0@3|UmN;#eQ(&~8GkZc-Cy^&>+bpW$<|Gef5!d26;A-%d;XDt$M={0+v)t0 zz`eLxq1-J3?iN9Hvx2%?1l%ox>J|&HK}Nvc419cjh|43xuskvh%Ok_f@p<=ZrzOUZ zQs__{u`$e2ikQV4u^5c`n#iM_DLrB)_lTM11q8Myxh1 zVt*B4wP`W?s}FADuRN?sE3m)fu-dc|`zsBrO)Ij$!m!%3GW#nFZsV^itVpW!{`EaS zi&8tBCl_sC`i#u_xl(@~_iwlH1Ua56yVuvJ7hG4oT_SqLd4&s${TcW+bjCl>NTD9H!*q`0)Pwlua?=`Ft$z8qiAY&zIv(9(~4-zYGig(Cx(b zJM!IMm#GtjXXD{RmPX0tV0Dwri)ZZTYcs`D+xHlcjzcVC{Qmlici@hA4*J*29xA{R z*dsJGSbFV@-l>~>0{eP7Uhxe1Xi`+~*US0symt{Q_ISQL-yWaduixXd;7Wy!Tq#^Q z|NeWP{PcEw)5oq$E!6IWOHh2yezA^_Kx^F#h)csz$_1|_dIH?{6! zW9x@Dw$5T>JHc$6Lc@I4FN{z5gxy>DuzlM&`G*bMc9sgW+WSu153Mhakvwna!{Ny8qV3Q%|F&pBF!`S^oeW0%JK&f<-+~>w?)7>*K0nBZ5MlY%<+|J+rHRg0au+1#Mx+}d zAzlyO^kfL-ov!-mhtYV=Q7ot^ZOIO~2vaU~S0 z&~NKxW<^^zyZ5(o@_MTlTbvm28c(2bVk|>DFP5R57R%7iie(sc4Clnc+9|ONqoTtJ zAuNVbMd5T37|x6plwE46;|k(7sA0HvZWx5nFgZmVh(J5`VvT7% zFm|rcomM=oL;`I+5@@TEKwFyx+6pDmYMnq^tpxVEhm~6`!}=w#&k0ML6VJI*D{G%9 z;C|g{)hKB`=`vapx28e^mJ1{+4Mz3 zI#>uj=HVo-^+gyD^$POyZTEB=A7VvAfkmtlJ3UqBSACHq+URtD=qGp;mX8f1hSx4{ zH9o;=D(WLS%Jov6Krp$|dVJd9S|mMVtMDQby)Kh?qV{OEUq1cepAvV1d3?N|cqqX< z?!HVslwck_q}y|~ZRuVmuYodK8i|C-XD=HpJ1dqe>?k4e8hlCUR8j{)9 z`Ws%8PB@uA72k|g?V&-O`Qf0{FOGnrnfiwMIx@LaAngRl5gV`&2u@ zn4NUhcntZa#sVFl{;IP9s*|AtS2=UzWIKY%EAJoV>a5LaM4Q=&8mmmDe-pr_Qi4sT z1e@x97L^igDka!dA7@i3!KPAzP4#Iul@e?!CD>G-XHzM`rc#1U^<_4d5^O3Z*i>I< zQz^lwQld_^GnG^^I8mo^Vmg&vT_iov1SeBb!8yT>p&slQREaVbRiYE@80x`}K_x0v zQHeUij-eiQj7PlrCY!H(Ea;8Tz@NB)z+;fhQr8TxEurGJa)#q_B8sNK%=)z)QD*oX z!929<)A@&{@g!-OrZQ>=GW6$1RNHb(p!d{>zFpPQ=0y&k4fZkuRkvG5N}P(0XyI;?u>rK5;)4>?0gE3AAW1J4gI30{}-m^FzjBz>`<8&~_ z>0pf0!5F85F-`|#oF7@74#qegjBz>`<8&~_>0pf0!5F85G0smcP6uP04#qegjBz>` z<8&~_>0pf0!5HUf7N>(TP6uP04#qegjBz>`<8&~_>0pfW3yagi7^j0VP6uP04#qeg zjBz>`<8&~_iH{sfV_MM|>59fsS2V`DqA}PNjnS@X40lCSyk70tNby%vOBN|!M^n6x zrg$Aq@j9B~bu`86XpC3;{_@8gdf8w-wqUvp1=AC?V0uy%Ob=WzUF?F5idK+$<4*)% z^bMdjqy?5Tt+Xi?|3)s zaFve~?W7pkb>E=#E8cnw=yiv`b6EW_wD(9Q2(^y919qM%&|K`DP@ltIKTSZI!(Ksk z3wt+IfbjBb7@EKRDaKNdWf<6abg=eL>M~V4GT3!uNTNjpI-Q^c*PmFOgB6VU5uZf&cEXgxx@1- zp2=qHDr#WZde3Fv@~TR9#tTHZ>;7_E{y&o!d=9H0S4)6+E93ZfJK-gdaQl)#t4{*0 z%n7tA4{*I3vT>ei?++J@ul}|{8E;$D7_T=vHqP^}w>ZY<`Muy*ydwLE*8%YHxYc`w zR6u)unNZ3l1PoEzrKSsT)W5zo3n{yNE)i_xX@7zI@r2BgCOkajLC5Y@Kf_3$8Hi;j z!>0`T_w+Y>@HpPckYENRA4X#Tu#i`9je20?ldg(>*S8U_OEPleFMsJQN08y}U{lLz zeVn5s&r(MAA%^_>d3~s-hIjyYIQ?mB7@+%bp3P;L=s)!} zRtpRzkypIK!51VQVi?6~7Asp>31ol9-vlocy**>c43BthYup48w7;y79O&49AsWIIaxCab*~eE5mSH8HVG^ zFdX+2hGBhW7>+B$a9kON+B$a9kON+B$a9kONY#1urFcCus#hb)L_BenDge`10U3r0;JPeU0AKDHD}Z6FfIKTL6~F~o ztND+@Lj`bA7b}3Ttc(@FFjhdG z43`SvYAsd(k;Dp!f33jBQUQ!Z1>~EDhz156D%~`rn9Hk1tG*&={p#ped94mlt zH;om*FjhdGgO>_?$`wE)u>#^>EAXjQ0OL@BPrL#Hjunu`zUD05GLBLOU>YkR!%zV{ z>y8z`x3GYP&+SVE@RC{703wMM5dT^M>_({*VjL>)nO9)Iu>!IQt~txj5=W^5 zFpU+EVWGYPpK+85;N7@b0YnljApW%iUrGfq4i)&qD=^?#0p0S~ zq;(@r!&C&Zj#bccC#RboM z#vcf+{;@)f<@$IF6<@H!>G3htAfG03g&99- zTx8Cs7l8Sc5g;fAL)thOJZk2{O`h7}j|$SI(t@Q++cTc6Rx^c?)#2f3{K26=ghx8~ zC{Nzx$E@p8;Q7@K*LmX$DQ#IvFn5N9!vL$n7?iANFu2fZ!RP~@63o3FI8?^5a2Q}U z7=w}(4F=~&3q~K@lwdxRU>pmF0ak-CC|S{9u>H4S^Z`r><`W6Vv2Yk*H5h}E6%7VE zaSH~!YtzhU5{zTvFu-as1|=&R3{LbG3{Kn@%oh@jW8pBsYA^;RD;f;;rxpzMoE8i^ zU_ZB4FdRt08joYyjz$FA9uk@jIlO~$T3d*4rir(PlGAe*mhaJC z+K?ib*YR!K@=n6hb^~SPJAMv7_}I9 z;J$tyH%6B87}<_<#fS0HQbwz#j8;qZ5Pv*-#mDy1QbwyKPVitUqjgRhnWerNu$nGI zv(@4H3N(f>)@2xs@!`C`FAX+^y9|RdKKzZwF=80Ukaf5k%f}Z;VOc7SB&c;HM_orU z&oX*?$Ci~x&vvU0F3h5%$b~t<;k(*`Up@l9x68QYjRrsBGL~;GT_RduamW_<9HLl+ zqpJrV@)wH`gIeGT`f7oT22>E4+llCat%d-XKSOQy2YfgXK3K%Z|EoI&VRnoTkN)(2 zjBH*xRnuPqI1nxLKo*-=C}0-)3d(x$WoYcv>tj68bQpreI4V|$f!@9B&^&N~d6U2X z4k_Z5mpJV*w1Y0g`(+iE;m16*N0AF_&mfnfJtkd-_LOuPe#=wYd(;c-?@-&g#O3c! z8($*3E1~HZgGf6w`x4w;iVkmw*jlMQ#_9MNr@~;Ij(<4%^E>s&IQio|PxE7(_=op= ze2gofjt@Q^UsgJnSL9$5=qpS3l-vTaapmm*#@hjGYz4NlExwJdz!*cG>3BL0$J22* zo{q!ubR3SS<8VA3`|+?VU4M9XI`X-7y+4YAhc8px1y45||pB&2Q z!F#@Jd@&dqt-;7>4Ms+5Ffv+$k$Y>2lMr$xK3c;YOhhT8q9fH9%dk98GYcMiegOSl1jEvS`WV8k&qcs>Ag zhRZO_9Ba6+VP;{&%<-Inv6RRvunfb@v7QSXW)?Qg90d+zDOZ?ThGAwIhHA(#%q+t& zb5yHtBJ0e{&}LqSHuEyHnU|r>ti!d=+O5}_m!ZwP3~lCRXfrQEn^}ix=D31jWf*3T zE4Z*>=D32&t}`#!nDrX;`eWJhmMhN8%Y2a!*SE{{X6EZPuB^`Dzg$|bRdc}hGzTnQ z2dtD1c*=NpZQZry>%P|c$H?XyBbx};&Ax65)&oMYk{M(aHj zu5SHZJN!Ew0Hbw&xd?uKJ-z(7Od{j;0IK5fH3&AgSXGOe$8Tb-xs1zg0QiE`3l{Ng z&v4~$MUS|tJNT8?irC~UVvDvSws0$Ai?<@SfGc7r=Ze^7Dad-uF-9?t>j__3^@_*7 z;rX{%1>CE>;^?$5u7Lvg*-I{RhwGK@|m za5QWdpu*HT1t<&Xcd~K}1^h)`J*w6X-J2ao;eHsv=`bTyJTqi-DBR}&OyDdZ6u};}ad2_%L8}@=8EL|@FTLwp5l;ugs z((0dw^@+v2&2jnhkySxJnin2T^(qKBu})RkCv{;&oQ|(J-HDL(@7q{X_)NmKP+RZI zk54Qq0m%`?nj|IQ#5yGUCI z{HASRH328qDM7g^lV6%bn+qupsi7s3RP=8QSt|IHq^I(Qr6M2=?h8vrz=?HAWdw~L zOoIN}F9^(>1pSo-Eg%i!D+^k{i8TotO`in)Z5H%5R_SjnXaQ*$-&oKBPOMYV`Y{du zq$mA3j+~z>5&jhC;e&ht^x?xzzers6g9X9sXLi}WAb1_CAb35gAb4G;AowW0Ab6dl zAYU8uts%cvSJE zTSZWx5+U?ckrp%bQ*Bzzs86wx`jm>{SOvkc3W8%51ji}}j#UuUry!_LK~SH9pgtLq z`V^$a4E=fyC>=fyC>=fyC=VMG#Lp7W7jPi6_VWAzssrnd5cXK;m`S zK;m`SK;m_nA`(wNmc)}0#Is&8jCtZU#6aS8&p_gJ&p_gJ&p_gJk0OYt91HOj1o4EH zJ0?oI^nlVe#(>f_#(>f_#(>f_hC+y{9uZ+x2yun2Z_Jf;!vUqed_ZY0A5hxM2bA`5 zg%DRgBI2qL;);99m@Mxz1Izp6!18`Mu)JRmEbo_!A-Z;4gx4^sTj}V%$H#riBCB3; zU$Ur*jZ`cjOA6-5`n)T&)d5PIZyvw5WEr8J#FAx%dUi|H^NNpA`W*^G<(|9S%6)f7W#8Vq+E7%Mp|YoTTbdh+%WP?GC@-;<`xpE!Z|wUfS&r+yC$ZNXP=f2e zOK{zM39dUZ!F3xZxbDRS*A2Pjs5#ARY|X@elCRtivAUu2a(tGr?0L5je%s>3@1Wd~ zHA}fEYoP4e4U}!WfwFTqP;M$4DEoH<<<_!-QsEBDM&2x0MpD>r$uiQL%`oSp20LxxJ+{=zKz_OzZu^%c4dyj&MGi?b2EPKyzuRU*|wdW1A_Va<( zem>CJ&j(ujxuS?Rci4f}em-XCePZCfPYk^G#ew&}IPl&V2j2Ul;)uQWt%2(;OX`bb zo^nPGu=JP#mL4;}(qjf#ddvVzk5N#fjZI>JtjAz~`yl6EeZcua?hZ0|fBPWU(i!}C z`yd@9gP(4=>964D+Xv|=+49TngLIS(e!YE=j*`J|w-3@$GWgpKnHCU;+^A(sAmkHs z`~q^z2#DStqyqs#H!X86rSs(ewGB`@NK5Pr6tDu&yf?B!?YUwJdrK_Npu7X;+3To*psHH35 zC|w1$bQRRnRZvS;K`mVYm(rDb-rb{*9x&n^U6>yX;gQIlo1IwVg3To*psHLl*mac+Yx(aIPDyXHapq8$J zTDk&`(p6APS3xaZ1+{b))Y27jDP5_js;=J4kJ1&(QMv+-(iL!&u7IO-1stU-;3!=I zN9i)Sl&*lIbOjuxE8r+y0Y~XFI7+uZ1`evLpq8$JTDl5q=_;tDtDu&yf?B!?YUwJd zr7PelT?Mst71YvIP)k=qEnNXe>Bz$kG`ogs@fxbtYp9m5p<2I&Y5^On6>O-Mu%TMR zijE>SRIAufEn`Eqjt$j9R&-PnSFq`_23LNlWJ9%*4b@6ER4ds~tz<*Bk`2{LHdHIw zP_1M|M5@u0uH5Q z5VwkQW9a*1jI)3v&H|1&3pnB|;D|GWOPmE9ab|GDxn6jOI4h`eR#4-tpvGB2jkACw z&I)Rr1zh4R!RxxO_W~pM5ofU+aTajIS-=rz2A4PsIO5FUh;zN94E3y_##up)vw|9D z1vSnBjyNl*aTaiivjng8T(5FNJu5ikEbb%D0**K{xWrk&5oZQRoa@C>sAmPWo)y$M zE2wc+P~$A%h_iwkX8}i?VNVQ!HdG^RsD|25jkTc~Y(+=34b^ZfI^qpGeu%fB8gD~2 z-iB(t4b^xnI^u1p##_-5Z`?^kz#Z0zJFFphSYz(62HoHhb%!_tbno`ZehY{1qTy5F;U<~N%-K}yEk6&@&)smz>Bh3`(~Q{ifZOl9V5Dtv7(pGsdaYPB+RHr3bJRQg_0o65}DRNrP( z>FZ2wDl=zO{WhCQ--2pWnK_#ZXVRotU|8YZfzDQ->=sp`i1MbX$u7ZiW&&E%J zJ9D73nXdb6{5-fb2RfTcyU)f?g*$U#v)xb61u#s#?m%ZVX}8$!`MCgizNYsk?LHen z7u2G67@gQFPVDvXz=^%$#2zmh)AdrE*rV-p zhe0rp=@Ki!JZ6iGzK(-4kJ&P#cTYTK3yuCf@t7?&dhoSta~buWB5_sq+w?uD;?pLsdez3~0)GcTvQ7rv`|=H*oP!Z)7JyqxM@U*}#< zb+2!8FQ>ZKZ*woFx)-|FG_jQ__-E{ zpL4{|)j<55BYv(2;^!Rkb43t8=ZK$cg7`Vt{m}WQdRaN*=bGrpL(^R5Bz~?5;^!Rk zb4?IG=ZK$cg7`T{{9F^n&pG1fnjn785kJ=i@pF#&xh9C8bHvXzLHwNSejhjVvU0@F zHPMgv(K+Jhnjn785kJ=i@pF#&xh9C8bHvXzLHwK}ey$1P=N$2KO%Ollh@WeM_&G=X zToc64x$gIALoX{w{9F_Lc%Pgjey$1P=N$2KO%Ollh@WeM_&G=XToc64IpXJUOy(U14pIpXJwdp&=w;=IpKGEY?>Fa&pKF5nIY<0l6U5Is;^&$m ze$Ej;*97r%j`+DIh@W%B&ox2(oFjg&3F7A*@pDZOKj*sN9bPe=K7a`%^K@DCL*C&v z>gh9>JG>RVaZD%KF(*?pjon)Ra5$5S6^K_9gPbZnD z%Y=D4Nj%pFyTo%PnWxKyc{<5FT_()aN#^M?VV+JhPnQYvbdq_xOqi#W%+qDUJe_2o zE)(YIB=dBcFi$6$r^|$SI!Qd&hrPseC7Gwogn2s2JY6Qt(@Ey(GGU%hGEbKY^K_DV zx=fg-lg!g)!aSX1o-PyS>7;ockGDhpGIGbl_mJ;*FZd|*deLuH_iueqsQ;j>qxwr0 z_O~KijVgt&o4MyFzb25ka;R=#=~fIecIKKFHk;_SKgQf{$YBM0af> zd{IJ~2uPU-pU~Ec@JkydBK%EjnFvUk2p`iOQJDxxnFycZ*NN~05oIEL zEk&6KNSO#9?bnI$Lkwjie3eC+2uPU-pZM2_@G}TyB7FTtnFvUk2rmNEiSW||Wg>iK zMwtjmn&{GXBv776kUWthZKB(&H7+(SEO~LOgr!z3gdSEqV=h1I86!vytDZ4p)54NB zz)DzZRl-uUg0=JS^0S_Qg4D3;`6o6lEP1W6gr!z3gkG(7HeY_$vssWDRy~`=riCT% zXO^(ks)VIx1#7+G^0W2^L26jFH;7FOOJ4LWVX0LMp;xPQn#<4HX#}ZZ^-hD=Lu-SO zH%3cXYE{Bgvx4EhrrZE8Kk+js&8UWjFAOSu3-6iMu;kU#5|&!E*?YC{@>C7$w-y$@ zpeXg_%TFLBEWEH;Wnnl1+3N!P>oEW5*nZun_xA#Vb>$+Rl|GV zJv`FyNy8@{pS66}^J&vp!x}Sb`*;blRj)UGShebr)(^8@J=Xk(VGWP8f4uS7!s{CV ztXg!s{CWtXg{`X^=Z6MFfZQVGa8rhbzLiS^f0qK4Z$?yC5>5vYm zK=M+gJJ}z*>A}P%9bJJoO+WTSnr46geoUo3?4C})+n?Ow{CLH8ui(E=hug`2b+y2D zcVFQ9^?NhoBp*5aiNp4Lvt@4k(b)pqFV7a(ey_d2zwtx*@3|NAJDTVD!pj1DW={(P z`&JozA06JjzU_}MH~bRq`iH`{DhvPfe0ek}c+3lTJ-*?0Z1LZk>%u?$^}4&7BHTH= z?;Ww05bRnqz*-`}+WG=(iwmr+EU>n$z}lJuYYPgjucm-3lRK8m9n0m8C3DBJxnt?v zv3%}WLU$~qdwbLtm*$>jbk8!nXBpkIjP6-R_bj7(meD=S=$>Wtk!AF;Wpu#GHA?}h zWy@VGTkZn3+y!j83)pfOu;hNno29`eR)b5l2A6mZE)g4CVm7!$ZSW-SvT9~s*3IC0 zdO1$>?9Fo6_h#uhElh8g`aPXkj9IgEo-|A6Ce6}$)-3h!Y>FxsYXv}bEg4{KiGj8C z1=bc9SX)_OZCQb}H3ild6j)zP0a+%sS&o!hF11+>OO{P-mcx?eQ=8?mWEs_Fsg|`_ z4v;NQZI;85El+Kh!;&pfZI;85Em3WjYFV4*0NWZ#XKJz(kXp9f#j>rYfGu|c+iD8f zau+bJCcdqLU0DV=#v0%lZGdCE0ge#|IK~{{7G{%1FS7Eu(rOy+TsFhD+{bGE3mev zz}kWW>#Hds%Oo{RPnqSCnx$K^Y*MpyOO{V+mTt*1O3l(NQ?qoCElz5dZpoG>HA}Z- z3zV9rTe2le&C)DWvvjbnk#wdeO9826%UvwnY6{qL7qG3SfGu|c%WB%SRf*K#605-_ zT7yfx2A7BpE-@QiqBeLEcUd*FE=n}Go?edAJbSYo_Ptp;P7BkUrC0)3S+mrQy{$E5(Fy>pB?GK2F|fA2z}n&hYby(^Ei16Lroh^Q0_&?OAj_mSOD#jX z4cDTJJ4+_rhPx$8C*6j-B}*vXhMQ$=mKtYmmIGvqQ=8?mWEs_FIV@R5wOI~JmQih% zYFV4*0NWZ#XKJz(kXp9f#j+_=z?Qp!O`8I?+y#v4yysVz0gkZ-I7S=b7;k`M!~u>m z2RKF@;7QzZ)q-_Aw*s6_uZYt;OS5$Q(kvrR3sah<+HYK0M!q)7$gyS_`PM9zgao20 z4QmB}T}uX7TVh~seSx*b1=dy;SX)+LZB2o-1qIeuQ$UtUYL=cd%Oy2Sw`AF*D@(Uz z`J^jLw`3WmW@(nGSvtrTCpAmAWXqG9rCYKEO3l(O*%GB@X_l#3I@s1oI#ZLSfYh?( zE|zUI1#Gzs*j7`(mb-vuHSOA}L~3w})!-7X!6jaUOT-43m<=vb8$5}-teRODB^q2$ zFUM(~y;%C6ul#&9XL2 zjk7k(0kXxZ&2m_>jB2wSmMo*%EQck_s5VQrtj%(OZH=TeHCYNsEnDtl*_0_@%U!^x zO#xf(0!DRyTI7S`dN!)SOf^|H%0-R2-h|@evvvm8? zEF(?}Q<|mPZ(LbMzBbFqv1S?h)-08T1fnVpYXyK^O9oh5Vqk53fwjd2)>al+TUKCg zO@Xxq1=d$nK$b~rmYy=pB{fU8WZ9%EOSfeCq$^9eWErJqX_l#3I>;6$HA}Z-%afX= zTe1a8&C)H|5~XHomZ@1f*w#ooQI|#0Hm`4K7g|Jc+xknpqbm8eC5=$7!CuSq}T&EFGtX>CMuw{@9fzB;`D5 zmd;I@rSq&=>c-yI8nS2w0M?QL)|MDpTVG&pae=j!1=f}oSX)zIZ9#$c)fA9rQk$ig zAzfK&(Z!u5ldde?lBJWbEZveNl&&nzvNlVNvo^~Cvc;**a#*sAYO@@cETh^ihb7CX zHcPdv&2oTkjifU*SqexkTkc}nlqq1#UBISI0bA|@Mseu?9Fs8{imkfMdh~ zjxh%~MjhZu+;P={bv(BMoKCNZ(>zPFbok23T8SU~PSYwZ#S2Ru))WR$y&Sfwct%)>l(NmPu-so-)fNHA}Z-*`zB= zw`BRGD@(Uz8Kq`vmZ@1f$QCCxOSfdplbWSlvIR=b(kRmiiMy9w#@^N%vSrIW5K-I67gt}M;6 zHcO4OHp>CB#i`A5Sh9?2vmBNzquMNoCCjKbOSP=ca)51(q%$>H3P>$m?qb=LDPYT8 zz@|+BTkZlzb$;eomI02j1~^6=;23X!W5fZDF$XwC9pFjaan*u#JhuXzPOpg5JWI25 z`_e2UP770-rP^;?Sw_A#%gC{28Tr;Mm4pPMDh+D|fL%)lSX*LXZGC~Y#Rb+@7Fb(W zU~Nr-wFL#%S5rWiNotm!GRq}3OSfd%q$^9eWcj2kOSfbhrDkcCsaZP67AG}Jw`9wc znx$K^1xn4*E!h&KW@(nGSvuI(NIFxKrGV73EszhpV ziPhi|t-&Q;gGwXDr@fNhPWGc{QXNG)6LV%d}_V9Q;=rcD7`?gB=2e&JV^0gkZ-I7S=b7;k`M z!~u>m2RKF@;7QzZ)q-_Aw*s6_uZYt;OS5$Q(kvrR3sah<+HYK0M!q)7$gyS_`PM9z zgao204QmB}T}uX7TVh~seSx*b1=dy;SX)+LZB2o-1qIeuQ$UtUYL=cd%Oy2Sw`AF* zD@(Uz`J^jLw`3WmW@(nGSvtrTCpAmAWXqG9rCYKEO3l(O*%GB@X_l#3I@s1oI#ZLS zfYh?(E|zUI1#Gzs*j7`(mb-vuHSOA}L~3w})!-7X!6jaUOT-43m<=vb8$5}-teROD zB^q2$FUM(~y;%C6ul# z&9XL2jk7k(0kXxZ&2m_>jB2wSmMo*%EQck_s5VQrtj%(OZH=TeHCYNsEnDtl*_0_@ z%U!^xO#xf(0!DRyTI7S`dN!)SOf^|H%0-R2-h|@ev zvvm8?EF(?}Q<|mPZ(LbMzBbFqv1S?h)-08T1fnVpYXyK^O9oh5Vqk53fwjd2)>al+ zTUKCgO@Xxq1=d$nK$b~rmYy=pB{fU8WZ9%EOSfeCq$^9eWErJqX_l#3I>;6$HA}Z- z%afX=Te1a8&C)H|5~XHomZ@1f*w#ooQI|#0Hm`4K7g|Jc+xknpqbm8eC5=$7!CuSq}T&EFGtX>CMuw{@9fz zB;`D5md;I@rSq&=>c-yI8nS2w0M?QL)|MDpTVG&pae=j!1=f}oSX)zIZ9#$c)fA9r zQk$igAzfK&(Z!u5ldde?lBJWbEZveNl&&nzvNlVNvo^~Cvc;**a#*sAYO@@cETh^i zhb7CXHcPdv&2oTkjifU*SqexkTkc}nlqq1#UBISI0bA|@x;k-!lp{oKm%dU_R{<{6u1w2#fQ;IuHYS(^Rq%ChjOSr)D} z%fjboX(S}zsti~w0PI>az}gZ6YwHWFEiSOOvcTH10&8mutSu<8zM2BEOx9)@Q)aoW z%`#fDY}PBwXvy+fuPmb_%V=$u!E$Yu5oC+AHp^(qmS=62(UL9D+AO0bTcWjD2FtZs zMzF1sbfzXt0jXunT`b#b3fOWNu&t(mEq4LSY9fXF<-a2*gG;OimuL+x@futrHn_xW zaEaRBN!(@C%(^Ji;CgyFPV?-|a@hA~={PM+Z9~6R9Z5?+7bh6>kF(cF0i(;z}m6`YikOuEhwoZ2jhC0m}_EQcjqpxP{lC0nA}EY-3$%K^4ElFrm* zDIm3Mxr=36O#xf(0=Cr@u;ngbTuuCy6}z$waEvv;G1>sfcmo_G4seV)z%l9oPvVZN z7ObNZ1DsB;h|@evvvm8?EF(?}Q<|mPZ(LbMzBbFqv1S?h)-08T1fnVpYXyK^O9oh5 zVqk53fwjd2)>al+TUKCgO@Xxq1=d$nK$b~rmYy=pB{fU8WZ9%<>6R>?)GXbSWt5tw zS*B*`AX}W&EZveVPimHK$rdOzOSfc8l$xbkre^72TO;XAO_l;u%a*%Xw$&7{or+M~fIqZA0 zbetBZH%q_zV^@}tl=GxnIyY&S&a-Bz8+%)8$f6YhSW5<2TVh~seSx*b1=dy;SX)+L zZB2o-1qIeuQ$UtUZI)Vw^_eA>Pm3<@ESdG0Wwd1Jtj{c?B}-_1W*IDNv(z|ivm78> zoZ2jhCCjKb%VEhfs?Bm(vW#l8RLj~d2iVp~I#ZLSfYh?(E|yK10=C=*Y}ypC#t}NY>Wt5twS*B*`AX}W&EZveVPimHK$rdOz zOSfc8l$xbkre^72TO;XAO_l;u%a*%Xw$&7{?9Fo6_h#uhElh8ge)Y$$EVcZTXO@wh zG)teGHA~&tiz*ds1%OmqGQipr18eIGtSv6Ewz9z5vI1*s3al+Cu)dlCvP^2T94WJ0 zYO@@cESuUahb7CWHp^kjGOEo|Eo-wJAX}W;EQcjqp4u#jC0n4{EQcjqqS`FgvNp>B zwl$K@)MP0jwQRYIWm`=FTkZn3)fBMhE?`_u{FN2EvJ7yHHNY|20LOR(93u{Jj5)wD z>HtsTj;j`|qY?w0POpg5JWI25`_e2UP770-rP^;?Sw_BISw@aE%gDE8sU##2RcTl& z0PI>az}gZ6YwHWFEiSOOvcTH10&8mutSu<8zM2BEOj5J-lvysRS-K_5CN)d9Wcj3K z>6R>`)GW<1HA@HC;-qHjmTY-avvfWHMqoUaEaL960^Z2YJ(?nmsKE$@h zvp36O-)Xx4SKH-CUXn4vI8p`|ORrP3%-~|_#fz31Tr6`)OP&!#t65A#q5ykI?rx+ccQ;ZJ z{2Uc4$=z)^Qp_F`^pdz`%-$_CNJ`?Cu_Qic&c2l7ba;OMc{^SHCaY|5CeV(aKs!(Z z?LY}MH7C&2oIq1^0!_^WJg_(yFvq!oInD*laV}tva{(>R{civLc-cMQ4!gG84_t(?ein@$1hXR~G+^$DE!OY2$ai;>DEipGJ!1O zN8)6~a;E~EKM^M@raKkj{FykJDBP(4=P$&`w@&w}$oY=er$lr)_%6E4biRv0zJ7ez z-Tt~=-*%U`MGphIoS$q(2e9^49se9Ywx|)absU&Xl&u8GHm8?;JowLYtn=d|uDW)I z!zE3$!fCb@HXUdnyN7F=VZoC7f-zr{h|Y)e2w|px29##oKfm5C*Qd8+XCSpq2R6%k z~FSqOU5~=I)Z*{!}lw$bnwtv4Qzn_Yv1P0`OyO%e# zR-6y}-P7qIT!6eTjBLWMr&nvM2ESc)`y(+wJmB}|{VK++_s<`1Py0VE$Qftro29lK z;&A-)gvm~a1%G_H9{2m+>=PbZJ@D_R1El)rA#Dx`@Amt5g!8P5id)L!71@dJ+wS4% zctr<#I$H~f&I|o>oBpwTMEzghpV#Ci89n?m!_)cg_H=#NHhA7L_;LB;_T!KF1dqFi z+h3*}lEd@q<-9?kp3k?B&)@$(KY&<#`K86({Vz@KKmF3=%hz9;eEaZAliz+F%llTz z(fvN$-Yy?*->>ts!07HDF}nXpj6VJ&MiSHAKN8a~jU=XD8c9ssMu+46_G}%BNKbid zS2NyP?u@tAJLB!bW5(N6&y2Ur1 zsKHhl)L^>~_rIzG47Te4gY7!NV7m@5*scQ%w(9_c?K;3i~o8I>2DN4j+G22N-PE0S4Q3fWdYhV6a^W7;M)82HSOjL8-&@qh3Va zWY-u}{q4GYetoi)(c_1PQ(zpUykGc}@01y+&{rLLwJ((dVKM- z+RPfZgXsS}rrFTh{3K960jxF-SY;hZ^$`~nh+EjYI$$g7fUT_qw%s{kAJsYFpVO^K zi`5@0t~M=N|7>oxY4Q3i4699x*k4{)ZCcF!Nu1mG$8ams3hW=itv0R1{?XfN(~9gL zx~(>?%>Hqk+xTZ~E0XHGe|^Wpi15H&?Qou4v_a|99P7wR{dwHK-NsYQc&hAPU!Pv& z{JKO`&MR!)`!n!!Ivwx`iNSEVL4@bq_ub3k3D*LjZfU6+|Lx`ZIXCy_`YFewcG{7WmhQG0@ee|<4;>RH31NI zAce5YSy!;J^)VY;N3gM-7&cC!VLm(0jZgW6T~+z8t=c&GhmF;E>%}Nx(1eck(*};FM@_<5|-gvqPVc zm*d}-)fP1y+~qa=(!#I$y~>gqR!AvVT2z zJJPV;o=?~P$v#3Dkks+~9wV!A9d<*)CJDuG?ely_Vm10FtjWG zGP9yBo89}{I6b|IiY=rcuf7Bd(qD$A{AFmuUxudpWf*e|s$WYhM54-;s0Okl5OXhExGSd|3!Idz5b#QyPm`|tntAGd%1m;d;e|Gdc+ z$^Hfr<5_@wD)~=ygI9dOG&PW0x`{77*?GXO!Y7t%nepMz7C%3(ywA58xfrC#|M}nl z`|W@IpK0cu-tZ1tXTOwlrfSBFUGvRs%eK=g+W+|1|GAZGr#HMquGuf;nyH#AW7k|W z+j8yn676+=J>Aa#^`E$+`~PmY54YRy_kZdbUNh?G!|BMt@f?LPf4z24F8A8u4$mJL zc*nqd0$w_HdclYMr)wvk_VTa@R|_~hTOdN>F<--VIUD-A@0N1$O3(>-z*^k)yVt8; zJ@*4#-qhmo@HDP>v3*aC&PSPq6cX3aI?FI1MRkLBTx@SoF)TLb(93VRr08*y#lQ#g zkHqx)^rQIS5uFOwbqciWR6(j!VVX_>cAWz3I#sZiL&2(30jo}dah-yg>vSQTPJt7h z0&O}Ktm{i(bsCJgPMZNK>MwK}r{Fpb789L{Mb#-Vrc;5NPVb3M1?xHm z+I6ZR)u}K|rvST70d}1#SWBv4)v170r@**QLCkf!kWHt+iB5qwoeI`Q=ayTj8#5fxEha&eRQROWg}i)D4EJ z8#qz7piJF@6Lk-GTiwBCOWnb2rtW4!%l%7r$7yEj4mMMDi%nNIP_Awvh`RA;jHp{s zrf%R&-3m|CEgVrdV5V-sOx+6iax2``EpS&i(3!eHZK->qiMqj1bpt2r7L=)5aH8%3 zZ>u}lY^gh#&D7mYXt{r>?l{d%-N9z6Zn5d=2Flef1W`90s1bDw%G3>qI2L7BRNGj%IGQMYhJ-GG_80W)+S zSGT}j-9TsR2DPQ`g(m6-L)8tOs9R8`Zo!GV2fVHBV6&y}U^Y{CGoj`FrMlxZGj#`> zsk+6cs~ad+w-7|#cz8$DEhtkraHejBC+ZfCs2ea-H(;i2g?qUb?&=n}s~hM{-JrJA zz0gG6V5qu*6Lky9)Gats_kg$69c;GL9n5CxZYH$czf^aeW~T07GgY_Pbaeye>K1~i z8;|^mx&>wG2F}#2@I>9h5p@G*>ITfzt#B{5!d=}0cXb1usTK^d6x`WM@x`WwF-OYrS`l%HQMaH>-N2c; z6`rVDIHGRAOx=K)x)tu_R=BHM;I3|(%JSrZ=M#t7}W>Niscl!6dTS6><^dm zjcVicaOj9HeUd+8BQS~}@2e9AfBV(Ydp^y*)RInvZ(StQeB{%7oKA!9q$JaP;?sPZ zPVg#`P-^>9sc824EWWjuFX*%X4Jc;8rMdh8 z`vbm{HShEW7whW@e^M^j1ut)Dg#(`I=6J5~fN@jFBB;$#}&Y#Lk_ z&}kGW(*S4F;JScLqd1ucIGYC71#}w4$uz*(G`KFH(!=xtZLD&M!v@^_= z<=P1bT|12|1=`R~bnV&+EYXgzQ9Bq{?Ff{#gFEr09T{is0Lj`>plL_Yq#XcRI{>nF z6o{o!AZkZ|s2z;Eb^vniEJV@{u%aCxNjoym+7Tr6odL|W6IiaDV9>SG$WovU?L^nE zoxl?92phG7an+7MNjrEWA!$d(Svx?ob`)sZ5j1HBK-La`tQ`enX%vXs5g=*@2323+M79wc}SkVrUq#YS&?Ff>zGk}?P0?V}%47zq2SqikFo#@)N z6Ih}hVWW01uG$eOX=jJ;-_N_&K(c-m%L-bcrlEl+6)BW;q)=AU0>x4-P*l@^qMj7# zic*+s%BiF(MT@Q!OUfEZ*4Dt1x(u4>EAd=m$)szH(5-YEI?L`|X^AIV8+=roB2{k# z%!+$^sftsiC{D1VxB+#=DV`N4P*I#fMR5a4WgAdZoI*))f)K?CJW-rcS#g3^#R--b zr$|wpVp(wuG*w*WCyI+EL~)&NrQ1?mawm$5JX4(VNpXTS#VME+_i+8IC~hEGaf)Td zEl^Y3z?0$>%8FAcD{g^e*%l}&Za`6Sigd*(%oXQUQk|L6Z(w6&sHV2)>%1-MCV<&xeU&Z2WTD=)yYpaG5= zT;V80N4pxG;*v~s(xo#+oQ@}ju4tC&iYKi`cqZ6p!&TeNx^yQdwA>pa?$dN7Hya}7 z)h24%(JJhfNb1JxFAX&VMW#ukVlkLEhW);eURVb@|R@?$L z#SJ_uPNA$gg|gxnD3)!3qT&V=6{kp7oWfjjP9?=DS`?>PQrtkY;s%x!XV6S>iRX$- zCS7raZl&8$Tz2n@OFU8B;G^Ocsfrt5RvccR&o?*nLVbPWtXNT;m_3}j;uOz{6R0Ro zprW_|rLqkuDNdoJI6;Ww1fD3)sH`|atKtO9ic_R0PO+@G1)3@@@)N~H6Qa0Ix6*AX zF1ZuMMV={6`J^~On&K2pio53zCE8T|TvU^ut;)&u09~Gxa zRonofxbasihyPc9?n$eh5Y#x2rNRjrGp`Wd1_%R@>pB#r#?d0u070MYNTj)rM3r%5 ze?K6xAD->cespAiJR}2C;OZL1mr>|$1xhjWv$d7Q5(ZmaQ2)86WT;|`V(P5vXvV~3 z?O^yNrSX$Y#L0xyDc4M(>`%C)UUfa?qqD{I-t5BvKgb? zh}J^eA`{sJ$0D1?#Pn^(Dx2t3WD}?=8=+h_7}OQcvbnzKFV4$Paz32TZ}J=G_{C-1 zPwEfP@87R)_|>)389zQLCi*kQzn@<4%fj;OXFu?Jzd!z5xbYW+xA&L#D}DzUGwlBN z|Nhti`|w}?`QxAe{r@DJ_sj0>gdY(ezeI{3xW}I=!YA3_w~+CNb%+RSD8IAm?Dx|v z{#@}-8~+& z#1Htxz2$%vKK^{guVF7JDWMAIpC05-sBZH2p8AjPf3L_N9eM^dQVP?`Z)~6TTEA;& z_ur5EKd$f3@?+lmv!rERo!?*NM@tL4tolM|9VK!6G32}cj=fh`(5K`16~Aa*jbC>! zZ{PI?mXoy<@00wRbVA=>{&+e6NDCKMKz<$6%>(|4;PaV8jnU!1$?x3ikM#Q7ixfVl z_!ck+ZK7e8B!tDhh5-2vaemz0j#v4)R1YOn@&l(6DitSsakaa}Cpo12Y-^tv)GwSr z&z+Uxset;`y!d73Nl>JA_*Ld`%Gv2|f+Q6URIO;BYDJxz27g<`PJ$0qEs9ec^Xl0` zryb0;tF*;XPyNeo>$%d_NxL-~e z@GVLEI9y{?Q~ZMX?hs;HeV*T+-cH!})?Kjq;)3x1@9GM68-!t~w?j`m^srCh)Kk}e z0ZTYURm%h`J4T2yi79O=nn~mD`$C9FI3>@VDC zzc9G>LUJAxa$d5rgSoA2CV!SGnks)6^7J`bLnJ~1KXTL}k{wEPsdYN4v=Cz_@QQPP zc3TrZh_we3~6sVRwQyCYbs152_N^s2vv*RM?El{jp4(nb1&K7 z-RO%HSJU=CbpxlL7_Od)+{wmhS6=&t&0FO+ATiLuTU8HiXjFTbXMMFwLz2?^MhVVi yNCnF5B3R#= CY_DMA_NUMBEROF_CHANNELS) + 215:.\Generated_Source\PSoC5/CyDmac.c **** { + 216:.\Generated_Source\PSoC5/CyDmac.c **** dmaIndex = CY_DMA_INVALID_CHANNEL; + 194 .loc 1 216 0 + 195 0026 FF24 movs r4, #255 + 196 .LVL8: + 197 .L18: + 217:.\Generated_Source\PSoC5/CyDmac.c **** } + 218:.\Generated_Source\PSoC5/CyDmac.c **** + 219:.\Generated_Source\PSoC5/CyDmac.c **** /* Exit critical section! */ + 220:.\Generated_Source\PSoC5/CyDmac.c **** CyExitCriticalSection(interruptState); + 198 .loc 1 220 0 + 199 0028 FFF7FEFF bl CyExitCriticalSection + 200 .LVL9: + 221:.\Generated_Source\PSoC5/CyDmac.c **** + 222:.\Generated_Source\PSoC5/CyDmac.c **** return(dmaIndex); + 223:.\Generated_Source\PSoC5/CyDmac.c **** } + 201 .loc 1 223 0 + 202 002c 2046 mov r0, r4 + 203 002e 10BD pop {r4, pc} + 204 .L22: + 205 .align 2 + 206 .L21: + 207 0030 00000000 .word .LANCHOR1 + 208 .cfi_endproc + 209 .LFE4: + 210 .size CyDmaChAlloc, .-CyDmaChAlloc + 211 .section .text.CyDmaChFree,"ax",%progbits + 212 .align 1 + 213 .global CyDmaChFree + 214 .thumb + 215 .thumb_func + 216 .type CyDmaChFree, %function + 217 CyDmaChFree: + 218 .LFB5: + 224:.\Generated_Source\PSoC5/CyDmac.c **** + 225:.\Generated_Source\PSoC5/CyDmac.c **** + 226:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 227:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChFree + 228:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 229:.\Generated_Source\PSoC5/CyDmac.c **** * + 230:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 231:.\Generated_Source\PSoC5/CyDmac.c **** * Frees a channel allocated by DmaChAlloc(). + 232:.\Generated_Source\PSoC5/CyDmac.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 9 + + + 233:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 234:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 235:.\Generated_Source\PSoC5/CyDmac.c **** * The handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 236:.\Generated_Source\PSoC5/CyDmac.c **** * + 237:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 238:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 239:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 240:.\Generated_Source\PSoC5/CyDmac.c **** * + 241:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 242:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChFree(uint8 chHandle) + 243:.\Generated_Source\PSoC5/CyDmac.c **** { + 219 .loc 1 243 0 + 220 .cfi_startproc + 221 @ args = 0, pretend = 0, frame = 0 + 222 @ frame_needed = 0, uses_anonymous_args = 0 + 223 .LVL10: + 244:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 245:.\Generated_Source\PSoC5/CyDmac.c **** uint8 interruptState; + 246:.\Generated_Source\PSoC5/CyDmac.c **** + 247:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 224 .loc 1 247 0 + 225 0000 1728 cmp r0, #23 + 243:.\Generated_Source\PSoC5/CyDmac.c **** { + 226 .loc 1 243 0 + 227 0002 38B5 push {r3, r4, r5, lr} + 228 .LCFI1: + 229 .cfi_def_cfa_offset 16 + 230 .cfi_offset 3, -16 + 231 .cfi_offset 4, -12 + 232 .cfi_offset 5, -8 + 233 .cfi_offset 14, -4 + 243:.\Generated_Source\PSoC5/CyDmac.c **** { + 234 .loc 1 243 0 + 235 0004 0546 mov r5, r0 + 236 0006 4FF00104 mov r4, #1 + 237 .loc 1 247 0 + 238 000a 0CD8 bhi .L25 + 248:.\Generated_Source\PSoC5/CyDmac.c **** { + 249:.\Generated_Source\PSoC5/CyDmac.c **** /* Enter critical section */ + 250:.\Generated_Source\PSoC5/CyDmac.c **** interruptState = CyEnterCriticalSection(); + 251:.\Generated_Source\PSoC5/CyDmac.c **** + 252:.\Generated_Source\PSoC5/CyDmac.c **** /* Clear the bit mask that keeps track of ownership. */ + 253:.\Generated_Source\PSoC5/CyDmac.c **** CyDmaChannels &= ~(((uint32) 1u) << chHandle); + 239 .loc 1 253 0 + 240 000c 04FA05F4 lsl r4, r4, r5 + 250:.\Generated_Source\PSoC5/CyDmac.c **** interruptState = CyEnterCriticalSection(); + 241 .loc 1 250 0 + 242 0010 FFF7FEFF bl CyEnterCriticalSection + 243 .LVL11: + 244 .loc 1 253 0 + 245 0014 054B ldr r3, .L26 + 246 0016 1A68 ldr r2, [r3, #0] + 247 0018 22EA0402 bic r2, r2, r4 + 248 001c 1A60 str r2, [r3, #0] + 254:.\Generated_Source\PSoC5/CyDmac.c **** + 255:.\Generated_Source\PSoC5/CyDmac.c **** /* Exit critical section */ + 256:.\Generated_Source\PSoC5/CyDmac.c **** CyExitCriticalSection(interruptState); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 10 + + + 249 .loc 1 256 0 + 250 001e FFF7FEFF bl CyExitCriticalSection + 251 .LVL12: + 257:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 252 .loc 1 257 0 + 253 0022 0020 movs r0, #0 + 254 0024 38BD pop {r3, r4, r5, pc} + 255 .LVL13: + 256 .L25: + 244:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 257 .loc 1 244 0 + 258 0026 2046 mov r0, r4 + 259 .LVL14: + 258:.\Generated_Source\PSoC5/CyDmac.c **** } + 259:.\Generated_Source\PSoC5/CyDmac.c **** + 260:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 261:.\Generated_Source\PSoC5/CyDmac.c **** } + 260 .loc 1 261 0 + 261 0028 38BD pop {r3, r4, r5, pc} + 262 .L27: + 263 002a 00BF .align 2 + 264 .L26: + 265 002c 00000000 .word .LANCHOR1 + 266 .cfi_endproc + 267 .LFE5: + 268 .size CyDmaChFree, .-CyDmaChFree + 269 .section .text.CyDmaChEnable,"ax",%progbits + 270 .align 1 + 271 .global CyDmaChEnable + 272 .thumb + 273 .thumb_func + 274 .type CyDmaChEnable, %function + 275 CyDmaChEnable: + 276 .LFB6: + 262:.\Generated_Source\PSoC5/CyDmac.c **** + 263:.\Generated_Source\PSoC5/CyDmac.c **** + 264:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 265:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChEnable + 266:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 267:.\Generated_Source\PSoC5/CyDmac.c **** * + 268:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 269:.\Generated_Source\PSoC5/CyDmac.c **** * Enables the DMA channel. A software or hardware request still must happen + 270:.\Generated_Source\PSoC5/CyDmac.c **** * before the channel is executed. + 271:.\Generated_Source\PSoC5/CyDmac.c **** * + 272:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 273:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 274:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 275:.\Generated_Source\PSoC5/CyDmac.c **** * + 276:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 preserveTds: + 277:.\Generated_Source\PSoC5/CyDmac.c **** * Preserves the original TD state when the TD has completed. This parameter + 278:.\Generated_Source\PSoC5/CyDmac.c **** * applies to all TDs in the channel. + 279:.\Generated_Source\PSoC5/CyDmac.c **** * + 280:.\Generated_Source\PSoC5/CyDmac.c **** * 0 - When a TD is completed, the DMAC leaves the TD configuration values in + 281:.\Generated_Source\PSoC5/CyDmac.c **** * their current state, and does not restore them to their original state. + 282:.\Generated_Source\PSoC5/CyDmac.c **** * + 283:.\Generated_Source\PSoC5/CyDmac.c **** * 1 - When a TD is completed, the DMAC restores the original configuration + 284:.\Generated_Source\PSoC5/CyDmac.c **** * values of the TD. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 11 + + + 285:.\Generated_Source\PSoC5/CyDmac.c **** * + 286:.\Generated_Source\PSoC5/CyDmac.c **** * When preserveTds is set, the TD slot that equals the channel number becomes + 287:.\Generated_Source\PSoC5/CyDmac.c **** * RESERVED and that becomes where the working registers exist. So, for example, + 288:.\Generated_Source\PSoC5/CyDmac.c **** * if you are using CH06 and preserveTds is set, you are not allowed to use TD + 289:.\Generated_Source\PSoC5/CyDmac.c **** * slot 6. That is reclaimed by the DMA engine for its private use. + 290:.\Generated_Source\PSoC5/CyDmac.c **** * + 291:.\Generated_Source\PSoC5/CyDmac.c **** * Note Do not chain back to a completed TD if the preserveTds for the channel + 292:.\Generated_Source\PSoC5/CyDmac.c **** * is set to 0. When a TD has completed preserveTds for the channel set to 0, + 293:.\Generated_Source\PSoC5/CyDmac.c **** * the transfer count will be at 0. If a TD with a transfer count of 0 is + 294:.\Generated_Source\PSoC5/CyDmac.c **** * started, the TD will transfer an indefinite amount of data. + 295:.\Generated_Source\PSoC5/CyDmac.c **** * + 296:.\Generated_Source\PSoC5/CyDmac.c **** * Take extra precautions when using the hardware request (DRQ) option when the + 297:.\Generated_Source\PSoC5/CyDmac.c **** * preserveTds is set to 0, as you might be requesting the wrong data. + 298:.\Generated_Source\PSoC5/CyDmac.c **** * + 299:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 300:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 301:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 302:.\Generated_Source\PSoC5/CyDmac.c **** * + 303:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 304:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) + 305:.\Generated_Source\PSoC5/CyDmac.c **** { + 277 .loc 1 305 0 + 278 .cfi_startproc + 279 @ args = 0, pretend = 0, frame = 0 + 280 @ frame_needed = 0, uses_anonymous_args = 0 + 281 @ link register save eliminated. + 282 .LVL15: + 306:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 307:.\Generated_Source\PSoC5/CyDmac.c **** + 308:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 283 .loc 1 308 0 + 284 0000 1728 cmp r0, #23 + 285 0002 12D8 bhi .L32 + 286 0004 0201 lsls r2, r0, #4 + 287 0006 0A4B ldr r3, .L34 + 309:.\Generated_Source\PSoC5/CyDmac.c **** { + 310:.\Generated_Source\PSoC5/CyDmac.c **** if (0u != preserveTds) + 288 .loc 1 310 0 + 289 0008 19B1 cbz r1, .L30 + 311:.\Generated_Source\PSoC5/CyDmac.c **** { + 312:.\Generated_Source\PSoC5/CyDmac.c **** /* Store the intermediate TD states separately in CHn_SEP_TD0/1 to + 313:.\Generated_Source\PSoC5/CyDmac.c **** * preserve the original TD chain + 314:.\Generated_Source\PSoC5/CyDmac.c **** */ + 315:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= CY_DMA_CH_BASIC_CFG_WORK_SEP; + 290 .loc 1 315 0 + 291 000a D15C ldrb r1, [r2, r3] @ zero_extendqisi2 + 292 .LVL16: + 293 000c 41F02001 orr r1, r1, #32 + 294 0010 02E0 b .L33 + 295 .LVL17: + 296 .L30: + 316:.\Generated_Source\PSoC5/CyDmac.c **** } + 317:.\Generated_Source\PSoC5/CyDmac.c **** else + 318:.\Generated_Source\PSoC5/CyDmac.c **** { + 319:.\Generated_Source\PSoC5/CyDmac.c **** /* Store the intermediate and final TD states on top of the original TD chain */ + 320:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_CH_BASIC_CFG_WORK_SEP); + 297 .loc 1 320 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 12 + + + 298 0012 D15C ldrb r1, [r2, r3] @ zero_extendqisi2 + 299 .LVL18: + 300 0014 01F0DF01 and r1, r1, #223 + 301 .L33: + 302 0018 D154 strb r1, [r2, r3] + 321:.\Generated_Source\PSoC5/CyDmac.c **** } + 322:.\Generated_Source\PSoC5/CyDmac.c **** + 323:.\Generated_Source\PSoC5/CyDmac.c **** /* Enable channel */ + 324:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= CY_DMA_CH_BASIC_CFG_EN; + 303 .loc 1 324 0 + 304 001a 054B ldr r3, .L34 + 305 001c 0001 lsls r0, r0, #4 + 306 .LVL19: + 307 001e C25C ldrb r2, [r0, r3] @ zero_extendqisi2 + 308 0020 42F00101 orr r1, r2, #1 + 309 0024 C154 strb r1, [r0, r3] + 310 .LVL20: + 325:.\Generated_Source\PSoC5/CyDmac.c **** + 326:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 311 .loc 1 326 0 + 312 0026 0020 movs r0, #0 + 313 0028 7047 bx lr + 314 .LVL21: + 315 .L32: + 306:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 316 .loc 1 306 0 + 317 002a 0120 movs r0, #1 + 318 .LVL22: + 327:.\Generated_Source\PSoC5/CyDmac.c **** } + 328:.\Generated_Source\PSoC5/CyDmac.c **** + 329:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 330:.\Generated_Source\PSoC5/CyDmac.c **** } + 319 .loc 1 330 0 + 320 002c 7047 bx lr + 321 .L35: + 322 002e 00BF .align 2 + 323 .L34: + 324 0030 10700040 .word 1073770512 + 325 .cfi_endproc + 326 .LFE6: + 327 .size CyDmaChEnable, .-CyDmaChEnable + 328 .section .text.CyDmaChDisable,"ax",%progbits + 329 .align 1 + 330 .global CyDmaChDisable + 331 .thumb + 332 .thumb_func + 333 .type CyDmaChDisable, %function + 334 CyDmaChDisable: + 335 .LFB7: + 331:.\Generated_Source\PSoC5/CyDmac.c **** + 332:.\Generated_Source\PSoC5/CyDmac.c **** + 333:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 334:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChDisable + 335:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 336:.\Generated_Source\PSoC5/CyDmac.c **** * + 337:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 338:.\Generated_Source\PSoC5/CyDmac.c **** * Disables the DMA channel. Once this function is called, CyDmaChStatus() may + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 13 + + + 339:.\Generated_Source\PSoC5/CyDmac.c **** * be called to determine when the channel is disabled and which TDs were being + 340:.\Generated_Source\PSoC5/CyDmac.c **** * executed. + 341:.\Generated_Source\PSoC5/CyDmac.c **** * + 342:.\Generated_Source\PSoC5/CyDmac.c **** * If it is currently executing it will allow the current burst to finish + 343:.\Generated_Source\PSoC5/CyDmac.c **** * naturally. + 344:.\Generated_Source\PSoC5/CyDmac.c **** * + 345:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 346:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 347:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 348:.\Generated_Source\PSoC5/CyDmac.c **** * + 349:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 350:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 351:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 352:.\Generated_Source\PSoC5/CyDmac.c **** * + 353:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 354:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChDisable(uint8 chHandle) + 355:.\Generated_Source\PSoC5/CyDmac.c **** { + 336 .loc 1 355 0 + 337 .cfi_startproc + 338 @ args = 0, pretend = 0, frame = 0 + 339 @ frame_needed = 0, uses_anonymous_args = 0 + 340 @ link register save eliminated. + 341 .LVL23: + 356:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 357:.\Generated_Source\PSoC5/CyDmac.c **** + 358:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 342 .loc 1 358 0 + 343 0000 1728 cmp r0, #23 + 344 0002 0BD8 bhi .L38 + 359:.\Generated_Source\PSoC5/CyDmac.c **** { + 360:.\Generated_Source\PSoC5/CyDmac.c **** /*********************************************************************** + 361:.\Generated_Source\PSoC5/CyDmac.c **** * Should not change configuration information of a DMA channel when it + 362:.\Generated_Source\PSoC5/CyDmac.c **** * is active (or vulnerable to becoming active). + 363:.\Generated_Source\PSoC5/CyDmac.c **** ***********************************************************************/ + 364:.\Generated_Source\PSoC5/CyDmac.c **** + 365:.\Generated_Source\PSoC5/CyDmac.c **** /* Disable channel */ + 366:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~CY_DMA_CH_BASIC_CFG_EN)); + 345 .loc 1 366 0 + 346 0004 064B ldr r3, .L39 + 347 0006 0001 lsls r0, r0, #4 + 348 .LVL24: + 349 0008 C25C ldrb r2, [r0, r3] @ zero_extendqisi2 + 350 000a 02F0FE01 and r1, r2, #254 + 351 000e C154 strb r1, [r0, r3] + 367:.\Generated_Source\PSoC5/CyDmac.c **** + 368:.\Generated_Source\PSoC5/CyDmac.c **** /* Store the intermediate and final TD states on top of the original TD chain */ + 369:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~CY_DMA_CH_BASIC_CFG_WORK_SEP)); + 352 .loc 1 369 0 + 353 0010 C25C ldrb r2, [r0, r3] @ zero_extendqisi2 + 354 0012 02F0DF01 and r1, r2, #223 + 355 0016 C154 strb r1, [r0, r3] + 356 .LVL25: + 370:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 357 .loc 1 370 0 + 358 0018 0020 movs r0, #0 + 359 001a 7047 bx lr + 360 .LVL26: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 14 + + + 361 .L38: + 356:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 362 .loc 1 356 0 + 363 001c 0120 movs r0, #1 + 364 .LVL27: + 371:.\Generated_Source\PSoC5/CyDmac.c **** } + 372:.\Generated_Source\PSoC5/CyDmac.c **** + 373:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 374:.\Generated_Source\PSoC5/CyDmac.c **** } + 365 .loc 1 374 0 + 366 001e 7047 bx lr + 367 .L40: + 368 .align 2 + 369 .L39: + 370 0020 10700040 .word 1073770512 + 371 .cfi_endproc + 372 .LFE7: + 373 .size CyDmaChDisable, .-CyDmaChDisable + 374 .section .text.CyDmaClearPendingDrq,"ax",%progbits + 375 .align 1 + 376 .global CyDmaClearPendingDrq + 377 .thumb + 378 .thumb_func + 379 .type CyDmaClearPendingDrq, %function + 380 CyDmaClearPendingDrq: + 381 .LFB8: + 375:.\Generated_Source\PSoC5/CyDmac.c **** + 376:.\Generated_Source\PSoC5/CyDmac.c **** + 377:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 378:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaClearPendingDrq + 379:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 380:.\Generated_Source\PSoC5/CyDmac.c **** * + 381:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 382:.\Generated_Source\PSoC5/CyDmac.c **** * Clears pending DMA data request. + 383:.\Generated_Source\PSoC5/CyDmac.c **** * + 384:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 385:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 386:.\Generated_Source\PSoC5/CyDmac.c **** * Handle to the dma channel. + 387:.\Generated_Source\PSoC5/CyDmac.c **** * + 388:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 389:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 390:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 391:.\Generated_Source\PSoC5/CyDmac.c **** * + 392:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 393:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaClearPendingDrq(uint8 chHandle) + 394:.\Generated_Source\PSoC5/CyDmac.c **** { + 382 .loc 1 394 0 + 383 .cfi_startproc + 384 @ args = 0, pretend = 0, frame = 0 + 385 @ frame_needed = 0, uses_anonymous_args = 0 + 386 @ link register save eliminated. + 387 .LVL28: + 395:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 396:.\Generated_Source\PSoC5/CyDmac.c **** + 397:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 388 .loc 1 397 0 + 389 0000 1728 cmp r0, #23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 15 + + + 390 0002 0CD8 bhi .L43 + 398:.\Generated_Source\PSoC5/CyDmac.c **** { + 399:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].action[0] |= CY_DMA_CPU_TERM_CHAIN; + 391 .loc 1 399 0 + 392 0004 074A ldr r2, .L44 + 393 0006 0001 lsls r0, r0, #4 + 394 .LVL29: + 395 0008 8318 adds r3, r0, r2 + 396 000a 1979 ldrb r1, [r3, #4] @ zero_extendqisi2 + 397 000c 41F00401 orr r1, r1, #4 + 398 0010 1971 strb r1, [r3, #4] + 400:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] |= 0x01u; + 399 .loc 1 400 0 + 400 0012 835C ldrb r3, [r0, r2] @ zero_extendqisi2 + 401 0014 43F00101 orr r1, r3, #1 + 402 0018 8154 strb r1, [r0, r2] + 403 .LVL30: + 401:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 404 .loc 1 401 0 + 405 001a 0020 movs r0, #0 + 406 001c 7047 bx lr + 407 .LVL31: + 408 .L43: + 395:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 409 .loc 1 395 0 + 410 001e 0120 movs r0, #1 + 411 .LVL32: + 402:.\Generated_Source\PSoC5/CyDmac.c **** } + 403:.\Generated_Source\PSoC5/CyDmac.c **** + 404:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 405:.\Generated_Source\PSoC5/CyDmac.c **** } + 412 .loc 1 405 0 + 413 0020 7047 bx lr + 414 .L45: + 415 0022 00BF .align 2 + 416 .L44: + 417 0024 10700040 .word 1073770512 + 418 .cfi_endproc + 419 .LFE8: + 420 .size CyDmaClearPendingDrq, .-CyDmaClearPendingDrq + 421 .section .text.CyDmaChPriority,"ax",%progbits + 422 .align 1 + 423 .global CyDmaChPriority + 424 .thumb + 425 .thumb_func + 426 .type CyDmaChPriority, %function + 427 CyDmaChPriority: + 428 .LFB9: + 406:.\Generated_Source\PSoC5/CyDmac.c **** + 407:.\Generated_Source\PSoC5/CyDmac.c **** + 408:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 409:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChPriority + 410:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 411:.\Generated_Source\PSoC5/CyDmac.c **** * + 412:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 413:.\Generated_Source\PSoC5/CyDmac.c **** * Sets the priority of a DMA channel. You can use this function when you want + 414:.\Generated_Source\PSoC5/CyDmac.c **** * to change the priority at run time. If the priority remains the same for a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 16 + + + 415:.\Generated_Source\PSoC5/CyDmac.c **** * DMA channel, then you can configure the priority in the .cydwr file. + 416:.\Generated_Source\PSoC5/CyDmac.c **** * + 417:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 418:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 419:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 420:.\Generated_Source\PSoC5/CyDmac.c **** * + 421:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 priority: + 422:.\Generated_Source\PSoC5/CyDmac.c **** * Priority to set the channel to, 0 - 7. + 423:.\Generated_Source\PSoC5/CyDmac.c **** * + 424:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 425:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 426:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 427:.\Generated_Source\PSoC5/CyDmac.c **** * + 428:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 429:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) + 430:.\Generated_Source\PSoC5/CyDmac.c **** { + 429 .loc 1 430 0 + 430 .cfi_startproc + 431 @ args = 0, pretend = 0, frame = 0 + 432 @ frame_needed = 0, uses_anonymous_args = 0 + 433 @ link register save eliminated. + 434 .LVL33: + 431:.\Generated_Source\PSoC5/CyDmac.c **** uint8 value; + 432:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 433:.\Generated_Source\PSoC5/CyDmac.c **** + 434:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 435 .loc 1 434 0 + 436 0000 1728 cmp r0, #23 + 437 0002 0BD8 bhi .L48 + 435:.\Generated_Source\PSoC5/CyDmac.c **** { + 436:.\Generated_Source\PSoC5/CyDmac.c **** value = CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~(0x0Eu))); + 438 .loc 1 436 0 + 439 0004 064B ldr r3, .L49 + 440 0006 0001 lsls r0, r0, #4 + 441 .LVL34: + 442 0008 C25C ldrb r2, [r0, r3] @ zero_extendqisi2 + 443 .LVL35: + 437:.\Generated_Source\PSoC5/CyDmac.c **** + 438:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] = value | ((uint8) ((priority & 0x7u) << 0x01u + 444 .loc 1 438 0 + 445 000a 01F00701 and r1, r1, #7 + 446 .LVL36: + 436:.\Generated_Source\PSoC5/CyDmac.c **** value = CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~(0x0Eu))); + 447 .loc 1 436 0 + 448 000e 02F0F102 and r2, r2, #241 + 449 .LVL37: + 450 .loc 1 438 0 + 451 0012 42EA4101 orr r1, r2, r1, lsl #1 + 452 0016 C154 strb r1, [r0, r3] + 453 .LVL38: + 439:.\Generated_Source\PSoC5/CyDmac.c **** + 440:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 454 .loc 1 440 0 + 455 0018 0020 movs r0, #0 + 456 001a 7047 bx lr + 457 .LVL39: + 458 .L48: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 17 + + + 432:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 459 .loc 1 432 0 + 460 001c 0120 movs r0, #1 + 461 .LVL40: + 441:.\Generated_Source\PSoC5/CyDmac.c **** } + 442:.\Generated_Source\PSoC5/CyDmac.c **** + 443:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 444:.\Generated_Source\PSoC5/CyDmac.c **** } + 462 .loc 1 444 0 + 463 001e 7047 bx lr + 464 .L50: + 465 .align 2 + 466 .L49: + 467 0020 10700040 .word 1073770512 + 468 .cfi_endproc + 469 .LFE9: + 470 .size CyDmaChPriority, .-CyDmaChPriority + 471 .section .text.CyDmaChSetExtendedAddress,"ax",%progbits + 472 .align 1 + 473 .global CyDmaChSetExtendedAddress + 474 .thumb + 475 .thumb_func + 476 .type CyDmaChSetExtendedAddress, %function + 477 CyDmaChSetExtendedAddress: + 478 .LFB10: + 445:.\Generated_Source\PSoC5/CyDmac.c **** + 446:.\Generated_Source\PSoC5/CyDmac.c **** + 447:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 448:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChSetExtendedAddress + 449:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 450:.\Generated_Source\PSoC5/CyDmac.c **** * + 451:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 452:.\Generated_Source\PSoC5/CyDmac.c **** * Sets the high 16 bits of the source and destination addresses for the DMA + 453:.\Generated_Source\PSoC5/CyDmac.c **** * channel (valid for all TDs in the chain). + 454:.\Generated_Source\PSoC5/CyDmac.c **** * + 455:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 456:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 457:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 458:.\Generated_Source\PSoC5/CyDmac.c **** * + 459:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 source: + 460:.\Generated_Source\PSoC5/CyDmac.c **** * Upper 16 bit address of the DMA transfer source. + 461:.\Generated_Source\PSoC5/CyDmac.c **** * + 462:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 destination: + 463:.\Generated_Source\PSoC5/CyDmac.c **** * Upper 16 bit address of the DMA transfer destination. + 464:.\Generated_Source\PSoC5/CyDmac.c **** * + 465:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 466:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 467:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 468:.\Generated_Source\PSoC5/CyDmac.c **** * + 469:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 470:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination) \ + 471:.\Generated_Source\PSoC5/CyDmac.c **** + 472:.\Generated_Source\PSoC5/CyDmac.c **** { + 479 .loc 1 472 0 + 480 .cfi_startproc + 481 @ args = 0, pretend = 0, frame = 0 + 482 @ frame_needed = 0, uses_anonymous_args = 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 18 + + + 483 @ link register save eliminated. + 484 .LVL41: + 473:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 474:.\Generated_Source\PSoC5/CyDmac.c **** reg16 *convert; + 475:.\Generated_Source\PSoC5/CyDmac.c **** + 476:.\Generated_Source\PSoC5/CyDmac.c **** #if(CY_PSOC5) + 477:.\Generated_Source\PSoC5/CyDmac.c **** + 478:.\Generated_Source\PSoC5/CyDmac.c **** /* 0x1FFF8000-0x1FFFFFFF needs to use alias at 0x20008000-0x2000FFFF */ + 479:.\Generated_Source\PSoC5/CyDmac.c **** if(source == 0x1FFFu) + 485 .loc 1 479 0 + 486 0000 41F6FF73 movw r3, #8191 + 480:.\Generated_Source\PSoC5/CyDmac.c **** { + 481:.\Generated_Source\PSoC5/CyDmac.c **** source = 0x2000u; + 487 .loc 1 481 0 + 488 0004 9942 cmp r1, r3 + 489 0006 08BF it eq + 490 0008 4FF40051 moveq r1, #8192 + 491 .LVL42: + 482:.\Generated_Source\PSoC5/CyDmac.c **** } + 483:.\Generated_Source\PSoC5/CyDmac.c **** + 484:.\Generated_Source\PSoC5/CyDmac.c **** if(destination == 0x1FFFu) + 485:.\Generated_Source\PSoC5/CyDmac.c **** { + 486:.\Generated_Source\PSoC5/CyDmac.c **** destination = 0x2000u; + 492 .loc 1 486 0 + 493 000c 9A42 cmp r2, r3 + 494 000e 08BF it eq + 495 0010 4FF40052 moveq r2, #8192 + 496 .LVL43: + 487:.\Generated_Source\PSoC5/CyDmac.c **** } + 488:.\Generated_Source\PSoC5/CyDmac.c **** + 489:.\Generated_Source\PSoC5/CyDmac.c **** #endif /* (CY_PSOC5) */ + 490:.\Generated_Source\PSoC5/CyDmac.c **** + 491:.\Generated_Source\PSoC5/CyDmac.c **** + 492:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 497 .loc 1 492 0 + 498 0014 1728 cmp r0, #23 + 499 0016 08D8 bhi .L57 + 493:.\Generated_Source\PSoC5/CyDmac.c **** { + 494:.\Generated_Source\PSoC5/CyDmac.c **** /* Set source address */ + 495:.\Generated_Source\PSoC5/CyDmac.c **** convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[0]; + 500 .loc 1 495 0 + 501 0018 C000 lsls r0, r0, #3 + 502 .LVL44: + 503 001a 00F18043 add r3, r0, #1073741824 + 504 001e 03F5EC40 add r0, r3, #30208 + 505 .LVL45: + 496:.\Generated_Source\PSoC5/CyDmac.c **** CY_SET_REG16(convert, source); + 506 .loc 1 496 0 + 507 0022 8180 strh r1, [r0, #4] @ movhi + 508 .LVL46: + 497:.\Generated_Source\PSoC5/CyDmac.c **** + 498:.\Generated_Source\PSoC5/CyDmac.c **** /* Set destination address */ + 499:.\Generated_Source\PSoC5/CyDmac.c **** convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[2u]; + 500:.\Generated_Source\PSoC5/CyDmac.c **** CY_SET_REG16(convert, destination); + 509 .loc 1 500 0 + 510 0024 C280 strh r2, [r0, #6] @ movhi + 511 .LVL47: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 19 + + + 501:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 512 .loc 1 501 0 + 513 0026 0020 movs r0, #0 + 514 .LVL48: + 515 0028 7047 bx lr + 516 .LVL49: + 517 .L57: + 473:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 518 .loc 1 473 0 + 519 002a 0120 movs r0, #1 + 520 .LVL50: + 502:.\Generated_Source\PSoC5/CyDmac.c **** } + 503:.\Generated_Source\PSoC5/CyDmac.c **** + 504:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 505:.\Generated_Source\PSoC5/CyDmac.c **** } + 521 .loc 1 505 0 + 522 002c 7047 bx lr + 523 .cfi_endproc + 524 .LFE10: + 525 .size CyDmaChSetExtendedAddress, .-CyDmaChSetExtendedAddress + 526 .section .text.CyDmaChSetInitialTd,"ax",%progbits + 527 .align 1 + 528 .global CyDmaChSetInitialTd + 529 .thumb + 530 .thumb_func + 531 .type CyDmaChSetInitialTd, %function + 532 CyDmaChSetInitialTd: + 533 .LFB11: + 506:.\Generated_Source\PSoC5/CyDmac.c **** + 507:.\Generated_Source\PSoC5/CyDmac.c **** + 508:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 509:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChSetInitialTd + 510:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 511:.\Generated_Source\PSoC5/CyDmac.c **** * + 512:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 513:.\Generated_Source\PSoC5/CyDmac.c **** * Sets the initial TD to be executed for the channel when the CyDmaChEnable() + 514:.\Generated_Source\PSoC5/CyDmac.c **** * function is called. + 515:.\Generated_Source\PSoC5/CyDmac.c **** * + 516:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 517:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 518:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). + 519:.\Generated_Source\PSoC5/CyDmac.c **** * + 520:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 startTd: + 521:.\Generated_Source\PSoC5/CyDmac.c **** * The index of TD to set as the first TD associated with the channel. Zero is + 522:.\Generated_Source\PSoC5/CyDmac.c **** * a valid TD index. + 523:.\Generated_Source\PSoC5/CyDmac.c **** * + 524:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 525:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 526:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 527:.\Generated_Source\PSoC5/CyDmac.c **** * + 528:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 529:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) + 530:.\Generated_Source\PSoC5/CyDmac.c **** { + 534 .loc 1 530 0 + 535 .cfi_startproc + 536 @ args = 0, pretend = 0, frame = 0 + 537 @ frame_needed = 0, uses_anonymous_args = 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 20 + + + 538 @ link register save eliminated. + 539 .LVL51: + 531:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 532:.\Generated_Source\PSoC5/CyDmac.c **** + 533:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 540 .loc 1 533 0 + 541 0000 1728 cmp r0, #23 + 542 0002 05D8 bhi .L60 + 534:.\Generated_Source\PSoC5/CyDmac.c **** { + 535:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1u] = startTd; + 543 .loc 1 535 0 + 544 0004 034B ldr r3, .L61 + 545 0006 0001 lsls r0, r0, #4 + 546 .LVL52: + 547 0008 C218 adds r2, r0, r3 + 548 000a 5172 strb r1, [r2, #9] + 549 .LVL53: + 536:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 550 .loc 1 536 0 + 551 000c 0020 movs r0, #0 + 552 000e 7047 bx lr + 553 .LVL54: + 554 .L60: + 531:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 555 .loc 1 531 0 + 556 0010 0120 movs r0, #1 + 557 .LVL55: + 537:.\Generated_Source\PSoC5/CyDmac.c **** } + 538:.\Generated_Source\PSoC5/CyDmac.c **** + 539:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 540:.\Generated_Source\PSoC5/CyDmac.c **** } + 558 .loc 1 540 0 + 559 0012 7047 bx lr + 560 .L62: + 561 .align 2 + 562 .L61: + 563 0014 10700040 .word 1073770512 + 564 .cfi_endproc + 565 .LFE11: + 566 .size CyDmaChSetInitialTd, .-CyDmaChSetInitialTd + 567 .section .text.CyDmaChSetRequest,"ax",%progbits + 568 .align 1 + 569 .global CyDmaChSetRequest + 570 .thumb + 571 .thumb_func + 572 .type CyDmaChSetRequest, %function + 573 CyDmaChSetRequest: + 574 .LFB12: + 541:.\Generated_Source\PSoC5/CyDmac.c **** + 542:.\Generated_Source\PSoC5/CyDmac.c **** + 543:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 544:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChSetRequest + 545:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 546:.\Generated_Source\PSoC5/CyDmac.c **** * + 547:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 548:.\Generated_Source\PSoC5/CyDmac.c **** * Allows the caller to terminate a chain of TDs, terminate one TD, or create a + 549:.\Generated_Source\PSoC5/CyDmac.c **** * direct request to start the DMA channel. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 21 + + + 550:.\Generated_Source\PSoC5/CyDmac.c **** * + 551:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 552:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 553:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 554:.\Generated_Source\PSoC5/CyDmac.c **** * + 555:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 request: + 556:.\Generated_Source\PSoC5/CyDmac.c **** * One of the following constants. Each of the constants is a three-bit value. + 557:.\Generated_Source\PSoC5/CyDmac.c **** * + 558:.\Generated_Source\PSoC5/CyDmac.c **** * CPU_REQ - Create a direct request to start the DMA channel + 559:.\Generated_Source\PSoC5/CyDmac.c **** * CPU_TERM_TD - Terminate one TD + 560:.\Generated_Source\PSoC5/CyDmac.c **** * CPU_TERM_CHAIN - Terminate a chain of TDs + 561:.\Generated_Source\PSoC5/CyDmac.c **** * + 562:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 563:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 564:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 565:.\Generated_Source\PSoC5/CyDmac.c **** * + 566:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 567:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) + 568:.\Generated_Source\PSoC5/CyDmac.c **** { + 575 .loc 1 568 0 + 576 .cfi_startproc + 577 @ args = 0, pretend = 0, frame = 0 + 578 @ frame_needed = 0, uses_anonymous_args = 0 + 579 @ link register save eliminated. + 580 .LVL56: + 569:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 570:.\Generated_Source\PSoC5/CyDmac.c **** + 571:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 581 .loc 1 571 0 + 582 0000 1728 cmp r0, #23 + 583 0002 0AD8 bhi .L65 + 572:.\Generated_Source\PSoC5/CyDmac.c **** { + 573:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] |= (request & (CPU_REQ | CPU_TERM_TD | CPU_TERM_C + 584 .loc 1 573 0 + 585 0004 064B ldr r3, .L66 + 586 0006 0001 lsls r0, r0, #4 + 587 .LVL57: + 588 0008 C018 adds r0, r0, r3 + 589 000a 0279 ldrb r2, [r0, #4] @ zero_extendqisi2 + 590 000c 01F00701 and r1, r1, #7 + 591 .LVL58: + 592 0010 42EA0103 orr r3, r2, r1 + 593 0014 0371 strb r3, [r0, #4] + 594 .LVL59: + 574:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 595 .loc 1 574 0 + 596 0016 0020 movs r0, #0 + 597 0018 7047 bx lr + 598 .LVL60: + 599 .L65: + 569:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 600 .loc 1 569 0 + 601 001a 0120 movs r0, #1 + 602 .LVL61: + 575:.\Generated_Source\PSoC5/CyDmac.c **** } + 576:.\Generated_Source\PSoC5/CyDmac.c **** + 577:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 22 + + + 578:.\Generated_Source\PSoC5/CyDmac.c **** } + 603 .loc 1 578 0 + 604 001c 7047 bx lr + 605 .L67: + 606 001e 00BF .align 2 + 607 .L66: + 608 0020 10700040 .word 1073770512 + 609 .cfi_endproc + 610 .LFE12: + 611 .size CyDmaChSetRequest, .-CyDmaChSetRequest + 612 .section .text.CyDmaChGetRequest,"ax",%progbits + 613 .align 1 + 614 .global CyDmaChGetRequest + 615 .thumb + 616 .thumb_func + 617 .type CyDmaChGetRequest, %function + 618 CyDmaChGetRequest: + 619 .LFB13: + 579:.\Generated_Source\PSoC5/CyDmac.c **** + 580:.\Generated_Source\PSoC5/CyDmac.c **** + 581:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 582:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChGetRequest + 583:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 584:.\Generated_Source\PSoC5/CyDmac.c **** * + 585:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 586:.\Generated_Source\PSoC5/CyDmac.c **** * This function allows the caller of CyDmaChSetRequest() to determine if the + 587:.\Generated_Source\PSoC5/CyDmac.c **** * request was completed. + 588:.\Generated_Source\PSoC5/CyDmac.c **** * + 589:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 590:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 591:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 592:.\Generated_Source\PSoC5/CyDmac.c **** * + 593:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 594:.\Generated_Source\PSoC5/CyDmac.c **** * Returns a three-bit field, corresponding to the three bits of the request, + 595:.\Generated_Source\PSoC5/CyDmac.c **** * which describes the state of the previously posted request. If the value is + 596:.\Generated_Source\PSoC5/CyDmac.c **** * zero, the request was completed. CY_DMA_INVALID_CHANNEL if the handle is + 597:.\Generated_Source\PSoC5/CyDmac.c **** * invalid. + 598:.\Generated_Source\PSoC5/CyDmac.c **** * + 599:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 600:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChGetRequest(uint8 chHandle) + 601:.\Generated_Source\PSoC5/CyDmac.c **** { + 620 .loc 1 601 0 + 621 .cfi_startproc + 622 @ args = 0, pretend = 0, frame = 0 + 623 @ frame_needed = 0, uses_anonymous_args = 0 + 624 @ link register save eliminated. + 625 .LVL62: + 602:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CY_DMA_INVALID_CHANNEL; + 603:.\Generated_Source\PSoC5/CyDmac.c **** + 604:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 626 .loc 1 604 0 + 627 0000 1728 cmp r0, #23 + 628 0002 06D8 bhi .L70 + 605:.\Generated_Source\PSoC5/CyDmac.c **** { + 606:.\Generated_Source\PSoC5/CyDmac.c **** status = (cystatus) ((uint32)CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] & + 629 .loc 1 606 0 + 630 0004 044B ldr r3, .L71 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 23 + + + 631 0006 0001 lsls r0, r0, #4 + 632 .LVL63: + 633 0008 C118 adds r1, r0, r3 + 634 000a 0A79 ldrb r2, [r1, #4] @ zero_extendqisi2 + 635 000c 02F00700 and r0, r2, #7 + 636 .LVL64: + 637 0010 7047 bx lr + 638 .LVL65: + 639 .L70: + 602:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CY_DMA_INVALID_CHANNEL; + 640 .loc 1 602 0 + 641 0012 FF20 movs r0, #255 + 642 .LVL66: + 607:.\Generated_Source\PSoC5/CyDmac.c **** (uint32)(CY_DMA_CPU_REQ | CY_DMA_CPU_TERM_TD | CY_DMA_CPU_TERM_CHAIN)); + 608:.\Generated_Source\PSoC5/CyDmac.c **** } + 609:.\Generated_Source\PSoC5/CyDmac.c **** + 610:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 611:.\Generated_Source\PSoC5/CyDmac.c **** } + 643 .loc 1 611 0 + 644 0014 7047 bx lr + 645 .L72: + 646 0016 00BF .align 2 + 647 .L71: + 648 0018 10700040 .word 1073770512 + 649 .cfi_endproc + 650 .LFE13: + 651 .size CyDmaChGetRequest, .-CyDmaChGetRequest + 652 .section .text.CyDmaChStatus,"ax",%progbits + 653 .align 1 + 654 .global CyDmaChStatus + 655 .thumb + 656 .thumb_func + 657 .type CyDmaChStatus, %function + 658 CyDmaChStatus: + 659 .LFB14: + 612:.\Generated_Source\PSoC5/CyDmac.c **** + 613:.\Generated_Source\PSoC5/CyDmac.c **** + 614:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 615:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChStatus + 616:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 617:.\Generated_Source\PSoC5/CyDmac.c **** * + 618:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 619:.\Generated_Source\PSoC5/CyDmac.c **** * Determines the status of the DMA channel. + 620:.\Generated_Source\PSoC5/CyDmac.c **** * + 621:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 622:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 623:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). + 624:.\Generated_Source\PSoC5/CyDmac.c **** * + 625:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 * currentTd: + 626:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the index of the current TD. Can be NULL if the value + 627:.\Generated_Source\PSoC5/CyDmac.c **** * is not needed. + 628:.\Generated_Source\PSoC5/CyDmac.c **** * + 629:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 * state: + 630:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the state of the channel. Can be NULL if the value is + 631:.\Generated_Source\PSoC5/CyDmac.c **** * not needed. + 632:.\Generated_Source\PSoC5/CyDmac.c **** * + 633:.\Generated_Source\PSoC5/CyDmac.c **** * STATUS_TD_ACTIVE + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 24 + + + 634:.\Generated_Source\PSoC5/CyDmac.c **** * 0: Channel is not currently being serviced by DMAC + 635:.\Generated_Source\PSoC5/CyDmac.c **** * 1: Channel is currently being serviced by DMAC + 636:.\Generated_Source\PSoC5/CyDmac.c **** * + 637:.\Generated_Source\PSoC5/CyDmac.c **** * STATUS_CHAIN_ACTIVE + 638:.\Generated_Source\PSoC5/CyDmac.c **** * 0: TD chain is inactive; either no DMA requests have triggered a new chain + 639:.\Generated_Source\PSoC5/CyDmac.c **** * or the previous chain has completed. + 640:.\Generated_Source\PSoC5/CyDmac.c **** * 1: TD chain has been triggered by a DMA request + 641:.\Generated_Source\PSoC5/CyDmac.c **** * + 642:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 643:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 644:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 645:.\Generated_Source\PSoC5/CyDmac.c **** * + 646:.\Generated_Source\PSoC5/CyDmac.c **** * Theory: + 647:.\Generated_Source\PSoC5/CyDmac.c **** * The caller can check on the activity of the Current TD and the Chain. + 648:.\Generated_Source\PSoC5/CyDmac.c **** * + 649:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 650:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) + 651:.\Generated_Source\PSoC5/CyDmac.c **** { + 660 .loc 1 651 0 + 661 .cfi_startproc + 662 @ args = 0, pretend = 0, frame = 0 + 663 @ frame_needed = 0, uses_anonymous_args = 0 + 664 .LVL67: + 652:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 653:.\Generated_Source\PSoC5/CyDmac.c **** + 654:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 665 .loc 1 654 0 + 666 0000 1728 cmp r0, #23 + 651:.\Generated_Source\PSoC5/CyDmac.c **** { + 667 .loc 1 651 0 + 668 0002 10B5 push {r4, lr} + 669 .LCFI2: + 670 .cfi_def_cfa_offset 8 + 671 .cfi_offset 4, -8 + 672 .cfi_offset 14, -4 + 673 .loc 1 654 0 + 674 0004 0FD8 bhi .L76 + 655:.\Generated_Source\PSoC5/CyDmac.c **** { + 656:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != currentTd) + 675 .loc 1 656 0 + 676 0006 31B1 cbz r1, .L75 + 657:.\Generated_Source\PSoC5/CyDmac.c **** { + 658:.\Generated_Source\PSoC5/CyDmac.c **** *currentTd = CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1] & 0x7Fu; + 677 .loc 1 658 0 + 678 0008 084B ldr r3, .L82 + 679 000a 0401 lsls r4, r0, #4 + 680 000c E318 adds r3, r4, r3 + 681 000e 5B7A ldrb r3, [r3, #9] @ zero_extendqisi2 + 682 0010 03F07F03 and r3, r3, #127 + 683 0014 0B70 strb r3, [r1, #0] + 684 .L75: + 659:.\Generated_Source\PSoC5/CyDmac.c **** } + 660:.\Generated_Source\PSoC5/CyDmac.c **** + 661:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != state) + 685 .loc 1 661 0 + 686 0016 22B1 cbz r2, .L81 + 662:.\Generated_Source\PSoC5/CyDmac.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 25 + + + 663:.\Generated_Source\PSoC5/CyDmac.c **** *state= CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[0]; + 687 .loc 1 663 0 + 688 0018 0449 ldr r1, .L82 + 689 .LVL68: + 690 001a 0001 lsls r0, r0, #4 + 691 .LVL69: + 692 001c 4318 adds r3, r0, r1 + 693 001e 187A ldrb r0, [r3, #8] @ zero_extendqisi2 + 694 0020 1070 strb r0, [r2, #0] + 695 .L81: + 664:.\Generated_Source\PSoC5/CyDmac.c **** } + 665:.\Generated_Source\PSoC5/CyDmac.c **** + 666:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 696 .loc 1 666 0 + 697 0022 0020 movs r0, #0 + 698 0024 10BD pop {r4, pc} + 699 .LVL70: + 700 .L76: + 652:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 701 .loc 1 652 0 + 702 0026 0120 movs r0, #1 + 703 .LVL71: + 704 0028 10BD pop {r4, pc} + 705 .L83: + 706 002a 00BF .align 2 + 707 .L82: + 708 002c 10700040 .word 1073770512 + 709 .cfi_endproc + 710 .LFE14: + 711 .size CyDmaChStatus, .-CyDmaChStatus + 712 .section .text.CyDmaChSetConfiguration,"ax",%progbits + 713 .align 1 + 714 .global CyDmaChSetConfiguration + 715 .thumb + 716 .thumb_func + 717 .type CyDmaChSetConfiguration, %function + 718 CyDmaChSetConfiguration: + 719 .LFB15: + 667:.\Generated_Source\PSoC5/CyDmac.c **** } + 668:.\Generated_Source\PSoC5/CyDmac.c **** + 669:.\Generated_Source\PSoC5/CyDmac.c **** return (status); + 670:.\Generated_Source\PSoC5/CyDmac.c **** } + 671:.\Generated_Source\PSoC5/CyDmac.c **** + 672:.\Generated_Source\PSoC5/CyDmac.c **** + 673:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 674:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChSetConfiguration + 675:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 676:.\Generated_Source\PSoC5/CyDmac.c **** * + 677:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 678:.\Generated_Source\PSoC5/CyDmac.c **** * Sets configuration information of the channel. + 679:.\Generated_Source\PSoC5/CyDmac.c **** * + 680:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 681:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: + 682:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). + 683:.\Generated_Source\PSoC5/CyDmac.c **** * + 684:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 burstCount: + 685:.\Generated_Source\PSoC5/CyDmac.c **** * Specifies the size of bursts (1 to 127) the data transfer should be divided + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 26 + + + 686:.\Generated_Source\PSoC5/CyDmac.c **** * into. If this value is zero then the whole transfer is done in one burst. + 687:.\Generated_Source\PSoC5/CyDmac.c **** * + 688:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 requestPerBurst: + 689:.\Generated_Source\PSoC5/CyDmac.c **** * The whole of the data can be split into multiple bursts, if this is + 690:.\Generated_Source\PSoC5/CyDmac.c **** * required to complete the transaction: + 691:.\Generated_Source\PSoC5/CyDmac.c **** * 0: All subsequent bursts after the first burst will be automatically + 692:.\Generated_Source\PSoC5/CyDmac.c **** * requested and carried out + 693:.\Generated_Source\PSoC5/CyDmac.c **** * 1: All subsequent bursts after the first burst must also be individually + 694:.\Generated_Source\PSoC5/CyDmac.c **** * requested. + 695:.\Generated_Source\PSoC5/CyDmac.c **** * + 696:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdDone0: + 697:.\Generated_Source\PSoC5/CyDmac.c **** * Selects one of the TERMOUT0 interrupt lines to signal completion. The line + 698:.\Generated_Source\PSoC5/CyDmac.c **** * connected to the nrq terminal will determine the TERMOUT0_SEL definition and + 699:.\Generated_Source\PSoC5/CyDmac.c **** * should be used as supplied by cyfitter.h + 700:.\Generated_Source\PSoC5/CyDmac.c **** * + 701:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdDone1: + 702:.\Generated_Source\PSoC5/CyDmac.c **** * Selects one of the TERMOUT1 interrupt lines to signal completion. The line + 703:.\Generated_Source\PSoC5/CyDmac.c **** * connected to the nrq terminal will determine the TERMOUT1_SEL definition and + 704:.\Generated_Source\PSoC5/CyDmac.c **** * should be used as supplied by cyfitter.h + 705:.\Generated_Source\PSoC5/CyDmac.c **** * + 706:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdStop: + 707:.\Generated_Source\PSoC5/CyDmac.c **** * Selects one of the TERMIN interrupt lines to signal to the DMAC that the TD + 708:.\Generated_Source\PSoC5/CyDmac.c **** * should terminate. The signal connected to the trq terminal will determine + 709:.\Generated_Source\PSoC5/CyDmac.c **** * which TERMIN (termination request) is used. + 710:.\Generated_Source\PSoC5/CyDmac.c **** * + 711:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 712:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 713:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. + 714:.\Generated_Source\PSoC5/CyDmac.c **** * + 715:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 716:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, + 717:.\Generated_Source\PSoC5/CyDmac.c **** uint8 tdDone0, uint8 tdDone1, uint8 tdStop) + 718:.\Generated_Source\PSoC5/CyDmac.c **** { + 720 .loc 1 718 0 + 721 .cfi_startproc + 722 @ args = 8, pretend = 0, frame = 0 + 723 @ frame_needed = 0, uses_anonymous_args = 0 + 724 .LVL72: + 719:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 720:.\Generated_Source\PSoC5/CyDmac.c **** + 721:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 725 .loc 1 721 0 + 726 0000 1728 cmp r0, #23 + 718:.\Generated_Source\PSoC5/CyDmac.c **** { + 727 .loc 1 718 0 + 728 0002 10B5 push {r4, lr} + 729 .LCFI3: + 730 .cfi_def_cfa_offset 8 + 731 .cfi_offset 4, -8 + 732 .cfi_offset 14, -4 + 733 .loc 1 721 0 + 734 0004 1AD8 bhi .L86 + 722:.\Generated_Source\PSoC5/CyDmac.c **** { + 723:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBur + 735 .loc 1 723 0 + 736 0006 01F07F01 and r1, r1, #127 + 737 .LVL73: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 27 + + + 738 000a C400 lsls r4, r0, #3 + 739 000c 41EAC212 orr r2, r1, r2, lsl #7 + 740 .LVL74: + 724:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[1] = ((uint8)((tdDone1 & 0xFu) << 4u)) | (tdDone0 & + 741 .loc 1 724 0 + 742 0010 9DF80810 ldrb r1, [sp, #8] @ zero_extendqisi2 + 723:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBur + 743 .loc 1 723 0 + 744 0014 04F18040 add r0, r4, #1073741824 + 745 .LVL75: + 746 .loc 1 724 0 + 747 0018 03F00F03 and r3, r3, #15 + 748 .LVL76: + 723:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBur + 749 .loc 1 723 0 + 750 001c 00F5EC44 add r4, r0, #30208 + 751 0020 D0B2 uxtb r0, r2 + 752 .loc 1 724 0 + 753 0022 43EA0112 orr r2, r3, r1, lsl #4 + 725:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[2] = 0x0Fu & tdStop; + 754 .loc 1 725 0 + 755 0026 9DF80C30 ldrb r3, [sp, #12] @ zero_extendqisi2 + 723:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBur + 756 .loc 1 723 0 + 757 002a 2070 strb r0, [r4, #0] + 724:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[1] = ((uint8)((tdDone1 & 0xFu) << 4u)) | (tdDone0 & + 758 .loc 1 724 0 + 759 002c D0B2 uxtb r0, r2 + 760 002e 6070 strb r0, [r4, #1] + 761 .loc 1 725 0 + 762 0030 03F00F01 and r1, r3, #15 + 726:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[3] = 0u; /* burstcount_remain. */ + 763 .loc 1 726 0 + 764 0034 0020 movs r0, #0 + 725:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[2] = 0x0Fu & tdStop; + 765 .loc 1 725 0 + 766 0036 A170 strb r1, [r4, #2] + 767 .loc 1 726 0 + 768 0038 E070 strb r0, [r4, #3] + 769 .LVL77: + 770 003a 10BD pop {r4, pc} + 771 .LVL78: + 772 .L86: + 719:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 773 .loc 1 719 0 + 774 003c 0120 movs r0, #1 + 775 .LVL79: + 727:.\Generated_Source\PSoC5/CyDmac.c **** + 728:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 729:.\Generated_Source\PSoC5/CyDmac.c **** } + 730:.\Generated_Source\PSoC5/CyDmac.c **** + 731:.\Generated_Source\PSoC5/CyDmac.c **** return (status); + 732:.\Generated_Source\PSoC5/CyDmac.c **** } + 776 .loc 1 732 0 + 777 003e 10BD pop {r4, pc} + 778 .cfi_endproc + 779 .LFE15: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 28 + + + 780 .size CyDmaChSetConfiguration, .-CyDmaChSetConfiguration + 781 .section .text.CyDmaTdAllocate,"ax",%progbits + 782 .align 1 + 783 .global CyDmaTdAllocate + 784 .thumb + 785 .thumb_func + 786 .type CyDmaTdAllocate, %function + 787 CyDmaTdAllocate: + 788 .LFB16: + 733:.\Generated_Source\PSoC5/CyDmac.c **** + 734:.\Generated_Source\PSoC5/CyDmac.c **** + 735:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 736:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdAllocate + 737:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 738:.\Generated_Source\PSoC5/CyDmac.c **** * + 739:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 740:.\Generated_Source\PSoC5/CyDmac.c **** * Allocates a TD for use with an allocated DMA channel. + 741:.\Generated_Source\PSoC5/CyDmac.c **** * + 742:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 743:.\Generated_Source\PSoC5/CyDmac.c **** * None + 744:.\Generated_Source\PSoC5/CyDmac.c **** * + 745:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 746:.\Generated_Source\PSoC5/CyDmac.c **** * Zero-based index of the TD to be used by the caller. Since there are 128 TDs + 747:.\Generated_Source\PSoC5/CyDmac.c **** * minus the reserved TDs (0 to 23), the value returned would range from 24 to + 748:.\Generated_Source\PSoC5/CyDmac.c **** * 127 not 24 to 128. DMA_INVALID_TD is returned if there are no free TDs + 749:.\Generated_Source\PSoC5/CyDmac.c **** * available. + 750:.\Generated_Source\PSoC5/CyDmac.c **** * + 751:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 752:.\Generated_Source\PSoC5/CyDmac.c **** uint8 CyDmaTdAllocate(void) + 753:.\Generated_Source\PSoC5/CyDmac.c **** { + 789 .loc 1 753 0 + 790 .cfi_startproc + 791 @ args = 0, pretend = 0, frame = 0 + 792 @ frame_needed = 0, uses_anonymous_args = 0 + 793 .LVL80: + 794 0000 10B5 push {r4, lr} + 795 .LCFI4: + 796 .cfi_def_cfa_offset 8 + 797 .cfi_offset 4, -8 + 798 .cfi_offset 14, -4 + 754:.\Generated_Source\PSoC5/CyDmac.c **** uint8 interruptState; + 755:.\Generated_Source\PSoC5/CyDmac.c **** uint8 element = CY_DMA_INVALID_TD; + 756:.\Generated_Source\PSoC5/CyDmac.c **** + 757:.\Generated_Source\PSoC5/CyDmac.c **** /* Enter critical section! */ + 758:.\Generated_Source\PSoC5/CyDmac.c **** interruptState = CyEnterCriticalSection(); + 799 .loc 1 758 0 + 800 0002 FFF7FEFF bl CyEnterCriticalSection + 801 .LVL81: + 759:.\Generated_Source\PSoC5/CyDmac.c **** + 760:.\Generated_Source\PSoC5/CyDmac.c **** if(CyDmaTdCurrentNumber > NUMBEROF_CHANNELS) + 802 .loc 1 760 0 + 803 0006 0A4B ldr r3, .L90 + 804 0008 5A78 ldrb r2, [r3, #1] @ zero_extendqisi2 + 805 000a 182A cmp r2, #24 + 806 000c 0AD9 bls .L89 + 761:.\Generated_Source\PSoC5/CyDmac.c **** { + 762:.\Generated_Source\PSoC5/CyDmac.c **** /* Get pointer to the Next available. */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 29 + + + 763:.\Generated_Source\PSoC5/CyDmac.c **** element = CyDmaTdFreeIndex; + 807 .loc 1 763 0 + 808 000e 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 809 .LVL82: + 764:.\Generated_Source\PSoC5/CyDmac.c **** + 765:.\Generated_Source\PSoC5/CyDmac.c **** /* Decrement the count. */ + 766:.\Generated_Source\PSoC5/CyDmac.c **** CyDmaTdCurrentNumber--; + 810 .loc 1 766 0 + 811 0010 511E subs r1, r2, #1 + 767:.\Generated_Source\PSoC5/CyDmac.c **** + 768:.\Generated_Source\PSoC5/CyDmac.c **** /* Update the next available pointer. */ + 769:.\Generated_Source\PSoC5/CyDmac.c **** CyDmaTdFreeIndex = CY_DMA_TDMEM_STRUCT_PTR[element].TD0[0]; + 812 .loc 1 769 0 + 813 0012 E200 lsls r2, r4, #3 + 766:.\Generated_Source\PSoC5/CyDmac.c **** CyDmaTdCurrentNumber--; + 814 .loc 1 766 0 + 815 0014 5970 strb r1, [r3, #1] + 816 .loc 1 769 0 + 817 0016 02F18041 add r1, r2, #1073741824 + 818 001a 01F5F042 add r2, r1, #30720 + 819 001e 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 820 0020 1970 strb r1, [r3, #0] + 821 0022 00E0 b .L88 + 822 .LVL83: + 823 .L89: + 755:.\Generated_Source\PSoC5/CyDmac.c **** uint8 element = CY_DMA_INVALID_TD; + 824 .loc 1 755 0 + 825 0024 FF24 movs r4, #255 + 826 .LVL84: + 827 .L88: + 770:.\Generated_Source\PSoC5/CyDmac.c **** } + 771:.\Generated_Source\PSoC5/CyDmac.c **** + 772:.\Generated_Source\PSoC5/CyDmac.c **** /* Exit critical section! */ + 773:.\Generated_Source\PSoC5/CyDmac.c **** CyExitCriticalSection(interruptState); + 828 .loc 1 773 0 + 829 0026 FFF7FEFF bl CyExitCriticalSection + 830 .LVL85: + 774:.\Generated_Source\PSoC5/CyDmac.c **** + 775:.\Generated_Source\PSoC5/CyDmac.c **** return(element); + 776:.\Generated_Source\PSoC5/CyDmac.c **** } + 831 .loc 1 776 0 + 832 002a 2046 mov r0, r4 + 833 002c 10BD pop {r4, pc} + 834 .L91: + 835 002e 00BF .align 2 + 836 .L90: + 837 0030 00000000 .word .LANCHOR0 + 838 .cfi_endproc + 839 .LFE16: + 840 .size CyDmaTdAllocate, .-CyDmaTdAllocate + 841 .section .text.CyDmaTdFree,"ax",%progbits + 842 .align 1 + 843 .global CyDmaTdFree + 844 .thumb + 845 .thumb_func + 846 .type CyDmaTdFree, %function + 847 CyDmaTdFree: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 30 + + + 848 .LFB17: + 777:.\Generated_Source\PSoC5/CyDmac.c **** + 778:.\Generated_Source\PSoC5/CyDmac.c **** + 779:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 780:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdFree + 781:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 782:.\Generated_Source\PSoC5/CyDmac.c **** * + 783:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 784:.\Generated_Source\PSoC5/CyDmac.c **** * Returns a TD to the free list. + 785:.\Generated_Source\PSoC5/CyDmac.c **** * + 786:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 787:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdHandle: + 788:.\Generated_Source\PSoC5/CyDmac.c **** * The TD handle returned by the CyDmaTdAllocate(). + 789:.\Generated_Source\PSoC5/CyDmac.c **** * + 790:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 791:.\Generated_Source\PSoC5/CyDmac.c **** * None + 792:.\Generated_Source\PSoC5/CyDmac.c **** * + 793:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 794:.\Generated_Source\PSoC5/CyDmac.c **** void CyDmaTdFree(uint8 tdHandle) + 795:.\Generated_Source\PSoC5/CyDmac.c **** { + 849 .loc 1 795 0 + 850 .cfi_startproc + 851 @ args = 0, pretend = 0, frame = 0 + 852 @ frame_needed = 0, uses_anonymous_args = 0 + 853 .LVL86: + 796:.\Generated_Source\PSoC5/CyDmac.c **** if(tdHandle < CY_DMA_NUMBEROF_TDS) + 854 .loc 1 796 0 + 855 0000 0306 lsls r3, r0, #24 + 795:.\Generated_Source\PSoC5/CyDmac.c **** { + 856 .loc 1 795 0 + 857 0002 10B5 push {r4, lr} + 858 .LCFI5: + 859 .cfi_def_cfa_offset 8 + 860 .cfi_offset 4, -8 + 861 .cfi_offset 14, -4 + 795:.\Generated_Source\PSoC5/CyDmac.c **** { + 862 .loc 1 795 0 + 863 0004 0446 mov r4, r0 + 864 .loc 1 796 0 + 865 0006 11D4 bmi .L92 + 866 .LBB2: + 797:.\Generated_Source\PSoC5/CyDmac.c **** { + 798:.\Generated_Source\PSoC5/CyDmac.c **** /* Enter critical section! */ + 799:.\Generated_Source\PSoC5/CyDmac.c **** uint8 interruptState = CyEnterCriticalSection(); + 867 .loc 1 799 0 + 868 0008 FFF7FEFF bl CyEnterCriticalSection + 869 .LVL87: + 800:.\Generated_Source\PSoC5/CyDmac.c **** + 801:.\Generated_Source\PSoC5/CyDmac.c **** /* Get pointer to the Next available. */ + 802:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u] = CyDmaTdFreeIndex; + 870 .loc 1 802 0 + 871 000c 084B ldr r3, .L94 + 872 000e E200 lsls r2, r4, #3 + 873 0010 02F18041 add r1, r2, #1073741824 + 874 0014 01F5F042 add r2, r1, #30720 + 875 0018 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 803:.\Generated_Source\PSoC5/CyDmac.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 31 + + + 804:.\Generated_Source\PSoC5/CyDmac.c **** /* Set new Next Available. */ + 805:.\Generated_Source\PSoC5/CyDmac.c **** CyDmaTdFreeIndex = tdHandle; + 876 .loc 1 805 0 + 877 001a 1C70 strb r4, [r3, #0] + 802:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u] = CyDmaTdFreeIndex; + 878 .loc 1 802 0 + 879 001c 1170 strb r1, [r2, #0] + 806:.\Generated_Source\PSoC5/CyDmac.c **** + 807:.\Generated_Source\PSoC5/CyDmac.c **** /* Keep track of how many left. */ + 808:.\Generated_Source\PSoC5/CyDmac.c **** CyDmaTdCurrentNumber++; + 880 .loc 1 808 0 + 881 001e 5A78 ldrb r2, [r3, #1] @ zero_extendqisi2 + 882 0020 511C adds r1, r2, #1 + 883 0022 5970 strb r1, [r3, #1] + 884 .LBE2: + 809:.\Generated_Source\PSoC5/CyDmac.c **** + 810:.\Generated_Source\PSoC5/CyDmac.c **** /* Exit critical section! */ + 811:.\Generated_Source\PSoC5/CyDmac.c **** CyExitCriticalSection(interruptState); + 812:.\Generated_Source\PSoC5/CyDmac.c **** } + 813:.\Generated_Source\PSoC5/CyDmac.c **** } + 885 .loc 1 813 0 + 886 0024 BDE81040 pop {r4, lr} + 887 .LBB3: + 811:.\Generated_Source\PSoC5/CyDmac.c **** CyExitCriticalSection(interruptState); + 888 .loc 1 811 0 + 889 0028 FFF7FEBF b CyExitCriticalSection + 890 .LVL88: + 891 .L92: + 892 002c 10BD pop {r4, pc} + 893 .L95: + 894 002e 00BF .align 2 + 895 .L94: + 896 0030 00000000 .word .LANCHOR0 + 897 .LBE3: + 898 .cfi_endproc + 899 .LFE17: + 900 .size CyDmaTdFree, .-CyDmaTdFree + 901 .section .text.CyDmaTdFreeCount,"ax",%progbits + 902 .align 1 + 903 .global CyDmaTdFreeCount + 904 .thumb + 905 .thumb_func + 906 .type CyDmaTdFreeCount, %function + 907 CyDmaTdFreeCount: + 908 .LFB18: + 814:.\Generated_Source\PSoC5/CyDmac.c **** + 815:.\Generated_Source\PSoC5/CyDmac.c **** + 816:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 817:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdFreeCount + 818:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 819:.\Generated_Source\PSoC5/CyDmac.c **** * + 820:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 821:.\Generated_Source\PSoC5/CyDmac.c **** * Returns the number of free TDs available to be allocated. + 822:.\Generated_Source\PSoC5/CyDmac.c **** * + 823:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 824:.\Generated_Source\PSoC5/CyDmac.c **** * None + 825:.\Generated_Source\PSoC5/CyDmac.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 32 + + + 826:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 827:.\Generated_Source\PSoC5/CyDmac.c **** * The number of free TDs. + 828:.\Generated_Source\PSoC5/CyDmac.c **** * + 829:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 830:.\Generated_Source\PSoC5/CyDmac.c **** uint8 CyDmaTdFreeCount(void) + 831:.\Generated_Source\PSoC5/CyDmac.c **** { + 909 .loc 1 831 0 + 910 .cfi_startproc + 911 @ args = 0, pretend = 0, frame = 0 + 912 @ frame_needed = 0, uses_anonymous_args = 0 + 913 @ link register save eliminated. + 832:.\Generated_Source\PSoC5/CyDmac.c **** return(CyDmaTdCurrentNumber - CY_DMA_NUMBEROF_CHANNELS); + 914 .loc 1 832 0 + 915 0000 024B ldr r3, .L97 + 916 0002 5878 ldrb r0, [r3, #1] @ zero_extendqisi2 + 917 0004 1838 subs r0, r0, #24 + 833:.\Generated_Source\PSoC5/CyDmac.c **** } + 918 .loc 1 833 0 + 919 0006 C0B2 uxtb r0, r0 + 920 0008 7047 bx lr + 921 .L98: + 922 000a 00BF .align 2 + 923 .L97: + 924 000c 00000000 .word .LANCHOR0 + 925 .cfi_endproc + 926 .LFE18: + 927 .size CyDmaTdFreeCount, .-CyDmaTdFreeCount + 928 .section .text.CyDmaTdSetConfiguration,"ax",%progbits + 929 .align 1 + 930 .global CyDmaTdSetConfiguration + 931 .thumb + 932 .thumb_func + 933 .type CyDmaTdSetConfiguration, %function + 934 CyDmaTdSetConfiguration: + 935 .LFB19: + 834:.\Generated_Source\PSoC5/CyDmac.c **** + 835:.\Generated_Source\PSoC5/CyDmac.c **** + 836:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 837:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdSetConfiguration + 838:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 839:.\Generated_Source\PSoC5/CyDmac.c **** * + 840:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 841:.\Generated_Source\PSoC5/CyDmac.c **** * Configures the TD. + 842:.\Generated_Source\PSoC5/CyDmac.c **** * + 843:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 844:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdHandle: + 845:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaTdAlloc(). + 846:.\Generated_Source\PSoC5/CyDmac.c **** * + 847:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 transferCount: + 848:.\Generated_Source\PSoC5/CyDmac.c **** * The size of the data transfer (in bytes) for this TD. A size of zero will + 849:.\Generated_Source\PSoC5/CyDmac.c **** * cause the transfer to continue indefinitely. This parameter is limited to + 850:.\Generated_Source\PSoC5/CyDmac.c **** * 4095 bytes; the TD is not initialized at all when a higher value is passed. + 851:.\Generated_Source\PSoC5/CyDmac.c **** * + 852:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 nextTd: + 853:.\Generated_Source\PSoC5/CyDmac.c **** * Zero based index of the next Transfer Descriptor in the TD chain. Zero is a + 854:.\Generated_Source\PSoC5/CyDmac.c **** * valid pointer to the next TD; DMA_END_CHAIN_TD is the end of the chain. + 855:.\Generated_Source\PSoC5/CyDmac.c **** * DMA_DISABLE_TD indicates an end to the chain and the DMA is disabled. No + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 33 + + + 856:.\Generated_Source\PSoC5/CyDmac.c **** * further TDs are fetched. DMA_DISABLE_TD is only supported on PSoC3 and + 857:.\Generated_Source\PSoC5/CyDmac.c **** * PSoC 5LP silicons. + 858:.\Generated_Source\PSoC5/CyDmac.c **** * + 859:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 configuration: + 860:.\Generated_Source\PSoC5/CyDmac.c **** * Stores the Bit field of configuration bits. + 861:.\Generated_Source\PSoC5/CyDmac.c **** * + 862:.\Generated_Source\PSoC5/CyDmac.c **** * CY_DMA_TD_SWAP_EN - Perform endian swap + 863:.\Generated_Source\PSoC5/CyDmac.c **** * + 864:.\Generated_Source\PSoC5/CyDmac.c **** * CY_DMA_TD_SWAP_SIZE4 - Swap size = 4 bytes + 865:.\Generated_Source\PSoC5/CyDmac.c **** * + 866:.\Generated_Source\PSoC5/CyDmac.c **** * CY_DMA_TD_AUTO_EXEC_NEXT - The next TD in the chain will trigger + 867:.\Generated_Source\PSoC5/CyDmac.c **** * automatically when the current TD completes. + 868:.\Generated_Source\PSoC5/CyDmac.c **** * + 869:.\Generated_Source\PSoC5/CyDmac.c **** * CY_DMA_TD_TERMIN_EN - Terminate this TD if a positive edge on the trq + 870:.\Generated_Source\PSoC5/CyDmac.c **** * input line occurs. The positive edge must occur + 871:.\Generated_Source\PSoC5/CyDmac.c **** * during a burst. That is the only time the DMAC + 872:.\Generated_Source\PSoC5/CyDmac.c **** * will listen for it. + 873:.\Generated_Source\PSoC5/CyDmac.c **** * + 874:.\Generated_Source\PSoC5/CyDmac.c **** * DMA__TD_TERMOUT_EN - When this TD completes, the TERMOUT signal will + 875:.\Generated_Source\PSoC5/CyDmac.c **** * generate a pulse. Note that this option is + 876:.\Generated_Source\PSoC5/CyDmac.c **** * instance specific with the instance name followed + 877:.\Generated_Source\PSoC5/CyDmac.c **** * by two underscores. In this example, the instance + 878:.\Generated_Source\PSoC5/CyDmac.c **** * name is DMA. + 879:.\Generated_Source\PSoC5/CyDmac.c **** * + 880:.\Generated_Source\PSoC5/CyDmac.c **** * CY_DMA_TD_INC_DST_ADR - Increment DST_ADR according to the size of each + 881:.\Generated_Source\PSoC5/CyDmac.c **** * data transaction in the burst. + 882:.\Generated_Source\PSoC5/CyDmac.c **** * + 883:.\Generated_Source\PSoC5/CyDmac.c **** * CY_DMA_TD_INC_SRC_ADR - Increment SRC_ADR according to the size of each + 884:.\Generated_Source\PSoC5/CyDmac.c **** * data transaction in the burst. + 885:.\Generated_Source\PSoC5/CyDmac.c **** * + 886:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 887:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 888:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if tdHandle or transferCount is invalid. + 889:.\Generated_Source\PSoC5/CyDmac.c **** * + 890:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 891:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configur + 892:.\Generated_Source\PSoC5/CyDmac.c **** + 893:.\Generated_Source\PSoC5/CyDmac.c **** { + 936 .loc 1 893 0 + 937 .cfi_startproc + 938 @ args = 0, pretend = 0, frame = 0 + 939 @ frame_needed = 0, uses_anonymous_args = 0 + 940 .LVL89: + 894:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 895:.\Generated_Source\PSoC5/CyDmac.c **** + 896:.\Generated_Source\PSoC5/CyDmac.c **** if((tdHandle < CY_DMA_NUMBEROF_TDS) && (0u == (0xF000u & transferCount))) + 941 .loc 1 896 0 + 942 0000 10F0800F tst r0, #128 + 893:.\Generated_Source\PSoC5/CyDmac.c **** { + 943 .loc 1 893 0 + 944 0004 30B5 push {r4, r5, lr} + 945 .LCFI6: + 946 .cfi_def_cfa_offset 12 + 947 .cfi_offset 4, -12 + 948 .cfi_offset 5, -8 + 949 .cfi_offset 14, -4 + 950 .loc 1 896 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 34 + + + 951 0006 0CD1 bne .L102 + 952 .loc 1 896 0 is_stmt 0 discriminator 1 + 953 0008 11F47045 ands r5, r1, #61440 + 954 000c 09D1 bne .L102 + 955 .LBB4: + 897:.\Generated_Source\PSoC5/CyDmac.c **** { + 898:.\Generated_Source\PSoC5/CyDmac.c **** /* Set 12 bits transfer count. */ + 899:.\Generated_Source\PSoC5/CyDmac.c **** reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u]; + 956 .loc 1 899 0 is_stmt 1 + 957 000e C400 lsls r4, r0, #3 + 958 0010 04F18040 add r0, r4, #1073741824 + 959 .LVL90: + 960 0014 00F5F044 add r4, r0, #30720 + 961 .LVL91: + 900:.\Generated_Source\PSoC5/CyDmac.c **** CY_SET_REG16(convert, transferCount); + 962 .loc 1 900 0 + 963 0018 2180 strh r1, [r4, #0] @ movhi + 901:.\Generated_Source\PSoC5/CyDmac.c **** + 902:.\Generated_Source\PSoC5/CyDmac.c **** /* Set Next TD pointer. */ + 903:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u] = nextTd; + 904:.\Generated_Source\PSoC5/CyDmac.c **** + 905:.\Generated_Source\PSoC5/CyDmac.c **** /* Configure the TD */ + 906:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u] = configuration; + 907:.\Generated_Source\PSoC5/CyDmac.c **** + 908:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 964 .loc 1 908 0 + 965 001a 2846 mov r0, r5 + 903:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u] = nextTd; + 966 .loc 1 903 0 + 967 001c A270 strb r2, [r4, #2] + 906:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u] = configuration; + 968 .loc 1 906 0 + 969 001e E370 strb r3, [r4, #3] + 970 .LVL92: + 971 0020 30BD pop {r4, r5, pc} + 972 .LVL93: + 973 .L102: + 974 .LBE4: + 894:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 975 .loc 1 894 0 + 976 0022 0120 movs r0, #1 + 977 .LVL94: + 909:.\Generated_Source\PSoC5/CyDmac.c **** } + 910:.\Generated_Source\PSoC5/CyDmac.c **** + 911:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 912:.\Generated_Source\PSoC5/CyDmac.c **** } + 978 .loc 1 912 0 + 979 0024 30BD pop {r4, r5, pc} + 980 .cfi_endproc + 981 .LFE19: + 982 .size CyDmaTdSetConfiguration, .-CyDmaTdSetConfiguration + 983 .section .text.CyDmaTdGetConfiguration,"ax",%progbits + 984 .align 1 + 985 .global CyDmaTdGetConfiguration + 986 .thumb + 987 .thumb_func + 988 .type CyDmaTdGetConfiguration, %function + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 35 + + + 989 CyDmaTdGetConfiguration: + 990 .LFB20: + 913:.\Generated_Source\PSoC5/CyDmac.c **** + 914:.\Generated_Source\PSoC5/CyDmac.c **** + 915:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 916:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdGetConfiguration + 917:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 918:.\Generated_Source\PSoC5/CyDmac.c **** * + 919:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 920:.\Generated_Source\PSoC5/CyDmac.c **** * Retrieves the configuration of the TD. If a NULL pointer is passed as a + 921:.\Generated_Source\PSoC5/CyDmac.c **** * parameter, that parameter is skipped. You may request only the values you are + 922:.\Generated_Source\PSoC5/CyDmac.c **** * interested in. + 923:.\Generated_Source\PSoC5/CyDmac.c **** * + 924:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 925:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdHandle: + 926:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaTdAlloc(). + 927:.\Generated_Source\PSoC5/CyDmac.c **** * + 928:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 * transferCount: + 929:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the size of the data transfer (in bytes) for this TD. + 930:.\Generated_Source\PSoC5/CyDmac.c **** * A size of zero could indicate that the TD has completed its transfer, or + 931:.\Generated_Source\PSoC5/CyDmac.c **** * that the TD is doing an indefinite transfer. + 932:.\Generated_Source\PSoC5/CyDmac.c **** * + 933:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 * nextTd: + 934:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the index of the next TD in the TD chain. + 935:.\Generated_Source\PSoC5/CyDmac.c **** * + 936:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 * configuration: + 937:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the Bit field of configuration bits. + 938:.\Generated_Source\PSoC5/CyDmac.c **** * See CyDmaTdSetConfiguration() function description. + 939:.\Generated_Source\PSoC5/CyDmac.c **** * + 940:.\Generated_Source\PSoC5/CyDmac.c **** * Return: + 941:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. + 942:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if tdHandle is invalid. + 943:.\Generated_Source\PSoC5/CyDmac.c **** * + 944:.\Generated_Source\PSoC5/CyDmac.c **** * Side Effects: + 945:.\Generated_Source\PSoC5/CyDmac.c **** * If a TD has a transfer count of N and is executed, the transfer count becomes + 946:.\Generated_Source\PSoC5/CyDmac.c **** * 0. If it is reexecuted, the Transfer count of zero will be interpreted as a + 947:.\Generated_Source\PSoC5/CyDmac.c **** * request for indefinite transfer. Be careful when requesting a TD with a + 948:.\Generated_Source\PSoC5/CyDmac.c **** * transfer count of zero. + 949:.\Generated_Source\PSoC5/CyDmac.c **** * + 950:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ + 951:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * co + 952:.\Generated_Source\PSoC5/CyDmac.c **** + 953:.\Generated_Source\PSoC5/CyDmac.c **** { + 991 .loc 1 953 0 + 992 .cfi_startproc + 993 @ args = 0, pretend = 0, frame = 0 + 994 @ frame_needed = 0, uses_anonymous_args = 0 + 995 .LVL95: + 954:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 955:.\Generated_Source\PSoC5/CyDmac.c **** + 956:.\Generated_Source\PSoC5/CyDmac.c **** if(tdHandle < CY_DMA_NUMBEROF_TDS) + 996 .loc 1 956 0 + 997 0000 10F0800F tst r0, #128 + 953:.\Generated_Source\PSoC5/CyDmac.c **** { + 998 .loc 1 953 0 + 999 0004 10B5 push {r4, lr} + 1000 .LCFI7: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 36 + + + 1001 .cfi_def_cfa_offset 8 + 1002 .cfi_offset 4, -8 + 1003 .cfi_offset 14, -4 + 1004 .loc 1 956 0 + 1005 0006 1BD1 bne .L107 + 957:.\Generated_Source\PSoC5/CyDmac.c **** { + 958:.\Generated_Source\PSoC5/CyDmac.c **** /* If we have a pointer */ + 959:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != transferCount) + 1006 .loc 1 959 0 + 1007 0008 41B1 cbz r1, .L105 + 1008 .LBB5: + 960:.\Generated_Source\PSoC5/CyDmac.c **** { + 961:.\Generated_Source\PSoC5/CyDmac.c **** /* Get the 12 bits of the transfer count */ + 962:.\Generated_Source\PSoC5/CyDmac.c **** reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0]; + 1009 .loc 1 962 0 + 1010 000a C400 lsls r4, r0, #3 + 1011 000c 04F18044 add r4, r4, #1073741824 + 1012 0010 04F5F044 add r4, r4, #30720 + 1013 .LVL96: + 963:.\Generated_Source\PSoC5/CyDmac.c **** *transferCount = 0x0FFFu & CY_GET_REG16(convert); + 1014 .loc 1 963 0 + 1015 0014 2488 ldrh r4, [r4, #0] + 1016 .LVL97: + 1017 0016 24F47044 bic r4, r4, #61440 + 1018 001a 0C80 strh r4, [r1, #0] @ movhi + 1019 .LVL98: + 1020 .L105: + 1021 .LBE5: + 964:.\Generated_Source\PSoC5/CyDmac.c **** } + 965:.\Generated_Source\PSoC5/CyDmac.c **** + 966:.\Generated_Source\PSoC5/CyDmac.c **** /* If we have a pointer */ + 967:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != nextTd) + 1022 .loc 1 967 0 + 1023 001c 32B1 cbz r2, .L106 + 968:.\Generated_Source\PSoC5/CyDmac.c **** { + 969:.\Generated_Source\PSoC5/CyDmac.c **** /* Get the Next TD pointer */ + 970:.\Generated_Source\PSoC5/CyDmac.c **** *nextTd = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u]; + 1024 .loc 1 970 0 + 1025 001e C100 lsls r1, r0, #3 + 1026 .LVL99: + 1027 0020 01F18041 add r1, r1, #1073741824 + 1028 0024 01F5F041 add r1, r1, #30720 + 1029 0028 8978 ldrb r1, [r1, #2] @ zero_extendqisi2 + 1030 002a 1170 strb r1, [r2, #0] + 1031 .L106: + 971:.\Generated_Source\PSoC5/CyDmac.c **** } + 972:.\Generated_Source\PSoC5/CyDmac.c **** + 973:.\Generated_Source\PSoC5/CyDmac.c **** /* If we have a pointer */ + 974:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != configuration) + 1032 .loc 1 974 0 + 1033 002c 33B1 cbz r3, .L115 + 975:.\Generated_Source\PSoC5/CyDmac.c **** { + 976:.\Generated_Source\PSoC5/CyDmac.c **** /* Get the configuration the TD */ + 977:.\Generated_Source\PSoC5/CyDmac.c **** *configuration = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u]; + 1034 .loc 1 977 0 + 1035 002e C000 lsls r0, r0, #3 + 1036 .LVL100: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 37 + + + 1037 0030 00F18042 add r2, r0, #1073741824 + 1038 .LVL101: + 1039 0034 02F5F041 add r1, r2, #30720 + 1040 0038 C878 ldrb r0, [r1, #3] @ zero_extendqisi2 + 1041 003a 1870 strb r0, [r3, #0] + 1042 .L115: + 978:.\Generated_Source\PSoC5/CyDmac.c **** } + 979:.\Generated_Source\PSoC5/CyDmac.c **** + 980:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 1043 .loc 1 980 0 + 1044 003c 0020 movs r0, #0 + 1045 003e 10BD pop {r4, pc} + 1046 .LVL102: + 1047 .L107: + 954:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 1048 .loc 1 954 0 + 1049 0040 0120 movs r0, #1 + 1050 .LVL103: + 1051 0042 10BD pop {r4, pc} + 1052 .cfi_endproc + 1053 .LFE20: + 1054 .size CyDmaTdGetConfiguration, .-CyDmaTdGetConfiguration + 1055 .section .text.CyDmaTdSetAddress,"ax",%progbits + 1056 .align 1 + 1057 .global CyDmaTdSetAddress + 1058 .thumb + 1059 .thumb_func + 1060 .type CyDmaTdSetAddress, %function + 1061 CyDmaTdSetAddress: + 1062 .LFB21: + 981:.\Generated_Source\PSoC5/CyDmac.c **** } + 982:.\Generated_Source\PSoC5/CyDmac.c **** + 983:.\Generated_Source\PSoC5/CyDmac.c **** return(status); + 984:.\Generated_Source\PSoC5/CyDmac.c **** } + 985:.\Generated_Source\PSoC5/CyDmac.c **** + 986:.\Generated_Source\PSoC5/CyDmac.c **** + 987:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* + 988:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdSetAddress + 989:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** + 990:.\Generated_Source\PSoC5/CyDmac.c **** * + 991:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: + 992:.\Generated_Source\PSoC5/CyDmac.c **** * Sets the lower 16 bits of the source and destination addresses for this TD + 993:.\Generated_Source\PSoC5/CyDmac.c **** * only. + 994:.\Generated_Source\PSoC5/CyDmac.c **** * + 995:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: + 996:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdHandle: + 997:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaTdAlloc(). + 998:.\Generated_Source\PSoC5/CyDmac.c **** * + 999:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 source: +1000:.\Generated_Source\PSoC5/CyDmac.c **** * The lower 16 address bits of the source of the data transfer. +1001:.\Generated_Source\PSoC5/CyDmac.c **** * +1002:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 destination: +1003:.\Generated_Source\PSoC5/CyDmac.c **** * The lower 16 address bits of the destination of the data transfer. +1004:.\Generated_Source\PSoC5/CyDmac.c **** * +1005:.\Generated_Source\PSoC5/CyDmac.c **** * Return: +1006:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. +1007:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if tdHandle is invalid. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 38 + + +1008:.\Generated_Source\PSoC5/CyDmac.c **** * +1009:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ +1010:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) +1011:.\Generated_Source\PSoC5/CyDmac.c **** { + 1063 .loc 1 1011 0 + 1064 .cfi_startproc + 1065 @ args = 0, pretend = 0, frame = 0 + 1066 @ frame_needed = 0, uses_anonymous_args = 0 + 1067 @ link register save eliminated. + 1068 .LVL104: +1012:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; +1013:.\Generated_Source\PSoC5/CyDmac.c **** reg16 *convert; +1014:.\Generated_Source\PSoC5/CyDmac.c **** +1015:.\Generated_Source\PSoC5/CyDmac.c **** if(tdHandle < CY_DMA_NUMBEROF_TDS) + 1069 .loc 1 1015 0 + 1070 0000 0306 lsls r3, r0, #24 + 1071 0002 08D4 bmi .L118 +1016:.\Generated_Source\PSoC5/CyDmac.c **** { +1017:.\Generated_Source\PSoC5/CyDmac.c **** /* Set source address */ +1018:.\Generated_Source\PSoC5/CyDmac.c **** convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0u]; + 1072 .loc 1 1018 0 + 1073 0004 C000 lsls r0, r0, #3 + 1074 .LVL105: + 1075 0006 00F18043 add r3, r0, #1073741824 + 1076 000a 03F5F040 add r0, r3, #30720 + 1077 .LVL106: +1019:.\Generated_Source\PSoC5/CyDmac.c **** CY_SET_REG16(convert, source); + 1078 .loc 1 1019 0 + 1079 000e 8180 strh r1, [r0, #4] @ movhi + 1080 .LVL107: +1020:.\Generated_Source\PSoC5/CyDmac.c **** +1021:.\Generated_Source\PSoC5/CyDmac.c **** /* Set destination address */ +1022:.\Generated_Source\PSoC5/CyDmac.c **** convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2u]; +1023:.\Generated_Source\PSoC5/CyDmac.c **** CY_SET_REG16(convert, destination); + 1081 .loc 1 1023 0 + 1082 0010 C280 strh r2, [r0, #6] @ movhi + 1083 .LVL108: +1024:.\Generated_Source\PSoC5/CyDmac.c **** +1025:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 1084 .loc 1 1025 0 + 1085 0012 0020 movs r0, #0 + 1086 .LVL109: + 1087 0014 7047 bx lr + 1088 .LVL110: + 1089 .L118: +1012:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 1090 .loc 1 1012 0 + 1091 0016 0120 movs r0, #1 + 1092 .LVL111: +1026:.\Generated_Source\PSoC5/CyDmac.c **** } +1027:.\Generated_Source\PSoC5/CyDmac.c **** +1028:.\Generated_Source\PSoC5/CyDmac.c **** return(status); +1029:.\Generated_Source\PSoC5/CyDmac.c **** } + 1093 .loc 1 1029 0 + 1094 0018 7047 bx lr + 1095 .cfi_endproc + 1096 .LFE21: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 39 + + + 1097 .size CyDmaTdSetAddress, .-CyDmaTdSetAddress + 1098 .section .text.CyDmaTdGetAddress,"ax",%progbits + 1099 .align 1 + 1100 .global CyDmaTdGetAddress + 1101 .thumb + 1102 .thumb_func + 1103 .type CyDmaTdGetAddress, %function + 1104 CyDmaTdGetAddress: + 1105 .LFB22: +1030:.\Generated_Source\PSoC5/CyDmac.c **** +1031:.\Generated_Source\PSoC5/CyDmac.c **** +1032:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* +1033:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaTdGetAddress +1034:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** +1035:.\Generated_Source\PSoC5/CyDmac.c **** * +1036:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: +1037:.\Generated_Source\PSoC5/CyDmac.c **** * Retrieves the lower 16 bits of the source and/or destination addresses for +1038:.\Generated_Source\PSoC5/CyDmac.c **** * this TD only. If NULL is passed for a pointer parameter, that value is +1039:.\Generated_Source\PSoC5/CyDmac.c **** * skipped. You may request only the values of interest. +1040:.\Generated_Source\PSoC5/CyDmac.c **** * +1041:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: +1042:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 tdHandle: +1043:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaTdAlloc(). +1044:.\Generated_Source\PSoC5/CyDmac.c **** * +1045:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 * source: +1046:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the lower 16 address bits of the source of the data +1047:.\Generated_Source\PSoC5/CyDmac.c **** * transfer. +1048:.\Generated_Source\PSoC5/CyDmac.c **** * +1049:.\Generated_Source\PSoC5/CyDmac.c **** * uint16 * destination: +1050:.\Generated_Source\PSoC5/CyDmac.c **** * The address to store the lower 16 address bits of the destination of the +1051:.\Generated_Source\PSoC5/CyDmac.c **** * data transfer. +1052:.\Generated_Source\PSoC5/CyDmac.c **** * +1053:.\Generated_Source\PSoC5/CyDmac.c **** * Return: +1054:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. +1055:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if tdHandle is invalid. +1056:.\Generated_Source\PSoC5/CyDmac.c **** * +1057:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ +1058:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) +1059:.\Generated_Source\PSoC5/CyDmac.c **** { + 1106 .loc 1 1059 0 + 1107 .cfi_startproc + 1108 @ args = 0, pretend = 0, frame = 0 + 1109 @ frame_needed = 0, uses_anonymous_args = 0 + 1110 @ link register save eliminated. + 1111 .LVL112: +1060:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; +1061:.\Generated_Source\PSoC5/CyDmac.c **** reg16 *convert; +1062:.\Generated_Source\PSoC5/CyDmac.c **** +1063:.\Generated_Source\PSoC5/CyDmac.c **** if(tdHandle < CY_DMA_NUMBEROF_TDS) + 1112 .loc 1 1063 0 + 1113 0000 0306 lsls r3, r0, #24 + 1114 0002 11D4 bmi .L122 +1064:.\Generated_Source\PSoC5/CyDmac.c **** { +1065:.\Generated_Source\PSoC5/CyDmac.c **** /* If we have a pointer. */ +1066:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != source) + 1115 .loc 1 1066 0 + 1116 0004 31B1 cbz r1, .L121 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 40 + + +1067:.\Generated_Source\PSoC5/CyDmac.c **** { +1068:.\Generated_Source\PSoC5/CyDmac.c **** /* Get source address */ +1069:.\Generated_Source\PSoC5/CyDmac.c **** convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0u]; + 1117 .loc 1 1069 0 + 1118 0006 C300 lsls r3, r0, #3 + 1119 0008 03F18043 add r3, r3, #1073741824 + 1120 000c 03F5F043 add r3, r3, #30720 + 1121 .LVL113: +1070:.\Generated_Source\PSoC5/CyDmac.c **** *source = CY_GET_REG16(convert); + 1122 .loc 1 1070 0 + 1123 0010 9B88 ldrh r3, [r3, #4] + 1124 .LVL114: + 1125 0012 0B80 strh r3, [r1, #0] @ movhi + 1126 .LVL115: + 1127 .L121: +1071:.\Generated_Source\PSoC5/CyDmac.c **** } +1072:.\Generated_Source\PSoC5/CyDmac.c **** +1073:.\Generated_Source\PSoC5/CyDmac.c **** /* If we have a pointer. */ +1074:.\Generated_Source\PSoC5/CyDmac.c **** if(NULL != destination) + 1128 .loc 1 1074 0 + 1129 0014 32B1 cbz r2, .L127 +1075:.\Generated_Source\PSoC5/CyDmac.c **** { +1076:.\Generated_Source\PSoC5/CyDmac.c **** /* Get Destination address. */ +1077:.\Generated_Source\PSoC5/CyDmac.c **** convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2u]; + 1130 .loc 1 1077 0 + 1131 0016 C000 lsls r0, r0, #3 + 1132 .LVL116: + 1133 0018 00F18041 add r1, r0, #1073741824 + 1134 .LVL117: + 1135 001c 01F5F043 add r3, r1, #30720 + 1136 .LVL118: +1078:.\Generated_Source\PSoC5/CyDmac.c **** *destination = CY_GET_REG16(convert); + 1137 .loc 1 1078 0 + 1138 0020 D888 ldrh r0, [r3, #6] + 1139 0022 1080 strh r0, [r2, #0] @ movhi + 1140 .LVL119: + 1141 .L127: +1079:.\Generated_Source\PSoC5/CyDmac.c **** } +1080:.\Generated_Source\PSoC5/CyDmac.c **** +1081:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 1142 .loc 1 1081 0 + 1143 0024 0020 movs r0, #0 + 1144 0026 7047 bx lr + 1145 .LVL120: + 1146 .L122: +1060:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 1147 .loc 1 1060 0 + 1148 0028 0120 movs r0, #1 + 1149 .LVL121: + 1150 002a 7047 bx lr + 1151 .cfi_endproc + 1152 .LFE22: + 1153 .size CyDmaTdGetAddress, .-CyDmaTdGetAddress + 1154 .section .text.CyDmaChRoundRobin,"ax",%progbits + 1155 .align 1 + 1156 .global CyDmaChRoundRobin + 1157 .thumb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 41 + + + 1158 .thumb_func + 1159 .type CyDmaChRoundRobin, %function + 1160 CyDmaChRoundRobin: + 1161 .LFB23: +1082:.\Generated_Source\PSoC5/CyDmac.c **** } +1083:.\Generated_Source\PSoC5/CyDmac.c **** +1084:.\Generated_Source\PSoC5/CyDmac.c **** return(status); +1085:.\Generated_Source\PSoC5/CyDmac.c **** } +1086:.\Generated_Source\PSoC5/CyDmac.c **** +1087:.\Generated_Source\PSoC5/CyDmac.c **** +1088:.\Generated_Source\PSoC5/CyDmac.c **** /******************************************************************************* +1089:.\Generated_Source\PSoC5/CyDmac.c **** * Function Name: CyDmaChRoundRobin +1090:.\Generated_Source\PSoC5/CyDmac.c **** ******************************************************************************** +1091:.\Generated_Source\PSoC5/CyDmac.c **** * +1092:.\Generated_Source\PSoC5/CyDmac.c **** * Summary: +1093:.\Generated_Source\PSoC5/CyDmac.c **** * Either enables or disables the Round-Robin scheduling enforcement algorithm. +1094:.\Generated_Source\PSoC5/CyDmac.c **** * Within a priority level a Round-Robin fairness algorithm is enforced. +1095:.\Generated_Source\PSoC5/CyDmac.c **** * +1096:.\Generated_Source\PSoC5/CyDmac.c **** * Parameters: +1097:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 chHandle: +1098:.\Generated_Source\PSoC5/CyDmac.c **** * A handle previously returned by CyDmaChAlloc() or Dma_DmaInitialize(). +1099:.\Generated_Source\PSoC5/CyDmac.c **** * +1100:.\Generated_Source\PSoC5/CyDmac.c **** * uint8 enableRR: +1101:.\Generated_Source\PSoC5/CyDmac.c **** * 0: Disable Round-Robin fairness algorithm +1102:.\Generated_Source\PSoC5/CyDmac.c **** * 1: Enable Round-Robin fairness algorithm +1103:.\Generated_Source\PSoC5/CyDmac.c **** * +1104:.\Generated_Source\PSoC5/CyDmac.c **** * Return: +1105:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_SUCCESS if successful. +1106:.\Generated_Source\PSoC5/CyDmac.c **** * CYRET_BAD_PARAM if chHandle is invalid. +1107:.\Generated_Source\PSoC5/CyDmac.c **** * +1108:.\Generated_Source\PSoC5/CyDmac.c **** *******************************************************************************/ +1109:.\Generated_Source\PSoC5/CyDmac.c **** cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) +1110:.\Generated_Source\PSoC5/CyDmac.c **** { + 1162 .loc 1 1110 0 + 1163 .cfi_startproc + 1164 @ args = 0, pretend = 0, frame = 0 + 1165 @ frame_needed = 0, uses_anonymous_args = 0 + 1166 @ link register save eliminated. + 1167 .LVL122: +1111:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; +1112:.\Generated_Source\PSoC5/CyDmac.c **** +1113:.\Generated_Source\PSoC5/CyDmac.c **** if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + 1168 .loc 1 1113 0 + 1169 0000 1728 cmp r0, #23 + 1170 0002 0DD8 bhi .L131 + 1171 0004 0201 lsls r2, r0, #4 + 1172 0006 074B ldr r3, .L133 +1114:.\Generated_Source\PSoC5/CyDmac.c **** { +1115:.\Generated_Source\PSoC5/CyDmac.c **** if (0u != enableRR) + 1173 .loc 1 1115 0 + 1174 0008 29B1 cbz r1, .L130 +1116:.\Generated_Source\PSoC5/CyDmac.c **** { +1117:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= (uint8)CY_DMA_ROUND_ROBIN_ENABLE; + 1175 .loc 1 1117 0 + 1176 000a D05C ldrb r0, [r2, r3] @ zero_extendqisi2 + 1177 .LVL123: + 1178 000c 40F01001 orr r1, r0, #16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 42 + + + 1179 .LVL124: + 1180 0010 D154 strb r1, [r2, r3] + 1181 .L132: +1118:.\Generated_Source\PSoC5/CyDmac.c **** } +1119:.\Generated_Source\PSoC5/CyDmac.c **** else +1120:.\Generated_Source\PSoC5/CyDmac.c **** { +1121:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_ROUND_ROBIN_ENABLE); +1122:.\Generated_Source\PSoC5/CyDmac.c **** } +1123:.\Generated_Source\PSoC5/CyDmac.c **** +1124:.\Generated_Source\PSoC5/CyDmac.c **** status = CYRET_SUCCESS; + 1182 .loc 1 1124 0 + 1183 0012 0020 movs r0, #0 + 1184 0014 7047 bx lr + 1185 .LVL125: + 1186 .L130: +1121:.\Generated_Source\PSoC5/CyDmac.c **** CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_ROUND_ROBIN_ENABLE); + 1187 .loc 1 1121 0 + 1188 0016 D05C ldrb r0, [r2, r3] @ zero_extendqisi2 + 1189 .LVL126: + 1190 0018 00F0EF01 and r1, r0, #239 + 1191 .LVL127: + 1192 001c D154 strb r1, [r2, r3] + 1193 001e F8E7 b .L132 + 1194 .LVL128: + 1195 .L131: +1111:.\Generated_Source\PSoC5/CyDmac.c **** cystatus status = CYRET_BAD_PARAM; + 1196 .loc 1 1111 0 + 1197 0020 0120 movs r0, #1 + 1198 .LVL129: +1125:.\Generated_Source\PSoC5/CyDmac.c **** } +1126:.\Generated_Source\PSoC5/CyDmac.c **** +1127:.\Generated_Source\PSoC5/CyDmac.c **** return(status); +1128:.\Generated_Source\PSoC5/CyDmac.c **** } + 1199 .loc 1 1128 0 + 1200 0022 7047 bx lr + 1201 .L134: + 1202 .align 2 + 1203 .L133: + 1204 0024 10700040 .word 1073770512 + 1205 .cfi_endproc + 1206 .LFE23: + 1207 .size CyDmaChRoundRobin, .-CyDmaChRoundRobin + 1208 .data + 1209 .set .LANCHOR0,. + 0 + 1210 .type CyDmaTdFreeIndex, %object + 1211 .size CyDmaTdFreeIndex, 1 + 1212 CyDmaTdFreeIndex: + 1213 0000 7F .byte 127 + 1214 .type CyDmaTdCurrentNumber, %object + 1215 .size CyDmaTdCurrentNumber, 1 + 1216 CyDmaTdCurrentNumber: + 1217 0001 80 .byte -128 + 1218 .bss + 1219 .align 2 + 1220 .set .LANCHOR1,. + 0 + 1221 .type CyDmaChannels, %object + 1222 .size CyDmaChannels, 4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 43 + + + 1223 CyDmaChannels: + 1224 0000 00000000 .space 4 + 1225 .text + 1226 .Letext0: + 1227 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 1228 .file 3 ".\\Generated_Source\\PSoC5\\CyDmac.h" + 1229 .file 4 ".\\Generated_Source\\PSoC5\\CyLib.h" + 1230 .section .debug_info,"",%progbits + 1231 .Ldebug_info0: + 1232 0000 B4090000 .4byte 0x9b4 + 1233 0004 0200 .2byte 0x2 + 1234 0006 00000000 .4byte .Ldebug_abbrev0 + 1235 000a 04 .byte 0x4 + 1236 000b 01 .uleb128 0x1 + 1237 000c FA020000 .4byte .LASF85 + 1238 0010 01 .byte 0x1 + 1239 0011 CE010000 .4byte .LASF86 + 1240 0015 4A010000 .4byte .LASF87 + 1241 0019 18000000 .4byte .Ldebug_ranges0+0x18 + 1242 001d 00000000 .4byte 0 + 1243 0021 00000000 .4byte 0 + 1244 0025 00000000 .4byte .Ldebug_line0 + 1245 0029 02 .uleb128 0x2 + 1246 002a 01 .byte 0x1 + 1247 002b 06 .byte 0x6 + 1248 002c CD000000 .4byte .LASF0 + 1249 0030 02 .uleb128 0x2 + 1250 0031 01 .byte 0x1 + 1251 0032 08 .byte 0x8 + 1252 0033 83030000 .4byte .LASF1 + 1253 0037 02 .uleb128 0x2 + 1254 0038 02 .byte 0x2 + 1255 0039 05 .byte 0x5 + 1256 003a 91030000 .4byte .LASF2 + 1257 003e 02 .uleb128 0x2 + 1258 003f 02 .byte 0x2 + 1259 0040 07 .byte 0x7 + 1260 0041 29020000 .4byte .LASF3 + 1261 0045 02 .uleb128 0x2 + 1262 0046 04 .byte 0x4 + 1263 0047 05 .byte 0x5 + 1264 0048 09010000 .4byte .LASF4 + 1265 004c 02 .uleb128 0x2 + 1266 004d 04 .byte 0x4 + 1267 004e 07 .byte 0x7 + 1268 004f A9010000 .4byte .LASF5 + 1269 0053 02 .uleb128 0x2 + 1270 0054 08 .byte 0x8 + 1271 0055 05 .byte 0x5 + 1272 0056 BF000000 .4byte .LASF6 + 1273 005a 02 .uleb128 0x2 + 1274 005b 08 .byte 0x8 + 1275 005c 07 .byte 0x7 + 1276 005d 87000000 .4byte .LASF7 + 1277 0061 03 .uleb128 0x3 + 1278 0062 04 .byte 0x4 + 1279 0063 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 44 + + + 1280 0064 696E7400 .ascii "int\000" + 1281 0068 02 .uleb128 0x2 + 1282 0069 04 .byte 0x4 + 1283 006a 07 .byte 0x7 + 1284 006b 9C010000 .4byte .LASF8 + 1285 006f 04 .uleb128 0x4 + 1286 0070 28010000 .4byte .LASF9 + 1287 0074 02 .byte 0x2 + 1288 0075 5B .byte 0x5b + 1289 0076 30000000 .4byte 0x30 + 1290 007a 04 .uleb128 0x4 + 1291 007b 00000000 .4byte .LASF10 + 1292 007f 02 .byte 0x2 + 1293 0080 5C .byte 0x5c + 1294 0081 3E000000 .4byte 0x3e + 1295 0085 04 .uleb128 0x4 + 1296 0086 43010000 .4byte .LASF11 + 1297 008a 02 .byte 0x2 + 1298 008b 5D .byte 0x5d + 1299 008c 4C000000 .4byte 0x4c + 1300 0090 02 .uleb128 0x2 + 1301 0091 04 .byte 0x4 + 1302 0092 04 .byte 0x4 + 1303 0093 EE020000 .4byte .LASF12 + 1304 0097 02 .uleb128 0x2 + 1305 0098 08 .byte 0x8 + 1306 0099 04 .byte 0x4 + 1307 009a 2E010000 .4byte .LASF13 + 1308 009e 02 .uleb128 0x2 + 1309 009f 01 .byte 0x1 + 1310 00a0 08 .byte 0x8 + 1311 00a1 E7030000 .4byte .LASF14 + 1312 00a5 04 .uleb128 0x4 + 1313 00a6 A4040000 .4byte .LASF15 + 1314 00aa 02 .byte 0x2 + 1315 00ab E8 .byte 0xe8 + 1316 00ac 4C000000 .4byte 0x4c + 1317 00b0 04 .uleb128 0x4 + 1318 00b1 22010000 .4byte .LASF16 + 1319 00b5 02 .byte 0x2 + 1320 00b6 F1 .byte 0xf1 + 1321 00b7 BB000000 .4byte 0xbb + 1322 00bb 05 .uleb128 0x5 + 1323 00bc 7A000000 .4byte 0x7a + 1324 00c0 04 .uleb128 0x4 + 1325 00c1 7E020000 .4byte .LASF17 + 1326 00c5 02 .byte 0x2 + 1327 00c6 F2 .byte 0xf2 + 1328 00c7 CB000000 .4byte 0xcb + 1329 00cb 05 .uleb128 0x5 + 1330 00cc 85000000 .4byte 0x85 + 1331 00d0 02 .uleb128 0x2 + 1332 00d1 04 .byte 0x4 + 1333 00d2 07 .byte 0x7 + 1334 00d3 98020000 .4byte .LASF18 + 1335 00d7 06 .uleb128 0x6 + 1336 00d8 74030000 .4byte .LASF24 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 45 + + + 1337 00dc 10 .byte 0x10 + 1338 00dd 03 .byte 0x3 + 1339 00de 48 .byte 0x48 + 1340 00df 1C010000 .4byte 0x11c + 1341 00e3 07 .uleb128 0x7 + 1342 00e4 1F020000 .4byte .LASF19 + 1343 00e8 03 .byte 0x3 + 1344 00e9 4A .byte 0x4a + 1345 00ea 2C010000 .4byte 0x12c + 1346 00ee 02 .byte 0x2 + 1347 00ef 23 .byte 0x23 + 1348 00f0 00 .uleb128 0 + 1349 00f1 07 .uleb128 0x7 + 1350 00f2 6A010000 .4byte .LASF20 + 1351 00f6 03 .byte 0x3 + 1352 00f7 4B .byte 0x4b + 1353 00f8 31010000 .4byte 0x131 + 1354 00fc 02 .byte 0x2 + 1355 00fd 23 .byte 0x23 + 1356 00fe 04 .uleb128 0x4 + 1357 00ff 07 .uleb128 0x7 + 1358 0100 8B020000 .4byte .LASF21 + 1359 0104 03 .byte 0x3 + 1360 0105 4C .byte 0x4c + 1361 0106 36010000 .4byte 0x136 + 1362 010a 02 .byte 0x2 + 1363 010b 23 .byte 0x23 + 1364 010c 08 .uleb128 0x8 + 1365 010d 07 .uleb128 0x7 + 1366 010e 71010000 .4byte .LASF22 + 1367 0112 03 .byte 0x3 + 1368 0113 4D .byte 0x4d + 1369 0114 3B010000 .4byte 0x13b + 1370 0118 02 .byte 0x2 + 1371 0119 23 .byte 0x23 + 1372 011a 0C .uleb128 0xc + 1373 011b 00 .byte 0 + 1374 011c 08 .uleb128 0x8 + 1375 011d 6F000000 .4byte 0x6f + 1376 0121 2C010000 .4byte 0x12c + 1377 0125 09 .uleb128 0x9 + 1378 0126 D0000000 .4byte 0xd0 + 1379 012a 03 .byte 0x3 + 1380 012b 00 .byte 0 + 1381 012c 05 .uleb128 0x5 + 1382 012d 1C010000 .4byte 0x11c + 1383 0131 05 .uleb128 0x5 + 1384 0132 1C010000 .4byte 0x11c + 1385 0136 05 .uleb128 0x5 + 1386 0137 1C010000 .4byte 0x11c + 1387 013b 05 .uleb128 0x5 + 1388 013c 1C010000 .4byte 0x11c + 1389 0140 04 .uleb128 0x4 + 1390 0141 07040000 .4byte .LASF23 + 1391 0145 03 .byte 0x3 + 1392 0146 4F .byte 0x4f + 1393 0147 D7000000 .4byte 0xd7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 46 + + + 1394 014b 06 .uleb128 0x6 + 1395 014c BB010000 .4byte .LASF25 + 1396 0150 08 .byte 0x8 + 1397 0151 03 .byte 0x3 + 1398 0152 52 .byte 0x52 + 1399 0153 74010000 .4byte 0x174 + 1400 0157 07 .uleb128 0x7 + 1401 0158 65040000 .4byte .LASF26 + 1402 015c 03 .byte 0x3 + 1403 015d 54 .byte 0x54 + 1404 015e 74010000 .4byte 0x174 + 1405 0162 02 .byte 0x2 + 1406 0163 23 .byte 0x23 + 1407 0164 00 .uleb128 0 + 1408 0165 07 .uleb128 0x7 + 1409 0166 6A040000 .4byte .LASF27 + 1410 016a 03 .byte 0x3 + 1411 016b 55 .byte 0x55 + 1412 016c 79010000 .4byte 0x179 + 1413 0170 02 .byte 0x2 + 1414 0171 23 .byte 0x23 + 1415 0172 04 .uleb128 0x4 + 1416 0173 00 .byte 0 + 1417 0174 05 .uleb128 0x5 + 1418 0175 1C010000 .4byte 0x11c + 1419 0179 05 .uleb128 0x5 + 1420 017a 1C010000 .4byte 0x11c + 1421 017e 04 .uleb128 0x4 + 1422 017f 1D000000 .4byte .LASF28 + 1423 0183 03 .byte 0x3 + 1424 0184 57 .byte 0x57 + 1425 0185 4B010000 .4byte 0x14b + 1426 0189 06 .uleb128 0x6 + 1427 018a F1000000 .4byte .LASF29 + 1428 018e 08 .byte 0x8 + 1429 018f 03 .byte 0x3 + 1430 0190 5A .byte 0x5a + 1431 0191 B2010000 .4byte 0x1b2 + 1432 0195 0A .uleb128 0xa + 1433 0196 54443000 .ascii "TD0\000" + 1434 019a 03 .byte 0x3 + 1435 019b 5C .byte 0x5c + 1436 019c B2010000 .4byte 0x1b2 + 1437 01a0 02 .byte 0x2 + 1438 01a1 23 .byte 0x23 + 1439 01a2 00 .uleb128 0 + 1440 01a3 0A .uleb128 0xa + 1441 01a4 54443100 .ascii "TD1\000" + 1442 01a8 03 .byte 0x3 + 1443 01a9 5D .byte 0x5d + 1444 01aa B7010000 .4byte 0x1b7 + 1445 01ae 02 .byte 0x2 + 1446 01af 23 .byte 0x23 + 1447 01b0 04 .uleb128 0x4 + 1448 01b1 00 .byte 0 + 1449 01b2 05 .uleb128 0x5 + 1450 01b3 1C010000 .4byte 0x11c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 47 + + + 1451 01b7 05 .uleb128 0x5 + 1452 01b8 1C010000 .4byte 0x11c + 1453 01bc 04 .uleb128 0x4 + 1454 01bd 33040000 .4byte .LASF30 + 1455 01c1 03 .byte 0x3 + 1456 01c2 5F .byte 0x5f + 1457 01c3 89010000 .4byte 0x189 + 1458 01c7 0B .uleb128 0xb + 1459 01c8 01 .byte 0x1 + 1460 01c9 77000000 .4byte .LASF31 + 1461 01cd 01 .byte 0x1 + 1462 01ce 3D .byte 0x3d + 1463 01cf 01 .byte 0x1 + 1464 01d0 00000000 .4byte .LFB0 + 1465 01d4 30000000 .4byte .LFE0 + 1466 01d8 02 .byte 0x2 + 1467 01d9 7D .byte 0x7d + 1468 01da 00 .sleb128 0 + 1469 01db 01 .byte 0x1 + 1470 01dc F0010000 .4byte 0x1f0 + 1471 01e0 0C .uleb128 0xc + 1472 01e1 C5020000 .4byte .LASF35 + 1473 01e5 01 .byte 0x1 + 1474 01e6 3F .byte 0x3f + 1475 01e7 6F000000 .4byte 0x6f + 1476 01eb 00000000 .4byte .LLST0 + 1477 01ef 00 .byte 0 + 1478 01f0 0D .uleb128 0xd + 1479 01f1 01 .byte 0x1 + 1480 01f2 4A020000 .4byte .LASF33 + 1481 01f6 01 .byte 0x1 + 1482 01f7 6D .byte 0x6d + 1483 01f8 01 .byte 0x1 + 1484 01f9 6F000000 .4byte 0x6f + 1485 01fd 00000000 .4byte .LFB1 + 1486 0201 10000000 .4byte .LFE1 + 1487 0205 02 .byte 0x2 + 1488 0206 7D .byte 0x7d + 1489 0207 00 .sleb128 0 + 1490 0208 01 .byte 0x1 + 1491 0209 0B .uleb128 0xb + 1492 020a 01 .byte 0x1 + 1493 020b 6D020000 .4byte .LASF32 + 1494 020f 01 .byte 0x1 + 1495 0210 91 .byte 0x91 + 1496 0211 01 .byte 0x1 + 1497 0212 00000000 .4byte .LFB2 + 1498 0216 10000000 .4byte .LFE2 + 1499 021a 02 .byte 0x2 + 1500 021b 7D .byte 0x7d + 1501 021c 00 .sleb128 0 + 1502 021d 01 .byte 0x1 + 1503 021e 32020000 .4byte 0x232 + 1504 0222 0E .uleb128 0xe + 1505 0223 F4020000 .4byte .LASF40 + 1506 0227 01 .byte 0x1 + 1507 0228 91 .byte 0x91 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 48 + + + 1508 0229 6F000000 .4byte 0x6f + 1509 022d 15000000 .4byte .LLST1 + 1510 0231 00 .byte 0 + 1511 0232 0D .uleb128 0xd + 1512 0233 01 .byte 0x1 + 1513 0234 29000000 .4byte .LASF34 + 1514 0238 01 .byte 0x1 + 1515 0239 A9 .byte 0xa9 + 1516 023a 01 .byte 0x1 + 1517 023b 85000000 .4byte 0x85 + 1518 023f 00000000 .4byte .LFB3 + 1519 0243 0C000000 .4byte .LFE3 + 1520 0247 02 .byte 0x2 + 1521 0248 7D .byte 0x7d + 1522 0249 00 .sleb128 0 + 1523 024a 01 .byte 0x1 + 1524 024b 0F .uleb128 0xf + 1525 024c 01 .byte 0x1 + 1526 024d D0030000 .4byte .LASF38 + 1527 0251 01 .byte 0x1 + 1528 0252 BF .byte 0xbf + 1529 0253 01 .byte 0x1 + 1530 0254 6F000000 .4byte 0x6f + 1531 0258 00000000 .4byte .LFB4 + 1532 025c 34000000 .4byte .LFE4 + 1533 0260 36000000 .4byte .LLST2 + 1534 0264 01 .byte 0x1 + 1535 0265 A9020000 .4byte 0x2a9 + 1536 0269 0C .uleb128 0xc + 1537 026a D9000000 .4byte .LASF36 + 1538 026e 01 .byte 0x1 + 1539 026f C1 .byte 0xc1 + 1540 0270 6F000000 .4byte 0x6f + 1541 0274 56000000 .4byte .LLST3 + 1542 0278 0C .uleb128 0xc + 1543 0279 C5020000 .4byte .LASF35 + 1544 027d 01 .byte 0x1 + 1545 027e C2 .byte 0xc2 + 1546 027f 6F000000 .4byte 0x6f + 1547 0283 69000000 .4byte .LLST4 + 1548 0287 0C .uleb128 0xc + 1549 0288 AB030000 .4byte .LASF37 + 1550 028c 01 .byte 0x1 + 1551 028d C3 .byte 0xc3 + 1552 028e 85000000 .4byte 0x85 + 1553 0292 88000000 .4byte .LLST5 + 1554 0296 10 .uleb128 0x10 + 1555 0297 06000000 .4byte .LVL5 + 1556 029b 99090000 .4byte 0x999 + 1557 029f 10 .uleb128 0x10 + 1558 02a0 2C000000 .4byte .LVL9 + 1559 02a4 A7090000 .4byte 0x9a7 + 1560 02a8 00 .byte 0 + 1561 02a9 0F .uleb128 0xf + 1562 02aa 01 .byte 0x1 + 1563 02ab CD040000 .4byte .LASF39 + 1564 02af 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 49 + + + 1565 02b0 F2 .byte 0xf2 + 1566 02b1 01 .byte 0x1 + 1567 02b2 A5000000 .4byte 0xa5 + 1568 02b6 00000000 .4byte .LFB5 + 1569 02ba 30000000 .4byte .LFE5 + 1570 02be A7000000 .4byte .LLST6 + 1571 02c2 01 .byte 0x1 + 1572 02c3 07030000 .4byte 0x307 + 1573 02c7 0E .uleb128 0xe + 1574 02c8 A2030000 .4byte .LASF41 + 1575 02cc 01 .byte 0x1 + 1576 02cd F2 .byte 0xf2 + 1577 02ce 6F000000 .4byte 0x6f + 1578 02d2 C7000000 .4byte .LLST7 + 1579 02d6 0C .uleb128 0xc + 1580 02d7 6F040000 .4byte .LASF42 + 1581 02db 01 .byte 0x1 + 1582 02dc F4 .byte 0xf4 + 1583 02dd A5000000 .4byte 0xa5 + 1584 02e1 01010000 .4byte .LLST8 + 1585 02e5 0C .uleb128 0xc + 1586 02e6 D9000000 .4byte .LASF36 + 1587 02ea 01 .byte 0x1 + 1588 02eb F5 .byte 0xf5 + 1589 02ec 6F000000 .4byte 0x6f + 1590 02f0 38010000 .4byte .LLST9 + 1591 02f4 10 .uleb128 0x10 + 1592 02f5 14000000 .4byte .LVL11 + 1593 02f9 99090000 .4byte 0x999 + 1594 02fd 10 .uleb128 0x10 + 1595 02fe 22000000 .4byte .LVL12 + 1596 0302 A7090000 .4byte 0x9a7 + 1597 0306 00 .byte 0 + 1598 0307 11 .uleb128 0x11 + 1599 0308 01 .byte 0x1 + 1600 0309 58000000 .4byte .LASF43 + 1601 030d 01 .byte 0x1 + 1602 030e 3001 .2byte 0x130 + 1603 0310 01 .byte 0x1 + 1604 0311 A5000000 .4byte 0xa5 + 1605 0315 00000000 .4byte .LFB6 + 1606 0319 34000000 .4byte .LFE6 + 1607 031d 02 .byte 0x2 + 1608 031e 7D .byte 0x7d + 1609 031f 00 .sleb128 0 + 1610 0320 01 .byte 0x1 + 1611 0321 56030000 .4byte 0x356 + 1612 0325 12 .uleb128 0x12 + 1613 0326 A2030000 .4byte .LASF41 + 1614 032a 01 .byte 0x1 + 1615 032b 3001 .2byte 0x130 + 1616 032d 6F000000 .4byte 0x6f + 1617 0331 4B010000 .4byte .LLST10 + 1618 0335 12 .uleb128 0x12 + 1619 0336 1F040000 .4byte .LASF44 + 1620 033a 01 .byte 0x1 + 1621 033b 3001 .2byte 0x130 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 50 + + + 1622 033d 6F000000 .4byte 0x6f + 1623 0341 85010000 .4byte .LLST11 + 1624 0345 13 .uleb128 0x13 + 1625 0346 6F040000 .4byte .LASF42 + 1626 034a 01 .byte 0x1 + 1627 034b 3201 .2byte 0x132 + 1628 034d A5000000 .4byte 0xa5 + 1629 0351 CA010000 .4byte .LLST12 + 1630 0355 00 .byte 0 + 1631 0356 11 .uleb128 0x11 + 1632 0357 01 .byte 0x1 + 1633 0358 C1030000 .4byte .LASF45 + 1634 035c 01 .byte 0x1 + 1635 035d 6201 .2byte 0x162 + 1636 035f 01 .byte 0x1 + 1637 0360 A5000000 .4byte 0xa5 + 1638 0364 00000000 .4byte .LFB7 + 1639 0368 24000000 .4byte .LFE7 + 1640 036c 02 .byte 0x2 + 1641 036d 7D .byte 0x7d + 1642 036e 00 .sleb128 0 + 1643 036f 01 .byte 0x1 + 1644 0370 95030000 .4byte 0x395 + 1645 0374 12 .uleb128 0x12 + 1646 0375 A2030000 .4byte .LASF41 + 1647 0379 01 .byte 0x1 + 1648 037a 6201 .2byte 0x162 + 1649 037c 6F000000 .4byte 0x6f + 1650 0380 01020000 .4byte .LLST13 + 1651 0384 13 .uleb128 0x13 + 1652 0385 6F040000 .4byte .LASF42 + 1653 0389 01 .byte 0x1 + 1654 038a 6401 .2byte 0x164 + 1655 038c A5000000 .4byte 0xa5 + 1656 0390 3B020000 .4byte .LLST14 + 1657 0394 00 .byte 0 + 1658 0395 11 .uleb128 0x11 + 1659 0396 01 .byte 0x1 + 1660 0397 CE020000 .4byte .LASF46 + 1661 039b 01 .byte 0x1 + 1662 039c 8901 .2byte 0x189 + 1663 039e 01 .byte 0x1 + 1664 039f A5000000 .4byte 0xa5 + 1665 03a3 00000000 .4byte .LFB8 + 1666 03a7 28000000 .4byte .LFE8 + 1667 03ab 02 .byte 0x2 + 1668 03ac 7D .byte 0x7d + 1669 03ad 00 .sleb128 0 + 1670 03ae 01 .byte 0x1 + 1671 03af D4030000 .4byte 0x3d4 + 1672 03b3 12 .uleb128 0x12 + 1673 03b4 A2030000 .4byte .LASF41 + 1674 03b8 01 .byte 0x1 + 1675 03b9 8901 .2byte 0x189 + 1676 03bb 6F000000 .4byte 0x6f + 1677 03bf 72020000 .4byte .LLST15 + 1678 03c3 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 51 + + + 1679 03c4 6F040000 .4byte .LASF42 + 1680 03c8 01 .byte 0x1 + 1681 03c9 8B01 .2byte 0x18b + 1682 03cb A5000000 .4byte 0xa5 + 1683 03cf AC020000 .4byte .LLST16 + 1684 03d3 00 .byte 0 + 1685 03d4 11 .uleb128 0x11 + 1686 03d5 01 .byte 0x1 + 1687 03d6 8C010000 .4byte .LASF47 + 1688 03da 01 .byte 0x1 + 1689 03db AD01 .2byte 0x1ad + 1690 03dd 01 .byte 0x1 + 1691 03de A5000000 .4byte 0xa5 + 1692 03e2 00000000 .4byte .LFB9 + 1693 03e6 24000000 .4byte .LFE9 + 1694 03ea 02 .byte 0x2 + 1695 03eb 7D .byte 0x7d + 1696 03ec 00 .sleb128 0 + 1697 03ed 01 .byte 0x1 + 1698 03ee 33040000 .4byte 0x433 + 1699 03f2 12 .uleb128 0x12 + 1700 03f3 A2030000 .4byte .LASF41 + 1701 03f7 01 .byte 0x1 + 1702 03f8 AD01 .2byte 0x1ad + 1703 03fa 6F000000 .4byte 0x6f + 1704 03fe E3020000 .4byte .LLST17 + 1705 0402 12 .uleb128 0x12 + 1706 0403 EC030000 .4byte .LASF48 + 1707 0407 01 .byte 0x1 + 1708 0408 AD01 .2byte 0x1ad + 1709 040a 6F000000 .4byte 0x6f + 1710 040e 1D030000 .4byte .LLST18 + 1711 0412 13 .uleb128 0x13 + 1712 0413 7A010000 .4byte .LASF49 + 1713 0417 01 .byte 0x1 + 1714 0418 AF01 .2byte 0x1af + 1715 041a 6F000000 .4byte 0x6f + 1716 041e 49030000 .4byte .LLST19 + 1717 0422 13 .uleb128 0x13 + 1718 0423 6F040000 .4byte .LASF42 + 1719 0427 01 .byte 0x1 + 1720 0428 B001 .2byte 0x1b0 + 1721 042a A5000000 .4byte 0xa5 + 1722 042e 61030000 .4byte .LLST20 + 1723 0432 00 .byte 0 + 1724 0433 11 .uleb128 0x11 + 1725 0434 01 .byte 0x1 + 1726 0435 F0010000 .4byte .LASF50 + 1727 0439 01 .byte 0x1 + 1728 043a D601 .2byte 0x1d6 + 1729 043c 01 .byte 0x1 + 1730 043d A5000000 .4byte 0xa5 + 1731 0441 00000000 .4byte .LFB10 + 1732 0445 2E000000 .4byte .LFE10 + 1733 0449 02 .byte 0x2 + 1734 044a 7D .byte 0x7d + 1735 044b 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 52 + + + 1736 044c 01 .byte 0x1 + 1737 044d A2040000 .4byte 0x4a2 + 1738 0451 12 .uleb128 0x12 + 1739 0452 A2030000 .4byte .LASF41 + 1740 0456 01 .byte 0x1 + 1741 0457 D601 .2byte 0x1d6 + 1742 0459 6F000000 .4byte 0x6f + 1743 045d 98030000 .4byte .LLST21 + 1744 0461 12 .uleb128 0x12 + 1745 0462 84020000 .4byte .LASF51 + 1746 0466 01 .byte 0x1 + 1747 0467 D601 .2byte 0x1d6 + 1748 0469 7A000000 .4byte 0x7a + 1749 046d D2030000 .4byte .LLST22 + 1750 0471 12 .uleb128 0x12 + 1751 0472 80010000 .4byte .LASF52 + 1752 0476 01 .byte 0x1 + 1753 0477 D601 .2byte 0x1d6 + 1754 0479 7A000000 .4byte 0x7a + 1755 047d E5030000 .4byte .LLST23 + 1756 0481 13 .uleb128 0x13 + 1757 0482 6F040000 .4byte .LASF42 + 1758 0486 01 .byte 0x1 + 1759 0487 D901 .2byte 0x1d9 + 1760 0489 A5000000 .4byte 0xa5 + 1761 048d F8030000 .4byte .LLST24 + 1762 0491 13 .uleb128 0x13 + 1763 0492 2B040000 .4byte .LASF53 + 1764 0496 01 .byte 0x1 + 1765 0497 DA01 .2byte 0x1da + 1766 0499 A2040000 .4byte 0x4a2 + 1767 049d 2F040000 .4byte .LLST25 + 1768 04a1 00 .byte 0 + 1769 04a2 14 .uleb128 0x14 + 1770 04a3 04 .byte 0x4 + 1771 04a4 B0000000 .4byte 0xb0 + 1772 04a8 11 .uleb128 0x11 + 1773 04a9 01 .byte 0x1 + 1774 04aa 90040000 .4byte .LASF54 + 1775 04ae 01 .byte 0x1 + 1776 04af 1102 .2byte 0x211 + 1777 04b1 01 .byte 0x1 + 1778 04b2 A5000000 .4byte 0xa5 + 1779 04b6 00000000 .4byte .LFB11 + 1780 04ba 18000000 .4byte .LFE11 + 1781 04be 02 .byte 0x2 + 1782 04bf 7D .byte 0x7d + 1783 04c0 00 .sleb128 0 + 1784 04c1 01 .byte 0x1 + 1785 04c2 F5040000 .4byte 0x4f5 + 1786 04c6 12 .uleb128 0x12 + 1787 04c7 A2030000 .4byte .LASF41 + 1788 04cb 01 .byte 0x1 + 1789 04cc 1102 .2byte 0x211 + 1790 04ce 6F000000 .4byte 0x6f + 1791 04d2 60040000 .4byte .LLST26 + 1792 04d6 15 .uleb128 0x15 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 53 + + + 1793 04d7 3E040000 .4byte .LASF55 + 1794 04db 01 .byte 0x1 + 1795 04dc 1102 .2byte 0x211 + 1796 04de 6F000000 .4byte 0x6f + 1797 04e2 01 .byte 0x1 + 1798 04e3 51 .byte 0x51 + 1799 04e4 13 .uleb128 0x13 + 1800 04e5 6F040000 .4byte .LASF42 + 1801 04e9 01 .byte 0x1 + 1802 04ea 1302 .2byte 0x213 + 1803 04ec A5000000 .4byte 0xa5 + 1804 04f0 9A040000 .4byte .LLST27 + 1805 04f4 00 .byte 0 + 1806 04f5 11 .uleb128 0x11 + 1807 04f6 01 .byte 0x1 + 1808 04f7 62030000 .4byte .LASF56 + 1809 04fb 01 .byte 0x1 + 1810 04fc 3702 .2byte 0x237 + 1811 04fe 01 .byte 0x1 + 1812 04ff A5000000 .4byte 0xa5 + 1813 0503 00000000 .4byte .LFB12 + 1814 0507 24000000 .4byte .LFE12 + 1815 050b 02 .byte 0x2 + 1816 050c 7D .byte 0x7d + 1817 050d 00 .sleb128 0 + 1818 050e 01 .byte 0x1 + 1819 050f 44050000 .4byte 0x544 + 1820 0513 12 .uleb128 0x12 + 1821 0514 A2030000 .4byte .LASF41 + 1822 0518 01 .byte 0x1 + 1823 0519 3702 .2byte 0x237 + 1824 051b 6F000000 .4byte 0x6f + 1825 051f D1040000 .4byte .LLST28 + 1826 0523 12 .uleb128 0x12 + 1827 0524 88040000 .4byte .LASF57 + 1828 0528 01 .byte 0x1 + 1829 0529 3702 .2byte 0x237 + 1830 052b 6F000000 .4byte 0x6f + 1831 052f 0B050000 .4byte .LLST29 + 1832 0533 13 .uleb128 0x13 + 1833 0534 6F040000 .4byte .LASF42 + 1834 0538 01 .byte 0x1 + 1835 0539 3902 .2byte 0x239 + 1836 053b A5000000 .4byte 0xa5 + 1837 053f 37050000 .4byte .LLST30 + 1838 0543 00 .byte 0 + 1839 0544 11 .uleb128 0x11 + 1840 0545 01 .byte 0x1 + 1841 0546 76040000 .4byte .LASF58 + 1842 054a 01 .byte 0x1 + 1843 054b 5802 .2byte 0x258 + 1844 054d 01 .byte 0x1 + 1845 054e A5000000 .4byte 0xa5 + 1846 0552 00000000 .4byte .LFB13 + 1847 0556 1C000000 .4byte .LFE13 + 1848 055a 02 .byte 0x2 + 1849 055b 7D .byte 0x7d + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 54 + + + 1850 055c 00 .sleb128 0 + 1851 055d 01 .byte 0x1 + 1852 055e 83050000 .4byte 0x583 + 1853 0562 12 .uleb128 0x12 + 1854 0563 A2030000 .4byte .LASF41 + 1855 0567 01 .byte 0x1 + 1856 0568 5802 .2byte 0x258 + 1857 056a 6F000000 .4byte 0x6f + 1858 056e 6E050000 .4byte .LLST31 + 1859 0572 13 .uleb128 0x13 + 1860 0573 6F040000 .4byte .LASF42 + 1861 0577 01 .byte 0x1 + 1862 0578 5A02 .2byte 0x25a + 1863 057a A5000000 .4byte 0xa5 + 1864 057e A8050000 .4byte .LLST32 + 1865 0582 00 .byte 0 + 1866 0583 16 .uleb128 0x16 + 1867 0584 01 .byte 0x1 + 1868 0585 B3030000 .4byte .LASF59 + 1869 0589 01 .byte 0x1 + 1870 058a 8A02 .2byte 0x28a + 1871 058c 01 .byte 0x1 + 1872 058d A5000000 .4byte 0xa5 + 1873 0591 00000000 .4byte .LFB14 + 1874 0595 30000000 .4byte .LFE14 + 1875 0599 E0050000 .4byte .LLST33 + 1876 059d 01 .byte 0x1 + 1877 059e DE050000 .4byte 0x5de + 1878 05a2 12 .uleb128 0x12 + 1879 05a3 A2030000 .4byte .LASF41 + 1880 05a7 01 .byte 0x1 + 1881 05a8 8A02 .2byte 0x28a + 1882 05aa 6F000000 .4byte 0x6f + 1883 05ae 00060000 .4byte .LLST34 + 1884 05b2 12 .uleb128 0x12 + 1885 05b3 DD030000 .4byte .LASF60 + 1886 05b7 01 .byte 0x1 + 1887 05b8 8A02 .2byte 0x28a + 1888 05ba DE050000 .4byte 0x5de + 1889 05be 3A060000 .4byte .LLST35 + 1890 05c2 15 .uleb128 0x15 + 1891 05c3 03010000 .4byte .LASF61 + 1892 05c7 01 .byte 0x1 + 1893 05c8 8A02 .2byte 0x28a + 1894 05ca DE050000 .4byte 0x5de + 1895 05ce 01 .byte 0x1 + 1896 05cf 52 .byte 0x52 + 1897 05d0 17 .uleb128 0x17 + 1898 05d1 6F040000 .4byte .LASF42 + 1899 05d5 01 .byte 0x1 + 1900 05d6 8C02 .2byte 0x28c + 1901 05d8 A5000000 .4byte 0xa5 + 1902 05dc 01 .byte 0x1 + 1903 05dd 00 .byte 0 + 1904 05de 14 .uleb128 0x14 + 1905 05df 04 .byte 0x4 + 1906 05e0 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 55 + + + 1907 05e4 16 .uleb128 0x16 + 1908 05e5 01 .byte 0x1 + 1909 05e6 AD040000 .4byte .LASF62 + 1910 05ea 01 .byte 0x1 + 1911 05eb CC02 .2byte 0x2cc + 1912 05ed 01 .byte 0x1 + 1913 05ee A5000000 .4byte 0xa5 + 1914 05f2 00000000 .4byte .LFB15 + 1915 05f6 40000000 .4byte .LFE15 + 1916 05fa 66060000 .4byte .LLST36 + 1917 05fe 01 .byte 0x1 + 1918 05ff 72060000 .4byte 0x672 + 1919 0603 12 .uleb128 0x12 + 1920 0604 A2030000 .4byte .LASF41 + 1921 0608 01 .byte 0x1 + 1922 0609 CC02 .2byte 0x2cc + 1923 060b 6F000000 .4byte 0x6f + 1924 060f 86060000 .4byte .LLST37 + 1925 0613 12 .uleb128 0x12 + 1926 0614 E3020000 .4byte .LASF63 + 1927 0618 01 .byte 0x1 + 1928 0619 CC02 .2byte 0x2cc + 1929 061b 6F000000 .4byte 0x6f + 1930 061f C0060000 .4byte .LLST38 + 1931 0623 12 .uleb128 0x12 + 1932 0624 0F040000 .4byte .LASF64 + 1933 0628 01 .byte 0x1 + 1934 0629 CC02 .2byte 0x2cc + 1935 062b 6F000000 .4byte 0x6f + 1936 062f EC060000 .4byte .LLST39 + 1937 0633 12 .uleb128 0x12 + 1938 0634 12010000 .4byte .LASF65 + 1939 0638 01 .byte 0x1 + 1940 0639 CD02 .2byte 0x2cd + 1941 063b 6F000000 .4byte 0x6f + 1942 063f 18070000 .4byte .LLST40 + 1943 0643 15 .uleb128 0x15 + 1944 0644 1A010000 .4byte .LASF66 + 1945 0648 01 .byte 0x1 + 1946 0649 CD02 .2byte 0x2cd + 1947 064b 6F000000 .4byte 0x6f + 1948 064f 02 .byte 0x2 + 1949 0650 91 .byte 0x91 + 1950 0651 00 .sleb128 0 + 1951 0652 15 .uleb128 0x15 + 1952 0653 9B030000 .4byte .LASF67 + 1953 0657 01 .byte 0x1 + 1954 0658 CD02 .2byte 0x2cd + 1955 065a 6F000000 .4byte 0x6f + 1956 065e 02 .byte 0x2 + 1957 065f 91 .byte 0x91 + 1958 0660 04 .sleb128 4 + 1959 0661 13 .uleb128 0x13 + 1960 0662 6F040000 .4byte .LASF42 + 1961 0666 01 .byte 0x1 + 1962 0667 CF02 .2byte 0x2cf + 1963 0669 A5000000 .4byte 0xa5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 56 + + + 1964 066d 44070000 .4byte .LLST41 + 1965 0671 00 .byte 0 + 1966 0672 16 .uleb128 0x16 + 1967 0673 01 .byte 0x1 + 1968 0674 3C000000 .4byte .LASF68 + 1969 0678 01 .byte 0x1 + 1970 0679 F002 .2byte 0x2f0 + 1971 067b 01 .byte 0x1 + 1972 067c 6F000000 .4byte 0x6f + 1973 0680 00000000 .4byte .LFB16 + 1974 0684 34000000 .4byte .LFE16 + 1975 0688 7B070000 .4byte .LLST42 + 1976 068c 01 .byte 0x1 + 1977 068d C4060000 .4byte 0x6c4 + 1978 0691 13 .uleb128 0x13 + 1979 0692 D9000000 .4byte .LASF36 + 1980 0696 01 .byte 0x1 + 1981 0697 F202 .2byte 0x2f2 + 1982 0699 6F000000 .4byte 0x6f + 1983 069d 9B070000 .4byte .LLST43 + 1984 06a1 13 .uleb128 0x13 + 1985 06a2 C5040000 .4byte .LASF69 + 1986 06a6 01 .byte 0x1 + 1987 06a7 F302 .2byte 0x2f3 + 1988 06a9 6F000000 .4byte 0x6f + 1989 06ad AE070000 .4byte .LLST44 + 1990 06b1 10 .uleb128 0x10 + 1991 06b2 06000000 .4byte .LVL81 + 1992 06b6 99090000 .4byte 0x999 + 1993 06ba 10 .uleb128 0x10 + 1994 06bb 2A000000 .4byte .LVL85 + 1995 06bf A7090000 .4byte 0x9a7 + 1996 06c3 00 .byte 0 + 1997 06c4 18 .uleb128 0x18 + 1998 06c5 01 .byte 0x1 + 1999 06c6 4C000000 .4byte .LASF70 + 2000 06ca 01 .byte 0x1 + 2001 06cb 1A03 .2byte 0x31a + 2002 06cd 01 .byte 0x1 + 2003 06ce 00000000 .4byte .LFB17 + 2004 06d2 34000000 .4byte .LFE17 + 2005 06d6 EA070000 .4byte .LLST45 + 2006 06da 01 .byte 0x1 + 2007 06db 19070000 .4byte 0x719 + 2008 06df 12 .uleb128 0x12 + 2009 06e0 B6000000 .4byte .LASF71 + 2010 06e4 01 .byte 0x1 + 2011 06e5 1A03 .2byte 0x31a + 2012 06e7 6F000000 .4byte 0x6f + 2013 06eb 0A080000 .4byte .LLST46 + 2014 06ef 19 .uleb128 0x19 + 2015 06f0 00000000 .4byte .Ldebug_ranges0+0 + 2016 06f4 13 .uleb128 0x13 + 2017 06f5 D9000000 .4byte .LASF36 + 2018 06f9 01 .byte 0x1 + 2019 06fa 1F03 .2byte 0x31f + 2020 06fc 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 57 + + + 2021 0700 36080000 .4byte .LLST47 + 2022 0704 10 .uleb128 0x10 + 2023 0705 0C000000 .4byte .LVL87 + 2024 0709 99090000 .4byte 0x999 + 2025 070d 1A .uleb128 0x1a + 2026 070e 2C000000 .4byte .LVL88 + 2027 0712 01 .byte 0x1 + 2028 0713 A7090000 .4byte 0x9a7 + 2029 0717 00 .byte 0 + 2030 0718 00 .byte 0 + 2031 0719 1B .uleb128 0x1b + 2032 071a 01 .byte 0x1 + 2033 071b 66000000 .4byte .LASF72 + 2034 071f 01 .byte 0x1 + 2035 0720 3E03 .2byte 0x33e + 2036 0722 01 .byte 0x1 + 2037 0723 6F000000 .4byte 0x6f + 2038 0727 00000000 .4byte .LFB18 + 2039 072b 10000000 .4byte .LFE18 + 2040 072f 02 .byte 0x2 + 2041 0730 7D .byte 0x7d + 2042 0731 00 .sleb128 0 + 2043 0732 01 .byte 0x1 + 2044 0733 16 .uleb128 0x16 + 2045 0734 01 .byte 0x1 + 2046 0735 9E000000 .4byte .LASF73 + 2047 0739 01 .byte 0x1 + 2048 073a 7B03 .2byte 0x37b + 2049 073c 01 .byte 0x1 + 2050 073d A5000000 .4byte 0xa5 + 2051 0741 00000000 .4byte .LFB19 + 2052 0745 26000000 .4byte .LFE19 + 2053 0749 49080000 .4byte .LLST48 + 2054 074d 01 .byte 0x1 + 2055 074e B7070000 .4byte 0x7b7 + 2056 0752 12 .uleb128 0x12 + 2057 0753 B6000000 .4byte .LASF71 + 2058 0757 01 .byte 0x1 + 2059 0758 7B03 .2byte 0x37b + 2060 075a 6F000000 .4byte 0x6f + 2061 075e 69080000 .4byte .LLST49 + 2062 0762 15 .uleb128 0x15 + 2063 0763 57040000 .4byte .LASF74 + 2064 0767 01 .byte 0x1 + 2065 0768 7B03 .2byte 0x37b + 2066 076a 7A000000 .4byte 0x7a + 2067 076e 01 .byte 0x1 + 2068 076f 51 .byte 0x51 + 2069 0770 15 .uleb128 0x15 + 2070 0771 5B030000 .4byte .LASF75 + 2071 0775 01 .byte 0x1 + 2072 0776 7B03 .2byte 0x37b + 2073 0778 6F000000 .4byte 0x6f + 2074 077c 01 .byte 0x1 + 2075 077d 52 .byte 0x52 + 2076 077e 15 .uleb128 0x15 + 2077 077f 3C020000 .4byte .LASF76 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 58 + + + 2078 0783 01 .byte 0x1 + 2079 0784 7B03 .2byte 0x37b + 2080 0786 6F000000 .4byte 0x6f + 2081 078a 01 .byte 0x1 + 2082 078b 53 .byte 0x53 + 2083 078c 13 .uleb128 0x13 + 2084 078d 6F040000 .4byte .LASF42 + 2085 0791 01 .byte 0x1 + 2086 0792 7E03 .2byte 0x37e + 2087 0794 A5000000 .4byte 0xa5 + 2088 0798 A3080000 .4byte .LLST50 + 2089 079c 1C .uleb128 0x1c + 2090 079d 0E000000 .4byte .LBB4 + 2091 07a1 22000000 .4byte .LBE4 + 2092 07a5 13 .uleb128 0x13 + 2093 07a6 2B040000 .4byte .LASF53 + 2094 07aa 01 .byte 0x1 + 2095 07ab 8303 .2byte 0x383 + 2096 07ad A2040000 .4byte 0x4a2 + 2097 07b1 DA080000 .4byte .LLST51 + 2098 07b5 00 .byte 0 + 2099 07b6 00 .byte 0 + 2100 07b7 16 .uleb128 0x16 + 2101 07b8 01 .byte 0x1 + 2102 07b9 43030000 .4byte .LASF77 + 2103 07bd 01 .byte 0x1 + 2104 07be B703 .2byte 0x3b7 + 2105 07c0 01 .byte 0x1 + 2106 07c1 A5000000 .4byte 0xa5 + 2107 07c5 00000000 .4byte .LFB20 + 2108 07c9 44000000 .4byte .LFE20 + 2109 07cd ED080000 .4byte .LLST52 + 2110 07d1 01 .byte 0x1 + 2111 07d2 3C080000 .4byte 0x83c + 2112 07d6 12 .uleb128 0x12 + 2113 07d7 B6000000 .4byte .LASF71 + 2114 07db 01 .byte 0x1 + 2115 07dc B703 .2byte 0x3b7 + 2116 07de 6F000000 .4byte 0x6f + 2117 07e2 0D090000 .4byte .LLST53 + 2118 07e6 12 .uleb128 0x12 + 2119 07e7 57040000 .4byte .LASF74 + 2120 07eb 01 .byte 0x1 + 2121 07ec B703 .2byte 0x3b7 + 2122 07ee 3C080000 .4byte 0x83c + 2123 07f2 47090000 .4byte .LLST54 + 2124 07f6 12 .uleb128 0x12 + 2125 07f7 5B030000 .4byte .LASF75 + 2126 07fb 01 .byte 0x1 + 2127 07fc B703 .2byte 0x3b7 + 2128 07fe DE050000 .4byte 0x5de + 2129 0802 73090000 .4byte .LLST55 + 2130 0806 15 .uleb128 0x15 + 2131 0807 3C020000 .4byte .LASF76 + 2132 080b 01 .byte 0x1 + 2133 080c B703 .2byte 0x3b7 + 2134 080e DE050000 .4byte 0x5de + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 59 + + + 2135 0812 01 .byte 0x1 + 2136 0813 53 .byte 0x53 + 2137 0814 17 .uleb128 0x17 + 2138 0815 6F040000 .4byte .LASF42 + 2139 0819 01 .byte 0x1 + 2140 081a BA03 .2byte 0x3ba + 2141 081c A5000000 .4byte 0xa5 + 2142 0820 01 .byte 0x1 + 2143 0821 1C .uleb128 0x1c + 2144 0822 0A000000 .4byte .LBB5 + 2145 0826 1C000000 .4byte .LBE5 + 2146 082a 13 .uleb128 0x13 + 2147 082b 2B040000 .4byte .LASF53 + 2148 082f 01 .byte 0x1 + 2149 0830 C203 .2byte 0x3c2 + 2150 0832 A2040000 .4byte 0x4a2 + 2151 0836 9F090000 .4byte .LLST56 + 2152 083a 00 .byte 0 + 2153 083b 00 .byte 0 + 2154 083c 14 .uleb128 0x14 + 2155 083d 04 .byte 0x4 + 2156 083e 7A000000 .4byte 0x7a + 2157 0842 11 .uleb128 0x11 + 2158 0843 01 .byte 0x1 + 2159 0844 B3020000 .4byte .LASF78 + 2160 0848 01 .byte 0x1 + 2161 0849 F203 .2byte 0x3f2 + 2162 084b 01 .byte 0x1 + 2163 084c A5000000 .4byte 0xa5 + 2164 0850 00000000 .4byte .LFB21 + 2165 0854 1A000000 .4byte .LFE21 + 2166 0858 02 .byte 0x2 + 2167 0859 7D .byte 0x7d + 2168 085a 00 .sleb128 0 + 2169 085b 01 .byte 0x1 + 2170 085c AD080000 .4byte 0x8ad + 2171 0860 12 .uleb128 0x12 + 2172 0861 B6000000 .4byte .LASF71 + 2173 0865 01 .byte 0x1 + 2174 0866 F203 .2byte 0x3f2 + 2175 0868 6F000000 .4byte 0x6f + 2176 086c C7090000 .4byte .LLST57 + 2177 0870 15 .uleb128 0x15 + 2178 0871 84020000 .4byte .LASF51 + 2179 0875 01 .byte 0x1 + 2180 0876 F203 .2byte 0x3f2 + 2181 0878 7A000000 .4byte 0x7a + 2182 087c 01 .byte 0x1 + 2183 087d 51 .byte 0x51 + 2184 087e 15 .uleb128 0x15 + 2185 087f 80010000 .4byte .LASF52 + 2186 0883 01 .byte 0x1 + 2187 0884 F203 .2byte 0x3f2 + 2188 0886 7A000000 .4byte 0x7a + 2189 088a 01 .byte 0x1 + 2190 088b 52 .byte 0x52 + 2191 088c 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 60 + + + 2192 088d 6F040000 .4byte .LASF42 + 2193 0891 01 .byte 0x1 + 2194 0892 F403 .2byte 0x3f4 + 2195 0894 A5000000 .4byte 0xa5 + 2196 0898 010A0000 .4byte .LLST58 + 2197 089c 13 .uleb128 0x13 + 2198 089d 2B040000 .4byte .LASF53 + 2199 08a1 01 .byte 0x1 + 2200 08a2 F503 .2byte 0x3f5 + 2201 08a4 A2040000 .4byte 0x4a2 + 2202 08a8 380A0000 .4byte .LLST59 + 2203 08ac 00 .byte 0 + 2204 08ad 11 .uleb128 0x11 + 2205 08ae 01 .byte 0x1 + 2206 08af F5030000 .4byte .LASF79 + 2207 08b3 01 .byte 0x1 + 2208 08b4 2204 .2byte 0x422 + 2209 08b6 01 .byte 0x1 + 2210 08b7 A5000000 .4byte 0xa5 + 2211 08bb 00000000 .4byte .LFB22 + 2212 08bf 2C000000 .4byte .LFE22 + 2213 08c3 02 .byte 0x2 + 2214 08c4 7D .byte 0x7d + 2215 08c5 00 .sleb128 0 + 2216 08c6 01 .byte 0x1 + 2217 08c7 17090000 .4byte 0x917 + 2218 08cb 12 .uleb128 0x12 + 2219 08cc B6000000 .4byte .LASF71 + 2220 08d0 01 .byte 0x1 + 2221 08d1 2204 .2byte 0x422 + 2222 08d3 6F000000 .4byte 0x6f + 2223 08d7 690A0000 .4byte .LLST60 + 2224 08db 12 .uleb128 0x12 + 2225 08dc 84020000 .4byte .LASF51 + 2226 08e0 01 .byte 0x1 + 2227 08e1 2204 .2byte 0x422 + 2228 08e3 3C080000 .4byte 0x83c + 2229 08e7 A30A0000 .4byte .LLST61 + 2230 08eb 15 .uleb128 0x15 + 2231 08ec 80010000 .4byte .LASF52 + 2232 08f0 01 .byte 0x1 + 2233 08f1 2204 .2byte 0x422 + 2234 08f3 3C080000 .4byte 0x83c + 2235 08f7 01 .byte 0x1 + 2236 08f8 52 .byte 0x52 + 2237 08f9 17 .uleb128 0x17 + 2238 08fa 6F040000 .4byte .LASF42 + 2239 08fe 01 .byte 0x1 + 2240 08ff 2404 .2byte 0x424 + 2241 0901 A5000000 .4byte 0xa5 + 2242 0905 01 .byte 0x1 + 2243 0906 13 .uleb128 0x13 + 2244 0907 2B040000 .4byte .LASF53 + 2245 090b 01 .byte 0x1 + 2246 090c 2504 .2byte 0x425 + 2247 090e A2040000 .4byte 0x4a2 + 2248 0912 CF0A0000 .4byte .LLST62 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 61 + + + 2249 0916 00 .byte 0 + 2250 0917 11 .uleb128 0x11 + 2251 0918 01 .byte 0x1 + 2252 0919 A1020000 .4byte .LASF80 + 2253 091d 01 .byte 0x1 + 2254 091e 5504 .2byte 0x455 + 2255 0920 01 .byte 0x1 + 2256 0921 A5000000 .4byte 0xa5 + 2257 0925 00000000 .4byte .LFB23 + 2258 0929 28000000 .4byte .LFE23 + 2259 092d 02 .byte 0x2 + 2260 092e 7D .byte 0x7d + 2261 092f 00 .sleb128 0 + 2262 0930 01 .byte 0x1 + 2263 0931 66090000 .4byte 0x966 + 2264 0935 12 .uleb128 0x12 + 2265 0936 A2030000 .4byte .LASF41 + 2266 093a 01 .byte 0x1 + 2267 093b 5504 .2byte 0x455 + 2268 093d 6F000000 .4byte 0x6f + 2269 0941 060B0000 .4byte .LLST63 + 2270 0945 12 .uleb128 0x12 + 2271 0946 E8000000 .4byte .LASF81 + 2272 094a 01 .byte 0x1 + 2273 094b 5504 .2byte 0x455 + 2274 094d 6F000000 .4byte 0x6f + 2275 0951 590B0000 .4byte .LLST64 + 2276 0955 13 .uleb128 0x13 + 2277 0956 6F040000 .4byte .LASF42 + 2278 095a 01 .byte 0x1 + 2279 095b 5704 .2byte 0x457 + 2280 095d A5000000 .4byte 0xa5 + 2281 0961 9E0B0000 .4byte .LLST65 + 2282 0965 00 .byte 0 + 2283 0966 1D .uleb128 0x1d + 2284 0967 0A020000 .4byte .LASF82 + 2285 096b 01 .byte 0x1 + 2286 096c 28 .byte 0x28 + 2287 096d 6F000000 .4byte 0x6f + 2288 0971 05 .byte 0x5 + 2289 0972 03 .byte 0x3 + 2290 0973 01000000 .4byte CyDmaTdCurrentNumber + 2291 0977 1D .uleb128 0x1d + 2292 0978 46040000 .4byte .LASF83 + 2293 097c 01 .byte 0x1 + 2294 097d 29 .byte 0x29 + 2295 097e 6F000000 .4byte 0x6f + 2296 0982 05 .byte 0x5 + 2297 0983 03 .byte 0x3 + 2298 0984 00000000 .4byte CyDmaTdFreeIndex + 2299 0988 1D .uleb128 0x1d + 2300 0989 35010000 .4byte .LASF84 + 2301 098d 01 .byte 0x1 + 2302 098e 2A .byte 0x2a + 2303 098f 85000000 .4byte 0x85 + 2304 0993 05 .byte 0x5 + 2305 0994 03 .byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 62 + + + 2306 0995 00000000 .4byte CyDmaChannels + 2307 0999 1E .uleb128 0x1e + 2308 099a 01 .byte 0x1 + 2309 099b 56020000 .4byte .LASF88 + 2310 099f 04 .byte 0x4 + 2311 09a0 7E .byte 0x7e + 2312 09a1 01 .byte 0x1 + 2313 09a2 6F000000 .4byte 0x6f + 2314 09a6 01 .byte 0x1 + 2315 09a7 1F .uleb128 0x1f + 2316 09a8 01 .byte 0x1 + 2317 09a9 07000000 .4byte .LASF89 + 2318 09ad 04 .byte 0x4 + 2319 09ae 7F .byte 0x7f + 2320 09af 01 .byte 0x1 + 2321 09b0 01 .byte 0x1 + 2322 09b1 20 .uleb128 0x20 + 2323 09b2 6F000000 .4byte 0x6f + 2324 09b6 00 .byte 0 + 2325 09b7 00 .byte 0 + 2326 .section .debug_abbrev,"",%progbits + 2327 .Ldebug_abbrev0: + 2328 0000 01 .uleb128 0x1 + 2329 0001 11 .uleb128 0x11 + 2330 0002 01 .byte 0x1 + 2331 0003 25 .uleb128 0x25 + 2332 0004 0E .uleb128 0xe + 2333 0005 13 .uleb128 0x13 + 2334 0006 0B .uleb128 0xb + 2335 0007 03 .uleb128 0x3 + 2336 0008 0E .uleb128 0xe + 2337 0009 1B .uleb128 0x1b + 2338 000a 0E .uleb128 0xe + 2339 000b 55 .uleb128 0x55 + 2340 000c 06 .uleb128 0x6 + 2341 000d 11 .uleb128 0x11 + 2342 000e 01 .uleb128 0x1 + 2343 000f 52 .uleb128 0x52 + 2344 0010 01 .uleb128 0x1 + 2345 0011 10 .uleb128 0x10 + 2346 0012 06 .uleb128 0x6 + 2347 0013 00 .byte 0 + 2348 0014 00 .byte 0 + 2349 0015 02 .uleb128 0x2 + 2350 0016 24 .uleb128 0x24 + 2351 0017 00 .byte 0 + 2352 0018 0B .uleb128 0xb + 2353 0019 0B .uleb128 0xb + 2354 001a 3E .uleb128 0x3e + 2355 001b 0B .uleb128 0xb + 2356 001c 03 .uleb128 0x3 + 2357 001d 0E .uleb128 0xe + 2358 001e 00 .byte 0 + 2359 001f 00 .byte 0 + 2360 0020 03 .uleb128 0x3 + 2361 0021 24 .uleb128 0x24 + 2362 0022 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 63 + + + 2363 0023 0B .uleb128 0xb + 2364 0024 0B .uleb128 0xb + 2365 0025 3E .uleb128 0x3e + 2366 0026 0B .uleb128 0xb + 2367 0027 03 .uleb128 0x3 + 2368 0028 08 .uleb128 0x8 + 2369 0029 00 .byte 0 + 2370 002a 00 .byte 0 + 2371 002b 04 .uleb128 0x4 + 2372 002c 16 .uleb128 0x16 + 2373 002d 00 .byte 0 + 2374 002e 03 .uleb128 0x3 + 2375 002f 0E .uleb128 0xe + 2376 0030 3A .uleb128 0x3a + 2377 0031 0B .uleb128 0xb + 2378 0032 3B .uleb128 0x3b + 2379 0033 0B .uleb128 0xb + 2380 0034 49 .uleb128 0x49 + 2381 0035 13 .uleb128 0x13 + 2382 0036 00 .byte 0 + 2383 0037 00 .byte 0 + 2384 0038 05 .uleb128 0x5 + 2385 0039 35 .uleb128 0x35 + 2386 003a 00 .byte 0 + 2387 003b 49 .uleb128 0x49 + 2388 003c 13 .uleb128 0x13 + 2389 003d 00 .byte 0 + 2390 003e 00 .byte 0 + 2391 003f 06 .uleb128 0x6 + 2392 0040 13 .uleb128 0x13 + 2393 0041 01 .byte 0x1 + 2394 0042 03 .uleb128 0x3 + 2395 0043 0E .uleb128 0xe + 2396 0044 0B .uleb128 0xb + 2397 0045 0B .uleb128 0xb + 2398 0046 3A .uleb128 0x3a + 2399 0047 0B .uleb128 0xb + 2400 0048 3B .uleb128 0x3b + 2401 0049 0B .uleb128 0xb + 2402 004a 01 .uleb128 0x1 + 2403 004b 13 .uleb128 0x13 + 2404 004c 00 .byte 0 + 2405 004d 00 .byte 0 + 2406 004e 07 .uleb128 0x7 + 2407 004f 0D .uleb128 0xd + 2408 0050 00 .byte 0 + 2409 0051 03 .uleb128 0x3 + 2410 0052 0E .uleb128 0xe + 2411 0053 3A .uleb128 0x3a + 2412 0054 0B .uleb128 0xb + 2413 0055 3B .uleb128 0x3b + 2414 0056 0B .uleb128 0xb + 2415 0057 49 .uleb128 0x49 + 2416 0058 13 .uleb128 0x13 + 2417 0059 38 .uleb128 0x38 + 2418 005a 0A .uleb128 0xa + 2419 005b 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 64 + + + 2420 005c 00 .byte 0 + 2421 005d 08 .uleb128 0x8 + 2422 005e 01 .uleb128 0x1 + 2423 005f 01 .byte 0x1 + 2424 0060 49 .uleb128 0x49 + 2425 0061 13 .uleb128 0x13 + 2426 0062 01 .uleb128 0x1 + 2427 0063 13 .uleb128 0x13 + 2428 0064 00 .byte 0 + 2429 0065 00 .byte 0 + 2430 0066 09 .uleb128 0x9 + 2431 0067 21 .uleb128 0x21 + 2432 0068 00 .byte 0 + 2433 0069 49 .uleb128 0x49 + 2434 006a 13 .uleb128 0x13 + 2435 006b 2F .uleb128 0x2f + 2436 006c 0B .uleb128 0xb + 2437 006d 00 .byte 0 + 2438 006e 00 .byte 0 + 2439 006f 0A .uleb128 0xa + 2440 0070 0D .uleb128 0xd + 2441 0071 00 .byte 0 + 2442 0072 03 .uleb128 0x3 + 2443 0073 08 .uleb128 0x8 + 2444 0074 3A .uleb128 0x3a + 2445 0075 0B .uleb128 0xb + 2446 0076 3B .uleb128 0x3b + 2447 0077 0B .uleb128 0xb + 2448 0078 49 .uleb128 0x49 + 2449 0079 13 .uleb128 0x13 + 2450 007a 38 .uleb128 0x38 + 2451 007b 0A .uleb128 0xa + 2452 007c 00 .byte 0 + 2453 007d 00 .byte 0 + 2454 007e 0B .uleb128 0xb + 2455 007f 2E .uleb128 0x2e + 2456 0080 01 .byte 0x1 + 2457 0081 3F .uleb128 0x3f + 2458 0082 0C .uleb128 0xc + 2459 0083 03 .uleb128 0x3 + 2460 0084 0E .uleb128 0xe + 2461 0085 3A .uleb128 0x3a + 2462 0086 0B .uleb128 0xb + 2463 0087 3B .uleb128 0x3b + 2464 0088 0B .uleb128 0xb + 2465 0089 27 .uleb128 0x27 + 2466 008a 0C .uleb128 0xc + 2467 008b 11 .uleb128 0x11 + 2468 008c 01 .uleb128 0x1 + 2469 008d 12 .uleb128 0x12 + 2470 008e 01 .uleb128 0x1 + 2471 008f 40 .uleb128 0x40 + 2472 0090 0A .uleb128 0xa + 2473 0091 9742 .uleb128 0x2117 + 2474 0093 0C .uleb128 0xc + 2475 0094 01 .uleb128 0x1 + 2476 0095 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 65 + + + 2477 0096 00 .byte 0 + 2478 0097 00 .byte 0 + 2479 0098 0C .uleb128 0xc + 2480 0099 34 .uleb128 0x34 + 2481 009a 00 .byte 0 + 2482 009b 03 .uleb128 0x3 + 2483 009c 0E .uleb128 0xe + 2484 009d 3A .uleb128 0x3a + 2485 009e 0B .uleb128 0xb + 2486 009f 3B .uleb128 0x3b + 2487 00a0 0B .uleb128 0xb + 2488 00a1 49 .uleb128 0x49 + 2489 00a2 13 .uleb128 0x13 + 2490 00a3 02 .uleb128 0x2 + 2491 00a4 06 .uleb128 0x6 + 2492 00a5 00 .byte 0 + 2493 00a6 00 .byte 0 + 2494 00a7 0D .uleb128 0xd + 2495 00a8 2E .uleb128 0x2e + 2496 00a9 00 .byte 0 + 2497 00aa 3F .uleb128 0x3f + 2498 00ab 0C .uleb128 0xc + 2499 00ac 03 .uleb128 0x3 + 2500 00ad 0E .uleb128 0xe + 2501 00ae 3A .uleb128 0x3a + 2502 00af 0B .uleb128 0xb + 2503 00b0 3B .uleb128 0x3b + 2504 00b1 0B .uleb128 0xb + 2505 00b2 27 .uleb128 0x27 + 2506 00b3 0C .uleb128 0xc + 2507 00b4 49 .uleb128 0x49 + 2508 00b5 13 .uleb128 0x13 + 2509 00b6 11 .uleb128 0x11 + 2510 00b7 01 .uleb128 0x1 + 2511 00b8 12 .uleb128 0x12 + 2512 00b9 01 .uleb128 0x1 + 2513 00ba 40 .uleb128 0x40 + 2514 00bb 0A .uleb128 0xa + 2515 00bc 9742 .uleb128 0x2117 + 2516 00be 0C .uleb128 0xc + 2517 00bf 00 .byte 0 + 2518 00c0 00 .byte 0 + 2519 00c1 0E .uleb128 0xe + 2520 00c2 05 .uleb128 0x5 + 2521 00c3 00 .byte 0 + 2522 00c4 03 .uleb128 0x3 + 2523 00c5 0E .uleb128 0xe + 2524 00c6 3A .uleb128 0x3a + 2525 00c7 0B .uleb128 0xb + 2526 00c8 3B .uleb128 0x3b + 2527 00c9 0B .uleb128 0xb + 2528 00ca 49 .uleb128 0x49 + 2529 00cb 13 .uleb128 0x13 + 2530 00cc 02 .uleb128 0x2 + 2531 00cd 06 .uleb128 0x6 + 2532 00ce 00 .byte 0 + 2533 00cf 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 66 + + + 2534 00d0 0F .uleb128 0xf + 2535 00d1 2E .uleb128 0x2e + 2536 00d2 01 .byte 0x1 + 2537 00d3 3F .uleb128 0x3f + 2538 00d4 0C .uleb128 0xc + 2539 00d5 03 .uleb128 0x3 + 2540 00d6 0E .uleb128 0xe + 2541 00d7 3A .uleb128 0x3a + 2542 00d8 0B .uleb128 0xb + 2543 00d9 3B .uleb128 0x3b + 2544 00da 0B .uleb128 0xb + 2545 00db 27 .uleb128 0x27 + 2546 00dc 0C .uleb128 0xc + 2547 00dd 49 .uleb128 0x49 + 2548 00de 13 .uleb128 0x13 + 2549 00df 11 .uleb128 0x11 + 2550 00e0 01 .uleb128 0x1 + 2551 00e1 12 .uleb128 0x12 + 2552 00e2 01 .uleb128 0x1 + 2553 00e3 40 .uleb128 0x40 + 2554 00e4 06 .uleb128 0x6 + 2555 00e5 9742 .uleb128 0x2117 + 2556 00e7 0C .uleb128 0xc + 2557 00e8 01 .uleb128 0x1 + 2558 00e9 13 .uleb128 0x13 + 2559 00ea 00 .byte 0 + 2560 00eb 00 .byte 0 + 2561 00ec 10 .uleb128 0x10 + 2562 00ed 898201 .uleb128 0x4109 + 2563 00f0 00 .byte 0 + 2564 00f1 11 .uleb128 0x11 + 2565 00f2 01 .uleb128 0x1 + 2566 00f3 31 .uleb128 0x31 + 2567 00f4 13 .uleb128 0x13 + 2568 00f5 00 .byte 0 + 2569 00f6 00 .byte 0 + 2570 00f7 11 .uleb128 0x11 + 2571 00f8 2E .uleb128 0x2e + 2572 00f9 01 .byte 0x1 + 2573 00fa 3F .uleb128 0x3f + 2574 00fb 0C .uleb128 0xc + 2575 00fc 03 .uleb128 0x3 + 2576 00fd 0E .uleb128 0xe + 2577 00fe 3A .uleb128 0x3a + 2578 00ff 0B .uleb128 0xb + 2579 0100 3B .uleb128 0x3b + 2580 0101 05 .uleb128 0x5 + 2581 0102 27 .uleb128 0x27 + 2582 0103 0C .uleb128 0xc + 2583 0104 49 .uleb128 0x49 + 2584 0105 13 .uleb128 0x13 + 2585 0106 11 .uleb128 0x11 + 2586 0107 01 .uleb128 0x1 + 2587 0108 12 .uleb128 0x12 + 2588 0109 01 .uleb128 0x1 + 2589 010a 40 .uleb128 0x40 + 2590 010b 0A .uleb128 0xa + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 67 + + + 2591 010c 9742 .uleb128 0x2117 + 2592 010e 0C .uleb128 0xc + 2593 010f 01 .uleb128 0x1 + 2594 0110 13 .uleb128 0x13 + 2595 0111 00 .byte 0 + 2596 0112 00 .byte 0 + 2597 0113 12 .uleb128 0x12 + 2598 0114 05 .uleb128 0x5 + 2599 0115 00 .byte 0 + 2600 0116 03 .uleb128 0x3 + 2601 0117 0E .uleb128 0xe + 2602 0118 3A .uleb128 0x3a + 2603 0119 0B .uleb128 0xb + 2604 011a 3B .uleb128 0x3b + 2605 011b 05 .uleb128 0x5 + 2606 011c 49 .uleb128 0x49 + 2607 011d 13 .uleb128 0x13 + 2608 011e 02 .uleb128 0x2 + 2609 011f 06 .uleb128 0x6 + 2610 0120 00 .byte 0 + 2611 0121 00 .byte 0 + 2612 0122 13 .uleb128 0x13 + 2613 0123 34 .uleb128 0x34 + 2614 0124 00 .byte 0 + 2615 0125 03 .uleb128 0x3 + 2616 0126 0E .uleb128 0xe + 2617 0127 3A .uleb128 0x3a + 2618 0128 0B .uleb128 0xb + 2619 0129 3B .uleb128 0x3b + 2620 012a 05 .uleb128 0x5 + 2621 012b 49 .uleb128 0x49 + 2622 012c 13 .uleb128 0x13 + 2623 012d 02 .uleb128 0x2 + 2624 012e 06 .uleb128 0x6 + 2625 012f 00 .byte 0 + 2626 0130 00 .byte 0 + 2627 0131 14 .uleb128 0x14 + 2628 0132 0F .uleb128 0xf + 2629 0133 00 .byte 0 + 2630 0134 0B .uleb128 0xb + 2631 0135 0B .uleb128 0xb + 2632 0136 49 .uleb128 0x49 + 2633 0137 13 .uleb128 0x13 + 2634 0138 00 .byte 0 + 2635 0139 00 .byte 0 + 2636 013a 15 .uleb128 0x15 + 2637 013b 05 .uleb128 0x5 + 2638 013c 00 .byte 0 + 2639 013d 03 .uleb128 0x3 + 2640 013e 0E .uleb128 0xe + 2641 013f 3A .uleb128 0x3a + 2642 0140 0B .uleb128 0xb + 2643 0141 3B .uleb128 0x3b + 2644 0142 05 .uleb128 0x5 + 2645 0143 49 .uleb128 0x49 + 2646 0144 13 .uleb128 0x13 + 2647 0145 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 68 + + + 2648 0146 0A .uleb128 0xa + 2649 0147 00 .byte 0 + 2650 0148 00 .byte 0 + 2651 0149 16 .uleb128 0x16 + 2652 014a 2E .uleb128 0x2e + 2653 014b 01 .byte 0x1 + 2654 014c 3F .uleb128 0x3f + 2655 014d 0C .uleb128 0xc + 2656 014e 03 .uleb128 0x3 + 2657 014f 0E .uleb128 0xe + 2658 0150 3A .uleb128 0x3a + 2659 0151 0B .uleb128 0xb + 2660 0152 3B .uleb128 0x3b + 2661 0153 05 .uleb128 0x5 + 2662 0154 27 .uleb128 0x27 + 2663 0155 0C .uleb128 0xc + 2664 0156 49 .uleb128 0x49 + 2665 0157 13 .uleb128 0x13 + 2666 0158 11 .uleb128 0x11 + 2667 0159 01 .uleb128 0x1 + 2668 015a 12 .uleb128 0x12 + 2669 015b 01 .uleb128 0x1 + 2670 015c 40 .uleb128 0x40 + 2671 015d 06 .uleb128 0x6 + 2672 015e 9742 .uleb128 0x2117 + 2673 0160 0C .uleb128 0xc + 2674 0161 01 .uleb128 0x1 + 2675 0162 13 .uleb128 0x13 + 2676 0163 00 .byte 0 + 2677 0164 00 .byte 0 + 2678 0165 17 .uleb128 0x17 + 2679 0166 34 .uleb128 0x34 + 2680 0167 00 .byte 0 + 2681 0168 03 .uleb128 0x3 + 2682 0169 0E .uleb128 0xe + 2683 016a 3A .uleb128 0x3a + 2684 016b 0B .uleb128 0xb + 2685 016c 3B .uleb128 0x3b + 2686 016d 05 .uleb128 0x5 + 2687 016e 49 .uleb128 0x49 + 2688 016f 13 .uleb128 0x13 + 2689 0170 1C .uleb128 0x1c + 2690 0171 0B .uleb128 0xb + 2691 0172 00 .byte 0 + 2692 0173 00 .byte 0 + 2693 0174 18 .uleb128 0x18 + 2694 0175 2E .uleb128 0x2e + 2695 0176 01 .byte 0x1 + 2696 0177 3F .uleb128 0x3f + 2697 0178 0C .uleb128 0xc + 2698 0179 03 .uleb128 0x3 + 2699 017a 0E .uleb128 0xe + 2700 017b 3A .uleb128 0x3a + 2701 017c 0B .uleb128 0xb + 2702 017d 3B .uleb128 0x3b + 2703 017e 05 .uleb128 0x5 + 2704 017f 27 .uleb128 0x27 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 69 + + + 2705 0180 0C .uleb128 0xc + 2706 0181 11 .uleb128 0x11 + 2707 0182 01 .uleb128 0x1 + 2708 0183 12 .uleb128 0x12 + 2709 0184 01 .uleb128 0x1 + 2710 0185 40 .uleb128 0x40 + 2711 0186 06 .uleb128 0x6 + 2712 0187 9742 .uleb128 0x2117 + 2713 0189 0C .uleb128 0xc + 2714 018a 01 .uleb128 0x1 + 2715 018b 13 .uleb128 0x13 + 2716 018c 00 .byte 0 + 2717 018d 00 .byte 0 + 2718 018e 19 .uleb128 0x19 + 2719 018f 0B .uleb128 0xb + 2720 0190 01 .byte 0x1 + 2721 0191 55 .uleb128 0x55 + 2722 0192 06 .uleb128 0x6 + 2723 0193 00 .byte 0 + 2724 0194 00 .byte 0 + 2725 0195 1A .uleb128 0x1a + 2726 0196 898201 .uleb128 0x4109 + 2727 0199 00 .byte 0 + 2728 019a 11 .uleb128 0x11 + 2729 019b 01 .uleb128 0x1 + 2730 019c 9542 .uleb128 0x2115 + 2731 019e 0C .uleb128 0xc + 2732 019f 31 .uleb128 0x31 + 2733 01a0 13 .uleb128 0x13 + 2734 01a1 00 .byte 0 + 2735 01a2 00 .byte 0 + 2736 01a3 1B .uleb128 0x1b + 2737 01a4 2E .uleb128 0x2e + 2738 01a5 00 .byte 0 + 2739 01a6 3F .uleb128 0x3f + 2740 01a7 0C .uleb128 0xc + 2741 01a8 03 .uleb128 0x3 + 2742 01a9 0E .uleb128 0xe + 2743 01aa 3A .uleb128 0x3a + 2744 01ab 0B .uleb128 0xb + 2745 01ac 3B .uleb128 0x3b + 2746 01ad 05 .uleb128 0x5 + 2747 01ae 27 .uleb128 0x27 + 2748 01af 0C .uleb128 0xc + 2749 01b0 49 .uleb128 0x49 + 2750 01b1 13 .uleb128 0x13 + 2751 01b2 11 .uleb128 0x11 + 2752 01b3 01 .uleb128 0x1 + 2753 01b4 12 .uleb128 0x12 + 2754 01b5 01 .uleb128 0x1 + 2755 01b6 40 .uleb128 0x40 + 2756 01b7 0A .uleb128 0xa + 2757 01b8 9742 .uleb128 0x2117 + 2758 01ba 0C .uleb128 0xc + 2759 01bb 00 .byte 0 + 2760 01bc 00 .byte 0 + 2761 01bd 1C .uleb128 0x1c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 70 + + + 2762 01be 0B .uleb128 0xb + 2763 01bf 01 .byte 0x1 + 2764 01c0 11 .uleb128 0x11 + 2765 01c1 01 .uleb128 0x1 + 2766 01c2 12 .uleb128 0x12 + 2767 01c3 01 .uleb128 0x1 + 2768 01c4 00 .byte 0 + 2769 01c5 00 .byte 0 + 2770 01c6 1D .uleb128 0x1d + 2771 01c7 34 .uleb128 0x34 + 2772 01c8 00 .byte 0 + 2773 01c9 03 .uleb128 0x3 + 2774 01ca 0E .uleb128 0xe + 2775 01cb 3A .uleb128 0x3a + 2776 01cc 0B .uleb128 0xb + 2777 01cd 3B .uleb128 0x3b + 2778 01ce 0B .uleb128 0xb + 2779 01cf 49 .uleb128 0x49 + 2780 01d0 13 .uleb128 0x13 + 2781 01d1 02 .uleb128 0x2 + 2782 01d2 0A .uleb128 0xa + 2783 01d3 00 .byte 0 + 2784 01d4 00 .byte 0 + 2785 01d5 1E .uleb128 0x1e + 2786 01d6 2E .uleb128 0x2e + 2787 01d7 00 .byte 0 + 2788 01d8 3F .uleb128 0x3f + 2789 01d9 0C .uleb128 0xc + 2790 01da 03 .uleb128 0x3 + 2791 01db 0E .uleb128 0xe + 2792 01dc 3A .uleb128 0x3a + 2793 01dd 0B .uleb128 0xb + 2794 01de 3B .uleb128 0x3b + 2795 01df 0B .uleb128 0xb + 2796 01e0 27 .uleb128 0x27 + 2797 01e1 0C .uleb128 0xc + 2798 01e2 49 .uleb128 0x49 + 2799 01e3 13 .uleb128 0x13 + 2800 01e4 3C .uleb128 0x3c + 2801 01e5 0C .uleb128 0xc + 2802 01e6 00 .byte 0 + 2803 01e7 00 .byte 0 + 2804 01e8 1F .uleb128 0x1f + 2805 01e9 2E .uleb128 0x2e + 2806 01ea 01 .byte 0x1 + 2807 01eb 3F .uleb128 0x3f + 2808 01ec 0C .uleb128 0xc + 2809 01ed 03 .uleb128 0x3 + 2810 01ee 0E .uleb128 0xe + 2811 01ef 3A .uleb128 0x3a + 2812 01f0 0B .uleb128 0xb + 2813 01f1 3B .uleb128 0x3b + 2814 01f2 0B .uleb128 0xb + 2815 01f3 27 .uleb128 0x27 + 2816 01f4 0C .uleb128 0xc + 2817 01f5 3C .uleb128 0x3c + 2818 01f6 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 71 + + + 2819 01f7 00 .byte 0 + 2820 01f8 00 .byte 0 + 2821 01f9 20 .uleb128 0x20 + 2822 01fa 05 .uleb128 0x5 + 2823 01fb 00 .byte 0 + 2824 01fc 49 .uleb128 0x49 + 2825 01fd 13 .uleb128 0x13 + 2826 01fe 00 .byte 0 + 2827 01ff 00 .byte 0 + 2828 0200 00 .byte 0 + 2829 .section .debug_loc,"",%progbits + 2830 .Ldebug_loc0: + 2831 .LLST0: + 2832 0000 0C000000 .4byte .LVL0 + 2833 0004 0E000000 .4byte .LVL1 + 2834 0008 0300 .2byte 0x3 + 2835 000a 08 .byte 0x8 + 2836 000b 7F .byte 0x7f + 2837 000c 9F .byte 0x9f + 2838 000d 00000000 .4byte 0 + 2839 0011 00000000 .4byte 0 + 2840 .LLST1: + 2841 0015 00000000 .4byte .LVL2 + 2842 0019 06000000 .4byte .LVL3 + 2843 001d 0100 .2byte 0x1 + 2844 001f 50 .byte 0x50 + 2845 0020 06000000 .4byte .LVL3 + 2846 0024 10000000 .4byte .LFE2 + 2847 0028 0400 .2byte 0x4 + 2848 002a F3 .byte 0xf3 + 2849 002b 01 .uleb128 0x1 + 2850 002c 50 .byte 0x50 + 2851 002d 9F .byte 0x9f + 2852 002e 00000000 .4byte 0 + 2853 0032 00000000 .4byte 0 + 2854 .LLST2: + 2855 0036 00000000 .4byte .LFB4 + 2856 003a 02000000 .4byte .LCFI0 + 2857 003e 0200 .2byte 0x2 + 2858 0040 7D .byte 0x7d + 2859 0041 00 .sleb128 0 + 2860 0042 02000000 .4byte .LCFI0 + 2861 0046 34000000 .4byte .LFE4 + 2862 004a 0200 .2byte 0x2 + 2863 004c 7D .byte 0x7d + 2864 004d 08 .sleb128 8 + 2865 004e 00000000 .4byte 0 + 2866 0052 00000000 .4byte 0 + 2867 .LLST3: + 2868 0056 06000000 .4byte .LVL5 + 2869 005a 2B000000 .4byte .LVL9-1 + 2870 005e 0100 .2byte 0x1 + 2871 0060 50 .byte 0x50 + 2872 0061 00000000 .4byte 0 + 2873 0065 00000000 .4byte 0 + 2874 .LLST4: + 2875 0069 06000000 .4byte .LVL5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 72 + + + 2876 006d 0E000000 .4byte .LVL6 + 2877 0071 0200 .2byte 0x2 + 2878 0073 30 .byte 0x30 + 2879 0074 9F .byte 0x9f + 2880 0075 22000000 .4byte .LVL7 + 2881 0079 34000000 .4byte .LFE4 + 2882 007d 0100 .2byte 0x1 + 2883 007f 54 .byte 0x54 + 2884 0080 00000000 .4byte 0 + 2885 0084 00000000 .4byte 0 + 2886 .LLST5: + 2887 0088 00000000 .4byte .LVL4 + 2888 008c 0E000000 .4byte .LVL6 + 2889 0090 0200 .2byte 0x2 + 2890 0092 31 .byte 0x31 + 2891 0093 9F .byte 0x9f + 2892 0094 22000000 .4byte .LVL7 + 2893 0098 28000000 .4byte .LVL8 + 2894 009c 0100 .2byte 0x1 + 2895 009e 51 .byte 0x51 + 2896 009f 00000000 .4byte 0 + 2897 00a3 00000000 .4byte 0 + 2898 .LLST6: + 2899 00a7 00000000 .4byte .LFB5 + 2900 00ab 04000000 .4byte .LCFI1 + 2901 00af 0200 .2byte 0x2 + 2902 00b1 7D .byte 0x7d + 2903 00b2 00 .sleb128 0 + 2904 00b3 04000000 .4byte .LCFI1 + 2905 00b7 30000000 .4byte .LFE5 + 2906 00bb 0200 .2byte 0x2 + 2907 00bd 7D .byte 0x7d + 2908 00be 10 .sleb128 16 + 2909 00bf 00000000 .4byte 0 + 2910 00c3 00000000 .4byte 0 + 2911 .LLST7: + 2912 00c7 00000000 .4byte .LVL10 + 2913 00cb 13000000 .4byte .LVL11-1 + 2914 00cf 0100 .2byte 0x1 + 2915 00d1 50 .byte 0x50 + 2916 00d2 13000000 .4byte .LVL11-1 + 2917 00d6 26000000 .4byte .LVL13 + 2918 00da 0400 .2byte 0x4 + 2919 00dc F3 .byte 0xf3 + 2920 00dd 01 .uleb128 0x1 + 2921 00de 50 .byte 0x50 + 2922 00df 9F .byte 0x9f + 2923 00e0 26000000 .4byte .LVL13 + 2924 00e4 28000000 .4byte .LVL14 + 2925 00e8 0100 .2byte 0x1 + 2926 00ea 50 .byte 0x50 + 2927 00eb 28000000 .4byte .LVL14 + 2928 00ef 30000000 .4byte .LFE5 + 2929 00f3 0400 .2byte 0x4 + 2930 00f5 F3 .byte 0xf3 + 2931 00f6 01 .uleb128 0x1 + 2932 00f7 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 73 + + + 2933 00f8 9F .byte 0x9f + 2934 00f9 00000000 .4byte 0 + 2935 00fd 00000000 .4byte 0 + 2936 .LLST8: + 2937 0101 00000000 .4byte .LVL10 + 2938 0105 22000000 .4byte .LVL12 + 2939 0109 0200 .2byte 0x2 + 2940 010b 31 .byte 0x31 + 2941 010c 9F .byte 0x9f + 2942 010d 22000000 .4byte .LVL12 + 2943 0111 26000000 .4byte .LVL13 + 2944 0115 0200 .2byte 0x2 + 2945 0117 30 .byte 0x30 + 2946 0118 9F .byte 0x9f + 2947 0119 26000000 .4byte .LVL13 + 2948 011d 28000000 .4byte .LVL14 + 2949 0121 0200 .2byte 0x2 + 2950 0123 31 .byte 0x31 + 2951 0124 9F .byte 0x9f + 2952 0125 28000000 .4byte .LVL14 + 2953 0129 30000000 .4byte .LFE5 + 2954 012d 0100 .2byte 0x1 + 2955 012f 50 .byte 0x50 + 2956 0130 00000000 .4byte 0 + 2957 0134 00000000 .4byte 0 + 2958 .LLST9: + 2959 0138 14000000 .4byte .LVL11 + 2960 013c 21000000 .4byte .LVL12-1 + 2961 0140 0100 .2byte 0x1 + 2962 0142 50 .byte 0x50 + 2963 0143 00000000 .4byte 0 + 2964 0147 00000000 .4byte 0 + 2965 .LLST10: + 2966 014b 00000000 .4byte .LVL15 + 2967 014f 1E000000 .4byte .LVL19 + 2968 0153 0100 .2byte 0x1 + 2969 0155 50 .byte 0x50 + 2970 0156 1E000000 .4byte .LVL19 + 2971 015a 2A000000 .4byte .LVL21 + 2972 015e 0400 .2byte 0x4 + 2973 0160 F3 .byte 0xf3 + 2974 0161 01 .uleb128 0x1 + 2975 0162 50 .byte 0x50 + 2976 0163 9F .byte 0x9f + 2977 0164 2A000000 .4byte .LVL21 + 2978 0168 2C000000 .4byte .LVL22 + 2979 016c 0100 .2byte 0x1 + 2980 016e 50 .byte 0x50 + 2981 016f 2C000000 .4byte .LVL22 + 2982 0173 34000000 .4byte .LFE6 + 2983 0177 0400 .2byte 0x4 + 2984 0179 F3 .byte 0xf3 + 2985 017a 01 .uleb128 0x1 + 2986 017b 50 .byte 0x50 + 2987 017c 9F .byte 0x9f + 2988 017d 00000000 .4byte 0 + 2989 0181 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 74 + + + 2990 .LLST11: + 2991 0185 00000000 .4byte .LVL15 + 2992 0189 0C000000 .4byte .LVL16 + 2993 018d 0100 .2byte 0x1 + 2994 018f 51 .byte 0x51 + 2995 0190 0C000000 .4byte .LVL16 + 2996 0194 12000000 .4byte .LVL17 + 2997 0198 0400 .2byte 0x4 + 2998 019a F3 .byte 0xf3 + 2999 019b 01 .uleb128 0x1 + 3000 019c 51 .byte 0x51 + 3001 019d 9F .byte 0x9f + 3002 019e 12000000 .4byte .LVL17 + 3003 01a2 14000000 .4byte .LVL18 + 3004 01a6 0100 .2byte 0x1 + 3005 01a8 51 .byte 0x51 + 3006 01a9 14000000 .4byte .LVL18 + 3007 01ad 2A000000 .4byte .LVL21 + 3008 01b1 0400 .2byte 0x4 + 3009 01b3 F3 .byte 0xf3 + 3010 01b4 01 .uleb128 0x1 + 3011 01b5 51 .byte 0x51 + 3012 01b6 9F .byte 0x9f + 3013 01b7 2A000000 .4byte .LVL21 + 3014 01bb 34000000 .4byte .LFE6 + 3015 01bf 0100 .2byte 0x1 + 3016 01c1 51 .byte 0x51 + 3017 01c2 00000000 .4byte 0 + 3018 01c6 00000000 .4byte 0 + 3019 .LLST12: + 3020 01ca 00000000 .4byte .LVL15 + 3021 01ce 26000000 .4byte .LVL20 + 3022 01d2 0200 .2byte 0x2 + 3023 01d4 31 .byte 0x31 + 3024 01d5 9F .byte 0x9f + 3025 01d6 26000000 .4byte .LVL20 + 3026 01da 2A000000 .4byte .LVL21 + 3027 01de 0200 .2byte 0x2 + 3028 01e0 30 .byte 0x30 + 3029 01e1 9F .byte 0x9f + 3030 01e2 2A000000 .4byte .LVL21 + 3031 01e6 2C000000 .4byte .LVL22 + 3032 01ea 0200 .2byte 0x2 + 3033 01ec 31 .byte 0x31 + 3034 01ed 9F .byte 0x9f + 3035 01ee 2C000000 .4byte .LVL22 + 3036 01f2 34000000 .4byte .LFE6 + 3037 01f6 0100 .2byte 0x1 + 3038 01f8 50 .byte 0x50 + 3039 01f9 00000000 .4byte 0 + 3040 01fd 00000000 .4byte 0 + 3041 .LLST13: + 3042 0201 00000000 .4byte .LVL23 + 3043 0205 08000000 .4byte .LVL24 + 3044 0209 0100 .2byte 0x1 + 3045 020b 50 .byte 0x50 + 3046 020c 08000000 .4byte .LVL24 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 75 + + + 3047 0210 1C000000 .4byte .LVL26 + 3048 0214 0400 .2byte 0x4 + 3049 0216 F3 .byte 0xf3 + 3050 0217 01 .uleb128 0x1 + 3051 0218 50 .byte 0x50 + 3052 0219 9F .byte 0x9f + 3053 021a 1C000000 .4byte .LVL26 + 3054 021e 1E000000 .4byte .LVL27 + 3055 0222 0100 .2byte 0x1 + 3056 0224 50 .byte 0x50 + 3057 0225 1E000000 .4byte .LVL27 + 3058 0229 24000000 .4byte .LFE7 + 3059 022d 0400 .2byte 0x4 + 3060 022f F3 .byte 0xf3 + 3061 0230 01 .uleb128 0x1 + 3062 0231 50 .byte 0x50 + 3063 0232 9F .byte 0x9f + 3064 0233 00000000 .4byte 0 + 3065 0237 00000000 .4byte 0 + 3066 .LLST14: + 3067 023b 00000000 .4byte .LVL23 + 3068 023f 18000000 .4byte .LVL25 + 3069 0243 0200 .2byte 0x2 + 3070 0245 31 .byte 0x31 + 3071 0246 9F .byte 0x9f + 3072 0247 18000000 .4byte .LVL25 + 3073 024b 1C000000 .4byte .LVL26 + 3074 024f 0200 .2byte 0x2 + 3075 0251 30 .byte 0x30 + 3076 0252 9F .byte 0x9f + 3077 0253 1C000000 .4byte .LVL26 + 3078 0257 1E000000 .4byte .LVL27 + 3079 025b 0200 .2byte 0x2 + 3080 025d 31 .byte 0x31 + 3081 025e 9F .byte 0x9f + 3082 025f 1E000000 .4byte .LVL27 + 3083 0263 24000000 .4byte .LFE7 + 3084 0267 0100 .2byte 0x1 + 3085 0269 50 .byte 0x50 + 3086 026a 00000000 .4byte 0 + 3087 026e 00000000 .4byte 0 + 3088 .LLST15: + 3089 0272 00000000 .4byte .LVL28 + 3090 0276 08000000 .4byte .LVL29 + 3091 027a 0100 .2byte 0x1 + 3092 027c 50 .byte 0x50 + 3093 027d 08000000 .4byte .LVL29 + 3094 0281 1E000000 .4byte .LVL31 + 3095 0285 0400 .2byte 0x4 + 3096 0287 F3 .byte 0xf3 + 3097 0288 01 .uleb128 0x1 + 3098 0289 50 .byte 0x50 + 3099 028a 9F .byte 0x9f + 3100 028b 1E000000 .4byte .LVL31 + 3101 028f 20000000 .4byte .LVL32 + 3102 0293 0100 .2byte 0x1 + 3103 0295 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 76 + + + 3104 0296 20000000 .4byte .LVL32 + 3105 029a 28000000 .4byte .LFE8 + 3106 029e 0400 .2byte 0x4 + 3107 02a0 F3 .byte 0xf3 + 3108 02a1 01 .uleb128 0x1 + 3109 02a2 50 .byte 0x50 + 3110 02a3 9F .byte 0x9f + 3111 02a4 00000000 .4byte 0 + 3112 02a8 00000000 .4byte 0 + 3113 .LLST16: + 3114 02ac 00000000 .4byte .LVL28 + 3115 02b0 1A000000 .4byte .LVL30 + 3116 02b4 0200 .2byte 0x2 + 3117 02b6 31 .byte 0x31 + 3118 02b7 9F .byte 0x9f + 3119 02b8 1A000000 .4byte .LVL30 + 3120 02bc 1E000000 .4byte .LVL31 + 3121 02c0 0200 .2byte 0x2 + 3122 02c2 30 .byte 0x30 + 3123 02c3 9F .byte 0x9f + 3124 02c4 1E000000 .4byte .LVL31 + 3125 02c8 20000000 .4byte .LVL32 + 3126 02cc 0200 .2byte 0x2 + 3127 02ce 31 .byte 0x31 + 3128 02cf 9F .byte 0x9f + 3129 02d0 20000000 .4byte .LVL32 + 3130 02d4 28000000 .4byte .LFE8 + 3131 02d8 0100 .2byte 0x1 + 3132 02da 50 .byte 0x50 + 3133 02db 00000000 .4byte 0 + 3134 02df 00000000 .4byte 0 + 3135 .LLST17: + 3136 02e3 00000000 .4byte .LVL33 + 3137 02e7 08000000 .4byte .LVL34 + 3138 02eb 0100 .2byte 0x1 + 3139 02ed 50 .byte 0x50 + 3140 02ee 08000000 .4byte .LVL34 + 3141 02f2 1C000000 .4byte .LVL39 + 3142 02f6 0400 .2byte 0x4 + 3143 02f8 F3 .byte 0xf3 + 3144 02f9 01 .uleb128 0x1 + 3145 02fa 50 .byte 0x50 + 3146 02fb 9F .byte 0x9f + 3147 02fc 1C000000 .4byte .LVL39 + 3148 0300 1E000000 .4byte .LVL40 + 3149 0304 0100 .2byte 0x1 + 3150 0306 50 .byte 0x50 + 3151 0307 1E000000 .4byte .LVL40 + 3152 030b 24000000 .4byte .LFE9 + 3153 030f 0400 .2byte 0x4 + 3154 0311 F3 .byte 0xf3 + 3155 0312 01 .uleb128 0x1 + 3156 0313 50 .byte 0x50 + 3157 0314 9F .byte 0x9f + 3158 0315 00000000 .4byte 0 + 3159 0319 00000000 .4byte 0 + 3160 .LLST18: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 77 + + + 3161 031d 00000000 .4byte .LVL33 + 3162 0321 0E000000 .4byte .LVL36 + 3163 0325 0100 .2byte 0x1 + 3164 0327 51 .byte 0x51 + 3165 0328 0E000000 .4byte .LVL36 + 3166 032c 1C000000 .4byte .LVL39 + 3167 0330 0400 .2byte 0x4 + 3168 0332 F3 .byte 0xf3 + 3169 0333 01 .uleb128 0x1 + 3170 0334 51 .byte 0x51 + 3171 0335 9F .byte 0x9f + 3172 0336 1C000000 .4byte .LVL39 + 3173 033a 24000000 .4byte .LFE9 + 3174 033e 0100 .2byte 0x1 + 3175 0340 51 .byte 0x51 + 3176 0341 00000000 .4byte 0 + 3177 0345 00000000 .4byte 0 + 3178 .LLST19: + 3179 0349 0A000000 .4byte .LVL35 + 3180 034d 12000000 .4byte .LVL37 + 3181 0351 0600 .2byte 0x6 + 3182 0353 72 .byte 0x72 + 3183 0354 00 .sleb128 0 + 3184 0355 09 .byte 0x9 + 3185 0356 F1 .byte 0xf1 + 3186 0357 1A .byte 0x1a + 3187 0358 9F .byte 0x9f + 3188 0359 00000000 .4byte 0 + 3189 035d 00000000 .4byte 0 + 3190 .LLST20: + 3191 0361 00000000 .4byte .LVL33 + 3192 0365 18000000 .4byte .LVL38 + 3193 0369 0200 .2byte 0x2 + 3194 036b 31 .byte 0x31 + 3195 036c 9F .byte 0x9f + 3196 036d 18000000 .4byte .LVL38 + 3197 0371 1C000000 .4byte .LVL39 + 3198 0375 0200 .2byte 0x2 + 3199 0377 30 .byte 0x30 + 3200 0378 9F .byte 0x9f + 3201 0379 1C000000 .4byte .LVL39 + 3202 037d 1E000000 .4byte .LVL40 + 3203 0381 0200 .2byte 0x2 + 3204 0383 31 .byte 0x31 + 3205 0384 9F .byte 0x9f + 3206 0385 1E000000 .4byte .LVL40 + 3207 0389 24000000 .4byte .LFE9 + 3208 038d 0100 .2byte 0x1 + 3209 038f 50 .byte 0x50 + 3210 0390 00000000 .4byte 0 + 3211 0394 00000000 .4byte 0 + 3212 .LLST21: + 3213 0398 00000000 .4byte .LVL41 + 3214 039c 1A000000 .4byte .LVL44 + 3215 03a0 0100 .2byte 0x1 + 3216 03a2 50 .byte 0x50 + 3217 03a3 1A000000 .4byte .LVL44 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 78 + + + 3218 03a7 2A000000 .4byte .LVL49 + 3219 03ab 0400 .2byte 0x4 + 3220 03ad F3 .byte 0xf3 + 3221 03ae 01 .uleb128 0x1 + 3222 03af 50 .byte 0x50 + 3223 03b0 9F .byte 0x9f + 3224 03b1 2A000000 .4byte .LVL49 + 3225 03b5 2C000000 .4byte .LVL50 + 3226 03b9 0100 .2byte 0x1 + 3227 03bb 50 .byte 0x50 + 3228 03bc 2C000000 .4byte .LVL50 + 3229 03c0 2E000000 .4byte .LFE10 + 3230 03c4 0400 .2byte 0x4 + 3231 03c6 F3 .byte 0xf3 + 3232 03c7 01 .uleb128 0x1 + 3233 03c8 50 .byte 0x50 + 3234 03c9 9F .byte 0x9f + 3235 03ca 00000000 .4byte 0 + 3236 03ce 00000000 .4byte 0 + 3237 .LLST22: + 3238 03d2 00000000 .4byte .LVL41 + 3239 03d6 0C000000 .4byte .LVL42 + 3240 03da 0100 .2byte 0x1 + 3241 03dc 51 .byte 0x51 + 3242 03dd 00000000 .4byte 0 + 3243 03e1 00000000 .4byte 0 + 3244 .LLST23: + 3245 03e5 00000000 .4byte .LVL41 + 3246 03e9 14000000 .4byte .LVL43 + 3247 03ed 0100 .2byte 0x1 + 3248 03ef 52 .byte 0x52 + 3249 03f0 00000000 .4byte 0 + 3250 03f4 00000000 .4byte 0 + 3251 .LLST24: + 3252 03f8 00000000 .4byte .LVL41 + 3253 03fc 26000000 .4byte .LVL47 + 3254 0400 0200 .2byte 0x2 + 3255 0402 31 .byte 0x31 + 3256 0403 9F .byte 0x9f + 3257 0404 26000000 .4byte .LVL47 + 3258 0408 2A000000 .4byte .LVL49 + 3259 040c 0200 .2byte 0x2 + 3260 040e 30 .byte 0x30 + 3261 040f 9F .byte 0x9f + 3262 0410 2A000000 .4byte .LVL49 + 3263 0414 2C000000 .4byte .LVL50 + 3264 0418 0200 .2byte 0x2 + 3265 041a 31 .byte 0x31 + 3266 041b 9F .byte 0x9f + 3267 041c 2C000000 .4byte .LVL50 + 3268 0420 2E000000 .4byte .LFE10 + 3269 0424 0100 .2byte 0x1 + 3270 0426 50 .byte 0x50 + 3271 0427 00000000 .4byte 0 + 3272 042b 00000000 .4byte 0 + 3273 .LLST25: + 3274 042f 22000000 .4byte .LVL45 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 79 + + + 3275 0433 24000000 .4byte .LVL46 + 3276 0437 0300 .2byte 0x3 + 3277 0439 70 .byte 0x70 + 3278 043a 04 .sleb128 4 + 3279 043b 9F .byte 0x9f + 3280 043c 24000000 .4byte .LVL46 + 3281 0440 28000000 .4byte .LVL48 + 3282 0444 0300 .2byte 0x3 + 3283 0446 70 .byte 0x70 + 3284 0447 06 .sleb128 6 + 3285 0448 9F .byte 0x9f + 3286 0449 28000000 .4byte .LVL48 + 3287 044d 2A000000 .4byte .LVL49 + 3288 0451 0500 .2byte 0x5 + 3289 0453 73 .byte 0x73 + 3290 0454 86EC01 .sleb128 30214 + 3291 0457 9F .byte 0x9f + 3292 0458 00000000 .4byte 0 + 3293 045c 00000000 .4byte 0 + 3294 .LLST26: + 3295 0460 00000000 .4byte .LVL51 + 3296 0464 08000000 .4byte .LVL52 + 3297 0468 0100 .2byte 0x1 + 3298 046a 50 .byte 0x50 + 3299 046b 08000000 .4byte .LVL52 + 3300 046f 10000000 .4byte .LVL54 + 3301 0473 0400 .2byte 0x4 + 3302 0475 F3 .byte 0xf3 + 3303 0476 01 .uleb128 0x1 + 3304 0477 50 .byte 0x50 + 3305 0478 9F .byte 0x9f + 3306 0479 10000000 .4byte .LVL54 + 3307 047d 12000000 .4byte .LVL55 + 3308 0481 0100 .2byte 0x1 + 3309 0483 50 .byte 0x50 + 3310 0484 12000000 .4byte .LVL55 + 3311 0488 18000000 .4byte .LFE11 + 3312 048c 0400 .2byte 0x4 + 3313 048e F3 .byte 0xf3 + 3314 048f 01 .uleb128 0x1 + 3315 0490 50 .byte 0x50 + 3316 0491 9F .byte 0x9f + 3317 0492 00000000 .4byte 0 + 3318 0496 00000000 .4byte 0 + 3319 .LLST27: + 3320 049a 00000000 .4byte .LVL51 + 3321 049e 0C000000 .4byte .LVL53 + 3322 04a2 0200 .2byte 0x2 + 3323 04a4 31 .byte 0x31 + 3324 04a5 9F .byte 0x9f + 3325 04a6 0C000000 .4byte .LVL53 + 3326 04aa 10000000 .4byte .LVL54 + 3327 04ae 0200 .2byte 0x2 + 3328 04b0 30 .byte 0x30 + 3329 04b1 9F .byte 0x9f + 3330 04b2 10000000 .4byte .LVL54 + 3331 04b6 12000000 .4byte .LVL55 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 80 + + + 3332 04ba 0200 .2byte 0x2 + 3333 04bc 31 .byte 0x31 + 3334 04bd 9F .byte 0x9f + 3335 04be 12000000 .4byte .LVL55 + 3336 04c2 18000000 .4byte .LFE11 + 3337 04c6 0100 .2byte 0x1 + 3338 04c8 50 .byte 0x50 + 3339 04c9 00000000 .4byte 0 + 3340 04cd 00000000 .4byte 0 + 3341 .LLST28: + 3342 04d1 00000000 .4byte .LVL56 + 3343 04d5 08000000 .4byte .LVL57 + 3344 04d9 0100 .2byte 0x1 + 3345 04db 50 .byte 0x50 + 3346 04dc 08000000 .4byte .LVL57 + 3347 04e0 1A000000 .4byte .LVL60 + 3348 04e4 0400 .2byte 0x4 + 3349 04e6 F3 .byte 0xf3 + 3350 04e7 01 .uleb128 0x1 + 3351 04e8 50 .byte 0x50 + 3352 04e9 9F .byte 0x9f + 3353 04ea 1A000000 .4byte .LVL60 + 3354 04ee 1C000000 .4byte .LVL61 + 3355 04f2 0100 .2byte 0x1 + 3356 04f4 50 .byte 0x50 + 3357 04f5 1C000000 .4byte .LVL61 + 3358 04f9 24000000 .4byte .LFE12 + 3359 04fd 0400 .2byte 0x4 + 3360 04ff F3 .byte 0xf3 + 3361 0500 01 .uleb128 0x1 + 3362 0501 50 .byte 0x50 + 3363 0502 9F .byte 0x9f + 3364 0503 00000000 .4byte 0 + 3365 0507 00000000 .4byte 0 + 3366 .LLST29: + 3367 050b 00000000 .4byte .LVL56 + 3368 050f 10000000 .4byte .LVL58 + 3369 0513 0100 .2byte 0x1 + 3370 0515 51 .byte 0x51 + 3371 0516 10000000 .4byte .LVL58 + 3372 051a 1A000000 .4byte .LVL60 + 3373 051e 0400 .2byte 0x4 + 3374 0520 F3 .byte 0xf3 + 3375 0521 01 .uleb128 0x1 + 3376 0522 51 .byte 0x51 + 3377 0523 9F .byte 0x9f + 3378 0524 1A000000 .4byte .LVL60 + 3379 0528 24000000 .4byte .LFE12 + 3380 052c 0100 .2byte 0x1 + 3381 052e 51 .byte 0x51 + 3382 052f 00000000 .4byte 0 + 3383 0533 00000000 .4byte 0 + 3384 .LLST30: + 3385 0537 00000000 .4byte .LVL56 + 3386 053b 16000000 .4byte .LVL59 + 3387 053f 0200 .2byte 0x2 + 3388 0541 31 .byte 0x31 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 81 + + + 3389 0542 9F .byte 0x9f + 3390 0543 16000000 .4byte .LVL59 + 3391 0547 1A000000 .4byte .LVL60 + 3392 054b 0200 .2byte 0x2 + 3393 054d 30 .byte 0x30 + 3394 054e 9F .byte 0x9f + 3395 054f 1A000000 .4byte .LVL60 + 3396 0553 1C000000 .4byte .LVL61 + 3397 0557 0200 .2byte 0x2 + 3398 0559 31 .byte 0x31 + 3399 055a 9F .byte 0x9f + 3400 055b 1C000000 .4byte .LVL61 + 3401 055f 24000000 .4byte .LFE12 + 3402 0563 0100 .2byte 0x1 + 3403 0565 50 .byte 0x50 + 3404 0566 00000000 .4byte 0 + 3405 056a 00000000 .4byte 0 + 3406 .LLST31: + 3407 056e 00000000 .4byte .LVL62 + 3408 0572 08000000 .4byte .LVL63 + 3409 0576 0100 .2byte 0x1 + 3410 0578 50 .byte 0x50 + 3411 0579 08000000 .4byte .LVL63 + 3412 057d 12000000 .4byte .LVL65 + 3413 0581 0400 .2byte 0x4 + 3414 0583 F3 .byte 0xf3 + 3415 0584 01 .uleb128 0x1 + 3416 0585 50 .byte 0x50 + 3417 0586 9F .byte 0x9f + 3418 0587 12000000 .4byte .LVL65 + 3419 058b 14000000 .4byte .LVL66 + 3420 058f 0100 .2byte 0x1 + 3421 0591 50 .byte 0x50 + 3422 0592 14000000 .4byte .LVL66 + 3423 0596 1C000000 .4byte .LFE13 + 3424 059a 0400 .2byte 0x4 + 3425 059c F3 .byte 0xf3 + 3426 059d 01 .uleb128 0x1 + 3427 059e 50 .byte 0x50 + 3428 059f 9F .byte 0x9f + 3429 05a0 00000000 .4byte 0 + 3430 05a4 00000000 .4byte 0 + 3431 .LLST32: + 3432 05a8 00000000 .4byte .LVL62 + 3433 05ac 10000000 .4byte .LVL64 + 3434 05b0 0300 .2byte 0x3 + 3435 05b2 08 .byte 0x8 + 3436 05b3 FF .byte 0xff + 3437 05b4 9F .byte 0x9f + 3438 05b5 10000000 .4byte .LVL64 + 3439 05b9 12000000 .4byte .LVL65 + 3440 05bd 0100 .2byte 0x1 + 3441 05bf 50 .byte 0x50 + 3442 05c0 12000000 .4byte .LVL65 + 3443 05c4 14000000 .4byte .LVL66 + 3444 05c8 0300 .2byte 0x3 + 3445 05ca 08 .byte 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 82 + + + 3446 05cb FF .byte 0xff + 3447 05cc 9F .byte 0x9f + 3448 05cd 14000000 .4byte .LVL66 + 3449 05d1 1C000000 .4byte .LFE13 + 3450 05d5 0100 .2byte 0x1 + 3451 05d7 50 .byte 0x50 + 3452 05d8 00000000 .4byte 0 + 3453 05dc 00000000 .4byte 0 + 3454 .LLST33: + 3455 05e0 00000000 .4byte .LFB14 + 3456 05e4 04000000 .4byte .LCFI2 + 3457 05e8 0200 .2byte 0x2 + 3458 05ea 7D .byte 0x7d + 3459 05eb 00 .sleb128 0 + 3460 05ec 04000000 .4byte .LCFI2 + 3461 05f0 30000000 .4byte .LFE14 + 3462 05f4 0200 .2byte 0x2 + 3463 05f6 7D .byte 0x7d + 3464 05f7 08 .sleb128 8 + 3465 05f8 00000000 .4byte 0 + 3466 05fc 00000000 .4byte 0 + 3467 .LLST34: + 3468 0600 00000000 .4byte .LVL67 + 3469 0604 1C000000 .4byte .LVL69 + 3470 0608 0100 .2byte 0x1 + 3471 060a 50 .byte 0x50 + 3472 060b 1C000000 .4byte .LVL69 + 3473 060f 26000000 .4byte .LVL70 + 3474 0613 0400 .2byte 0x4 + 3475 0615 F3 .byte 0xf3 + 3476 0616 01 .uleb128 0x1 + 3477 0617 50 .byte 0x50 + 3478 0618 9F .byte 0x9f + 3479 0619 26000000 .4byte .LVL70 + 3480 061d 28000000 .4byte .LVL71 + 3481 0621 0100 .2byte 0x1 + 3482 0623 50 .byte 0x50 + 3483 0624 28000000 .4byte .LVL71 + 3484 0628 30000000 .4byte .LFE14 + 3485 062c 0400 .2byte 0x4 + 3486 062e F3 .byte 0xf3 + 3487 062f 01 .uleb128 0x1 + 3488 0630 50 .byte 0x50 + 3489 0631 9F .byte 0x9f + 3490 0632 00000000 .4byte 0 + 3491 0636 00000000 .4byte 0 + 3492 .LLST35: + 3493 063a 00000000 .4byte .LVL67 + 3494 063e 1A000000 .4byte .LVL68 + 3495 0642 0100 .2byte 0x1 + 3496 0644 51 .byte 0x51 + 3497 0645 1A000000 .4byte .LVL68 + 3498 0649 26000000 .4byte .LVL70 + 3499 064d 0400 .2byte 0x4 + 3500 064f F3 .byte 0xf3 + 3501 0650 01 .uleb128 0x1 + 3502 0651 51 .byte 0x51 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 83 + + + 3503 0652 9F .byte 0x9f + 3504 0653 26000000 .4byte .LVL70 + 3505 0657 30000000 .4byte .LFE14 + 3506 065b 0100 .2byte 0x1 + 3507 065d 51 .byte 0x51 + 3508 065e 00000000 .4byte 0 + 3509 0662 00000000 .4byte 0 + 3510 .LLST36: + 3511 0666 00000000 .4byte .LFB15 + 3512 066a 04000000 .4byte .LCFI3 + 3513 066e 0200 .2byte 0x2 + 3514 0670 7D .byte 0x7d + 3515 0671 00 .sleb128 0 + 3516 0672 04000000 .4byte .LCFI3 + 3517 0676 40000000 .4byte .LFE15 + 3518 067a 0200 .2byte 0x2 + 3519 067c 7D .byte 0x7d + 3520 067d 08 .sleb128 8 + 3521 067e 00000000 .4byte 0 + 3522 0682 00000000 .4byte 0 + 3523 .LLST37: + 3524 0686 00000000 .4byte .LVL72 + 3525 068a 18000000 .4byte .LVL75 + 3526 068e 0100 .2byte 0x1 + 3527 0690 50 .byte 0x50 + 3528 0691 18000000 .4byte .LVL75 + 3529 0695 3C000000 .4byte .LVL78 + 3530 0699 0400 .2byte 0x4 + 3531 069b F3 .byte 0xf3 + 3532 069c 01 .uleb128 0x1 + 3533 069d 50 .byte 0x50 + 3534 069e 9F .byte 0x9f + 3535 069f 3C000000 .4byte .LVL78 + 3536 06a3 3E000000 .4byte .LVL79 + 3537 06a7 0100 .2byte 0x1 + 3538 06a9 50 .byte 0x50 + 3539 06aa 3E000000 .4byte .LVL79 + 3540 06ae 40000000 .4byte .LFE15 + 3541 06b2 0400 .2byte 0x4 + 3542 06b4 F3 .byte 0xf3 + 3543 06b5 01 .uleb128 0x1 + 3544 06b6 50 .byte 0x50 + 3545 06b7 9F .byte 0x9f + 3546 06b8 00000000 .4byte 0 + 3547 06bc 00000000 .4byte 0 + 3548 .LLST38: + 3549 06c0 00000000 .4byte .LVL72 + 3550 06c4 0A000000 .4byte .LVL73 + 3551 06c8 0100 .2byte 0x1 + 3552 06ca 51 .byte 0x51 + 3553 06cb 0A000000 .4byte .LVL73 + 3554 06cf 3C000000 .4byte .LVL78 + 3555 06d3 0400 .2byte 0x4 + 3556 06d5 F3 .byte 0xf3 + 3557 06d6 01 .uleb128 0x1 + 3558 06d7 51 .byte 0x51 + 3559 06d8 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 84 + + + 3560 06d9 3C000000 .4byte .LVL78 + 3561 06dd 40000000 .4byte .LFE15 + 3562 06e1 0100 .2byte 0x1 + 3563 06e3 51 .byte 0x51 + 3564 06e4 00000000 .4byte 0 + 3565 06e8 00000000 .4byte 0 + 3566 .LLST39: + 3567 06ec 00000000 .4byte .LVL72 + 3568 06f0 10000000 .4byte .LVL74 + 3569 06f4 0100 .2byte 0x1 + 3570 06f6 52 .byte 0x52 + 3571 06f7 10000000 .4byte .LVL74 + 3572 06fb 3C000000 .4byte .LVL78 + 3573 06ff 0400 .2byte 0x4 + 3574 0701 F3 .byte 0xf3 + 3575 0702 01 .uleb128 0x1 + 3576 0703 52 .byte 0x52 + 3577 0704 9F .byte 0x9f + 3578 0705 3C000000 .4byte .LVL78 + 3579 0709 40000000 .4byte .LFE15 + 3580 070d 0100 .2byte 0x1 + 3581 070f 52 .byte 0x52 + 3582 0710 00000000 .4byte 0 + 3583 0714 00000000 .4byte 0 + 3584 .LLST40: + 3585 0718 00000000 .4byte .LVL72 + 3586 071c 1C000000 .4byte .LVL76 + 3587 0720 0100 .2byte 0x1 + 3588 0722 53 .byte 0x53 + 3589 0723 1C000000 .4byte .LVL76 + 3590 0727 3C000000 .4byte .LVL78 + 3591 072b 0400 .2byte 0x4 + 3592 072d F3 .byte 0xf3 + 3593 072e 01 .uleb128 0x1 + 3594 072f 53 .byte 0x53 + 3595 0730 9F .byte 0x9f + 3596 0731 3C000000 .4byte .LVL78 + 3597 0735 40000000 .4byte .LFE15 + 3598 0739 0100 .2byte 0x1 + 3599 073b 53 .byte 0x53 + 3600 073c 00000000 .4byte 0 + 3601 0740 00000000 .4byte 0 + 3602 .LLST41: + 3603 0744 00000000 .4byte .LVL72 + 3604 0748 3A000000 .4byte .LVL77 + 3605 074c 0200 .2byte 0x2 + 3606 074e 31 .byte 0x31 + 3607 074f 9F .byte 0x9f + 3608 0750 3A000000 .4byte .LVL77 + 3609 0754 3C000000 .4byte .LVL78 + 3610 0758 0200 .2byte 0x2 + 3611 075a 30 .byte 0x30 + 3612 075b 9F .byte 0x9f + 3613 075c 3C000000 .4byte .LVL78 + 3614 0760 3E000000 .4byte .LVL79 + 3615 0764 0200 .2byte 0x2 + 3616 0766 31 .byte 0x31 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 85 + + + 3617 0767 9F .byte 0x9f + 3618 0768 3E000000 .4byte .LVL79 + 3619 076c 40000000 .4byte .LFE15 + 3620 0770 0100 .2byte 0x1 + 3621 0772 50 .byte 0x50 + 3622 0773 00000000 .4byte 0 + 3623 0777 00000000 .4byte 0 + 3624 .LLST42: + 3625 077b 00000000 .4byte .LFB16 + 3626 077f 02000000 .4byte .LCFI4 + 3627 0783 0200 .2byte 0x2 + 3628 0785 7D .byte 0x7d + 3629 0786 00 .sleb128 0 + 3630 0787 02000000 .4byte .LCFI4 + 3631 078b 34000000 .4byte .LFE16 + 3632 078f 0200 .2byte 0x2 + 3633 0791 7D .byte 0x7d + 3634 0792 08 .sleb128 8 + 3635 0793 00000000 .4byte 0 + 3636 0797 00000000 .4byte 0 + 3637 .LLST43: + 3638 079b 06000000 .4byte .LVL81 + 3639 079f 29000000 .4byte .LVL85-1 + 3640 07a3 0100 .2byte 0x1 + 3641 07a5 50 .byte 0x50 + 3642 07a6 00000000 .4byte 0 + 3643 07aa 00000000 .4byte 0 + 3644 .LLST44: + 3645 07ae 00000000 .4byte .LVL80 + 3646 07b2 10000000 .4byte .LVL82 + 3647 07b6 0300 .2byte 0x3 + 3648 07b8 09 .byte 0x9 + 3649 07b9 FF .byte 0xff + 3650 07ba 9F .byte 0x9f + 3651 07bb 10000000 .4byte .LVL82 + 3652 07bf 24000000 .4byte .LVL83 + 3653 07c3 0500 .2byte 0x5 + 3654 07c5 03 .byte 0x3 + 3655 07c6 00000000 .4byte CyDmaTdFreeIndex + 3656 07ca 24000000 .4byte .LVL83 + 3657 07ce 26000000 .4byte .LVL84 + 3658 07d2 0300 .2byte 0x3 + 3659 07d4 09 .byte 0x9 + 3660 07d5 FF .byte 0xff + 3661 07d6 9F .byte 0x9f + 3662 07d7 26000000 .4byte .LVL84 + 3663 07db 34000000 .4byte .LFE16 + 3664 07df 0100 .2byte 0x1 + 3665 07e1 54 .byte 0x54 + 3666 07e2 00000000 .4byte 0 + 3667 07e6 00000000 .4byte 0 + 3668 .LLST45: + 3669 07ea 00000000 .4byte .LFB17 + 3670 07ee 04000000 .4byte .LCFI5 + 3671 07f2 0200 .2byte 0x2 + 3672 07f4 7D .byte 0x7d + 3673 07f5 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 86 + + + 3674 07f6 04000000 .4byte .LCFI5 + 3675 07fa 34000000 .4byte .LFE17 + 3676 07fe 0200 .2byte 0x2 + 3677 0800 7D .byte 0x7d + 3678 0801 08 .sleb128 8 + 3679 0802 00000000 .4byte 0 + 3680 0806 00000000 .4byte 0 + 3681 .LLST46: + 3682 080a 00000000 .4byte .LVL86 + 3683 080e 0B000000 .4byte .LVL87-1 + 3684 0812 0100 .2byte 0x1 + 3685 0814 50 .byte 0x50 + 3686 0815 0B000000 .4byte .LVL87-1 + 3687 0819 2C000000 .4byte .LVL88 + 3688 081d 0400 .2byte 0x4 + 3689 081f F3 .byte 0xf3 + 3690 0820 01 .uleb128 0x1 + 3691 0821 50 .byte 0x50 + 3692 0822 9F .byte 0x9f + 3693 0823 2C000000 .4byte .LVL88 + 3694 0827 34000000 .4byte .LFE17 + 3695 082b 0100 .2byte 0x1 + 3696 082d 50 .byte 0x50 + 3697 082e 00000000 .4byte 0 + 3698 0832 00000000 .4byte 0 + 3699 .LLST47: + 3700 0836 0C000000 .4byte .LVL87 + 3701 083a 2B000000 .4byte .LVL88-1 + 3702 083e 0100 .2byte 0x1 + 3703 0840 50 .byte 0x50 + 3704 0841 00000000 .4byte 0 + 3705 0845 00000000 .4byte 0 + 3706 .LLST48: + 3707 0849 00000000 .4byte .LFB19 + 3708 084d 06000000 .4byte .LCFI6 + 3709 0851 0200 .2byte 0x2 + 3710 0853 7D .byte 0x7d + 3711 0854 00 .sleb128 0 + 3712 0855 06000000 .4byte .LCFI6 + 3713 0859 26000000 .4byte .LFE19 + 3714 085d 0200 .2byte 0x2 + 3715 085f 7D .byte 0x7d + 3716 0860 0C .sleb128 12 + 3717 0861 00000000 .4byte 0 + 3718 0865 00000000 .4byte 0 + 3719 .LLST49: + 3720 0869 00000000 .4byte .LVL89 + 3721 086d 14000000 .4byte .LVL90 + 3722 0871 0100 .2byte 0x1 + 3723 0873 50 .byte 0x50 + 3724 0874 14000000 .4byte .LVL90 + 3725 0878 22000000 .4byte .LVL93 + 3726 087c 0400 .2byte 0x4 + 3727 087e F3 .byte 0xf3 + 3728 087f 01 .uleb128 0x1 + 3729 0880 50 .byte 0x50 + 3730 0881 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 87 + + + 3731 0882 22000000 .4byte .LVL93 + 3732 0886 24000000 .4byte .LVL94 + 3733 088a 0100 .2byte 0x1 + 3734 088c 50 .byte 0x50 + 3735 088d 24000000 .4byte .LVL94 + 3736 0891 26000000 .4byte .LFE19 + 3737 0895 0400 .2byte 0x4 + 3738 0897 F3 .byte 0xf3 + 3739 0898 01 .uleb128 0x1 + 3740 0899 50 .byte 0x50 + 3741 089a 9F .byte 0x9f + 3742 089b 00000000 .4byte 0 + 3743 089f 00000000 .4byte 0 + 3744 .LLST50: + 3745 08a3 00000000 .4byte .LVL89 + 3746 08a7 20000000 .4byte .LVL92 + 3747 08ab 0200 .2byte 0x2 + 3748 08ad 31 .byte 0x31 + 3749 08ae 9F .byte 0x9f + 3750 08af 20000000 .4byte .LVL92 + 3751 08b3 22000000 .4byte .LVL93 + 3752 08b7 0200 .2byte 0x2 + 3753 08b9 30 .byte 0x30 + 3754 08ba 9F .byte 0x9f + 3755 08bb 22000000 .4byte .LVL93 + 3756 08bf 24000000 .4byte .LVL94 + 3757 08c3 0200 .2byte 0x2 + 3758 08c5 31 .byte 0x31 + 3759 08c6 9F .byte 0x9f + 3760 08c7 24000000 .4byte .LVL94 + 3761 08cb 26000000 .4byte .LFE19 + 3762 08cf 0100 .2byte 0x1 + 3763 08d1 50 .byte 0x50 + 3764 08d2 00000000 .4byte 0 + 3765 08d6 00000000 .4byte 0 + 3766 .LLST51: + 3767 08da 18000000 .4byte .LVL91 + 3768 08de 22000000 .4byte .LVL93 + 3769 08e2 0100 .2byte 0x1 + 3770 08e4 54 .byte 0x54 + 3771 08e5 00000000 .4byte 0 + 3772 08e9 00000000 .4byte 0 + 3773 .LLST52: + 3774 08ed 00000000 .4byte .LFB20 + 3775 08f1 06000000 .4byte .LCFI7 + 3776 08f5 0200 .2byte 0x2 + 3777 08f7 7D .byte 0x7d + 3778 08f8 00 .sleb128 0 + 3779 08f9 06000000 .4byte .LCFI7 + 3780 08fd 44000000 .4byte .LFE20 + 3781 0901 0200 .2byte 0x2 + 3782 0903 7D .byte 0x7d + 3783 0904 08 .sleb128 8 + 3784 0905 00000000 .4byte 0 + 3785 0909 00000000 .4byte 0 + 3786 .LLST53: + 3787 090d 00000000 .4byte .LVL95 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 88 + + + 3788 0911 30000000 .4byte .LVL100 + 3789 0915 0100 .2byte 0x1 + 3790 0917 50 .byte 0x50 + 3791 0918 30000000 .4byte .LVL100 + 3792 091c 40000000 .4byte .LVL102 + 3793 0920 0400 .2byte 0x4 + 3794 0922 F3 .byte 0xf3 + 3795 0923 01 .uleb128 0x1 + 3796 0924 50 .byte 0x50 + 3797 0925 9F .byte 0x9f + 3798 0926 40000000 .4byte .LVL102 + 3799 092a 42000000 .4byte .LVL103 + 3800 092e 0100 .2byte 0x1 + 3801 0930 50 .byte 0x50 + 3802 0931 42000000 .4byte .LVL103 + 3803 0935 44000000 .4byte .LFE20 + 3804 0939 0400 .2byte 0x4 + 3805 093b F3 .byte 0xf3 + 3806 093c 01 .uleb128 0x1 + 3807 093d 50 .byte 0x50 + 3808 093e 9F .byte 0x9f + 3809 093f 00000000 .4byte 0 + 3810 0943 00000000 .4byte 0 + 3811 .LLST54: + 3812 0947 00000000 .4byte .LVL95 + 3813 094b 20000000 .4byte .LVL99 + 3814 094f 0100 .2byte 0x1 + 3815 0951 51 .byte 0x51 + 3816 0952 20000000 .4byte .LVL99 + 3817 0956 40000000 .4byte .LVL102 + 3818 095a 0400 .2byte 0x4 + 3819 095c F3 .byte 0xf3 + 3820 095d 01 .uleb128 0x1 + 3821 095e 51 .byte 0x51 + 3822 095f 9F .byte 0x9f + 3823 0960 40000000 .4byte .LVL102 + 3824 0964 44000000 .4byte .LFE20 + 3825 0968 0100 .2byte 0x1 + 3826 096a 51 .byte 0x51 + 3827 096b 00000000 .4byte 0 + 3828 096f 00000000 .4byte 0 + 3829 .LLST55: + 3830 0973 00000000 .4byte .LVL95 + 3831 0977 34000000 .4byte .LVL101 + 3832 097b 0100 .2byte 0x1 + 3833 097d 52 .byte 0x52 + 3834 097e 34000000 .4byte .LVL101 + 3835 0982 40000000 .4byte .LVL102 + 3836 0986 0400 .2byte 0x4 + 3837 0988 F3 .byte 0xf3 + 3838 0989 01 .uleb128 0x1 + 3839 098a 52 .byte 0x52 + 3840 098b 9F .byte 0x9f + 3841 098c 40000000 .4byte .LVL102 + 3842 0990 44000000 .4byte .LFE20 + 3843 0994 0100 .2byte 0x1 + 3844 0996 52 .byte 0x52 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 89 + + + 3845 0997 00000000 .4byte 0 + 3846 099b 00000000 .4byte 0 + 3847 .LLST56: + 3848 099f 14000000 .4byte .LVL96 + 3849 09a3 16000000 .4byte .LVL97 + 3850 09a7 0100 .2byte 0x1 + 3851 09a9 54 .byte 0x54 + 3852 09aa 16000000 .4byte .LVL97 + 3853 09ae 1C000000 .4byte .LVL98 + 3854 09b2 0B00 .2byte 0xb + 3855 09b4 70 .byte 0x70 + 3856 09b5 00 .sleb128 0 + 3857 09b6 33 .byte 0x33 + 3858 09b7 24 .byte 0x24 + 3859 09b8 23 .byte 0x23 + 3860 09b9 80F08180 .uleb128 0x40007800 + 3860 04 + 3861 09be 9F .byte 0x9f + 3862 09bf 00000000 .4byte 0 + 3863 09c3 00000000 .4byte 0 + 3864 .LLST57: + 3865 09c7 00000000 .4byte .LVL104 + 3866 09cb 06000000 .4byte .LVL105 + 3867 09cf 0100 .2byte 0x1 + 3868 09d1 50 .byte 0x50 + 3869 09d2 06000000 .4byte .LVL105 + 3870 09d6 16000000 .4byte .LVL110 + 3871 09da 0400 .2byte 0x4 + 3872 09dc F3 .byte 0xf3 + 3873 09dd 01 .uleb128 0x1 + 3874 09de 50 .byte 0x50 + 3875 09df 9F .byte 0x9f + 3876 09e0 16000000 .4byte .LVL110 + 3877 09e4 18000000 .4byte .LVL111 + 3878 09e8 0100 .2byte 0x1 + 3879 09ea 50 .byte 0x50 + 3880 09eb 18000000 .4byte .LVL111 + 3881 09ef 1A000000 .4byte .LFE21 + 3882 09f3 0400 .2byte 0x4 + 3883 09f5 F3 .byte 0xf3 + 3884 09f6 01 .uleb128 0x1 + 3885 09f7 50 .byte 0x50 + 3886 09f8 9F .byte 0x9f + 3887 09f9 00000000 .4byte 0 + 3888 09fd 00000000 .4byte 0 + 3889 .LLST58: + 3890 0a01 00000000 .4byte .LVL104 + 3891 0a05 12000000 .4byte .LVL108 + 3892 0a09 0200 .2byte 0x2 + 3893 0a0b 31 .byte 0x31 + 3894 0a0c 9F .byte 0x9f + 3895 0a0d 12000000 .4byte .LVL108 + 3896 0a11 16000000 .4byte .LVL110 + 3897 0a15 0200 .2byte 0x2 + 3898 0a17 30 .byte 0x30 + 3899 0a18 9F .byte 0x9f + 3900 0a19 16000000 .4byte .LVL110 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 90 + + + 3901 0a1d 18000000 .4byte .LVL111 + 3902 0a21 0200 .2byte 0x2 + 3903 0a23 31 .byte 0x31 + 3904 0a24 9F .byte 0x9f + 3905 0a25 18000000 .4byte .LVL111 + 3906 0a29 1A000000 .4byte .LFE21 + 3907 0a2d 0100 .2byte 0x1 + 3908 0a2f 50 .byte 0x50 + 3909 0a30 00000000 .4byte 0 + 3910 0a34 00000000 .4byte 0 + 3911 .LLST59: + 3912 0a38 0E000000 .4byte .LVL106 + 3913 0a3c 10000000 .4byte .LVL107 + 3914 0a40 0300 .2byte 0x3 + 3915 0a42 70 .byte 0x70 + 3916 0a43 04 .sleb128 4 + 3917 0a44 9F .byte 0x9f + 3918 0a45 10000000 .4byte .LVL107 + 3919 0a49 14000000 .4byte .LVL109 + 3920 0a4d 0300 .2byte 0x3 + 3921 0a4f 70 .byte 0x70 + 3922 0a50 06 .sleb128 6 + 3923 0a51 9F .byte 0x9f + 3924 0a52 14000000 .4byte .LVL109 + 3925 0a56 16000000 .4byte .LVL110 + 3926 0a5a 0500 .2byte 0x5 + 3927 0a5c 73 .byte 0x73 + 3928 0a5d 86F001 .sleb128 30726 + 3929 0a60 9F .byte 0x9f + 3930 0a61 00000000 .4byte 0 + 3931 0a65 00000000 .4byte 0 + 3932 .LLST60: + 3933 0a69 00000000 .4byte .LVL112 + 3934 0a6d 18000000 .4byte .LVL116 + 3935 0a71 0100 .2byte 0x1 + 3936 0a73 50 .byte 0x50 + 3937 0a74 18000000 .4byte .LVL116 + 3938 0a78 28000000 .4byte .LVL120 + 3939 0a7c 0400 .2byte 0x4 + 3940 0a7e F3 .byte 0xf3 + 3941 0a7f 01 .uleb128 0x1 + 3942 0a80 50 .byte 0x50 + 3943 0a81 9F .byte 0x9f + 3944 0a82 28000000 .4byte .LVL120 + 3945 0a86 2A000000 .4byte .LVL121 + 3946 0a8a 0100 .2byte 0x1 + 3947 0a8c 50 .byte 0x50 + 3948 0a8d 2A000000 .4byte .LVL121 + 3949 0a91 2C000000 .4byte .LFE22 + 3950 0a95 0400 .2byte 0x4 + 3951 0a97 F3 .byte 0xf3 + 3952 0a98 01 .uleb128 0x1 + 3953 0a99 50 .byte 0x50 + 3954 0a9a 9F .byte 0x9f + 3955 0a9b 00000000 .4byte 0 + 3956 0a9f 00000000 .4byte 0 + 3957 .LLST61: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 91 + + + 3958 0aa3 00000000 .4byte .LVL112 + 3959 0aa7 1C000000 .4byte .LVL117 + 3960 0aab 0100 .2byte 0x1 + 3961 0aad 51 .byte 0x51 + 3962 0aae 1C000000 .4byte .LVL117 + 3963 0ab2 28000000 .4byte .LVL120 + 3964 0ab6 0400 .2byte 0x4 + 3965 0ab8 F3 .byte 0xf3 + 3966 0ab9 01 .uleb128 0x1 + 3967 0aba 51 .byte 0x51 + 3968 0abb 9F .byte 0x9f + 3969 0abc 28000000 .4byte .LVL120 + 3970 0ac0 2C000000 .4byte .LFE22 + 3971 0ac4 0100 .2byte 0x1 + 3972 0ac6 51 .byte 0x51 + 3973 0ac7 00000000 .4byte 0 + 3974 0acb 00000000 .4byte 0 + 3975 .LLST62: + 3976 0acf 10000000 .4byte .LVL113 + 3977 0ad3 12000000 .4byte .LVL114 + 3978 0ad7 0300 .2byte 0x3 + 3979 0ad9 73 .byte 0x73 + 3980 0ada 04 .sleb128 4 + 3981 0adb 9F .byte 0x9f + 3982 0adc 12000000 .4byte .LVL114 + 3983 0ae0 14000000 .4byte .LVL115 + 3984 0ae4 0B00 .2byte 0xb + 3985 0ae6 70 .byte 0x70 + 3986 0ae7 00 .sleb128 0 + 3987 0ae8 33 .byte 0x33 + 3988 0ae9 24 .byte 0x24 + 3989 0aea 23 .byte 0x23 + 3990 0aeb 84F08180 .uleb128 0x40007804 + 3990 04 + 3991 0af0 9F .byte 0x9f + 3992 0af1 20000000 .4byte .LVL118 + 3993 0af5 24000000 .4byte .LVL119 + 3994 0af9 0300 .2byte 0x3 + 3995 0afb 73 .byte 0x73 + 3996 0afc 06 .sleb128 6 + 3997 0afd 9F .byte 0x9f + 3998 0afe 00000000 .4byte 0 + 3999 0b02 00000000 .4byte 0 + 4000 .LLST63: + 4001 0b06 00000000 .4byte .LVL122 + 4002 0b0a 0C000000 .4byte .LVL123 + 4003 0b0e 0100 .2byte 0x1 + 4004 0b10 50 .byte 0x50 + 4005 0b11 0C000000 .4byte .LVL123 + 4006 0b15 16000000 .4byte .LVL125 + 4007 0b19 0400 .2byte 0x4 + 4008 0b1b F3 .byte 0xf3 + 4009 0b1c 01 .uleb128 0x1 + 4010 0b1d 50 .byte 0x50 + 4011 0b1e 9F .byte 0x9f + 4012 0b1f 16000000 .4byte .LVL125 + 4013 0b23 18000000 .4byte .LVL126 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 92 + + + 4014 0b27 0100 .2byte 0x1 + 4015 0b29 50 .byte 0x50 + 4016 0b2a 18000000 .4byte .LVL126 + 4017 0b2e 20000000 .4byte .LVL128 + 4018 0b32 0400 .2byte 0x4 + 4019 0b34 F3 .byte 0xf3 + 4020 0b35 01 .uleb128 0x1 + 4021 0b36 50 .byte 0x50 + 4022 0b37 9F .byte 0x9f + 4023 0b38 20000000 .4byte .LVL128 + 4024 0b3c 22000000 .4byte .LVL129 + 4025 0b40 0100 .2byte 0x1 + 4026 0b42 50 .byte 0x50 + 4027 0b43 22000000 .4byte .LVL129 + 4028 0b47 28000000 .4byte .LFE23 + 4029 0b4b 0400 .2byte 0x4 + 4030 0b4d F3 .byte 0xf3 + 4031 0b4e 01 .uleb128 0x1 + 4032 0b4f 50 .byte 0x50 + 4033 0b50 9F .byte 0x9f + 4034 0b51 00000000 .4byte 0 + 4035 0b55 00000000 .4byte 0 + 4036 .LLST64: + 4037 0b59 00000000 .4byte .LVL122 + 4038 0b5d 10000000 .4byte .LVL124 + 4039 0b61 0100 .2byte 0x1 + 4040 0b63 51 .byte 0x51 + 4041 0b64 10000000 .4byte .LVL124 + 4042 0b68 16000000 .4byte .LVL125 + 4043 0b6c 0400 .2byte 0x4 + 4044 0b6e F3 .byte 0xf3 + 4045 0b6f 01 .uleb128 0x1 + 4046 0b70 51 .byte 0x51 + 4047 0b71 9F .byte 0x9f + 4048 0b72 16000000 .4byte .LVL125 + 4049 0b76 1C000000 .4byte .LVL127 + 4050 0b7a 0100 .2byte 0x1 + 4051 0b7c 51 .byte 0x51 + 4052 0b7d 1C000000 .4byte .LVL127 + 4053 0b81 20000000 .4byte .LVL128 + 4054 0b85 0400 .2byte 0x4 + 4055 0b87 F3 .byte 0xf3 + 4056 0b88 01 .uleb128 0x1 + 4057 0b89 51 .byte 0x51 + 4058 0b8a 9F .byte 0x9f + 4059 0b8b 20000000 .4byte .LVL128 + 4060 0b8f 28000000 .4byte .LFE23 + 4061 0b93 0100 .2byte 0x1 + 4062 0b95 51 .byte 0x51 + 4063 0b96 00000000 .4byte 0 + 4064 0b9a 00000000 .4byte 0 + 4065 .LLST65: + 4066 0b9e 00000000 .4byte .LVL122 + 4067 0ba2 22000000 .4byte .LVL129 + 4068 0ba6 0200 .2byte 0x2 + 4069 0ba8 31 .byte 0x31 + 4070 0ba9 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 93 + + + 4071 0baa 22000000 .4byte .LVL129 + 4072 0bae 28000000 .4byte .LFE23 + 4073 0bb2 0100 .2byte 0x1 + 4074 0bb4 50 .byte 0x50 + 4075 0bb5 00000000 .4byte 0 + 4076 0bb9 00000000 .4byte 0 + 4077 .section .debug_aranges,"",%progbits + 4078 0000 D4000000 .4byte 0xd4 + 4079 0004 0200 .2byte 0x2 + 4080 0006 00000000 .4byte .Ldebug_info0 + 4081 000a 04 .byte 0x4 + 4082 000b 00 .byte 0 + 4083 000c 0000 .2byte 0 + 4084 000e 0000 .2byte 0 + 4085 0010 00000000 .4byte .LFB0 + 4086 0014 30000000 .4byte .LFE0-.LFB0 + 4087 0018 00000000 .4byte .LFB1 + 4088 001c 10000000 .4byte .LFE1-.LFB1 + 4089 0020 00000000 .4byte .LFB2 + 4090 0024 10000000 .4byte .LFE2-.LFB2 + 4091 0028 00000000 .4byte .LFB3 + 4092 002c 0C000000 .4byte .LFE3-.LFB3 + 4093 0030 00000000 .4byte .LFB4 + 4094 0034 34000000 .4byte .LFE4-.LFB4 + 4095 0038 00000000 .4byte .LFB5 + 4096 003c 30000000 .4byte .LFE5-.LFB5 + 4097 0040 00000000 .4byte .LFB6 + 4098 0044 34000000 .4byte .LFE6-.LFB6 + 4099 0048 00000000 .4byte .LFB7 + 4100 004c 24000000 .4byte .LFE7-.LFB7 + 4101 0050 00000000 .4byte .LFB8 + 4102 0054 28000000 .4byte .LFE8-.LFB8 + 4103 0058 00000000 .4byte .LFB9 + 4104 005c 24000000 .4byte .LFE9-.LFB9 + 4105 0060 00000000 .4byte .LFB10 + 4106 0064 2E000000 .4byte .LFE10-.LFB10 + 4107 0068 00000000 .4byte .LFB11 + 4108 006c 18000000 .4byte .LFE11-.LFB11 + 4109 0070 00000000 .4byte .LFB12 + 4110 0074 24000000 .4byte .LFE12-.LFB12 + 4111 0078 00000000 .4byte .LFB13 + 4112 007c 1C000000 .4byte .LFE13-.LFB13 + 4113 0080 00000000 .4byte .LFB14 + 4114 0084 30000000 .4byte .LFE14-.LFB14 + 4115 0088 00000000 .4byte .LFB15 + 4116 008c 40000000 .4byte .LFE15-.LFB15 + 4117 0090 00000000 .4byte .LFB16 + 4118 0094 34000000 .4byte .LFE16-.LFB16 + 4119 0098 00000000 .4byte .LFB17 + 4120 009c 34000000 .4byte .LFE17-.LFB17 + 4121 00a0 00000000 .4byte .LFB18 + 4122 00a4 10000000 .4byte .LFE18-.LFB18 + 4123 00a8 00000000 .4byte .LFB19 + 4124 00ac 26000000 .4byte .LFE19-.LFB19 + 4125 00b0 00000000 .4byte .LFB20 + 4126 00b4 44000000 .4byte .LFE20-.LFB20 + 4127 00b8 00000000 .4byte .LFB21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 94 + + + 4128 00bc 1A000000 .4byte .LFE21-.LFB21 + 4129 00c0 00000000 .4byte .LFB22 + 4130 00c4 2C000000 .4byte .LFE22-.LFB22 + 4131 00c8 00000000 .4byte .LFB23 + 4132 00cc 28000000 .4byte .LFE23-.LFB23 + 4133 00d0 00000000 .4byte 0 + 4134 00d4 00000000 .4byte 0 + 4135 .section .debug_ranges,"",%progbits + 4136 .Ldebug_ranges0: + 4137 0000 08000000 .4byte .LBB2 + 4138 0004 24000000 .4byte .LBE2 + 4139 0008 28000000 .4byte .LBB3 + 4140 000c 34000000 .4byte .LBE3 + 4141 0010 00000000 .4byte 0 + 4142 0014 00000000 .4byte 0 + 4143 0018 00000000 .4byte .LFB0 + 4144 001c 30000000 .4byte .LFE0 + 4145 0020 00000000 .4byte .LFB1 + 4146 0024 10000000 .4byte .LFE1 + 4147 0028 00000000 .4byte .LFB2 + 4148 002c 10000000 .4byte .LFE2 + 4149 0030 00000000 .4byte .LFB3 + 4150 0034 0C000000 .4byte .LFE3 + 4151 0038 00000000 .4byte .LFB4 + 4152 003c 34000000 .4byte .LFE4 + 4153 0040 00000000 .4byte .LFB5 + 4154 0044 30000000 .4byte .LFE5 + 4155 0048 00000000 .4byte .LFB6 + 4156 004c 34000000 .4byte .LFE6 + 4157 0050 00000000 .4byte .LFB7 + 4158 0054 24000000 .4byte .LFE7 + 4159 0058 00000000 .4byte .LFB8 + 4160 005c 28000000 .4byte .LFE8 + 4161 0060 00000000 .4byte .LFB9 + 4162 0064 24000000 .4byte .LFE9 + 4163 0068 00000000 .4byte .LFB10 + 4164 006c 2E000000 .4byte .LFE10 + 4165 0070 00000000 .4byte .LFB11 + 4166 0074 18000000 .4byte .LFE11 + 4167 0078 00000000 .4byte .LFB12 + 4168 007c 24000000 .4byte .LFE12 + 4169 0080 00000000 .4byte .LFB13 + 4170 0084 1C000000 .4byte .LFE13 + 4171 0088 00000000 .4byte .LFB14 + 4172 008c 30000000 .4byte .LFE14 + 4173 0090 00000000 .4byte .LFB15 + 4174 0094 40000000 .4byte .LFE15 + 4175 0098 00000000 .4byte .LFB16 + 4176 009c 34000000 .4byte .LFE16 + 4177 00a0 00000000 .4byte .LFB17 + 4178 00a4 34000000 .4byte .LFE17 + 4179 00a8 00000000 .4byte .LFB18 + 4180 00ac 10000000 .4byte .LFE18 + 4181 00b0 00000000 .4byte .LFB19 + 4182 00b4 26000000 .4byte .LFE19 + 4183 00b8 00000000 .4byte .LFB20 + 4184 00bc 44000000 .4byte .LFE20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 95 + + + 4185 00c0 00000000 .4byte .LFB21 + 4186 00c4 1A000000 .4byte .LFE21 + 4187 00c8 00000000 .4byte .LFB22 + 4188 00cc 2C000000 .4byte .LFE22 + 4189 00d0 00000000 .4byte .LFB23 + 4190 00d4 28000000 .4byte .LFE23 + 4191 00d8 00000000 .4byte 0 + 4192 00dc 00000000 .4byte 0 + 4193 .section .debug_line,"",%progbits + 4194 .Ldebug_line0: + 4195 0000 E9020000 .section .debug_str,"MS",%progbits,1 + 4195 02005C00 + 4195 00000201 + 4195 FB0E0D00 + 4195 01010101 + 4196 .LASF10: + 4197 0000 75696E74 .ascii "uint16\000" + 4197 313600 + 4198 .LASF89: + 4199 0007 43794578 .ascii "CyExitCriticalSection\000" + 4199 69744372 + 4199 69746963 + 4199 616C5365 + 4199 6374696F + 4200 .LASF28: + 4201 001d 646D6163 .ascii "dmac_cfgmem\000" + 4201 5F636667 + 4201 6D656D00 + 4202 .LASF34: + 4203 0029 4379446D .ascii "CyDmacErrorAddress\000" + 4203 61634572 + 4203 726F7241 + 4203 64647265 + 4203 737300 + 4204 .LASF68: + 4205 003c 4379446D .ascii "CyDmaTdAllocate\000" + 4205 61546441 + 4205 6C6C6F63 + 4205 61746500 + 4206 .LASF70: + 4207 004c 4379446D .ascii "CyDmaTdFree\000" + 4207 61546446 + 4207 72656500 + 4208 .LASF43: + 4209 0058 4379446D .ascii "CyDmaChEnable\000" + 4209 61436845 + 4209 6E61626C + 4209 6500 + 4210 .LASF72: + 4211 0066 4379446D .ascii "CyDmaTdFreeCount\000" + 4211 61546446 + 4211 72656543 + 4211 6F756E74 + 4211 00 + 4212 .LASF31: + 4213 0077 4379446D .ascii "CyDmacConfigure\000" + 4213 6163436F + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 96 + + + 4213 6E666967 + 4213 75726500 + 4214 .LASF7: + 4215 0087 6C6F6E67 .ascii "long long unsigned int\000" + 4215 206C6F6E + 4215 6720756E + 4215 7369676E + 4215 65642069 + 4216 .LASF73: + 4217 009e 4379446D .ascii "CyDmaTdSetConfiguration\000" + 4217 61546453 + 4217 6574436F + 4217 6E666967 + 4217 75726174 + 4218 .LASF71: + 4219 00b6 74644861 .ascii "tdHandle\000" + 4219 6E646C65 + 4219 00 + 4220 .LASF6: + 4221 00bf 6C6F6E67 .ascii "long long int\000" + 4221 206C6F6E + 4221 6720696E + 4221 7400 + 4222 .LASF0: + 4223 00cd 7369676E .ascii "signed char\000" + 4223 65642063 + 4223 68617200 + 4224 .LASF36: + 4225 00d9 696E7465 .ascii "interruptState\000" + 4225 72727570 + 4225 74537461 + 4225 746500 + 4226 .LASF81: + 4227 00e8 656E6162 .ascii "enableRR\000" + 4227 6C655252 + 4227 00 + 4228 .LASF29: + 4229 00f1 646D6163 .ascii "dmac_tdmem_struct\000" + 4229 5F74646D + 4229 656D5F73 + 4229 74727563 + 4229 7400 + 4230 .LASF61: + 4231 0103 73746174 .ascii "state\000" + 4231 6500 + 4232 .LASF4: + 4233 0109 6C6F6E67 .ascii "long int\000" + 4233 20696E74 + 4233 00 + 4234 .LASF65: + 4235 0112 7464446F .ascii "tdDone0\000" + 4235 6E653000 + 4236 .LASF66: + 4237 011a 7464446F .ascii "tdDone1\000" + 4237 6E653100 + 4238 .LASF16: + 4239 0122 72656731 .ascii "reg16\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 97 + + + 4239 3600 + 4240 .LASF9: + 4241 0128 75696E74 .ascii "uint8\000" + 4241 3800 + 4242 .LASF13: + 4243 012e 646F7562 .ascii "double\000" + 4243 6C6500 + 4244 .LASF84: + 4245 0135 4379446D .ascii "CyDmaChannels\000" + 4245 61436861 + 4245 6E6E656C + 4245 7300 + 4246 .LASF11: + 4247 0143 75696E74 .ascii "uint32\000" + 4247 333200 + 4248 .LASF87: + 4249 014a 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 4249 43534932 + 4249 53445C55 + 4249 53425F42 + 4249 6F6F746C + 4250 .LASF20: + 4251 016a 61637469 .ascii "action\000" + 4251 6F6E00 + 4252 .LASF22: + 4253 0171 72657365 .ascii "reserved\000" + 4253 72766564 + 4253 00 + 4254 .LASF49: + 4255 017a 76616C75 .ascii "value\000" + 4255 6500 + 4256 .LASF52: + 4257 0180 64657374 .ascii "destination\000" + 4257 696E6174 + 4257 696F6E00 + 4258 .LASF47: + 4259 018c 4379446D .ascii "CyDmaChPriority\000" + 4259 61436850 + 4259 72696F72 + 4259 69747900 + 4260 .LASF8: + 4261 019c 756E7369 .ascii "unsigned int\000" + 4261 676E6564 + 4261 20696E74 + 4261 00 + 4262 .LASF5: + 4263 01a9 6C6F6E67 .ascii "long unsigned int\000" + 4263 20756E73 + 4263 69676E65 + 4263 6420696E + 4263 7400 + 4264 .LASF25: + 4265 01bb 646D6163 .ascii "dmac_cfgmem_struct\000" + 4265 5F636667 + 4265 6D656D5F + 4265 73747275 + 4265 637400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 98 + + + 4266 .LASF86: + 4267 01ce 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\CyDmac.c\000" + 4267 6E657261 + 4267 7465645F + 4267 536F7572 + 4267 63655C50 + 4268 .LASF50: + 4269 01f0 4379446D .ascii "CyDmaChSetExtendedAddress\000" + 4269 61436853 + 4269 65744578 + 4269 74656E64 + 4269 65644164 + 4270 .LASF82: + 4271 020a 4379446D .ascii "CyDmaTdCurrentNumber\000" + 4271 61546443 + 4271 75727265 + 4271 6E744E75 + 4271 6D626572 + 4272 .LASF19: + 4273 021f 62617369 .ascii "basic_cfg\000" + 4273 635F6366 + 4273 6700 + 4274 .LASF3: + 4275 0229 73686F72 .ascii "short unsigned int\000" + 4275 7420756E + 4275 7369676E + 4275 65642069 + 4275 6E7400 + 4276 .LASF76: + 4277 023c 636F6E66 .ascii "configuration\000" + 4277 69677572 + 4277 6174696F + 4277 6E00 + 4278 .LASF33: + 4279 024a 4379446D .ascii "CyDmacError\000" + 4279 61634572 + 4279 726F7200 + 4280 .LASF88: + 4281 0256 4379456E .ascii "CyEnterCriticalSection\000" + 4281 74657243 + 4281 72697469 + 4281 63616C53 + 4281 65637469 + 4282 .LASF32: + 4283 026d 4379446D .ascii "CyDmacClearError\000" + 4283 6163436C + 4283 65617245 + 4283 72726F72 + 4283 00 + 4284 .LASF17: + 4285 027e 72656733 .ascii "reg32\000" + 4285 3200 + 4286 .LASF51: + 4287 0284 736F7572 .ascii "source\000" + 4287 636500 + 4288 .LASF21: + 4289 028b 62617369 .ascii "basic_status\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 99 + + + 4289 635F7374 + 4289 61747573 + 4289 00 + 4290 .LASF18: + 4291 0298 73697A65 .ascii "sizetype\000" + 4291 74797065 + 4291 00 + 4292 .LASF80: + 4293 02a1 4379446D .ascii "CyDmaChRoundRobin\000" + 4293 61436852 + 4293 6F756E64 + 4293 526F6269 + 4293 6E00 + 4294 .LASF78: + 4295 02b3 4379446D .ascii "CyDmaTdSetAddress\000" + 4295 61546453 + 4295 65744164 + 4295 64726573 + 4295 7300 + 4296 .LASF35: + 4297 02c5 646D6149 .ascii "dmaIndex\000" + 4297 6E646578 + 4297 00 + 4298 .LASF46: + 4299 02ce 4379446D .ascii "CyDmaClearPendingDrq\000" + 4299 61436C65 + 4299 61725065 + 4299 6E64696E + 4299 67447271 + 4300 .LASF63: + 4301 02e3 62757273 .ascii "burstCount\000" + 4301 74436F75 + 4301 6E7400 + 4302 .LASF12: + 4303 02ee 666C6F61 .ascii "float\000" + 4303 7400 + 4304 .LASF40: + 4305 02f4 6572726F .ascii "error\000" + 4305 7200 + 4306 .LASF85: + 4307 02fa 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 4307 4320342E + 4307 372E3320 + 4307 32303133 + 4307 30333132 + 4308 032d 616E6368 .ascii "anch revision 196615]\000" + 4308 20726576 + 4308 6973696F + 4308 6E203139 + 4308 36363135 + 4309 .LASF77: + 4310 0343 4379446D .ascii "CyDmaTdGetConfiguration\000" + 4310 61546447 + 4310 6574436F + 4310 6E666967 + 4310 75726174 + 4311 .LASF75: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 100 + + + 4312 035b 6E657874 .ascii "nextTd\000" + 4312 546400 + 4313 .LASF56: + 4314 0362 4379446D .ascii "CyDmaChSetRequest\000" + 4314 61436853 + 4314 65745265 + 4314 71756573 + 4314 7400 + 4315 .LASF24: + 4316 0374 646D6163 .ascii "dmac_ch_struct\000" + 4316 5F63685F + 4316 73747275 + 4316 637400 + 4317 .LASF1: + 4318 0383 756E7369 .ascii "unsigned char\000" + 4318 676E6564 + 4318 20636861 + 4318 7200 + 4319 .LASF2: + 4320 0391 73686F72 .ascii "short int\000" + 4320 7420696E + 4320 7400 + 4321 .LASF67: + 4322 039b 74645374 .ascii "tdStop\000" + 4322 6F7000 + 4323 .LASF41: + 4324 03a2 63684861 .ascii "chHandle\000" + 4324 6E646C65 + 4324 00 + 4325 .LASF37: + 4326 03ab 6368616E .ascii "channel\000" + 4326 6E656C00 + 4327 .LASF59: + 4328 03b3 4379446D .ascii "CyDmaChStatus\000" + 4328 61436853 + 4328 74617475 + 4328 7300 + 4329 .LASF45: + 4330 03c1 4379446D .ascii "CyDmaChDisable\000" + 4330 61436844 + 4330 69736162 + 4330 6C6500 + 4331 .LASF38: + 4332 03d0 4379446D .ascii "CyDmaChAlloc\000" + 4332 61436841 + 4332 6C6C6F63 + 4332 00 + 4333 .LASF60: + 4334 03dd 63757272 .ascii "currentTd\000" + 4334 656E7454 + 4334 6400 + 4335 .LASF14: + 4336 03e7 63686172 .ascii "char\000" + 4336 00 + 4337 .LASF48: + 4338 03ec 7072696F .ascii "priority\000" + 4338 72697479 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 101 + + + 4338 00 + 4339 .LASF79: + 4340 03f5 4379446D .ascii "CyDmaTdGetAddress\000" + 4340 61546447 + 4340 65744164 + 4340 64726573 + 4340 7300 + 4341 .LASF23: + 4342 0407 646D6163 .ascii "dmac_ch\000" + 4342 5F636800 + 4343 .LASF64: + 4344 040f 72657175 .ascii "requestPerBurst\000" + 4344 65737450 + 4344 65724275 + 4344 72737400 + 4345 .LASF44: + 4346 041f 70726573 .ascii "preserveTds\000" + 4346 65727665 + 4346 54647300 + 4347 .LASF53: + 4348 042b 636F6E76 .ascii "convert\000" + 4348 65727400 + 4349 .LASF30: + 4350 0433 646D6163 .ascii "dmac_tdmem\000" + 4350 5F74646D + 4350 656D00 + 4351 .LASF55: + 4352 043e 73746172 .ascii "startTd\000" + 4352 74546400 + 4353 .LASF83: + 4354 0446 4379446D .ascii "CyDmaTdFreeIndex\000" + 4354 61546446 + 4354 72656549 + 4354 6E646578 + 4354 00 + 4355 .LASF74: + 4356 0457 7472616E .ascii "transferCount\000" + 4356 73666572 + 4356 436F756E + 4356 7400 + 4357 .LASF26: + 4358 0465 43464730 .ascii "CFG0\000" + 4358 00 + 4359 .LASF27: + 4360 046a 43464731 .ascii "CFG1\000" + 4360 00 + 4361 .LASF42: + 4362 046f 73746174 .ascii "status\000" + 4362 757300 + 4363 .LASF58: + 4364 0476 4379446D .ascii "CyDmaChGetRequest\000" + 4364 61436847 + 4364 65745265 + 4364 71756573 + 4364 7400 + 4365 .LASF57: + 4366 0488 72657175 .ascii "request\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccyzPvW2.s page 102 + + + 4366 65737400 + 4367 .LASF54: + 4368 0490 4379446D .ascii "CyDmaChSetInitialTd\000" + 4368 61436853 + 4368 6574496E + 4368 69746961 + 4368 6C546400 + 4369 .LASF15: + 4370 04a4 63797374 .ascii "cystatus\000" + 4370 61747573 + 4370 00 + 4371 .LASF62: + 4372 04ad 4379446D .ascii "CyDmaChSetConfiguration\000" + 4372 61436853 + 4372 6574436F + 4372 6E666967 + 4372 75726174 + 4373 .LASF69: + 4374 04c5 656C656D .ascii "element\000" + 4374 656E7400 + 4375 .LASF39: + 4376 04cd 4379446D .ascii "CyDmaChFree\000" + 4376 61436846 + 4376 72656500 + 4377 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyDmac.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyDmac.o new file mode 100755 index 0000000000000000000000000000000000000000..0ac3530d267824212d21d92113d46a2e980a3cd5 GIT binary patch literal 22020 zcmdU%eSB2ao&V3BJ4pr-LLLYNNN1opMN zuirnvqc`vS{hsgno^!tE+;h*|8Sa*)=PYv^$N0%Hl_n(_v-M<~lQrL>(wu7Mnk_@d zL{@Go8;V9&OzK!xzf~{K~o!AS=wcW z#~SLxeUvGcuJdIF`8?BgEIJT!Mz5T>YkzCqaMTGM+~4X9|Fg5dC2OT|o^M?;>^RT0m}uW}2ZwpK0PUJk znR_7H=7xsq;kXt{VgWOjdyu$not=zu-BMFL-p>F4{NU(dVVO`M#LcNfXnukHpYFe z*QU|7-Fr(zn^_y9>0ahr_`2o zx45}|WxFuaPJL>$3(x?cd*a%;*bA@gQX{rerxhz3K69*^v74}^?*f@D@-_yS?s73y>Y$xYTXyuy0 z(uI3>b9^Zm3=fU1-jlb#@Z-LvqxQdG*k*HNxMBKG<+A(w-tDVKAFH3HRl%MU_SfyQ z77bxoRBWsGxUV5^Xzs4(jN`Sl?#98wzInU$a;%nwjttkmG+3I9lbaoR-R58vhto#U z%`SYsX4j96Ye#sv{u|qJ;nmu*_w@(16(mP|Lgg6D;hdE-ckOGf9WKD!<(TekHN(Hh z`6usl=5qWWABDc6f5wEJPjTY>3|IKsI7+QD^(W+HzX~bjM6PpzP}X*foS7&T3TKT% zkx)42K_ZgHkcA>SUjf{3R(DT=d3Pf(TuFnAs*w@KVM48E@U))Vi_f7V9R3|WM#5Fp zIg$5KP59d|4*i<>;WDZZJ%!V(D=1%0>%%Woz8-&2&z;a@Cs3@wJ!>+obEZMzo;{ld z%T3M~L3d@1mBKkUGw+-QR*K|Y#=KRhTPe>(df|}8M(x9iYqIDq<4-sTO>@tE69(B& zAv@wWC4ih5)h#@=by7NSvz5XD7vU*%e8Ea&WFNw5#JzAg&PTU2R2#RIrzj1n#<&+h zF|zbLy1nE^WaK)Rqqy^VoEpREhORQsFr>Vnpo7kt?1@cdoU^Ie==hofsA-(*Y-GlE z>%mvfg)0AJtEYVlU5s&N;;-|d!*Da@;h=}H&OQX)c{b^q_k{&GoCo0{?=7A^M>pr= z@Y?k}#cqnGH zboU~Tyk6RVOjF*!ytv+?ZJ`EXohy;s|gajc4_ z(Y+^M54qS@H`kW^DP&XVJjeF5r`%P@-5p7H?be-x(0Ii=ZSm6~qxjDN=f+g=N)>-S zS^P#@Jf19mvn~ETulOx!g!6E!xL-RbjMtfmZSi+uUi2ZG>d8}ZT6_fyf8XY>plmz$ zsCC~48Li{Qa^6U}uTtyoOS*r<7QYkbMO)dGHymE9uTdWI3RC{qr2Dt5`%fs(f)nQ# z4t>qRVaA0Y#ot2bHtGvQ)({H2KyiSMK=1XbFvJmF2f5@7&zQ2)==GpD#OZJsGT^Ko zH94oHoK-+eXAN1tv(v1z{V*@uMrWR!i+s=B=d8QaAj91zTC7RAn=E(NCEcyD?s}5N zFSNxUVDa(JV{FY$sp6d42a)CcGFkp6Ti)QhDEcSQnt6*Lm$(e*O(Duo(*!$Ow^;8E zV#f%KcOGW@UP)C@3+;N8b>ehrXZI_%f*UAvR=}D?_fj6~m8Se5kNnN6v3(CrFJEhsOgENVN@VtFMZxivS=m%a7+devC%tTsvRZMfKTmWSOS1_&z zlepSA)~7kaSx?~%H`z>oQ5^4llQ~zq$*FiE)mz zWwK9&&SUJv;Z##5LCcHj;bcn=+m^h@n(T6L*pG%?3?wyq{r}jj7u9+-9C9$E#^Zm;wH|SJSuH zsq<1bRjQ`-tjS(6=h>RFb0PnlPCR)nWUnI^Sl{1-Y^IE+w@Oa9EIUi5EaRk@=_#N! zQ%>bYbn^Aqf{Llm`6v^<+D<=bnuD2T!do0C$}%u5bY|oi=eqePge^K)~lbs*-9Nt!Uw5yx3kO#P_ou%3e+ zjD|tP^Ff2$D(B2R&*@2dg-(%EJNj!&@>n8oe$rD2EgDm0Qidyvlcu<1XQdgA4SJMe zwtN=cc&&r6uYvo*q*>NUc>#Z{x=0?gRur@9Vzor#xUq;0yIvA7Ls!Nh!=qpEgp`ZP z2tsMl)ON);oS5sJhbkv|4ZUMY9@~0CvhV3_#pRTX;o~YgB@ivNWooiSE_=5a>(aDj zolsE`&9Vb%cU@c`Toc){!%hkPK|73NRuf#Y!sa7qb(#UD6}J3W*=@hw<(R85OT5HV zvv9zHG`!^)RunSTJIX*7aZC&TCX<(4W3zdkIHoa~hZ9V7TOr9?8!BhZk_oY5g~W+C zycYA!^R=2_xshFAdqT#mm<8BIzaaH~$v}6;!E8E?fC`eC>Zyp6ad=hn%-#~rYJxo< z*#?XWJ4_VGpm7J!SQ9b`-(}+tp3zT6h`h$v*v8X@9r0^tNBrtDS{<>TRuf#Jy~gn@ z(4C5822PTRAMfaxWC2#_7o?sJSn~K4(!#5dXHn0VV9-Z~$=(#;m}CJ~=oe&#QRrCm z_!a&Sd&o(NC=-6kM%zWNTge$Z8U`G$Y!f%54oynCRQ{JyVjs~Df`jMb8bY?cM%cD2(|^N5TI(;-50>zFctllr0 zQDYeST&c-w@0<}zra)9UCA=2->mPhoLdkL?!^Q3*T!de;(JnqT&sdv+t*AvdT9nob z&Hz+KEXLtg#EN*dy1vbAj{ zeB0)LZFA6e5d;o8Nn=h1lC7rRZ+*tlglzWlZ=y4ipHYNOcg-ko7I0c(BZ9wnLURyo z9#7`u$hgA>$AHi8wV#E$rj+8mdV8V3HJmS z63;!)*bQV5EH`q9qtG&pBnvRSenIN}l7U!pBGDux1i>~oSWoHGu-X<`P1NG>n!>Xs zIN+XOxns8x(=dw6S{XF%;2F&`E(R~2O$06ItSMldoQ4b)*B5kx;8e^teP&)o`Oxq+ zL*cY#mOVoQ3EFxMFI8)IE;<(|9BGYR%&&qJ11mQPoNhuRZ0o4%!2B>Bn-iP+ zV*OQJ6qKR!bGq9-HEs-cZn&R!#?Lurx(S6tb^NnvekhlZvz7Z>JhqsF54tJMcE>3W zMFNTkp_mg22Q<$*&Pns!%cJh7=+v^BW_MH-h5V|Dnl!V+jx&C8wD!bVH5bis`>Wi- zXj=B8A*bY=8RveX%iU1rmZs;ugS-XZE8TdNTNDksfx2&Wos#N-mF^W)?&$O~54+Cz z>N93npWzNxxq0cO-gKRb)#t9RSz9sP?W}U6(U24HxjhV@D`%oRX;nQz^>(Wc_Wl6X zeKZbgZ_08?rj(bJU0!w(S{=#?xPR5lT6@ZzQ$9au_VhV(rcHM@SGi--8!?>a%&1;f zUOuJVjVI9SnlvAGWjn>wqSMQ3E}wE@-R!ghdj?sbPc!~3+bNkHXqJ$#v@u~8nvBQrGwpx`gnJuyQ6JGbF3rL-P>b2F{Ll*Sbyop*hbCc zrSW)gyson|9_#P-R4tu#8#eTI;F9p=W$~EK>${frw6$+Y%c<`jz&C~7RZ-vDv%dS% zfq2Yp=V%1`eiN`KQfEcHRrn7e- z*`4~Xww|8YhJKqhZ?3suQEPL3^NP964Xx)jFS%q%Z*O8lZ(C<9Ue&R=v%kl*c`-%g zV)0F}PP3_P!$8b*#`+W8JzlSDZ|l2O$Gdwm5SvX}C`JY+Fgku$j<-IwY8C46B3j>t zh%OyW#Ckeoof)H9KM;?{dJTU1t2}}yV>4?M%bY)P+ z^uHQ?>+ZRJ&S(G`uyHg)&o>WJ2SZoz_@Q!n=0vpjQ> z_QVDgEuDS`5b>tiWdj&)T~=NGpe18!=dBlKZz47)dizXAm*zUMIpLBHy+CQwG<5f; zuC)3tdrg`SZ!FOW>$=aMShh?{Ct4hcAcnaMlifCPbu7MwQ8Rs-t}UJY2E)B67FYA_ z6~s#?p6p{wC$D^OAQFgG|9Z>-?`mJRyxP<+TV7+YHH@wrjRyEJQN?}^qP3z2i&5JK zHh!}vg^G<>4lrgLF=ze4b^$WW>+2UqD>=$7y}cXyqw9O)QH*JHsm3(AI^NrXWvn;e zKlA^^G^m@49Yb5Jt-Tvx0^l^O9v4h(@RU{aO!nxURii>h;c-rhGr=u&COVUxsrZot zcST2$925F-e%=`SxECs$xRdgCO;m6v^}u zeg*R~`<$53l%8X?=n3cN6rD>0GSIW#!tqPer{z{Uj53De0`etwOOxI;D;2E(9 z>~u!tcu`6pE^j^bR=_|-$JoxK<&L?V4PLHMgoQ zk%)J<4ZFE8Fsfr4#v>8WBFh|K14rCp<_R&_xrIE=fQekf_$vF@JUY4 zUwCoKAD?FW{`O?}`vmf_+V^)EcKrEX1$2J$`|(RCg6&%kq0%=3eY-zp*bN@XAAY5p z=8r#i^q;`ctv|=+WZ1m|nep?JU+;F{b`ezMKjEQI-yeT_i2sw91?I!H#Q*pM1Oa41Yg@-Ld8~e=f^<{q!E}o(v3- zpLYf90&3HOxU5597?&~}eq3(K@OSqZ_=nC<#F-_7uMAqMM!?+o<)-JjvF72o^5gnatr8t?miCd1#{$@mE#^8Mud`(cK^ ziY8<3MJD~t#Nqqfm*KAnzx4erbbj*vy^`VYg=S;+!ifI(MUC(8ZTa)B*`w2pd5f7! z^vC1<47&pr#$4tb$%b~ru*1;z`B^IvT-NC)zkOjC`RC00GVDGMD3VQpU2xpCwiq)6 zJNjgs{eBeU9HznwU(l@FP@?x5k{0Q~Kle%sKps zEd}*qbLeO=6A!_=I9f3BsEEbVhM>~pPsYF!Fk*w+7; z4Er$|{u!-Qa`Ahk^#0~s`)JaHUt*<_YsO~SkG1v{NfRtKf#hQ5r28+(@Xwi*O0Fr) zu;(mJB^TdZrTZ(g{>CLu_{~--xu!V7zBr@);*>GYTzp5D?th%MPwg90HL;+JAL^&u zmstDM_}CxvC+l$WC0DvVzw}Ba*G$OBpJ4r^<_GWOspR5kw(0&RX4u=G;U){XxYMTF zpJ44%*8}gwspOg|8TR~V6shFm2S(}srlvA+=9+04`B+Q?$u-f8{AeJT<)>%lPq*z& z&9~{vy#`kjJi|$T?(pTz8NWa^aHViiLom7aj+@fBft7_?*WMX}`B+o~$+dT;l)|-l zmY{r!ZGURkr$&}mZrVb*-jit0F)qS- zwN?4^tvt$X2mM4J5BLH|`57Fk`f|-rC41$^*u4PrIVMF|Pu^F4rIp8;&m?>K1tt&1 zv}ezXaJ`=>nb%km{#zv3voAL1NX9k+x#P@VNcQ?)Vm3&wwfW=CR>@xbCYamP zQ@sj47Eb&PLG?R=!3D=77x zU(|C)L_Ozc(jI=ev!I^W0sZoNn~HM0&M9+e6FNt7BldojLEe!_-WfvYdVBrQYSjll z_mRwBB-V*%i6~<8xi_TU#p0L5KJiNN2J!!jcZuH;za#DvpA-LHXZ~xF-<13>l7A(cpJQl$ghcs2i=#NFQND!4_GF@XqVzQ+$}Nyw zCwZCVM#*a=cam6ayTk$Mhe-IjUNS$QQ~sLdog}s=_lu89|11f+7bO2&@*9%hA*06p zO8iLrKa(iu@)|_{@=3_WlBY^8ms}S4qBB@~x7; zD)}DC4@v$3iO-5Z6knA7H4^o_DfwT;Vd?)U*~Od>Ve!Zj$C2poG!o@2B+rpNU-Frf zmq~6S@j?20u|sm_d|u^G5S{JQdYD*qA5k4b(;@{c9&7k?!lCTooO zgZL?l`omZd*{`uA8Ygf2;hTk=V4pCcZ(U{Rfr*8_B~Y7Uv_<^D{i{vPhKA7f&D&hm%NrhMy&# zDt#Tf81q(KLBdb7^8Z3|hvdt|E#j@>og~WLL&D#;#P2A7m-7Ec@=wS!@O)ByRrzl! z{~gKiOFk_5kCM3-v%VY>{VNg6NccTboFzT~JtFNJB=g7jp;}{F#5U*RU-EbgOayOzEScWB-V;=NPbW>NW5Pn%hK*EaR0OW3NT7N zSRwLr7w=1UUjZT{R$eCB{RH$EO70dniUZ|HKA+|6ehFMId8ODaULal~c8GBj`+-g3RpK?` z&Ej8*e+A?>RAPU$y}rmi{vlAL^|gU#>vA zT#;`Gpgdiy6laTeKZX27lKBRK5ad-N-ylFaCT*$q+%4Mu z6=b`=0(VKjN96Y)wBIZ86$F&+J`3b42q^!X$X5_h{!9#GA)y=*3&e5aWHBo8l?Alt zn-<8$Vy(DBTqUj}XBg8deo5p%WTL`9+a0 zbfCOR}r-z07q?+|y0JH>~@N5sA23*yV-&&9XIe-inE2-au!ec&G@|GQ}SebA5M z{s`m?B*@8PxoG!+(AP+|`#{Kig#_)-5?6~YVyn1L>=HMKe9r{;vvywyens-lB40Vd z{2d}+IYD{1_>}mp__rk1il0jUnP~TiDEE(&`9=!vcYi1TLHtzY%PH)BShV{@*iV#P zE}kUXeIoJ~N#^S(xSw4mo+qvq`6deHZxj>apm?o#gJ}1Wu-`6ur+B|;_mRkdOtRfi zLbm%!@I~og7Wvu=*8fZKws(jd-faw_LFNO0h|_ z`%UQAN$wQweiQo3C0{LGE8ZgBCh`p!^uJSlNPI-(yDmbt#=I=PCcYsa6yFnnBmQ1= z@C63TXNhCPLUE!vMVukd6#140mR~F`6<3H&;`t(9n8D8j8^we;C|)bxAl@o&7x{t> zmcL(oMBFX@NZc#(EgQ7|d+}}Ypm<0;BJwpGp$0r#6br=?ahg~zo-9_03&q7^y|`TD zYdh%wVzE=~664|~@hb5e@n-QaMZWff{_YbW5+4z<92&gm&^}+~=M{d>z|V?N5;m1& zzMi8N;=NUru`jPBv5!5A#QqbHNbP=b4H?C}Cb946B4>DC@bi8+Ry5B;tFwcrS^#d|P~gM7+NzK1!mq zkBd)|i2skoy<{z(8;UQH7>`%PePo0Fg(28Y8S(!L8Nq9gNW}Xg5^=VE5#M(ykHewQ zOH)b2&wd_6{F*37jp-o~uUkmOX(w5U=X@mMVxLzd9`^Y(;!qfZ9{ry~qTl>I6Z>nQ zC!?SCa}WBrgZf%M2VR2xC}p%CO){b8)I6$?j4Ew^=1X2kqF*Rt?aw07zZS`BNc7W= zFZ?Aa!?_(V$hT9*Ji3>J{B08bx8ni%amt9pUgf_)M$uo%uak(&LCNoth|jR(k4ZL~ zae}|F;#DAd9ErHimRwCDerHLx-yh7wyp?P}ZzG;VlKK52<7z)&!@vD}jre{~`Hzx_ Z^GlLnA#3rQDamh>i2JW4e@G&j{|RkhWYz!x literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.lst new file mode 100755 index 0000000..b1a2d74 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.lst @@ -0,0 +1,4248 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "CyFlash.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.CySetTempInt.part.0,"ax",%progbits + 19 .align 1 + 20 .thumb + 21 .thumb_func + 22 .type CySetTempInt.part.0, %function + 23 CySetTempInt.part.0: + 24 .LFB13: + 25 .file 1 ".\\Generated_Source\\PSoC5\\CyFlash.c" + 1:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/CyFlash.c **** * File Name: CyFlash.c + 3:.\Generated_Source\PSoC5/CyFlash.c **** * Version 4.0 + 4:.\Generated_Source\PSoC5/CyFlash.c **** * + 5:.\Generated_Source\PSoC5/CyFlash.c **** * Description: + 6:.\Generated_Source\PSoC5/CyFlash.c **** * Provides an API for the FLASH/EEPROM. + 7:.\Generated_Source\PSoC5/CyFlash.c **** * + 8:.\Generated_Source\PSoC5/CyFlash.c **** * Note: + 9:.\Generated_Source\PSoC5/CyFlash.c **** * This code is endian agnostic. + 10:.\Generated_Source\PSoC5/CyFlash.c **** * + 11:.\Generated_Source\PSoC5/CyFlash.c **** * Note: + 12:.\Generated_Source\PSoC5/CyFlash.c **** * Documentation of the API's in this file is located in the + 13:.\Generated_Source\PSoC5/CyFlash.c **** * System Reference Guide provided with PSoC Creator. + 14:.\Generated_Source\PSoC5/CyFlash.c **** * + 15:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 16:.\Generated_Source\PSoC5/CyFlash.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 17:.\Generated_Source\PSoC5/CyFlash.c **** * You may use this file only in accordance with the license, terms, conditions, + 18:.\Generated_Source\PSoC5/CyFlash.c **** * disclaimers, and limitations in the end user license agreement accompanying + 19:.\Generated_Source\PSoC5/CyFlash.c **** * the software package with which this file was provided. + 20:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 21:.\Generated_Source\PSoC5/CyFlash.c **** + 22:.\Generated_Source\PSoC5/CyFlash.c **** #include "CyFlash.h" + 23:.\Generated_Source\PSoC5/CyFlash.c **** + 24:.\Generated_Source\PSoC5/CyFlash.c **** + 25:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 26:.\Generated_Source\PSoC5/CyFlash.c **** * Holds die temperature, updated by CySetTemp(). Used for flash writting. + 27:.\Generated_Source\PSoC5/CyFlash.c **** * The first byte is the sign of the temperature (0 = negative, 1 = positive). + 28:.\Generated_Source\PSoC5/CyFlash.c **** * The second byte is the magnitude. + 29:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 30:.\Generated_Source\PSoC5/CyFlash.c **** uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + 31:.\Generated_Source\PSoC5/CyFlash.c **** + 32:.\Generated_Source\PSoC5/CyFlash.c **** #if(CYDEV_ECC_ENABLE == 0) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 2 + + + 33:.\Generated_Source\PSoC5/CyFlash.c **** static uint8 * rowBuffer = 0; + 34:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CYDEV_ECC_ENABLE == 0) */ + 35:.\Generated_Source\PSoC5/CyFlash.c **** + 36:.\Generated_Source\PSoC5/CyFlash.c **** + 37:.\Generated_Source\PSoC5/CyFlash.c **** static cystatus CySetTempInt(void); + 38:.\Generated_Source\PSoC5/CyFlash.c **** + 39:.\Generated_Source\PSoC5/CyFlash.c **** + 40:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 41:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyFlash_Start + 42:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 43:.\Generated_Source\PSoC5/CyFlash.c **** * + 44:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 45:.\Generated_Source\PSoC5/CyFlash.c **** * Enable the Flash. + 46:.\Generated_Source\PSoC5/CyFlash.c **** * + 47:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 48:.\Generated_Source\PSoC5/CyFlash.c **** * None + 49:.\Generated_Source\PSoC5/CyFlash.c **** * + 50:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 51:.\Generated_Source\PSoC5/CyFlash.c **** * None + 52:.\Generated_Source\PSoC5/CyFlash.c **** * + 53:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 54:.\Generated_Source\PSoC5/CyFlash.c **** void CyFlash_Start(void) + 55:.\Generated_Source\PSoC5/CyFlash.c **** { + 56:.\Generated_Source\PSoC5/CyFlash.c **** /* Active Power Mode */ + 57:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + 58:.\Generated_Source\PSoC5/CyFlash.c **** + 59:.\Generated_Source\PSoC5/CyFlash.c **** /* Standby Power Mode */ + 60:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + 61:.\Generated_Source\PSoC5/CyFlash.c **** + 62:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(CY_FLASH_EE_STARTUP_DELAY); + 63:.\Generated_Source\PSoC5/CyFlash.c **** } + 64:.\Generated_Source\PSoC5/CyFlash.c **** + 65:.\Generated_Source\PSoC5/CyFlash.c **** + 66:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 67:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyFlash_Stop + 68:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 69:.\Generated_Source\PSoC5/CyFlash.c **** * + 70:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 71:.\Generated_Source\PSoC5/CyFlash.c **** * Disable the Flash. + 72:.\Generated_Source\PSoC5/CyFlash.c **** * + 73:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 74:.\Generated_Source\PSoC5/CyFlash.c **** * None + 75:.\Generated_Source\PSoC5/CyFlash.c **** * + 76:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 77:.\Generated_Source\PSoC5/CyFlash.c **** * None + 78:.\Generated_Source\PSoC5/CyFlash.c **** * + 79:.\Generated_Source\PSoC5/CyFlash.c **** * Side Effects: + 80:.\Generated_Source\PSoC5/CyFlash.c **** * This setting is ignored as long as the CPU is currently running. This will + 81:.\Generated_Source\PSoC5/CyFlash.c **** * only take effect when the CPU is later disabled. + 82:.\Generated_Source\PSoC5/CyFlash.c **** * + 83:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 84:.\Generated_Source\PSoC5/CyFlash.c **** void CyFlash_Stop(void) + 85:.\Generated_Source\PSoC5/CyFlash.c **** { + 86:.\Generated_Source\PSoC5/CyFlash.c **** /* Active Power Mode */ + 87:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + 88:.\Generated_Source\PSoC5/CyFlash.c **** + 89:.\Generated_Source\PSoC5/CyFlash.c **** /* Standby Power Mode */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 3 + + + 90:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + 91:.\Generated_Source\PSoC5/CyFlash.c **** } + 92:.\Generated_Source\PSoC5/CyFlash.c **** + 93:.\Generated_Source\PSoC5/CyFlash.c **** + 94:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 95:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CySetTempInt + 96:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 97:.\Generated_Source\PSoC5/CyFlash.c **** * + 98:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 99:.\Generated_Source\PSoC5/CyFlash.c **** * Sends a command to the SPC to read the die temperature. Sets a global value + 100:.\Generated_Source\PSoC5/CyFlash.c **** * used by the Write functions. This function must be called once before + 101:.\Generated_Source\PSoC5/CyFlash.c **** * executing a series of Flash writing functions. + 102:.\Generated_Source\PSoC5/CyFlash.c **** * + 103:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 104:.\Generated_Source\PSoC5/CyFlash.c **** * None + 105:.\Generated_Source\PSoC5/CyFlash.c **** * + 106:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 107:.\Generated_Source\PSoC5/CyFlash.c **** * status: + 108:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS - if successful + 109:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_LOCKED - if Flash writing already in use + 110:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_UNKNOWN - if there was an SPC error + 111:.\Generated_Source\PSoC5/CyFlash.c **** * + 112:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 113:.\Generated_Source\PSoC5/CyFlash.c **** static cystatus CySetTempInt(void) + 26 .loc 1 113 0 + 27 .cfi_startproc + 28 @ args = 0, pretend = 0, frame = 0 + 29 @ frame_needed = 0, uses_anonymous_args = 0 + 114:.\Generated_Source\PSoC5/CyFlash.c **** { + 115:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status; + 116:.\Generated_Source\PSoC5/CyFlash.c **** + 117:.\Generated_Source\PSoC5/CyFlash.c **** /* Make sure SPC is powered */ + 118:.\Generated_Source\PSoC5/CyFlash.c **** CySpcStart(); + 119:.\Generated_Source\PSoC5/CyFlash.c **** + 120:.\Generated_Source\PSoC5/CyFlash.c **** /* Plan for failure. */ + 121:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_UNKNOWN; + 122:.\Generated_Source\PSoC5/CyFlash.c **** + 123:.\Generated_Source\PSoC5/CyFlash.c **** if(CySpcLock() == CYRET_SUCCESS) + 124:.\Generated_Source\PSoC5/CyFlash.c **** { + 125:.\Generated_Source\PSoC5/CyFlash.c **** /* Write the command. */ + 126:.\Generated_Source\PSoC5/CyFlash.c **** if(CYRET_STARTED == CySpcGetTemp(CY_TEMP_NUMBER_OF_SAMPLES)) + 30 .loc 1 126 0 + 31 0000 0120 movs r0, #1 + 113:.\Generated_Source\PSoC5/CyFlash.c **** static cystatus CySetTempInt(void) + 32 .loc 1 113 0 + 33 0002 10B5 push {r4, lr} + 34 .LCFI0: + 35 .cfi_def_cfa_offset 8 + 36 .cfi_offset 4, -8 + 37 .cfi_offset 14, -4 + 38 .loc 1 126 0 + 39 0004 FFF7FEFF bl CySpcGetTemp + 40 .LVL0: + 41 0008 0728 cmp r0, #7 + 42 000a 09D0 beq .L14 + 43 .L7: + 121:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_UNKNOWN; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 4 + + + 44 .loc 1 121 0 + 45 000c 4FF0FF34 mov r4, #-1 + 46 0010 17E0 b .L3 + 47 .L20: + 127:.\Generated_Source\PSoC5/CyFlash.c **** { + 128:.\Generated_Source\PSoC5/CyFlash.c **** do + 129:.\Generated_Source\PSoC5/CyFlash.c **** { + 130:.\Generated_Source\PSoC5/CyFlash.c **** if(CySpcReadData(dieTemperature, CY_FLASH_DIE_TEMP_DATA_SIZE) == CY_FLASH_DIE_TEMP_ + 131:.\Generated_Source\PSoC5/CyFlash.c **** { + 132:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_SUCCESS; + 133:.\Generated_Source\PSoC5/CyFlash.c **** + 134:.\Generated_Source\PSoC5/CyFlash.c **** while(CY_SPC_BUSY) + 135:.\Generated_Source\PSoC5/CyFlash.c **** { + 136:.\Generated_Source\PSoC5/CyFlash.c **** /* Spin until idle. */ + 137:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(1u); + 138:.\Generated_Source\PSoC5/CyFlash.c **** } + 139:.\Generated_Source\PSoC5/CyFlash.c **** break; + 140:.\Generated_Source\PSoC5/CyFlash.c **** } + 141:.\Generated_Source\PSoC5/CyFlash.c **** + 142:.\Generated_Source\PSoC5/CyFlash.c **** } while(CY_SPC_BUSY); + 48 .loc 1 142 0 + 49 0012 0E4B ldr r3, .L23 + 50 0014 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 51 0016 00F00201 and r1, r0, #2 + 52 001a CAB2 uxtb r2, r1 + 53 001c 002A cmp r2, #0 + 54 001e F5D1 bne .L7 + 55 .L14: + 130:.\Generated_Source\PSoC5/CyFlash.c **** if(CySpcReadData(dieTemperature, CY_FLASH_DIE_TEMP_DATA_SIZE) == CY_FLASH_DIE_TEMP_ + 56 .loc 1 130 0 + 57 0020 0221 movs r1, #2 + 58 0022 0B48 ldr r0, .L23+4 + 59 0024 FFF7FEFF bl CySpcReadData + 60 .LVL1: + 61 0028 0228 cmp r0, #2 + 62 002a F2D1 bne .L20 + 63 .L16: + 134:.\Generated_Source\PSoC5/CyFlash.c **** while(CY_SPC_BUSY) + 64 .loc 1 134 0 + 65 002c 074C ldr r4, .L23 + 66 002e 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 67 0030 03F00200 and r0, r3, #2 + 68 0034 C1B2 uxtb r1, r0 + 69 0036 19B9 cbnz r1, .L22 + 70 .L6: + 137:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(1u); + 71 .loc 1 137 0 + 72 0038 0120 movs r0, #1 + 73 003a FFF7FEFF bl CyDelayUs + 74 .LVL2: + 75 003e F5E7 b .L16 + 76 .L22: + 132:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_SUCCESS; + 77 .loc 1 132 0 + 78 0040 0024 movs r4, #0 + 79 .L3: + 80 .LVL3: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 5 + + + 143:.\Generated_Source\PSoC5/CyFlash.c **** } + 144:.\Generated_Source\PSoC5/CyFlash.c **** + 145:.\Generated_Source\PSoC5/CyFlash.c **** CySpcUnlock(); + 81 .loc 1 145 0 + 82 0042 FFF7FEFF bl CySpcUnlock + 83 .LVL4: + 146:.\Generated_Source\PSoC5/CyFlash.c **** } + 147:.\Generated_Source\PSoC5/CyFlash.c **** else + 148:.\Generated_Source\PSoC5/CyFlash.c **** { + 149:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_LOCKED; + 150:.\Generated_Source\PSoC5/CyFlash.c **** } + 151:.\Generated_Source\PSoC5/CyFlash.c **** + 152:.\Generated_Source\PSoC5/CyFlash.c **** return (status); + 153:.\Generated_Source\PSoC5/CyFlash.c **** } + 84 .loc 1 153 0 + 85 0046 2046 mov r0, r4 + 86 0048 10BD pop {r4, pc} + 87 .L24: + 88 004a 00BF .align 2 + 89 .L23: + 90 004c 22470040 .word 1073760034 + 91 0050 00000000 .word dieTemperature + 92 .cfi_endproc + 93 .LFE13: + 94 .size CySetTempInt.part.0, .-CySetTempInt.part.0 + 95 .section .text.CyFlash_Start,"ax",%progbits + 96 .align 1 + 97 .global CyFlash_Start + 98 .thumb + 99 .thumb_func + 100 .type CyFlash_Start, %function + 101 CyFlash_Start: + 102 .LFB0: + 55:.\Generated_Source\PSoC5/CyFlash.c **** { + 103 .loc 1 55 0 + 104 .cfi_startproc + 105 @ args = 0, pretend = 0, frame = 0 + 106 @ frame_needed = 0, uses_anonymous_args = 0 + 107 @ link register save eliminated. + 57:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + 108 .loc 1 57 0 + 109 0000 054B ldr r3, .L26 + 110 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 111 0004 42F00100 orr r0, r2, #1 + 112 0008 1870 strb r0, [r3, #0] + 60:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + 113 .loc 1 60 0 + 114 000a 197C ldrb r1, [r3, #16] @ zero_extendqisi2 + 62:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(CY_FLASH_EE_STARTUP_DELAY); + 115 .loc 1 62 0 + 116 000c 0520 movs r0, #5 + 60:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + 117 .loc 1 60 0 + 118 000e 41F00102 orr r2, r1, #1 + 119 0012 1A74 strb r2, [r3, #16] + 63:.\Generated_Source\PSoC5/CyFlash.c **** } + 120 .loc 1 63 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 6 + + + 62:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(CY_FLASH_EE_STARTUP_DELAY); + 121 .loc 1 62 0 + 122 0014 FFF7FEBF b CyDelayUs + 123 .LVL5: + 124 .L27: + 125 .align 2 + 126 .L26: + 127 0018 AC430040 .word 1073759148 + 128 .cfi_endproc + 129 .LFE0: + 130 .size CyFlash_Start, .-CyFlash_Start + 131 .section .text.CyFlash_Stop,"ax",%progbits + 132 .align 1 + 133 .global CyFlash_Stop + 134 .thumb + 135 .thumb_func + 136 .type CyFlash_Stop, %function + 137 CyFlash_Stop: + 138 .LFB1: + 85:.\Generated_Source\PSoC5/CyFlash.c **** { + 139 .loc 1 85 0 + 140 .cfi_startproc + 141 @ args = 0, pretend = 0, frame = 0 + 142 @ frame_needed = 0, uses_anonymous_args = 0 + 143 @ link register save eliminated. + 87:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + 144 .loc 1 87 0 + 145 0000 044B ldr r3, .L29 + 146 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 147 0004 02F0FE00 and r0, r2, #254 + 148 0008 1870 strb r0, [r3, #0] + 90:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + 149 .loc 1 90 0 + 150 000a 197C ldrb r1, [r3, #16] @ zero_extendqisi2 + 151 000c 01F0FE02 and r2, r1, #254 + 152 0010 1A74 strb r2, [r3, #16] + 153 0012 7047 bx lr + 154 .L30: + 155 .align 2 + 156 .L29: + 157 0014 AC430040 .word 1073759148 + 158 .cfi_endproc + 159 .LFE1: + 160 .size CyFlash_Stop, .-CyFlash_Stop + 161 .section .text.CySetTemp,"ax",%progbits + 162 .align 1 + 163 .global CySetTemp + 164 .thumb + 165 .thumb_func + 166 .type CySetTemp, %function + 167 CySetTemp: + 168 .LFB3: + 154:.\Generated_Source\PSoC5/CyFlash.c **** + 155:.\Generated_Source\PSoC5/CyFlash.c **** + 156:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 157:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CySetTemp + 158:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 7 + + + 159:.\Generated_Source\PSoC5/CyFlash.c **** * + 160:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 161:.\Generated_Source\PSoC5/CyFlash.c **** * This is a wraparound for CySetTempInt(). It is used to return second + 162:.\Generated_Source\PSoC5/CyFlash.c **** * successful read of temperature value. + 163:.\Generated_Source\PSoC5/CyFlash.c **** * + 164:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 165:.\Generated_Source\PSoC5/CyFlash.c **** * None + 166:.\Generated_Source\PSoC5/CyFlash.c **** * + 167:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 168:.\Generated_Source\PSoC5/CyFlash.c **** * status: + 169:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS if successful. + 170:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_LOCKED if Flash writing already in use + 171:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_UNKNOWN if there was an SPC error. + 172:.\Generated_Source\PSoC5/CyFlash.c **** * + 173:.\Generated_Source\PSoC5/CyFlash.c **** * uint8 dieTemperature[2]: + 174:.\Generated_Source\PSoC5/CyFlash.c **** * Holds die temperature for the flash writting algorithm. The first byte is + 175:.\Generated_Source\PSoC5/CyFlash.c **** * the sign of the temperature (0 = negative, 1 = positive). The second byte is + 176:.\Generated_Source\PSoC5/CyFlash.c **** * the magnitude. + 177:.\Generated_Source\PSoC5/CyFlash.c **** * + 178:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 179:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CySetTemp(void) + 180:.\Generated_Source\PSoC5/CyFlash.c **** { + 169 .loc 1 180 0 + 170 .cfi_startproc + 171 @ args = 0, pretend = 0, frame = 0 + 172 @ frame_needed = 0, uses_anonymous_args = 0 + 173 0000 08B5 push {r3, lr} + 174 .LCFI1: + 175 .cfi_def_cfa_offset 8 + 176 .cfi_offset 3, -8 + 177 .cfi_offset 14, -4 + 178 .LBB6: + 179 .LBB7: + 118:.\Generated_Source\PSoC5/CyFlash.c **** CySpcStart(); + 180 .loc 1 118 0 + 181 0002 FFF7FEFF bl CySpcStart + 182 .LVL6: + 123:.\Generated_Source\PSoC5/CyFlash.c **** if(CySpcLock() == CYRET_SUCCESS) + 183 .loc 1 123 0 + 184 0006 FFF7FEFF bl CySpcLock + 185 .LVL7: + 186 000a 58B9 cbnz r0, .L34 + 187 000c FFF7FEFF bl CySetTempInt.part.0 + 188 .LVL8: + 189 .LBE7: + 190 .LBE6: + 181:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status = CySetTempInt(); + 182:.\Generated_Source\PSoC5/CyFlash.c **** + 183:.\Generated_Source\PSoC5/CyFlash.c **** if(status == CYRET_SUCCESS) + 191 .loc 1 183 0 + 192 0010 48B9 cbnz r0, .L32 + 193 .LBB9: + 194 .LBB10: + 118:.\Generated_Source\PSoC5/CyFlash.c **** CySpcStart(); + 195 .loc 1 118 0 + 196 0012 FFF7FEFF bl CySpcStart + 197 .LVL9: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 8 + + + 123:.\Generated_Source\PSoC5/CyFlash.c **** if(CySpcLock() == CYRET_SUCCESS) + 198 .loc 1 123 0 + 199 0016 FFF7FEFF bl CySpcLock + 200 .LVL10: + 201 001a 18B9 cbnz r0, .L34 + 202 .LBE10: + 203 .LBE9: + 184:.\Generated_Source\PSoC5/CyFlash.c **** { + 185:.\Generated_Source\PSoC5/CyFlash.c **** status = CySetTempInt(); + 186:.\Generated_Source\PSoC5/CyFlash.c **** } + 187:.\Generated_Source\PSoC5/CyFlash.c **** + 188:.\Generated_Source\PSoC5/CyFlash.c **** return (status); + 189:.\Generated_Source\PSoC5/CyFlash.c **** } + 204 .loc 1 189 0 + 205 001c BDE80840 pop {r3, lr} + 206 0020 FFF7FEBF b CySetTempInt.part.0 + 207 .LVL11: + 208 .L34: + 209 .LBB11: + 210 .LBB8: + 149:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_LOCKED; + 211 .loc 1 149 0 + 212 0024 0420 movs r0, #4 + 213 .L32: + 214 .LVL12: + 215 .LBE8: + 216 .LBE11: + 217 .loc 1 189 0 + 218 0026 08BD pop {r3, pc} + 219 .cfi_endproc + 220 .LFE3: + 221 .size CySetTemp, .-CySetTemp + 222 .section .text.CySetFlashEEBuffer,"ax",%progbits + 223 .align 1 + 224 .global CySetFlashEEBuffer + 225 .thumb + 226 .thumb_func + 227 .type CySetFlashEEBuffer, %function + 228 CySetFlashEEBuffer: + 229 .LFB4: + 190:.\Generated_Source\PSoC5/CyFlash.c **** + 191:.\Generated_Source\PSoC5/CyFlash.c **** + 192:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 193:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CySetFlashEEBuffer + 194:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 195:.\Generated_Source\PSoC5/CyFlash.c **** * + 196:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 197:.\Generated_Source\PSoC5/CyFlash.c **** * Sets the user supplied temporary buffer to store SPC data while performing + 198:.\Generated_Source\PSoC5/CyFlash.c **** * flash and EEPROM commands. This buffer is only necessary when Flash ECC is + 199:.\Generated_Source\PSoC5/CyFlash.c **** * disabled. + 200:.\Generated_Source\PSoC5/CyFlash.c **** * + 201:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 202:.\Generated_Source\PSoC5/CyFlash.c **** * buffer: + 203:.\Generated_Source\PSoC5/CyFlash.c **** * Address of block of memory to store temporary memory. The size of the block + 204:.\Generated_Source\PSoC5/CyFlash.c **** * of memory is CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE. + 205:.\Generated_Source\PSoC5/CyFlash.c **** * + 206:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 9 + + + 207:.\Generated_Source\PSoC5/CyFlash.c **** * status: + 208:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS if successful. + 209:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_BAD_PARAM if the buffer is NULL + 210:.\Generated_Source\PSoC5/CyFlash.c **** * + 211:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 212:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CySetFlashEEBuffer(uint8 * buffer) + 213:.\Generated_Source\PSoC5/CyFlash.c **** { + 230 .loc 1 213 0 + 231 .cfi_startproc + 232 @ args = 0, pretend = 0, frame = 0 + 233 @ frame_needed = 0, uses_anonymous_args = 0 + 234 .LVL13: + 235 0000 38B5 push {r3, r4, r5, lr} + 236 .LCFI2: + 237 .cfi_def_cfa_offset 16 + 238 .cfi_offset 3, -16 + 239 .cfi_offset 4, -12 + 240 .cfi_offset 5, -8 + 241 .cfi_offset 14, -4 + 242 .loc 1 213 0 + 243 0002 0446 mov r4, r0 + 214:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status = CYRET_SUCCESS; + 215:.\Generated_Source\PSoC5/CyFlash.c **** + 216:.\Generated_Source\PSoC5/CyFlash.c **** CySpcStart(); + 244 .loc 1 216 0 + 245 0004 FFF7FEFF bl CySpcStart + 246 .LVL14: + 217:.\Generated_Source\PSoC5/CyFlash.c **** + 218:.\Generated_Source\PSoC5/CyFlash.c **** #if(CYDEV_ECC_ENABLE == 0) + 219:.\Generated_Source\PSoC5/CyFlash.c **** + 220:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL == buffer) + 247 .loc 1 220 0 + 248 0008 4CB1 cbz r4, .L37 + 221:.\Generated_Source\PSoC5/CyFlash.c **** { + 222:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_BAD_PARAM; + 223:.\Generated_Source\PSoC5/CyFlash.c **** } + 224:.\Generated_Source\PSoC5/CyFlash.c **** else if(CySpcLock() != CYRET_SUCCESS) + 249 .loc 1 224 0 + 250 000a FFF7FEFF bl CySpcLock + 251 .LVL15: + 252 000e 0546 mov r5, r0 + 253 0010 38B9 cbnz r0, .L38 + 225:.\Generated_Source\PSoC5/CyFlash.c **** { + 226:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_LOCKED; + 227:.\Generated_Source\PSoC5/CyFlash.c **** } + 228:.\Generated_Source\PSoC5/CyFlash.c **** else + 229:.\Generated_Source\PSoC5/CyFlash.c **** { + 230:.\Generated_Source\PSoC5/CyFlash.c **** rowBuffer = buffer; + 254 .loc 1 230 0 + 255 0012 054B ldr r3, .L39 + 256 0014 1C60 str r4, [r3, #0] + 231:.\Generated_Source\PSoC5/CyFlash.c **** CySpcUnlock(); + 257 .loc 1 231 0 + 258 0016 FFF7FEFF bl CySpcUnlock + 259 .LVL16: + 214:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status = CYRET_SUCCESS; + 260 .loc 1 214 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 10 + + + 261 001a 2846 mov r0, r5 + 262 001c 38BD pop {r3, r4, r5, pc} + 263 .L37: + 222:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_BAD_PARAM; + 264 .loc 1 222 0 + 265 001e 0120 movs r0, #1 + 266 0020 38BD pop {r3, r4, r5, pc} + 267 .L38: + 226:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_LOCKED; + 268 .loc 1 226 0 + 269 0022 0420 movs r0, #4 + 270 .LVL17: + 232:.\Generated_Source\PSoC5/CyFlash.c **** } + 233:.\Generated_Source\PSoC5/CyFlash.c **** + 234:.\Generated_Source\PSoC5/CyFlash.c **** #else + 235:.\Generated_Source\PSoC5/CyFlash.c **** + 236:.\Generated_Source\PSoC5/CyFlash.c **** /* To supress the warning */ + 237:.\Generated_Source\PSoC5/CyFlash.c **** buffer = buffer; + 238:.\Generated_Source\PSoC5/CyFlash.c **** + 239:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CYDEV_ECC_ENABLE == 0u) */ + 240:.\Generated_Source\PSoC5/CyFlash.c **** + 241:.\Generated_Source\PSoC5/CyFlash.c **** return(status); + 242:.\Generated_Source\PSoC5/CyFlash.c **** } + 271 .loc 1 242 0 + 272 0024 38BD pop {r3, r4, r5, pc} + 273 .L40: + 274 0026 00BF .align 2 + 275 .L39: + 276 0028 00000000 .word .LANCHOR0 + 277 .cfi_endproc + 278 .LFE4: + 279 .size CySetFlashEEBuffer, .-CySetFlashEEBuffer + 280 .section .text.CyWriteRowFull,"ax",%progbits + 281 .align 1 + 282 .global CyWriteRowFull + 283 .thumb + 284 .thumb_func + 285 .type CyWriteRowFull, %function + 286 CyWriteRowFull: + 287 .LFB7: + 243:.\Generated_Source\PSoC5/CyFlash.c **** + 244:.\Generated_Source\PSoC5/CyFlash.c **** + 245:.\Generated_Source\PSoC5/CyFlash.c **** #if(CYDEV_ECC_ENABLE == 1) + 246:.\Generated_Source\PSoC5/CyFlash.c **** + 247:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 248:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyWriteRowData + 249:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 250:.\Generated_Source\PSoC5/CyFlash.c **** * + 251:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 252:.\Generated_Source\PSoC5/CyFlash.c **** * Sends a command to the SPC to load and program a row of data in + 253:.\Generated_Source\PSoC5/CyFlash.c **** * Flash or EEPROM. + 254:.\Generated_Source\PSoC5/CyFlash.c **** * + 255:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 256:.\Generated_Source\PSoC5/CyFlash.c **** * arrayID: ID of the array to write. + 257:.\Generated_Source\PSoC5/CyFlash.c **** * The type of write, Flash or EEPROM, is determined from the array ID. + 258:.\Generated_Source\PSoC5/CyFlash.c **** * The arrays in the part are sequential starting at the first ID for the + 259:.\Generated_Source\PSoC5/CyFlash.c **** * specific memory type. The array ID for the Flash memory lasts from 0x00 to + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 11 + + + 260:.\Generated_Source\PSoC5/CyFlash.c **** * 0x3F and for the EEPROM memory it lasts from 0x40 to 0x7F. + 261:.\Generated_Source\PSoC5/CyFlash.c **** * rowAddress: rowAddress of flash row to program. + 262:.\Generated_Source\PSoC5/CyFlash.c **** * rowData: Array of bytes to write. + 263:.\Generated_Source\PSoC5/CyFlash.c **** * + 264:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 265:.\Generated_Source\PSoC5/CyFlash.c **** * status: + 266:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS if successful. + 267:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_LOCKED if the SPC is already in use. + 268:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_CANCELED if command not accepted + 269:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_UNKNOWN if there was an SPC error. + 270:.\Generated_Source\PSoC5/CyFlash.c **** * + 271:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 272:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + 273:.\Generated_Source\PSoC5/CyFlash.c **** { + 274:.\Generated_Source\PSoC5/CyFlash.c **** uint16 rowSize; + 275:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status; + 276:.\Generated_Source\PSoC5/CyFlash.c **** + 277:.\Generated_Source\PSoC5/CyFlash.c **** rowSize = (arrayId > CY_SPC_LAST_FLASH_ARRAYID) ? CYDEV_EEPROM_ROW_SIZE : CYDEV_FLS_ROW_SIZ + 278:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowData, rowSize); + 279:.\Generated_Source\PSoC5/CyFlash.c **** + 280:.\Generated_Source\PSoC5/CyFlash.c **** return(status); + 281:.\Generated_Source\PSoC5/CyFlash.c **** } + 282:.\Generated_Source\PSoC5/CyFlash.c **** + 283:.\Generated_Source\PSoC5/CyFlash.c **** #else + 284:.\Generated_Source\PSoC5/CyFlash.c **** + 285:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 286:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyWriteRowData + 287:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 288:.\Generated_Source\PSoC5/CyFlash.c **** * + 289:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 290:.\Generated_Source\PSoC5/CyFlash.c **** * Sends a command to the SPC to load and program a row of data in + 291:.\Generated_Source\PSoC5/CyFlash.c **** * Flash or EEPROM. + 292:.\Generated_Source\PSoC5/CyFlash.c **** * + 293:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 294:.\Generated_Source\PSoC5/CyFlash.c **** * arrayID : ID of the array to write. + 295:.\Generated_Source\PSoC5/CyFlash.c **** * The type of write, Flash or EEPROM, is determined from the array ID. + 296:.\Generated_Source\PSoC5/CyFlash.c **** * The arrays in the part are sequential starting at the first ID for the + 297:.\Generated_Source\PSoC5/CyFlash.c **** * specific memory type. The array ID for the Flash memory lasts from 0x00 to + 298:.\Generated_Source\PSoC5/CyFlash.c **** * 0x3F and for the EEPROM memory it lasts from 0x40 to 0x7F. + 299:.\Generated_Source\PSoC5/CyFlash.c **** * rowAddress : rowAddress of flash row to program. + 300:.\Generated_Source\PSoC5/CyFlash.c **** * rowData : Array of bytes to write. + 301:.\Generated_Source\PSoC5/CyFlash.c **** * + 302:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 303:.\Generated_Source\PSoC5/CyFlash.c **** * status: + 304:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS if successful. + 305:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_LOCKED if the SPC is already in use. + 306:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_CANCELED if command not accepted + 307:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_UNKNOWN if there was an SPC error. + 308:.\Generated_Source\PSoC5/CyFlash.c **** * + 309:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 310:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + 311:.\Generated_Source\PSoC5/CyFlash.c **** { + 312:.\Generated_Source\PSoC5/CyFlash.c **** uint8 i; + 313:.\Generated_Source\PSoC5/CyFlash.c **** uint32 offset; + 314:.\Generated_Source\PSoC5/CyFlash.c **** uint16 rowSize; + 315:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status; + 316:.\Generated_Source\PSoC5/CyFlash.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 12 + + + 317:.\Generated_Source\PSoC5/CyFlash.c **** /* Check whether rowBuffer pointer has been initialized by CySetFlashEEBuffer() */ + 318:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 319:.\Generated_Source\PSoC5/CyFlash.c **** { + 320:.\Generated_Source\PSoC5/CyFlash.c **** if(arrayId > CY_SPC_LAST_FLASH_ARRAYID) + 321:.\Generated_Source\PSoC5/CyFlash.c **** { + 322:.\Generated_Source\PSoC5/CyFlash.c **** rowSize = CYDEV_EEPROM_ROW_SIZE; + 323:.\Generated_Source\PSoC5/CyFlash.c **** } + 324:.\Generated_Source\PSoC5/CyFlash.c **** else + 325:.\Generated_Source\PSoC5/CyFlash.c **** { + 326:.\Generated_Source\PSoC5/CyFlash.c **** rowSize = CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE; + 327:.\Generated_Source\PSoC5/CyFlash.c **** + 328:.\Generated_Source\PSoC5/CyFlash.c **** /* Save the ECC area. */ + 329:.\Generated_Source\PSoC5/CyFlash.c **** offset = CYDEV_ECC_BASE + + 330:.\Generated_Source\PSoC5/CyFlash.c **** ((uint32)arrayId * CYDEV_ECC_SECTOR_SIZE) + + 331:.\Generated_Source\PSoC5/CyFlash.c **** ((uint32)rowAddress * CYDEV_ECC_ROW_SIZE); + 332:.\Generated_Source\PSoC5/CyFlash.c **** + 333:.\Generated_Source\PSoC5/CyFlash.c **** for(i = 0u; i < CYDEV_ECC_ROW_SIZE; i++) + 334:.\Generated_Source\PSoC5/CyFlash.c **** { + 335:.\Generated_Source\PSoC5/CyFlash.c **** *(rowBuffer + CYDEV_FLS_ROW_SIZE + i) = CY_GET_XTND_REG8((void CYFAR *)(offset + 336:.\Generated_Source\PSoC5/CyFlash.c **** } + 337:.\Generated_Source\PSoC5/CyFlash.c **** } + 338:.\Generated_Source\PSoC5/CyFlash.c **** + 339:.\Generated_Source\PSoC5/CyFlash.c **** /* Copy the rowdata to the temporary buffer. */ + 340:.\Generated_Source\PSoC5/CyFlash.c **** #if(CY_PSOC3) + 341:.\Generated_Source\PSoC5/CyFlash.c **** (void) memcpy((void *) rowBuffer, (void *)((uint32) rowData), (int16) CYDEV_FLS_ROW_SIZ + 342:.\Generated_Source\PSoC5/CyFlash.c **** #else + 343:.\Generated_Source\PSoC5/CyFlash.c **** (void) memcpy((void *) rowBuffer, (const void *) rowData, CYDEV_FLS_ROW_SIZE); + 344:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CY_PSOC3) */ + 345:.\Generated_Source\PSoC5/CyFlash.c **** + 346:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, rowSize); + 347:.\Generated_Source\PSoC5/CyFlash.c **** } + 348:.\Generated_Source\PSoC5/CyFlash.c **** else + 349:.\Generated_Source\PSoC5/CyFlash.c **** { + 350:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_UNKNOWN; + 351:.\Generated_Source\PSoC5/CyFlash.c **** } + 352:.\Generated_Source\PSoC5/CyFlash.c **** + 353:.\Generated_Source\PSoC5/CyFlash.c **** return(status); + 354:.\Generated_Source\PSoC5/CyFlash.c **** } + 355:.\Generated_Source\PSoC5/CyFlash.c **** + 356:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CYDEV_ECC_ENABLE == 0u) */ + 357:.\Generated_Source\PSoC5/CyFlash.c **** + 358:.\Generated_Source\PSoC5/CyFlash.c **** + 359:.\Generated_Source\PSoC5/CyFlash.c **** #if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + 360:.\Generated_Source\PSoC5/CyFlash.c **** + 361:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 362:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyWriteRowConfig + 363:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 364:.\Generated_Source\PSoC5/CyFlash.c **** * + 365:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 366:.\Generated_Source\PSoC5/CyFlash.c **** * Sends a command to the SPC to load and program a row of config data in flash. + 367:.\Generated_Source\PSoC5/CyFlash.c **** * This function is only valid for Flash array IDs (not for EEPROM). + 368:.\Generated_Source\PSoC5/CyFlash.c **** * + 369:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 370:.\Generated_Source\PSoC5/CyFlash.c **** * arrayId: ID of the array to write + 371:.\Generated_Source\PSoC5/CyFlash.c **** * The arrays in the part are sequential starting at the first ID for the + 372:.\Generated_Source\PSoC5/CyFlash.c **** * specific memory type. The array ID for the Flash memory lasts + 373:.\Generated_Source\PSoC5/CyFlash.c **** * from 0x00 to 0x3F. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 13 + + + 374:.\Generated_Source\PSoC5/CyFlash.c **** * rowAddress: Address of the sector to erase. + 375:.\Generated_Source\PSoC5/CyFlash.c **** * rowECC: Array of bytes to write. + 376:.\Generated_Source\PSoC5/CyFlash.c **** * + 377:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 378:.\Generated_Source\PSoC5/CyFlash.c **** * status: + 379:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS if successful. + 380:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_LOCKED if the SPC is already in use. + 381:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_CANCELED if command not accepted + 382:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_UNKNOWN if there was an SPC error. + 383:.\Generated_Source\PSoC5/CyFlash.c **** * + 384:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 385:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC)\ + 386:.\Generated_Source\PSoC5/CyFlash.c **** + 387:.\Generated_Source\PSoC5/CyFlash.c **** { + 388:.\Generated_Source\PSoC5/CyFlash.c **** uint32 offset; + 389:.\Generated_Source\PSoC5/CyFlash.c **** uint16 i; + 390:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status; + 391:.\Generated_Source\PSoC5/CyFlash.c **** + 392:.\Generated_Source\PSoC5/CyFlash.c **** /* Check whether rowBuffer pointer has been initialized by CySetFlashEEBuffer() */ + 393:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 394:.\Generated_Source\PSoC5/CyFlash.c **** { + 395:.\Generated_Source\PSoC5/CyFlash.c **** /* Read the existing flash data. */ + 396:.\Generated_Source\PSoC5/CyFlash.c **** offset = ((uint32)arrayId * CYDEV_FLS_SECTOR_SIZE) + + 397:.\Generated_Source\PSoC5/CyFlash.c **** ((uint32)rowAddress * CYDEV_FLS_ROW_SIZE); + 398:.\Generated_Source\PSoC5/CyFlash.c **** + 399:.\Generated_Source\PSoC5/CyFlash.c **** #if (CYDEV_FLS_BASE != 0u) + 400:.\Generated_Source\PSoC5/CyFlash.c **** offset += CYDEV_FLS_BASE; + 401:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CYDEV_FLS_BASE != 0u) */ + 402:.\Generated_Source\PSoC5/CyFlash.c **** + 403:.\Generated_Source\PSoC5/CyFlash.c **** for (i = 0u; i < CYDEV_FLS_ROW_SIZE; i++) + 404:.\Generated_Source\PSoC5/CyFlash.c **** { + 405:.\Generated_Source\PSoC5/CyFlash.c **** rowBuffer[i] = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + 406:.\Generated_Source\PSoC5/CyFlash.c **** } + 407:.\Generated_Source\PSoC5/CyFlash.c **** + 408:.\Generated_Source\PSoC5/CyFlash.c **** #if(CY_PSOC3) + 409:.\Generated_Source\PSoC5/CyFlash.c **** (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + 410:.\Generated_Source\PSoC5/CyFlash.c **** (void *)(uint32)rowECC, + 411:.\Generated_Source\PSoC5/CyFlash.c **** (int16)CYDEV_ECC_ROW_SIZE); + 412:.\Generated_Source\PSoC5/CyFlash.c **** #else + 413:.\Generated_Source\PSoC5/CyFlash.c **** (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + 414:.\Generated_Source\PSoC5/CyFlash.c **** (const void *)rowECC, + 415:.\Generated_Source\PSoC5/CyFlash.c **** CYDEV_ECC_ROW_SIZE); + 416:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CY_PSOC3) */ + 417:.\Generated_Source\PSoC5/CyFlash.c **** + 418:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ + 419:.\Generated_Source\PSoC5/CyFlash.c **** } + 420:.\Generated_Source\PSoC5/CyFlash.c **** else + 421:.\Generated_Source\PSoC5/CyFlash.c **** { + 422:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_UNKNOWN; + 423:.\Generated_Source\PSoC5/CyFlash.c **** } + 424:.\Generated_Source\PSoC5/CyFlash.c **** + 425:.\Generated_Source\PSoC5/CyFlash.c **** return (status); + 426:.\Generated_Source\PSoC5/CyFlash.c **** } + 427:.\Generated_Source\PSoC5/CyFlash.c **** + 428:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + 429:.\Generated_Source\PSoC5/CyFlash.c **** + 430:.\Generated_Source\PSoC5/CyFlash.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 14 + + + 431:.\Generated_Source\PSoC5/CyFlash.c **** + 432:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 433:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyWriteRowFull + 434:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 435:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 436:.\Generated_Source\PSoC5/CyFlash.c **** * Sends a command to the SPC to load and program a row of data in flash. + 437:.\Generated_Source\PSoC5/CyFlash.c **** * rowData array is expected to contain Flash and ECC data if needed. + 438:.\Generated_Source\PSoC5/CyFlash.c **** * + 439:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 440:.\Generated_Source\PSoC5/CyFlash.c **** * arrayId: FLASH or EEPROM array id. + 441:.\Generated_Source\PSoC5/CyFlash.c **** * rowData: Pointer to a row of data to write. + 442:.\Generated_Source\PSoC5/CyFlash.c **** * rowNumber: Zero based number of the row. + 443:.\Generated_Source\PSoC5/CyFlash.c **** * rowSize: Size of the row. + 444:.\Generated_Source\PSoC5/CyFlash.c **** * + 445:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 446:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_SUCCESS if successful. + 447:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_LOCKED if the SPC is already in use. + 448:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_CANCELED if command not accepted + 449:.\Generated_Source\PSoC5/CyFlash.c **** * CYRET_UNKNOWN if there was an SPC error. + 450:.\Generated_Source\PSoC5/CyFlash.c **** * + 451:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 452:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8* rowData, uint16 rowSize) \ + 453:.\Generated_Source\PSoC5/CyFlash.c **** + 454:.\Generated_Source\PSoC5/CyFlash.c **** { + 288 .loc 1 454 0 + 289 .cfi_startproc + 290 @ args = 0, pretend = 0, frame = 0 + 291 @ frame_needed = 0, uses_anonymous_args = 0 + 292 .LVL18: + 293 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 294 .LCFI3: + 295 .cfi_def_cfa_offset 24 + 296 .cfi_offset 3, -24 + 297 .cfi_offset 4, -20 + 298 .cfi_offset 5, -16 + 299 .cfi_offset 6, -12 + 300 .cfi_offset 7, -8 + 301 .cfi_offset 14, -4 + 302 .loc 1 454 0 + 303 0002 0546 mov r5, r0 + 304 0004 0E46 mov r6, r1 + 305 0006 1746 mov r7, r2 + 306 0008 1C46 mov r4, r3 + 455:.\Generated_Source\PSoC5/CyFlash.c **** cystatus status; + 456:.\Generated_Source\PSoC5/CyFlash.c **** + 457:.\Generated_Source\PSoC5/CyFlash.c **** if(CySpcLock() == CYRET_SUCCESS) + 307 .loc 1 457 0 + 308 000a FFF7FEFF bl CySpcLock + 309 .LVL19: + 310 000e F0B9 cbnz r0, .L49 + 311 .LVL20: + 312 .LBB14: + 313 .LBB15: + 458:.\Generated_Source\PSoC5/CyFlash.c **** { + 459:.\Generated_Source\PSoC5/CyFlash.c **** /* Load row data into SPC internal latch */ + 460:.\Generated_Source\PSoC5/CyFlash.c **** status = CySpcLoadRow(arrayId, rowData, rowSize); + 314 .loc 1 460 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 15 + + + 315 0010 2246 mov r2, r4 + 316 0012 2846 mov r0, r5 + 317 0014 3946 mov r1, r7 + 318 0016 FFF7FEFF bl CySpcLoadRow + 319 .LVL21: + 461:.\Generated_Source\PSoC5/CyFlash.c **** + 462:.\Generated_Source\PSoC5/CyFlash.c **** if(CYRET_STARTED == status) + 320 .loc 1 462 0 + 321 001a 0728 cmp r0, #7 + 460:.\Generated_Source\PSoC5/CyFlash.c **** status = CySpcLoadRow(arrayId, rowData, rowSize); + 322 .loc 1 460 0 + 323 001c 0446 mov r4, r0 + 324 .LVL22: + 325 .loc 1 462 0 + 326 001e 13D1 bne .L44 + 327 .LVL23: + 328 .L57: + 463:.\Generated_Source\PSoC5/CyFlash.c **** { + 464:.\Generated_Source\PSoC5/CyFlash.c **** while(CY_SPC_BUSY) + 329 .loc 1 464 0 + 330 0020 1D4B ldr r3, .L64 + 331 0022 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 332 0024 02F00200 and r0, r2, #2 + 333 0028 C1B2 uxtb r1, r0 + 334 002a 19B9 cbnz r1, .L62 + 335 .L45: + 465:.\Generated_Source\PSoC5/CyFlash.c **** { + 466:.\Generated_Source\PSoC5/CyFlash.c **** /* Wait for SPC to finish and get SPC status */ + 467:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(1u); + 336 .loc 1 467 0 + 337 002c 0120 movs r0, #1 + 338 002e FFF7FEFF bl CyDelayUs + 339 .LVL24: + 340 0032 F5E7 b .L57 + 341 .L62: + 468:.\Generated_Source\PSoC5/CyFlash.c **** } + 469:.\Generated_Source\PSoC5/CyFlash.c **** + 470:.\Generated_Source\PSoC5/CyFlash.c **** /* Hide SPC status */ + 471:.\Generated_Source\PSoC5/CyFlash.c **** if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + 342 .loc 1 471 0 + 343 0034 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 344 .LVL25: + 345 0036 04F00202 and r2, r4, #2 + 346 003a D0B2 uxtb r0, r2 + 347 003c 10B1 cbz r0, .L51 + 348 003e 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 349 0040 9B08 lsrs r3, r3, #2 + 350 0042 06D0 beq .L46 + 351 .L51: + 472:.\Generated_Source\PSoC5/CyFlash.c **** { + 473:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_SUCCESS; + 474:.\Generated_Source\PSoC5/CyFlash.c **** } + 475:.\Generated_Source\PSoC5/CyFlash.c **** else + 476:.\Generated_Source\PSoC5/CyFlash.c **** { + 477:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_UNKNOWN; + 478:.\Generated_Source\PSoC5/CyFlash.c **** } + 479:.\Generated_Source\PSoC5/CyFlash.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 16 + + + 480:.\Generated_Source\PSoC5/CyFlash.c **** if(CYRET_SUCCESS == status) + 481:.\Generated_Source\PSoC5/CyFlash.c **** { + 482:.\Generated_Source\PSoC5/CyFlash.c **** /* Erase and program flash with the data from SPC interval latch */ + 483:.\Generated_Source\PSoC5/CyFlash.c **** status = CySpcWriteRow(arrayId, rowNumber, dieTemperature[0u], dieTemperature[1u]); + 484:.\Generated_Source\PSoC5/CyFlash.c **** + 485:.\Generated_Source\PSoC5/CyFlash.c **** if(CYRET_STARTED == status) + 486:.\Generated_Source\PSoC5/CyFlash.c **** { + 487:.\Generated_Source\PSoC5/CyFlash.c **** while(CY_SPC_BUSY) + 488:.\Generated_Source\PSoC5/CyFlash.c **** { + 489:.\Generated_Source\PSoC5/CyFlash.c **** /* Wait for SPC to finish and get SPC status */ + 490:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(1u); + 491:.\Generated_Source\PSoC5/CyFlash.c **** } + 492:.\Generated_Source\PSoC5/CyFlash.c **** + 493:.\Generated_Source\PSoC5/CyFlash.c **** /* Hide SPC status */ + 494:.\Generated_Source\PSoC5/CyFlash.c **** if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + 495:.\Generated_Source\PSoC5/CyFlash.c **** { + 496:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_SUCCESS; + 497:.\Generated_Source\PSoC5/CyFlash.c **** } + 498:.\Generated_Source\PSoC5/CyFlash.c **** else + 499:.\Generated_Source\PSoC5/CyFlash.c **** { + 500:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_UNKNOWN; + 352 .loc 1 500 0 + 353 0044 4FF0FF34 mov r4, #-1 + 354 .L44: + 355 .LVL26: + 501:.\Generated_Source\PSoC5/CyFlash.c **** } + 502:.\Generated_Source\PSoC5/CyFlash.c **** } + 503:.\Generated_Source\PSoC5/CyFlash.c **** } + 504:.\Generated_Source\PSoC5/CyFlash.c **** + 505:.\Generated_Source\PSoC5/CyFlash.c **** } + 506:.\Generated_Source\PSoC5/CyFlash.c **** + 507:.\Generated_Source\PSoC5/CyFlash.c **** CySpcUnlock(); + 356 .loc 1 507 0 + 357 0048 FFF7FEFF bl CySpcUnlock + 358 .LVL27: + 359 004c 22E0 b .L61 + 360 .LVL28: + 361 .L49: + 362 .LBE15: + 363 .LBE14: + 508:.\Generated_Source\PSoC5/CyFlash.c **** } + 509:.\Generated_Source\PSoC5/CyFlash.c **** else + 510:.\Generated_Source\PSoC5/CyFlash.c **** { + 511:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_LOCKED; + 364 .loc 1 511 0 + 365 004e 0424 movs r4, #4 + 366 .LVL29: + 367 0050 20E0 b .L61 + 368 .LVL30: + 369 .L46: + 370 .LBB17: + 371 .LBB16: + 483:.\Generated_Source\PSoC5/CyFlash.c **** status = CySpcWriteRow(arrayId, rowNumber, dieTemperature[0u], dieTemperature[1u]); + 372 .loc 1 483 0 + 373 0052 124C ldr r4, .L64+4 + 374 0054 2846 mov r0, r5 + 375 0056 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 17 + + + 376 0058 6378 ldrb r3, [r4, #1] @ zero_extendqisi2 + 377 005a 3146 mov r1, r6 + 378 005c FFF7FEFF bl CySpcWriteRow + 379 .LVL31: + 485:.\Generated_Source\PSoC5/CyFlash.c **** if(CYRET_STARTED == status) + 380 .loc 1 485 0 + 381 0060 0728 cmp r0, #7 + 483:.\Generated_Source\PSoC5/CyFlash.c **** status = CySpcWriteRow(arrayId, rowNumber, dieTemperature[0u], dieTemperature[1u]); + 382 .loc 1 483 0 + 383 0062 0446 mov r4, r0 + 384 .LVL32: + 485:.\Generated_Source\PSoC5/CyFlash.c **** if(CYRET_STARTED == status) + 385 .loc 1 485 0 + 386 0064 F0D1 bne .L44 + 387 .LVL33: + 388 .L58: + 487:.\Generated_Source\PSoC5/CyFlash.c **** while(CY_SPC_BUSY) + 389 .loc 1 487 0 + 390 0066 0C49 ldr r1, .L64 + 391 0068 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 392 006a 02F00200 and r0, r2, #2 + 393 006e C3B2 uxtb r3, r0 + 394 0070 1BB9 cbnz r3, .L63 + 395 .L47: + 490:.\Generated_Source\PSoC5/CyFlash.c **** CyDelayUs(1u); + 396 .loc 1 490 0 + 397 0072 0120 movs r0, #1 + 398 0074 FFF7FEFF bl CyDelayUs + 399 .LVL34: + 400 0078 F5E7 b .L58 + 401 .L63: + 494:.\Generated_Source\PSoC5/CyFlash.c **** if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + 402 .loc 1 494 0 + 403 007a 0C78 ldrb r4, [r1, #0] @ zero_extendqisi2 + 404 .LVL35: + 405 007c 04F00202 and r2, r4, #2 + 406 0080 D0B2 uxtb r0, r2 + 407 0082 0028 cmp r0, #0 + 408 0084 DED0 beq .L51 + 409 0086 0978 ldrb r1, [r1, #0] @ zero_extendqisi2 + 496:.\Generated_Source\PSoC5/CyFlash.c **** status = CYRET_SUCCESS; + 410 .loc 1 496 0 + 411 0088 8B08 lsrs r3, r1, #2 + 412 008a 14BF ite ne + 413 008c 4FF0FF34 movne r4, #-1 + 414 0090 0024 moveq r4, #0 + 415 0092 D9E7 b .L44 + 416 .LVL36: + 417 .L61: + 418 .LBE16: + 419 .LBE17: + 512:.\Generated_Source\PSoC5/CyFlash.c **** } + 513:.\Generated_Source\PSoC5/CyFlash.c **** + 514:.\Generated_Source\PSoC5/CyFlash.c **** return(status); + 515:.\Generated_Source\PSoC5/CyFlash.c **** } + 420 .loc 1 515 0 + 421 0094 2046 mov r0, r4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 18 + + + 422 0096 F8BD pop {r3, r4, r5, r6, r7, pc} + 423 .L65: + 424 .align 2 + 425 .L64: + 426 0098 22470040 .word 1073760034 + 427 009c 00000000 .word dieTemperature + 428 .cfi_endproc + 429 .LFE7: + 430 .size CyWriteRowFull, .-CyWriteRowFull + 431 .section .text.CyWriteRowConfig,"ax",%progbits + 432 .align 1 + 433 .global CyWriteRowConfig + 434 .thumb + 435 .thumb_func + 436 .type CyWriteRowConfig, %function + 437 CyWriteRowConfig: + 438 .LFB6: + 387:.\Generated_Source\PSoC5/CyFlash.c **** { + 439 .loc 1 387 0 + 440 .cfi_startproc + 441 @ args = 0, pretend = 0, frame = 0 + 442 @ frame_needed = 0, uses_anonymous_args = 0 + 443 .LVL37: + 393:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 444 .loc 1 393 0 + 445 0000 104B ldr r3, .L72 + 387:.\Generated_Source\PSoC5/CyFlash.c **** { + 446 .loc 1 387 0 + 447 0002 70B5 push {r4, r5, r6, lr} + 448 .LCFI4: + 449 .cfi_def_cfa_offset 16 + 450 .cfi_offset 4, -16 + 451 .cfi_offset 5, -12 + 452 .cfi_offset 6, -8 + 453 .cfi_offset 14, -4 + 393:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 454 .loc 1 393 0 + 455 0004 1C68 ldr r4, [r3, #0] + 456 0006 D4B1 cbz r4, .L67 + 396:.\Generated_Source\PSoC5/CyFlash.c **** offset = ((uint32)arrayId * CYDEV_FLS_SECTOR_SIZE) + + 457 .loc 1 396 0 + 458 0008 01EB0026 add r6, r1, r0, lsl #8 + 459 000c 3602 lsls r6, r6, #8 + 460 .LVL38: + 461 000e 0023 movs r3, #0 + 462 .LVL39: + 463 .L68: + 405:.\Generated_Source\PSoC5/CyFlash.c **** rowBuffer[i] = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + 464 .loc 1 405 0 discriminator 2 + 465 0010 9D5D ldrb r5, [r3, r6] @ zero_extendqisi2 + 466 0012 E554 strb r5, [r4, r3] + 467 0014 0133 adds r3, r3, #1 + 403:.\Generated_Source\PSoC5/CyFlash.c **** for (i = 0u; i < CYDEV_FLS_ROW_SIZE; i++) + 468 .loc 1 403 0 discriminator 2 + 469 0016 B3F5807F cmp r3, #256 + 470 001a F9D1 bne .L68 + 413:.\Generated_Source\PSoC5/CyFlash.c **** (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 19 + + + 471 .loc 1 413 0 + 472 001c 04F58073 add r3, r4, #256 + 473 0020 02F12005 add r5, r2, #32 + 474 .LVL40: + 475 .L69: + 476 0024 52F8046B ldr r6, [r2], #4 @ unaligned + 477 0028 AA42 cmp r2, r5 + 478 002a 43F8046B str r6, [r3], #4 @ unaligned + 479 002e F9D1 bne .L69 + 418:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ + 480 .loc 1 418 0 + 481 0030 2246 mov r2, r4 + 482 0032 4FF49073 mov r3, #288 + 426:.\Generated_Source\PSoC5/CyFlash.c **** } + 483 .loc 1 426 0 + 484 0036 BDE87040 pop {r4, r5, r6, lr} + 418:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ + 485 .loc 1 418 0 + 486 003a FFF7FEBF b CyWriteRowFull + 487 .LVL41: + 488 .L67: + 426:.\Generated_Source\PSoC5/CyFlash.c **** } + 489 .loc 1 426 0 + 490 003e 4FF0FF30 mov r0, #-1 + 491 .LVL42: + 492 0042 70BD pop {r4, r5, r6, pc} + 493 .L73: + 494 .align 2 + 495 .L72: + 496 0044 00000000 .word .LANCHOR0 + 497 .cfi_endproc + 498 .LFE6: + 499 .size CyWriteRowConfig, .-CyWriteRowConfig + 500 .section .text.CyWriteRowData,"ax",%progbits + 501 .align 1 + 502 .global CyWriteRowData + 503 .thumb + 504 .thumb_func + 505 .type CyWriteRowData, %function + 506 CyWriteRowData: + 507 .LFB5: + 311:.\Generated_Source\PSoC5/CyFlash.c **** { + 508 .loc 1 311 0 + 509 .cfi_startproc + 510 @ args = 0, pretend = 0, frame = 0 + 511 @ frame_needed = 0, uses_anonymous_args = 0 + 512 .LVL43: + 318:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 513 .loc 1 318 0 + 514 0000 154B ldr r3, .L80 + 311:.\Generated_Source\PSoC5/CyFlash.c **** { + 515 .loc 1 311 0 + 516 0002 2DE9F041 push {r4, r5, r6, r7, r8, lr} + 517 .LCFI5: + 518 .cfi_def_cfa_offset 24 + 519 .cfi_offset 4, -24 + 520 .cfi_offset 5, -20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 20 + + + 521 .cfi_offset 6, -16 + 522 .cfi_offset 7, -12 + 523 .cfi_offset 8, -8 + 524 .cfi_offset 14, -4 + 318:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 525 .loc 1 318 0 + 526 0006 1C68 ldr r4, [r3, #0] + 311:.\Generated_Source\PSoC5/CyFlash.c **** { + 527 .loc 1 311 0 + 528 0008 0546 mov r5, r0 + 529 000a 0F46 mov r7, r1 + 318:.\Generated_Source\PSoC5/CyFlash.c **** if(NULL != rowBuffer) + 530 .loc 1 318 0 + 531 000c 04B3 cbz r4, .L75 + 320:.\Generated_Source\PSoC5/CyFlash.c **** if(arrayId > CY_SPC_LAST_FLASH_ARRAYID) + 532 .loc 1 320 0 + 533 000e 3F28 cmp r0, #63 + 534 0010 0FD8 bhi .L78 + 535 .LVL44: + 330:.\Generated_Source\PSoC5/CyFlash.c **** ((uint32)arrayId * CYDEV_ECC_SECTOR_SIZE) + + 536 .loc 1 330 0 + 537 0012 00F51036 add r6, r0, #147456 + 538 0016 01EB0620 add r0, r1, r6, lsl #8 + 539 .LVL45: + 329:.\Generated_Source\PSoC5/CyFlash.c **** offset = CYDEV_ECC_BASE + + 540 .loc 1 329 0 + 541 001a 4601 lsls r6, r0, #5 + 542 .LVL46: + 543 001c 0023 movs r3, #0 + 544 .LVL47: + 545 .L77: + 335:.\Generated_Source\PSoC5/CyFlash.c **** *(rowBuffer + CYDEV_FLS_ROW_SIZE + i) = CY_GET_XTND_REG8((void CYFAR *)(offset + 546 .loc 1 335 0 discriminator 2 + 547 001e 985D ldrb r0, [r3, r6] @ zero_extendqisi2 + 310:.\Generated_Source\PSoC5/CyFlash.c **** cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + 548 .loc 1 310 0 discriminator 2 + 549 0020 E118 adds r1, r4, r3 + 550 0022 0133 adds r3, r3, #1 + 333:.\Generated_Source\PSoC5/CyFlash.c **** for(i = 0u; i < CYDEV_ECC_ROW_SIZE; i++) + 551 .loc 1 333 0 discriminator 2 + 552 0024 202B cmp r3, #32 + 335:.\Generated_Source\PSoC5/CyFlash.c **** *(rowBuffer + CYDEV_FLS_ROW_SIZE + i) = CY_GET_XTND_REG8((void CYFAR *)(offset + 553 .loc 1 335 0 discriminator 2 + 554 0026 81F80001 strb r0, [r1, #256] + 333:.\Generated_Source\PSoC5/CyFlash.c **** for(i = 0u; i < CYDEV_ECC_ROW_SIZE; i++) + 555 .loc 1 333 0 discriminator 2 + 556 002a F8D1 bne .L77 + 326:.\Generated_Source\PSoC5/CyFlash.c **** rowSize = CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE; + 557 .loc 1 326 0 + 558 002c 4FF49076 mov r6, #288 + 559 .LVL48: + 560 0030 00E0 b .L76 + 561 .LVL49: + 562 .L78: + 322:.\Generated_Source\PSoC5/CyFlash.c **** rowSize = CYDEV_EEPROM_ROW_SIZE; + 563 .loc 1 322 0 + 564 0032 1026 movs r6, #16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 21 + + + 565 .LVL50: + 566 .L76: + 343:.\Generated_Source\PSoC5/CyFlash.c **** (void) memcpy((void *) rowBuffer, (const void *) rowData, CYDEV_FLS_ROW_SIZE); + 567 .loc 1 343 0 + 568 0034 1146 mov r1, r2 + 569 0036 2046 mov r0, r4 + 570 0038 4FF48072 mov r2, #256 + 571 .LVL51: + 572 003c FFF7FEFF bl memcpy + 573 .LVL52: + 346:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, rowSize); + 574 .loc 1 346 0 + 575 0040 2846 mov r0, r5 + 576 0042 3946 mov r1, r7 + 577 0044 2246 mov r2, r4 + 578 0046 3346 mov r3, r6 + 354:.\Generated_Source\PSoC5/CyFlash.c **** } + 579 .loc 1 354 0 + 580 0048 BDE8F041 pop {r4, r5, r6, r7, r8, lr} + 346:.\Generated_Source\PSoC5/CyFlash.c **** status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, rowSize); + 581 .loc 1 346 0 + 582 004c FFF7FEBF b CyWriteRowFull + 583 .LVL53: + 584 .L75: + 354:.\Generated_Source\PSoC5/CyFlash.c **** } + 585 .loc 1 354 0 + 586 0050 4FF0FF30 mov r0, #-1 + 587 .LVL54: + 588 0054 BDE8F081 pop {r4, r5, r6, r7, r8, pc} + 589 .L81: + 590 .align 2 + 591 .L80: + 592 0058 00000000 .word .LANCHOR0 + 593 .cfi_endproc + 594 .LFE5: + 595 .size CyWriteRowData, .-CyWriteRowData + 596 .section .text.CyFlash_SetWaitCycles,"ax",%progbits + 597 .align 1 + 598 .global CyFlash_SetWaitCycles + 599 .thumb + 600 .thumb_func + 601 .type CyFlash_SetWaitCycles, %function + 602 CyFlash_SetWaitCycles: + 603 .LFB8: + 516:.\Generated_Source\PSoC5/CyFlash.c **** + 517:.\Generated_Source\PSoC5/CyFlash.c **** + 518:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 519:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyFlash_SetWaitCycles + 520:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 521:.\Generated_Source\PSoC5/CyFlash.c **** * + 522:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 523:.\Generated_Source\PSoC5/CyFlash.c **** * Sets the number of clock cycles the cache will wait before it samples data + 524:.\Generated_Source\PSoC5/CyFlash.c **** * coming back from Flash. This function must be called before increasing CPU + 525:.\Generated_Source\PSoC5/CyFlash.c **** * clock frequency. It can optionally be called after lowering CPU clock + 526:.\Generated_Source\PSoC5/CyFlash.c **** * frequency in order to improve CPU performance. + 527:.\Generated_Source\PSoC5/CyFlash.c **** * + 528:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 22 + + + 529:.\Generated_Source\PSoC5/CyFlash.c **** * uint8 freq: + 530:.\Generated_Source\PSoC5/CyFlash.c **** * Frequency of operation in Megahertz. + 531:.\Generated_Source\PSoC5/CyFlash.c **** * + 532:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 533:.\Generated_Source\PSoC5/CyFlash.c **** * None + 534:.\Generated_Source\PSoC5/CyFlash.c **** * + 535:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 536:.\Generated_Source\PSoC5/CyFlash.c **** void CyFlash_SetWaitCycles(uint8 freq) + 537:.\Generated_Source\PSoC5/CyFlash.c **** { + 604 .loc 1 537 0 + 605 .cfi_startproc + 606 @ args = 0, pretend = 0, frame = 0 + 607 @ frame_needed = 0, uses_anonymous_args = 0 + 608 .LVL55: + 609 0000 10B5 push {r4, lr} + 610 .LCFI6: + 611 .cfi_def_cfa_offset 8 + 612 .cfi_offset 4, -8 + 613 .cfi_offset 14, -4 + 614 .loc 1 537 0 + 615 0002 0446 mov r4, r0 + 538:.\Generated_Source\PSoC5/CyFlash.c **** uint8 interruptState; + 539:.\Generated_Source\PSoC5/CyFlash.c **** + 540:.\Generated_Source\PSoC5/CyFlash.c **** /* Save current global interrupt enable and disable it */ + 541:.\Generated_Source\PSoC5/CyFlash.c **** interruptState = CyEnterCriticalSection(); + 616 .loc 1 541 0 + 617 0004 FFF7FEFF bl CyEnterCriticalSection + 618 .LVL56: + 619 0008 0D4B ldr r3, .L88 + 542:.\Generated_Source\PSoC5/CyFlash.c **** + 543:.\Generated_Source\PSoC5/CyFlash.c **** /*************************************************************************** + 544:.\Generated_Source\PSoC5/CyFlash.c **** * The number of clock cycles the cache will wait before it samples data + 545:.\Generated_Source\PSoC5/CyFlash.c **** * coming back from Flash must be equal or greater to to the CPU frequency + 546:.\Generated_Source\PSoC5/CyFlash.c **** * outlined in clock cycles. + 547:.\Generated_Source\PSoC5/CyFlash.c **** ***************************************************************************/ + 548:.\Generated_Source\PSoC5/CyFlash.c **** + 549:.\Generated_Source\PSoC5/CyFlash.c **** #if (CY_PSOC3) + 550:.\Generated_Source\PSoC5/CyFlash.c **** + 551:.\Generated_Source\PSoC5/CyFlash.c **** if (freq <= 22u) + 552:.\Generated_Source\PSoC5/CyFlash.c **** { + 553:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 554:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_LESSER_OR_EQUAL_22MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 555:.\Generated_Source\PSoC5/CyFlash.c **** } + 556:.\Generated_Source\PSoC5/CyFlash.c **** else if (freq <= 44u) + 557:.\Generated_Source\PSoC5/CyFlash.c **** { + 558:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 559:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_LESSER_OR_EQUAL_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 560:.\Generated_Source\PSoC5/CyFlash.c **** } + 561:.\Generated_Source\PSoC5/CyFlash.c **** else + 562:.\Generated_Source\PSoC5/CyFlash.c **** { + 563:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 564:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_GREATER_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 565:.\Generated_Source\PSoC5/CyFlash.c **** } + 566:.\Generated_Source\PSoC5/CyFlash.c **** + 567:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CY_PSOC3) */ + 568:.\Generated_Source\PSoC5/CyFlash.c **** + 569:.\Generated_Source\PSoC5/CyFlash.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 23 + + + 570:.\Generated_Source\PSoC5/CyFlash.c **** #if (CY_PSOC5) + 571:.\Generated_Source\PSoC5/CyFlash.c **** + 572:.\Generated_Source\PSoC5/CyFlash.c **** if (freq <= 16u) + 620 .loc 1 572 0 + 621 000a 102C cmp r4, #16 + 573:.\Generated_Source\PSoC5/CyFlash.c **** { + 574:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 622 .loc 1 574 0 + 623 000c 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 572:.\Generated_Source\PSoC5/CyFlash.c **** if (freq <= 16u) + 624 .loc 1 572 0 + 625 000e 04D8 bhi .L83 + 626 .loc 1 574 0 + 627 0010 02F03F02 and r2, r2, #63 + 628 0014 42F04002 orr r2, r2, #64 + 629 0018 0CE0 b .L87 + 630 .L83: + 575:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_LESSER_OR_EQUAL_16MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 576:.\Generated_Source\PSoC5/CyFlash.c **** } + 577:.\Generated_Source\PSoC5/CyFlash.c **** else if (freq <= 33u) + 631 .loc 1 577 0 + 632 001a 212C cmp r4, #33 + 633 001c 04D8 bhi .L85 + 578:.\Generated_Source\PSoC5/CyFlash.c **** { + 579:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 634 .loc 1 579 0 + 635 001e 02F03F01 and r1, r2, #63 + 636 0022 41F08002 orr r2, r1, #128 + 637 0026 05E0 b .L87 + 638 .L85: + 580:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_LESSER_OR_EQUAL_33MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 581:.\Generated_Source\PSoC5/CyFlash.c **** } + 582:.\Generated_Source\PSoC5/CyFlash.c **** else if (freq <= 50u) + 639 .loc 1 582 0 + 640 0028 322C cmp r4, #50 + 583:.\Generated_Source\PSoC5/CyFlash.c **** { + 584:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 641 .loc 1 584 0 + 642 002a 94BF ite ls + 643 002c 42F0C002 orrls r2, r2, #192 + 585:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_LESSER_OR_EQUAL_50MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 586:.\Generated_Source\PSoC5/CyFlash.c **** } + 587:.\Generated_Source\PSoC5/CyFlash.c **** else + 588:.\Generated_Source\PSoC5/CyFlash.c **** { + 589:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + 644 .loc 1 589 0 + 645 0030 02F03F02 andhi r2, r2, #63 + 646 .L87: + 647 0034 1A70 strb r2, [r3, #0] + 590:.\Generated_Source\PSoC5/CyFlash.c **** ((uint8)(CY_FLASH_GREATER_51MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + 591:.\Generated_Source\PSoC5/CyFlash.c **** } + 592:.\Generated_Source\PSoC5/CyFlash.c **** + 593:.\Generated_Source\PSoC5/CyFlash.c **** #endif /* (CY_PSOC5) */ + 594:.\Generated_Source\PSoC5/CyFlash.c **** + 595:.\Generated_Source\PSoC5/CyFlash.c **** /* Restore global interrupt enable state */ + 596:.\Generated_Source\PSoC5/CyFlash.c **** CyExitCriticalSection(interruptState); + 597:.\Generated_Source\PSoC5/CyFlash.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 24 + + + 648 .loc 1 597 0 + 649 0036 BDE81040 pop {r4, lr} + 596:.\Generated_Source\PSoC5/CyFlash.c **** CyExitCriticalSection(interruptState); + 650 .loc 1 596 0 + 651 003a FFF7FEBF b CyExitCriticalSection + 652 .LVL57: + 653 .L89: + 654 003e 00BF .align 2 + 655 .L88: + 656 0040 00480040 .word 1073760256 + 657 .cfi_endproc + 658 .LFE8: + 659 .size CyFlash_SetWaitCycles, .-CyFlash_SetWaitCycles + 660 .section .text.CyEEPROM_Start,"ax",%progbits + 661 .align 1 + 662 .global CyEEPROM_Start + 663 .thumb + 664 .thumb_func + 665 .type CyEEPROM_Start, %function + 666 CyEEPROM_Start: + 667 .LFB9: + 598:.\Generated_Source\PSoC5/CyFlash.c **** + 599:.\Generated_Source\PSoC5/CyFlash.c **** + 600:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 601:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyEEPROM_Start + 602:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 603:.\Generated_Source\PSoC5/CyFlash.c **** * + 604:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 605:.\Generated_Source\PSoC5/CyFlash.c **** * Enable the EEPROM. + 606:.\Generated_Source\PSoC5/CyFlash.c **** * + 607:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 608:.\Generated_Source\PSoC5/CyFlash.c **** * None + 609:.\Generated_Source\PSoC5/CyFlash.c **** * + 610:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 611:.\Generated_Source\PSoC5/CyFlash.c **** * None + 612:.\Generated_Source\PSoC5/CyFlash.c **** * + 613:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 614:.\Generated_Source\PSoC5/CyFlash.c **** void CyEEPROM_Start(void) + 615:.\Generated_Source\PSoC5/CyFlash.c **** { + 668 .loc 1 615 0 + 669 .cfi_startproc + 670 @ args = 0, pretend = 0, frame = 0 + 671 @ frame_needed = 0, uses_anonymous_args = 0 + 672 @ link register save eliminated. + 616:.\Generated_Source\PSoC5/CyFlash.c **** /* Active Power Mode */ + 617:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; + 673 .loc 1 617 0 + 674 0000 044B ldr r3, .L91 + 675 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 676 0004 42F01000 orr r0, r2, #16 + 677 0008 1870 strb r0, [r3, #0] + 618:.\Generated_Source\PSoC5/CyFlash.c **** + 619:.\Generated_Source\PSoC5/CyFlash.c **** /* Standby Power Mode */ + 620:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; + 678 .loc 1 620 0 + 679 000a 197C ldrb r1, [r3, #16] @ zero_extendqisi2 + 680 000c 41F01002 orr r2, r1, #16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 25 + + + 681 0010 1A74 strb r2, [r3, #16] + 682 0012 7047 bx lr + 683 .L92: + 684 .align 2 + 685 .L91: + 686 0014 AC430040 .word 1073759148 + 687 .cfi_endproc + 688 .LFE9: + 689 .size CyEEPROM_Start, .-CyEEPROM_Start + 690 .section .text.CyEEPROM_Stop,"ax",%progbits + 691 .align 1 + 692 .global CyEEPROM_Stop + 693 .thumb + 694 .thumb_func + 695 .type CyEEPROM_Stop, %function + 696 CyEEPROM_Stop: + 697 .LFB10: + 621:.\Generated_Source\PSoC5/CyFlash.c **** } + 622:.\Generated_Source\PSoC5/CyFlash.c **** + 623:.\Generated_Source\PSoC5/CyFlash.c **** + 624:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 625:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyEEPROM_Stop + 626:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 627:.\Generated_Source\PSoC5/CyFlash.c **** * + 628:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 629:.\Generated_Source\PSoC5/CyFlash.c **** * Disable the EEPROM. + 630:.\Generated_Source\PSoC5/CyFlash.c **** * + 631:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 632:.\Generated_Source\PSoC5/CyFlash.c **** * None + 633:.\Generated_Source\PSoC5/CyFlash.c **** * + 634:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 635:.\Generated_Source\PSoC5/CyFlash.c **** * None + 636:.\Generated_Source\PSoC5/CyFlash.c **** * + 637:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 638:.\Generated_Source\PSoC5/CyFlash.c **** void CyEEPROM_Stop (void) + 639:.\Generated_Source\PSoC5/CyFlash.c **** { + 698 .loc 1 639 0 + 699 .cfi_startproc + 700 @ args = 0, pretend = 0, frame = 0 + 701 @ frame_needed = 0, uses_anonymous_args = 0 + 702 @ link register save eliminated. + 640:.\Generated_Source\PSoC5/CyFlash.c **** /* Active Power Mode */ + 641:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); + 703 .loc 1 641 0 + 704 0000 044B ldr r3, .L94 + 705 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 706 0004 02F0EF00 and r0, r2, #239 + 707 0008 1870 strb r0, [r3, #0] + 642:.\Generated_Source\PSoC5/CyFlash.c **** + 643:.\Generated_Source\PSoC5/CyFlash.c **** /* Standby Power Mode */ + 644:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); + 708 .loc 1 644 0 + 709 000a 197C ldrb r1, [r3, #16] @ zero_extendqisi2 + 710 000c 01F0EF02 and r2, r1, #239 + 711 0010 1A74 strb r2, [r3, #16] + 712 0012 7047 bx lr + 713 .L95: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 26 + + + 714 .align 2 + 715 .L94: + 716 0014 AC430040 .word 1073759148 + 717 .cfi_endproc + 718 .LFE10: + 719 .size CyEEPROM_Stop, .-CyEEPROM_Stop + 720 .section .text.CyEEPROM_ReadReserve,"ax",%progbits + 721 .align 1 + 722 .global CyEEPROM_ReadReserve + 723 .thumb + 724 .thumb_func + 725 .type CyEEPROM_ReadReserve, %function + 726 CyEEPROM_ReadReserve: + 727 .LFB11: + 645:.\Generated_Source\PSoC5/CyFlash.c **** } + 646:.\Generated_Source\PSoC5/CyFlash.c **** + 647:.\Generated_Source\PSoC5/CyFlash.c **** + 648:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 649:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyEEPROM_ReadReserve + 650:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 651:.\Generated_Source\PSoC5/CyFlash.c **** * + 652:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 653:.\Generated_Source\PSoC5/CyFlash.c **** * Request access to the EEPROM for reading and wait until access is available. + 654:.\Generated_Source\PSoC5/CyFlash.c **** * + 655:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 656:.\Generated_Source\PSoC5/CyFlash.c **** * None + 657:.\Generated_Source\PSoC5/CyFlash.c **** * + 658:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 659:.\Generated_Source\PSoC5/CyFlash.c **** * None + 660:.\Generated_Source\PSoC5/CyFlash.c **** * + 661:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 662:.\Generated_Source\PSoC5/CyFlash.c **** void CyEEPROM_ReadReserve(void) + 663:.\Generated_Source\PSoC5/CyFlash.c **** { + 728 .loc 1 663 0 + 729 .cfi_startproc + 730 @ args = 0, pretend = 0, frame = 0 + 731 @ frame_needed = 0, uses_anonymous_args = 0 + 732 @ link register save eliminated. + 664:.\Generated_Source\PSoC5/CyFlash.c **** /* Make a request for PHUB to have access */ + 665:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_EE_SCR_PTR |= CY_FLASH_EE_SCR_AHB_EE_REQ; + 733 .loc 1 665 0 + 734 0000 064B ldr r3, .L102 + 735 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 736 0004 42F00100 orr r0, r2, #1 + 737 0008 1870 strb r0, [r3, #0] + 738 .L97: + 666:.\Generated_Source\PSoC5/CyFlash.c **** + 667:.\Generated_Source\PSoC5/CyFlash.c **** while (0u == (*CY_FLASH_EE_SCR_PTR & CY_FLASH_EE_SCR_AHB_EE_ACK)) + 739 .loc 1 667 0 discriminator 1 + 740 000a 0449 ldr r1, .L102 + 741 000c 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 742 000e 03F00202 and r2, r3, #2 + 743 0012 D0B2 uxtb r0, r2 + 744 0014 0028 cmp r0, #0 + 745 0016 F8D0 beq .L97 + 668:.\Generated_Source\PSoC5/CyFlash.c **** { + 669:.\Generated_Source\PSoC5/CyFlash.c **** /* Wait for acknowledgement from PHUB */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 27 + + + 670:.\Generated_Source\PSoC5/CyFlash.c **** } + 671:.\Generated_Source\PSoC5/CyFlash.c **** } + 746 .loc 1 671 0 + 747 0018 7047 bx lr + 748 .L103: + 749 001a 00BF .align 2 + 750 .L102: + 751 001c 02470040 .word 1073760002 + 752 .cfi_endproc + 753 .LFE11: + 754 .size CyEEPROM_ReadReserve, .-CyEEPROM_ReadReserve + 755 .section .text.CyEEPROM_ReadRelease,"ax",%progbits + 756 .align 1 + 757 .global CyEEPROM_ReadRelease + 758 .thumb + 759 .thumb_func + 760 .type CyEEPROM_ReadRelease, %function + 761 CyEEPROM_ReadRelease: + 762 .LFB12: + 672:.\Generated_Source\PSoC5/CyFlash.c **** + 673:.\Generated_Source\PSoC5/CyFlash.c **** + 674:.\Generated_Source\PSoC5/CyFlash.c **** /******************************************************************************* + 675:.\Generated_Source\PSoC5/CyFlash.c **** * Function Name: CyEEPROM_ReadRelease + 676:.\Generated_Source\PSoC5/CyFlash.c **** ******************************************************************************** + 677:.\Generated_Source\PSoC5/CyFlash.c **** * + 678:.\Generated_Source\PSoC5/CyFlash.c **** * Summary: + 679:.\Generated_Source\PSoC5/CyFlash.c **** * Release the read reservation of the EEPROM. + 680:.\Generated_Source\PSoC5/CyFlash.c **** * + 681:.\Generated_Source\PSoC5/CyFlash.c **** * Parameters: + 682:.\Generated_Source\PSoC5/CyFlash.c **** * None + 683:.\Generated_Source\PSoC5/CyFlash.c **** * + 684:.\Generated_Source\PSoC5/CyFlash.c **** * Return: + 685:.\Generated_Source\PSoC5/CyFlash.c **** * None + 686:.\Generated_Source\PSoC5/CyFlash.c **** * + 687:.\Generated_Source\PSoC5/CyFlash.c **** *******************************************************************************/ + 688:.\Generated_Source\PSoC5/CyFlash.c **** void CyEEPROM_ReadRelease(void) + 689:.\Generated_Source\PSoC5/CyFlash.c **** { + 763 .loc 1 689 0 + 764 .cfi_startproc + 765 @ args = 0, pretend = 0, frame = 0 + 766 @ frame_needed = 0, uses_anonymous_args = 0 + 767 @ link register save eliminated. + 690:.\Generated_Source\PSoC5/CyFlash.c **** *CY_FLASH_EE_SCR_PTR |= 0x00u; + 768 .loc 1 690 0 + 769 0000 014B ldr r3, .L105 + 770 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 771 0004 1A70 strb r2, [r3, #0] + 772 0006 7047 bx lr + 773 .L106: + 774 .align 2 + 775 .L105: + 776 0008 02470040 .word 1073760002 + 777 .cfi_endproc + 778 .LFE12: + 779 .size CyEEPROM_ReadRelease, .-CyEEPROM_ReadRelease + 780 .comm dieTemperature,2,1 + 781 .bss + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 28 + + + 782 .align 2 + 783 .set .LANCHOR0,. + 0 + 784 .type rowBuffer, %object + 785 .size rowBuffer, 4 + 786 rowBuffer: + 787 0000 00000000 .space 4 + 788 .text + 789 .Letext0: + 790 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 791 .file 3 ".\\Generated_Source\\PSoC5\\CySpc.h" + 792 .file 4 ".\\Generated_Source\\PSoC5\\CyLib.h" + 793 .section .debug_info,"",%progbits + 794 .Ldebug_info0: + 795 0000 22070000 .4byte 0x722 + 796 0004 0200 .2byte 0x2 + 797 0006 00000000 .4byte .Ldebug_abbrev0 + 798 000a 04 .byte 0x4 + 799 000b 01 .uleb128 0x1 + 800 000c 50020000 .4byte .LASF52 + 801 0010 01 .byte 0x1 + 802 0011 B9020000 .4byte .LASF53 + 803 0015 99020000 .4byte .LASF54 + 804 0019 60000000 .4byte .Ldebug_ranges0+0x60 + 805 001d 00000000 .4byte 0 + 806 0021 00000000 .4byte 0 + 807 0025 00000000 .4byte .Ldebug_line0 + 808 0029 02 .uleb128 0x2 + 809 002a 01 .byte 0x1 + 810 002b 06 .byte 0x6 + 811 002c F4020000 .4byte .LASF0 + 812 0030 02 .uleb128 0x2 + 813 0031 01 .byte 0x1 + 814 0032 08 .byte 0x8 + 815 0033 1F010000 .4byte .LASF1 + 816 0037 02 .uleb128 0x2 + 817 0038 02 .byte 0x2 + 818 0039 05 .byte 0x5 + 819 003a 49010000 .4byte .LASF2 + 820 003e 02 .uleb128 0x2 + 821 003f 02 .byte 0x2 + 822 0040 07 .byte 0x7 + 823 0041 3F000000 .4byte .LASF3 + 824 0045 02 .uleb128 0x2 + 825 0046 04 .byte 0x4 + 826 0047 05 .byte 0x5 + 827 0048 DC020000 .4byte .LASF4 + 828 004c 02 .uleb128 0x2 + 829 004d 04 .byte 0x4 + 830 004e 07 .byte 0x7 + 831 004f DF000000 .4byte .LASF5 + 832 0053 02 .uleb128 0x2 + 833 0054 08 .byte 0x8 + 834 0055 05 .byte 0x5 + 835 0056 11020000 .4byte .LASF6 + 836 005a 02 .uleb128 0x2 + 837 005b 08 .byte 0x8 + 838 005c 07 .byte 0x7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 29 + + + 839 005d 83000000 .4byte .LASF7 + 840 0061 03 .uleb128 0x3 + 841 0062 04 .byte 0x4 + 842 0063 05 .byte 0x5 + 843 0064 696E7400 .ascii "int\000" + 844 0068 02 .uleb128 0x2 + 845 0069 04 .byte 0x4 + 846 006a 07 .byte 0x7 + 847 006b A4010000 .4byte .LASF8 + 848 006f 04 .uleb128 0x4 + 849 0070 F1000000 .4byte .LASF9 + 850 0074 02 .byte 0x2 + 851 0075 5B .byte 0x5b + 852 0076 30000000 .4byte 0x30 + 853 007a 04 .uleb128 0x4 + 854 007b 75010000 .4byte .LASF10 + 855 007f 02 .byte 0x2 + 856 0080 5C .byte 0x5c + 857 0081 3E000000 .4byte 0x3e + 858 0085 04 .uleb128 0x4 + 859 0086 86010000 .4byte .LASF11 + 860 008a 02 .byte 0x2 + 861 008b 5D .byte 0x5d + 862 008c 4C000000 .4byte 0x4c + 863 0090 02 .uleb128 0x2 + 864 0091 04 .byte 0x4 + 865 0092 04 .byte 0x4 + 866 0093 67000000 .4byte .LASF12 + 867 0097 02 .uleb128 0x2 + 868 0098 08 .byte 0x8 + 869 0099 04 .byte 0x4 + 870 009a 2D010000 .4byte .LASF13 + 871 009e 02 .uleb128 0x2 + 872 009f 01 .byte 0x1 + 873 00a0 08 .byte 0x8 + 874 00a1 E5020000 .4byte .LASF14 + 875 00a5 04 .uleb128 0x4 + 876 00a6 00000000 .4byte .LASF15 + 877 00aa 02 .byte 0x2 + 878 00ab E8 .byte 0xe8 + 879 00ac 4C000000 .4byte 0x4c + 880 00b0 04 .uleb128 0x4 + 881 00b1 09000000 .4byte .LASF16 + 882 00b5 02 .byte 0x2 + 883 00b6 F0 .byte 0xf0 + 884 00b7 BB000000 .4byte 0xbb + 885 00bb 05 .uleb128 0x5 + 886 00bc 6F000000 .4byte 0x6f + 887 00c0 02 .uleb128 0x2 + 888 00c1 04 .byte 0x4 + 889 00c2 07 .byte 0x7 + 890 00c3 FE010000 .4byte .LASF17 + 891 00c7 06 .uleb128 0x6 + 892 00c8 04 .byte 0x4 + 893 00c9 07 .uleb128 0x7 + 894 00ca 30020000 .4byte .LASF55 + 895 00ce 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 30 + + + 896 00cf 71 .byte 0x71 + 897 00d0 01 .byte 0x1 + 898 00d1 A5000000 .4byte 0xa5 + 899 00d5 01 .byte 0x1 + 900 00d6 E6000000 .4byte 0xe6 + 901 00da 08 .uleb128 0x8 + 902 00db 60000000 .4byte .LASF22 + 903 00df 01 .byte 0x1 + 904 00e0 73 .byte 0x73 + 905 00e1 A5000000 .4byte 0xa5 + 906 00e5 00 .byte 0 + 907 00e6 09 .uleb128 0x9 + 908 00e7 01 .byte 0x1 + 909 00e8 00030000 .4byte .LASF41 + 910 00ec 01 .byte 0x1 + 911 00ed C401 .2byte 0x1c4 + 912 00ef 01 .byte 0x1 + 913 00f0 A5000000 .4byte 0xa5 + 914 00f4 01 .byte 0x1 + 915 00f5 36010000 .4byte 0x136 + 916 00f9 0A .uleb128 0xa + 917 00fa F7000000 .4byte .LASF18 + 918 00fe 01 .byte 0x1 + 919 00ff C401 .2byte 0x1c4 + 920 0101 6F000000 .4byte 0x6f + 921 0105 0A .uleb128 0xa + 922 0106 EA020000 .4byte .LASF19 + 923 010a 01 .byte 0x1 + 924 010b C401 .2byte 0x1c4 + 925 010d 7A000000 .4byte 0x7a + 926 0111 0A .uleb128 0xa + 927 0112 B9000000 .4byte .LASF20 + 928 0116 01 .byte 0x1 + 929 0117 C401 .2byte 0x1c4 + 930 0119 36010000 .4byte 0x136 + 931 011d 0A .uleb128 0xa + 932 011e 41010000 .4byte .LASF21 + 933 0122 01 .byte 0x1 + 934 0123 C401 .2byte 0x1c4 + 935 0125 7A000000 .4byte 0x7a + 936 0129 0B .uleb128 0xb + 937 012a 60000000 .4byte .LASF22 + 938 012e 01 .byte 0x1 + 939 012f C701 .2byte 0x1c7 + 940 0131 A5000000 .4byte 0xa5 + 941 0135 00 .byte 0 + 942 0136 0C .uleb128 0xc + 943 0137 04 .byte 0x4 + 944 0138 3C010000 .4byte 0x13c + 945 013c 0D .uleb128 0xd + 946 013d 6F000000 .4byte 0x6f + 947 0141 0E .uleb128 0xe + 948 0142 C9000000 .4byte 0xc9 + 949 0146 00000000 .4byte .LFB13 + 950 014a 54000000 .4byte .LFE13 + 951 014e 00000000 .4byte .LLST0 + 952 0152 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 31 + + + 953 0153 AA010000 .4byte 0x1aa + 954 0157 0F .uleb128 0xf + 955 0158 DA000000 .4byte 0xda + 956 015c 01 .byte 0x1 + 957 015d 54 .byte 0x54 + 958 015e 10 .uleb128 0x10 + 959 015f 08000000 .4byte .LVL0 + 960 0163 2B060000 .4byte 0x62b + 961 0167 71010000 .4byte 0x171 + 962 016b 11 .uleb128 0x11 + 963 016c 01 .byte 0x1 + 964 016d 50 .byte 0x50 + 965 016e 01 .byte 0x1 + 966 016f 31 .byte 0x31 + 967 0170 00 .byte 0 + 968 0171 10 .uleb128 0x10 + 969 0172 28000000 .4byte .LVL1 + 970 0176 43060000 .4byte 0x643 + 971 017a 8D010000 .4byte 0x18d + 972 017e 11 .uleb128 0x11 + 973 017f 01 .byte 0x1 + 974 0180 51 .byte 0x51 + 975 0181 01 .byte 0x1 + 976 0182 32 .byte 0x32 + 977 0183 11 .uleb128 0x11 + 978 0184 01 .byte 0x1 + 979 0185 50 .byte 0x50 + 980 0186 05 .byte 0x5 + 981 0187 03 .byte 0x3 + 982 0188 00000000 .4byte dieTemperature + 983 018c 00 .byte 0 + 984 018d 10 .uleb128 0x10 + 985 018e 3E000000 .4byte .LVL2 + 986 0192 60060000 .4byte 0x660 + 987 0196 A0010000 .4byte 0x1a0 + 988 019a 11 .uleb128 0x11 + 989 019b 01 .byte 0x1 + 990 019c 50 .byte 0x50 + 991 019d 01 .byte 0x1 + 992 019e 31 .byte 0x31 + 993 019f 00 .byte 0 + 994 01a0 12 .uleb128 0x12 + 995 01a1 46000000 .4byte .LVL4 + 996 01a5 74060000 .4byte 0x674 + 997 01a9 00 .byte 0 + 998 01aa 13 .uleb128 0x13 + 999 01ab 01 .byte 0x1 + 1000 01ac B1010000 .4byte .LASF31 + 1001 01b0 01 .byte 0x1 + 1002 01b1 36 .byte 0x36 + 1003 01b2 01 .byte 0x1 + 1004 01b3 00000000 .4byte .LFB0 + 1005 01b7 1C000000 .4byte .LFE0 + 1006 01bb 02 .byte 0x2 + 1007 01bc 7D .byte 0x7d + 1008 01bd 00 .sleb128 0 + 1009 01be 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 32 + + + 1010 01bf D4010000 .4byte 0x1d4 + 1011 01c3 14 .uleb128 0x14 + 1012 01c4 18000000 .4byte .LVL5 + 1013 01c8 01 .byte 0x1 + 1014 01c9 60060000 .4byte 0x660 + 1015 01cd 11 .uleb128 0x11 + 1016 01ce 01 .byte 0x1 + 1017 01cf 50 .byte 0x50 + 1018 01d0 01 .byte 0x1 + 1019 01d1 35 .byte 0x35 + 1020 01d2 00 .byte 0 + 1021 01d3 00 .byte 0 + 1022 01d4 15 .uleb128 0x15 + 1023 01d5 01 .byte 0x1 + 1024 01d6 34010000 .4byte .LASF35 + 1025 01da 01 .byte 0x1 + 1026 01db 54 .byte 0x54 + 1027 01dc 01 .byte 0x1 + 1028 01dd 00000000 .4byte .LFB1 + 1029 01e1 18000000 .4byte .LFE1 + 1030 01e5 02 .byte 0x2 + 1031 01e6 7D .byte 0x7d + 1032 01e7 00 .sleb128 0 + 1033 01e8 01 .byte 0x1 + 1034 01e9 16 .uleb128 0x16 + 1035 01ea 01 .byte 0x1 + 1036 01eb 07020000 .4byte .LASF23 + 1037 01ef 01 .byte 0x1 + 1038 01f0 B3 .byte 0xb3 + 1039 01f1 01 .byte 0x1 + 1040 01f2 A5000000 .4byte 0xa5 + 1041 01f6 00000000 .4byte .LFB3 + 1042 01fa 28000000 .4byte .LFE3 + 1043 01fe 20000000 .4byte .LLST1 + 1044 0202 01 .byte 0x1 + 1045 0203 96020000 .4byte 0x296 + 1046 0207 17 .uleb128 0x17 + 1047 0208 60000000 .4byte .LASF22 + 1048 020c 01 .byte 0x1 + 1049 020d B5 .byte 0xb5 + 1050 020e A5000000 .4byte 0xa5 + 1051 0212 01 .byte 0x1 + 1052 0213 50 .byte 0x50 + 1053 0214 18 .uleb128 0x18 + 1054 0215 C9000000 .4byte 0xc9 + 1055 0219 02000000 .4byte .LBB6 + 1056 021d 00000000 .4byte .Ldebug_ranges0+0 + 1057 0221 01 .byte 0x1 + 1058 0222 B5 .byte 0xb5 + 1059 0223 49020000 .4byte 0x249 + 1060 0227 19 .uleb128 0x19 + 1061 0228 18000000 .4byte .Ldebug_ranges0+0x18 + 1062 022c 1A .uleb128 0x1a + 1063 022d DA000000 .4byte 0xda + 1064 0231 40000000 .4byte .LLST2 + 1065 0235 12 .uleb128 0x12 + 1066 0236 06000000 .4byte .LVL6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 33 + + + 1067 023a 7E060000 .4byte 0x67e + 1068 023e 12 .uleb128 0x12 + 1069 023f 0A000000 .4byte .LVL7 + 1070 0243 88060000 .4byte 0x688 + 1071 0247 00 .byte 0 + 1072 0248 00 .byte 0 + 1073 0249 1B .uleb128 0x1b + 1074 024a C9000000 .4byte 0xc9 + 1075 024e 12000000 .4byte .LBB9 + 1076 0252 1C000000 .4byte .LBE9 + 1077 0256 01 .byte 0x1 + 1078 0257 B9 .byte 0xb9 + 1079 0258 82020000 .4byte 0x282 + 1080 025c 1C .uleb128 0x1c + 1081 025d 12000000 .4byte .LBB10 + 1082 0261 1C000000 .4byte .LBE10 + 1083 0265 1A .uleb128 0x1a + 1084 0266 DA000000 .4byte 0xda + 1085 026a 60000000 .4byte .LLST3 + 1086 026e 12 .uleb128 0x12 + 1087 026f 16000000 .4byte .LVL9 + 1088 0273 7E060000 .4byte 0x67e + 1089 0277 12 .uleb128 0x12 + 1090 0278 1A000000 .4byte .LVL10 + 1091 027c 88060000 .4byte 0x688 + 1092 0280 00 .byte 0 + 1093 0281 00 .byte 0 + 1094 0282 12 .uleb128 0x12 + 1095 0283 10000000 .4byte .LVL8 + 1096 0287 41010000 .4byte 0x141 + 1097 028b 1D .uleb128 0x1d + 1098 028c 24000000 .4byte .LVL11 + 1099 0290 01 .byte 0x1 + 1100 0291 41010000 .4byte 0x141 + 1101 0295 00 .byte 0 + 1102 0296 16 .uleb128 0x16 + 1103 0297 01 .byte 0x1 + 1104 0298 9A000000 .4byte .LASF24 + 1105 029c 01 .byte 0x1 + 1106 029d D4 .byte 0xd4 + 1107 029e 01 .byte 0x1 + 1108 029f A5000000 .4byte 0xa5 + 1109 02a3 00000000 .4byte .LFB4 + 1110 02a7 2C000000 .4byte .LFE4 + 1111 02ab 75000000 .4byte .LLST4 + 1112 02af 01 .byte 0x1 + 1113 02b0 EE020000 .4byte 0x2ee + 1114 02b4 1E .uleb128 0x1e + 1115 02b5 49020000 .4byte .LASF26 + 1116 02b9 01 .byte 0x1 + 1117 02ba D4 .byte 0xd4 + 1118 02bb EE020000 .4byte 0x2ee + 1119 02bf 95000000 .4byte .LLST5 + 1120 02c3 1F .uleb128 0x1f + 1121 02c4 60000000 .4byte .LASF22 + 1122 02c8 01 .byte 0x1 + 1123 02c9 D6 .byte 0xd6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 34 + + + 1124 02ca A5000000 .4byte 0xa5 + 1125 02ce B3000000 .4byte .LLST6 + 1126 02d2 12 .uleb128 0x12 + 1127 02d3 08000000 .4byte .LVL14 + 1128 02d7 7E060000 .4byte 0x67e + 1129 02db 12 .uleb128 0x12 + 1130 02dc 0E000000 .4byte .LVL15 + 1131 02e0 88060000 .4byte 0x688 + 1132 02e4 12 .uleb128 0x12 + 1133 02e5 1A000000 .4byte .LVL16 + 1134 02e9 74060000 .4byte 0x674 + 1135 02ed 00 .byte 0 + 1136 02ee 0C .uleb128 0xc + 1137 02ef 04 .byte 0x4 + 1138 02f0 6F000000 .4byte 0x6f + 1139 02f4 0E .uleb128 0xe + 1140 02f5 E6000000 .4byte 0xe6 + 1141 02f9 00000000 .4byte .LFB7 + 1142 02fd A0000000 .4byte .LFE7 + 1143 0301 D2000000 .4byte .LLST7 + 1144 0305 01 .byte 0x1 + 1145 0306 EE030000 .4byte 0x3ee + 1146 030a 20 .uleb128 0x20 + 1147 030b F9000000 .4byte 0xf9 + 1148 030f F2000000 .4byte .LLST8 + 1149 0313 20 .uleb128 0x20 + 1150 0314 05010000 .4byte 0x105 + 1151 0318 13010000 .4byte .LLST9 + 1152 031c 20 .uleb128 0x20 + 1153 031d 11010000 .4byte 0x111 + 1154 0321 34010000 .4byte .LLST10 + 1155 0325 20 .uleb128 0x20 + 1156 0326 1D010000 .4byte 0x11d + 1157 032a 52010000 .4byte .LLST11 + 1158 032e 1A .uleb128 0x1a + 1159 032f 29010000 .4byte 0x129 + 1160 0333 73010000 .4byte .LLST12 + 1161 0337 21 .uleb128 0x21 + 1162 0338 E6000000 .4byte 0xe6 + 1163 033c 10000000 .4byte .LBB14 + 1164 0340 30000000 .4byte .Ldebug_ranges0+0x30 + 1165 0344 01 .byte 0x1 + 1166 0345 C401 .2byte 0x1c4 + 1167 0347 E4030000 .4byte 0x3e4 + 1168 034b 20 .uleb128 0x20 + 1169 034c 1D010000 .4byte 0x11d + 1170 0350 86010000 .4byte .LLST13 + 1171 0354 20 .uleb128 0x20 + 1172 0355 11010000 .4byte 0x111 + 1173 0359 99010000 .4byte .LLST14 + 1174 035d 20 .uleb128 0x20 + 1175 035e 05010000 .4byte 0x105 + 1176 0362 B7010000 .4byte .LLST15 + 1177 0366 20 .uleb128 0x20 + 1178 0367 F9000000 .4byte 0xf9 + 1179 036b D5010000 .4byte .LLST16 + 1180 036f 19 .uleb128 0x19 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 35 + + + 1181 0370 48000000 .4byte .Ldebug_ranges0+0x48 + 1182 0374 1A .uleb128 0x1a + 1183 0375 29010000 .4byte 0x129 + 1184 0379 F3010000 .4byte .LLST17 + 1185 037d 10 .uleb128 0x10 + 1186 037e 1A000000 .4byte .LVL21 + 1187 0382 96060000 .4byte 0x696 + 1188 0386 9D030000 .4byte 0x39d + 1189 038a 11 .uleb128 0x11 + 1190 038b 01 .byte 0x1 + 1191 038c 52 .byte 0x52 + 1192 038d 02 .byte 0x2 + 1193 038e 74 .byte 0x74 + 1194 038f 00 .sleb128 0 + 1195 0390 11 .uleb128 0x11 + 1196 0391 01 .byte 0x1 + 1197 0392 51 .byte 0x51 + 1198 0393 02 .byte 0x2 + 1199 0394 77 .byte 0x77 + 1200 0395 00 .sleb128 0 + 1201 0396 11 .uleb128 0x11 + 1202 0397 01 .byte 0x1 + 1203 0398 50 .byte 0x50 + 1204 0399 02 .byte 0x2 + 1205 039a 75 .byte 0x75 + 1206 039b 00 .sleb128 0 + 1207 039c 00 .byte 0 + 1208 039d 10 .uleb128 0x10 + 1209 039e 32000000 .4byte .LVL24 + 1210 03a2 60060000 .4byte 0x660 + 1211 03a6 B0030000 .4byte 0x3b0 + 1212 03aa 11 .uleb128 0x11 + 1213 03ab 01 .byte 0x1 + 1214 03ac 50 .byte 0x50 + 1215 03ad 01 .byte 0x1 + 1216 03ae 31 .byte 0x31 + 1217 03af 00 .byte 0 + 1218 03b0 12 .uleb128 0x12 + 1219 03b1 4C000000 .4byte .LVL27 + 1220 03b5 74060000 .4byte 0x674 + 1221 03b9 10 .uleb128 0x10 + 1222 03ba 60000000 .4byte .LVL31 + 1223 03be B8060000 .4byte 0x6b8 + 1224 03c2 D3030000 .4byte 0x3d3 + 1225 03c6 11 .uleb128 0x11 + 1226 03c7 01 .byte 0x1 + 1227 03c8 51 .byte 0x51 + 1228 03c9 02 .byte 0x2 + 1229 03ca 76 .byte 0x76 + 1230 03cb 00 .sleb128 0 + 1231 03cc 11 .uleb128 0x11 + 1232 03cd 01 .byte 0x1 + 1233 03ce 50 .byte 0x50 + 1234 03cf 02 .byte 0x2 + 1235 03d0 75 .byte 0x75 + 1236 03d1 00 .sleb128 0 + 1237 03d2 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 36 + + + 1238 03d3 22 .uleb128 0x22 + 1239 03d4 78000000 .4byte .LVL34 + 1240 03d8 60060000 .4byte 0x660 + 1241 03dc 11 .uleb128 0x11 + 1242 03dd 01 .byte 0x1 + 1243 03de 50 .byte 0x50 + 1244 03df 01 .byte 0x1 + 1245 03e0 31 .byte 0x31 + 1246 03e1 00 .byte 0 + 1247 03e2 00 .byte 0 + 1248 03e3 00 .byte 0 + 1249 03e4 12 .uleb128 0x12 + 1250 03e5 0E000000 .4byte .LVL19 + 1251 03e9 88060000 .4byte 0x688 + 1252 03ed 00 .byte 0 + 1253 03ee 23 .uleb128 0x23 + 1254 03ef 01 .byte 0x1 + 1255 03f0 0E010000 .4byte .LASF25 + 1256 03f4 01 .byte 0x1 + 1257 03f5 8101 .2byte 0x181 + 1258 03f7 01 .byte 0x1 + 1259 03f8 A5000000 .4byte 0xa5 + 1260 03fc 00000000 .4byte .LFB6 + 1261 0400 48000000 .4byte .LFE6 + 1262 0404 3E020000 .4byte .LLST18 + 1263 0408 01 .byte 0x1 + 1264 0409 81040000 .4byte 0x481 + 1265 040d 24 .uleb128 0x24 + 1266 040e F7000000 .4byte .LASF18 + 1267 0412 01 .byte 0x1 + 1268 0413 8101 .2byte 0x181 + 1269 0415 6F000000 .4byte 0x6f + 1270 0419 5E020000 .4byte .LLST19 + 1271 041d 24 .uleb128 0x24 + 1272 041e 0F030000 .4byte .LASF27 + 1273 0422 01 .byte 0x1 + 1274 0423 8101 .2byte 0x181 + 1275 0425 7A000000 .4byte 0x7a + 1276 0429 98020000 .4byte .LLST20 + 1277 042d 24 .uleb128 0x24 + 1278 042e D5010000 .4byte .LASF28 + 1279 0432 01 .byte 0x1 + 1280 0433 8101 .2byte 0x181 + 1281 0435 36010000 .4byte 0x136 + 1282 0439 C4020000 .4byte .LLST21 + 1283 043d 25 .uleb128 0x25 + 1284 043e 3D020000 .4byte .LASF29 + 1285 0442 01 .byte 0x1 + 1286 0443 8401 .2byte 0x184 + 1287 0445 85000000 .4byte 0x85 + 1288 0449 EF020000 .4byte .LLST22 + 1289 044d 26 .uleb128 0x26 + 1290 044e 6900 .ascii "i\000" + 1291 0450 01 .byte 0x1 + 1292 0451 8501 .2byte 0x185 + 1293 0453 7A000000 .4byte 0x7a + 1294 0457 16030000 .4byte .LLST23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 37 + + + 1295 045b 27 .uleb128 0x27 + 1296 045c 60000000 .4byte .LASF22 + 1297 0460 01 .byte 0x1 + 1298 0461 8601 .2byte 0x186 + 1299 0463 A5000000 .4byte 0xa5 + 1300 0467 7F .sleb128 -1 + 1301 0468 14 .uleb128 0x14 + 1302 0469 3E000000 .4byte .LVL41 + 1303 046d 01 .byte 0x1 + 1304 046e E6000000 .4byte 0xe6 + 1305 0472 11 .uleb128 0x11 + 1306 0473 01 .byte 0x1 + 1307 0474 53 .byte 0x53 + 1308 0475 03 .byte 0x3 + 1309 0476 0A .byte 0xa + 1310 0477 2001 .2byte 0x120 + 1311 0479 11 .uleb128 0x11 + 1312 047a 01 .byte 0x1 + 1313 047b 52 .byte 0x52 + 1314 047c 02 .byte 0x2 + 1315 047d 74 .byte 0x74 + 1316 047e 00 .sleb128 0 + 1317 047f 00 .byte 0 + 1318 0480 00 .byte 0 + 1319 0481 23 .uleb128 0x23 + 1320 0482 01 .byte 0x1 + 1321 0483 1A030000 .4byte .LASF30 + 1322 0487 01 .byte 0x1 + 1323 0488 3601 .2byte 0x136 + 1324 048a 01 .byte 0x1 + 1325 048b A5000000 .4byte 0xa5 + 1326 048f 00000000 .4byte .LFB5 + 1327 0493 5C000000 .4byte .LFE5 + 1328 0497 2A030000 .4byte .LLST24 + 1329 049b 01 .byte 0x1 + 1330 049c 51050000 .4byte 0x551 + 1331 04a0 24 .uleb128 0x24 + 1332 04a1 F7000000 .4byte .LASF18 + 1333 04a5 01 .byte 0x1 + 1334 04a6 3601 .2byte 0x136 + 1335 04a8 6F000000 .4byte 0x6f + 1336 04ac 4A030000 .4byte .LLST25 + 1337 04b0 24 .uleb128 0x24 + 1338 04b1 0F030000 .4byte .LASF27 + 1339 04b5 01 .byte 0x1 + 1340 04b6 3601 .2byte 0x136 + 1341 04b8 7A000000 .4byte 0x7a + 1342 04bc 9D030000 .4byte .LLST26 + 1343 04c0 24 .uleb128 0x24 + 1344 04c1 B9000000 .4byte .LASF20 + 1345 04c5 01 .byte 0x1 + 1346 04c6 3601 .2byte 0x136 + 1347 04c8 36010000 .4byte 0x136 + 1348 04cc E2030000 .4byte .LLST27 + 1349 04d0 26 .uleb128 0x26 + 1350 04d1 6900 .ascii "i\000" + 1351 04d3 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 38 + + + 1352 04d4 3801 .2byte 0x138 + 1353 04d6 6F000000 .4byte 0x6f + 1354 04da 19040000 .4byte .LLST28 + 1355 04de 25 .uleb128 0x25 + 1356 04df 3D020000 .4byte .LASF29 + 1357 04e3 01 .byte 0x1 + 1358 04e4 3901 .2byte 0x139 + 1359 04e6 85000000 .4byte 0x85 + 1360 04ea 2D040000 .4byte .LLST29 + 1361 04ee 25 .uleb128 0x25 + 1362 04ef 41010000 .4byte .LASF21 + 1363 04f3 01 .byte 0x1 + 1364 04f4 3A01 .2byte 0x13a + 1365 04f6 7A000000 .4byte 0x7a + 1366 04fa 56040000 .4byte .LLST30 + 1367 04fe 27 .uleb128 0x27 + 1368 04ff 60000000 .4byte .LASF22 + 1369 0503 01 .byte 0x1 + 1370 0504 3B01 .2byte 0x13b + 1371 0506 A5000000 .4byte 0xa5 + 1372 050a 7F .sleb128 -1 + 1373 050b 10 .uleb128 0x10 + 1374 050c 40000000 .4byte .LVL52 + 1375 0510 DF060000 .4byte 0x6df + 1376 0514 2D050000 .4byte 0x52d + 1377 0518 11 .uleb128 0x11 + 1378 0519 01 .byte 0x1 + 1379 051a 52 .byte 0x52 + 1380 051b 03 .byte 0x3 + 1381 051c 0A .byte 0xa + 1382 051d 0001 .2byte 0x100 + 1383 051f 11 .uleb128 0x11 + 1384 0520 01 .byte 0x1 + 1385 0521 51 .byte 0x51 + 1386 0522 03 .byte 0x3 + 1387 0523 F3 .byte 0xf3 + 1388 0524 01 .uleb128 0x1 + 1389 0525 52 .byte 0x52 + 1390 0526 11 .uleb128 0x11 + 1391 0527 01 .byte 0x1 + 1392 0528 50 .byte 0x50 + 1393 0529 02 .byte 0x2 + 1394 052a 74 .byte 0x74 + 1395 052b 00 .sleb128 0 + 1396 052c 00 .byte 0 + 1397 052d 14 .uleb128 0x14 + 1398 052e 50000000 .4byte .LVL53 + 1399 0532 01 .byte 0x1 + 1400 0533 E6000000 .4byte 0xe6 + 1401 0537 11 .uleb128 0x11 + 1402 0538 01 .byte 0x1 + 1403 0539 53 .byte 0x53 + 1404 053a 02 .byte 0x2 + 1405 053b 76 .byte 0x76 + 1406 053c 00 .sleb128 0 + 1407 053d 11 .uleb128 0x11 + 1408 053e 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 39 + + + 1409 053f 52 .byte 0x52 + 1410 0540 02 .byte 0x2 + 1411 0541 74 .byte 0x74 + 1412 0542 00 .sleb128 0 + 1413 0543 11 .uleb128 0x11 + 1414 0544 01 .byte 0x1 + 1415 0545 51 .byte 0x51 + 1416 0546 02 .byte 0x2 + 1417 0547 77 .byte 0x77 + 1418 0548 00 .sleb128 0 + 1419 0549 11 .uleb128 0x11 + 1420 054a 01 .byte 0x1 + 1421 054b 50 .byte 0x50 + 1422 054c 02 .byte 0x2 + 1423 054d 75 .byte 0x75 + 1424 054e 00 .sleb128 0 + 1425 054f 00 .byte 0 + 1426 0550 00 .byte 0 + 1427 0551 28 .uleb128 0x28 + 1428 0552 01 .byte 0x1 + 1429 0553 6D000000 .4byte .LASF32 + 1430 0557 01 .byte 0x1 + 1431 0558 1802 .2byte 0x218 + 1432 055a 01 .byte 0x1 + 1433 055b 00000000 .4byte .LFB8 + 1434 055f 44000000 .4byte .LFE8 + 1435 0563 77040000 .4byte .LLST31 + 1436 0567 01 .byte 0x1 + 1437 0568 A0050000 .4byte 0x5a0 + 1438 056c 24 .uleb128 0x24 + 1439 056d 44020000 .4byte .LASF33 + 1440 0571 01 .byte 0x1 + 1441 0572 1802 .2byte 0x218 + 1442 0574 6F000000 .4byte 0x6f + 1443 0578 97040000 .4byte .LLST32 + 1444 057c 25 .uleb128 0x25 + 1445 057d D0000000 .4byte .LASF34 + 1446 0581 01 .byte 0x1 + 1447 0582 1A02 .2byte 0x21a + 1448 0584 6F000000 .4byte 0x6f + 1449 0588 B8040000 .4byte .LLST33 + 1450 058c 12 .uleb128 0x12 + 1451 058d 08000000 .4byte .LVL56 + 1452 0591 07070000 .4byte 0x707 + 1453 0595 1D .uleb128 0x1d + 1454 0596 3E000000 .4byte .LVL57 + 1455 059a 01 .byte 0x1 + 1456 059b 15070000 .4byte 0x715 + 1457 059f 00 .byte 0 + 1458 05a0 29 .uleb128 0x29 + 1459 05a1 01 .byte 0x1 + 1460 05a2 C1000000 .4byte .LASF36 + 1461 05a6 01 .byte 0x1 + 1462 05a7 6602 .2byte 0x266 + 1463 05a9 01 .byte 0x1 + 1464 05aa 00000000 .4byte .LFB9 + 1465 05ae 18000000 .4byte .LFE9 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 40 + + + 1466 05b2 02 .byte 0x2 + 1467 05b3 7D .byte 0x7d + 1468 05b4 00 .sleb128 0 + 1469 05b5 01 .byte 0x1 + 1470 05b6 29 .uleb128 0x29 + 1471 05b7 01 .byte 0x1 + 1472 05b8 18000000 .4byte .LASF37 + 1473 05bc 01 .byte 0x1 + 1474 05bd 7E02 .2byte 0x27e + 1475 05bf 01 .byte 0x1 + 1476 05c0 00000000 .4byte .LFB10 + 1477 05c4 18000000 .4byte .LFE10 + 1478 05c8 02 .byte 0x2 + 1479 05c9 7D .byte 0x7d + 1480 05ca 00 .sleb128 0 + 1481 05cb 01 .byte 0x1 + 1482 05cc 29 .uleb128 0x29 + 1483 05cd 01 .byte 0x1 + 1484 05ce DC010000 .4byte .LASF38 + 1485 05d2 01 .byte 0x1 + 1486 05d3 9602 .2byte 0x296 + 1487 05d5 01 .byte 0x1 + 1488 05d6 00000000 .4byte .LFB11 + 1489 05da 20000000 .4byte .LFE11 + 1490 05de 02 .byte 0x2 + 1491 05df 7D .byte 0x7d + 1492 05e0 00 .sleb128 0 + 1493 05e1 01 .byte 0x1 + 1494 05e2 29 .uleb128 0x29 + 1495 05e3 01 .byte 0x1 + 1496 05e4 53010000 .4byte .LASF39 + 1497 05e8 01 .byte 0x1 + 1498 05e9 B002 .2byte 0x2b0 + 1499 05eb 01 .byte 0x1 + 1500 05ec 00000000 .4byte .LFB12 + 1501 05f0 0C000000 .4byte .LFE12 + 1502 05f4 02 .byte 0x2 + 1503 05f5 7D .byte 0x7d + 1504 05f6 00 .sleb128 0 + 1505 05f7 01 .byte 0x1 + 1506 05f8 17 .uleb128 0x17 + 1507 05f9 0E000000 .4byte .LASF40 + 1508 05fd 01 .byte 0x1 + 1509 05fe 21 .byte 0x21 + 1510 05ff EE020000 .4byte 0x2ee + 1511 0603 05 .byte 0x5 + 1512 0604 03 .byte 0x3 + 1513 0605 00000000 .4byte rowBuffer + 1514 0609 2A .uleb128 0x2a + 1515 060a 6F000000 .4byte 0x6f + 1516 060e 19060000 .4byte 0x619 + 1517 0612 2B .uleb128 0x2b + 1518 0613 C0000000 .4byte 0xc0 + 1519 0617 01 .byte 0x1 + 1520 0618 00 .byte 0 + 1521 0619 2C .uleb128 0x2c + 1522 061a FF000000 .4byte .LASF56 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 41 + + + 1523 061e 01 .byte 0x1 + 1524 061f 1E .byte 0x1e + 1525 0620 09060000 .4byte 0x609 + 1526 0624 01 .byte 0x1 + 1527 0625 05 .byte 0x5 + 1528 0626 03 .byte 0x3 + 1529 0627 00000000 .4byte dieTemperature + 1530 062b 2D .uleb128 0x2d + 1531 062c 01 .byte 0x1 + 1532 062d 68010000 .4byte .LASF42 + 1533 0631 03 .byte 0x3 + 1534 0632 2B .byte 0x2b + 1535 0633 01 .byte 0x1 + 1536 0634 A5000000 .4byte 0xa5 + 1537 0638 01 .byte 0x1 + 1538 0639 43060000 .4byte 0x643 + 1539 063d 2E .uleb128 0x2e + 1540 063e 6F000000 .4byte 0x6f + 1541 0642 00 .byte 0 + 1542 0643 2D .uleb128 0x2d + 1543 0644 01 .byte 0x1 + 1544 0645 52000000 .4byte .LASF43 + 1545 0649 03 .byte 0x3 + 1546 064a 24 .byte 0x24 + 1547 064b 01 .byte 0x1 + 1548 064c 6F000000 .4byte 0x6f + 1549 0650 01 .byte 0x1 + 1550 0651 60060000 .4byte 0x660 + 1551 0655 2E .uleb128 0x2e + 1552 0656 EE020000 .4byte 0x2ee + 1553 065a 2E .uleb128 0x2e + 1554 065b 6F000000 .4byte 0x6f + 1555 065f 00 .byte 0 + 1556 0660 2F .uleb128 0x2f + 1557 0661 01 .byte 0x1 + 1558 0662 1F020000 .4byte .LASF48 + 1559 0666 04 .byte 0x4 + 1560 0667 78 .byte 0x78 + 1561 0668 01 .byte 0x1 + 1562 0669 01 .byte 0x1 + 1563 066a 74060000 .4byte 0x674 + 1564 066e 2E .uleb128 0x2e + 1565 066f 7A000000 .4byte 0x7a + 1566 0673 00 .byte 0 + 1567 0674 30 .uleb128 0x30 + 1568 0675 01 .byte 0x1 + 1569 0676 AD000000 .4byte .LASF44 + 1570 067a 03 .byte 0x3 + 1571 067b 2D .byte 0x2d + 1572 067c 01 .byte 0x1 + 1573 067d 01 .byte 0x1 + 1574 067e 30 .uleb128 0x30 + 1575 067f 01 .byte 0x1 + 1576 0680 26000000 .4byte .LASF45 + 1577 0684 03 .byte 0x3 + 1578 0685 22 .byte 0x22 + 1579 0686 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 42 + + + 1580 0687 01 .byte 0x1 + 1581 0688 31 .uleb128 0x31 + 1582 0689 01 .byte 0x1 + 1583 068a 7C010000 .4byte .LASF50 + 1584 068e 03 .byte 0x3 + 1585 068f 2C .byte 0x2c + 1586 0690 01 .byte 0x1 + 1587 0691 A5000000 .4byte 0xa5 + 1588 0695 01 .byte 0x1 + 1589 0696 2D .uleb128 0x2d + 1590 0697 01 .byte 0x1 + 1591 0698 F1010000 .4byte .LASF46 + 1592 069c 03 .byte 0x3 + 1593 069d 27 .byte 0x27 + 1594 069e 01 .byte 0x1 + 1595 069f A5000000 .4byte 0xa5 + 1596 06a3 01 .byte 0x1 + 1597 06a4 B8060000 .4byte 0x6b8 + 1598 06a8 2E .uleb128 0x2e + 1599 06a9 6F000000 .4byte 0x6f + 1600 06ad 2E .uleb128 0x2e + 1601 06ae 36010000 .4byte 0x136 + 1602 06b2 2E .uleb128 0x2e + 1603 06b3 7A000000 .4byte 0x7a + 1604 06b7 00 .byte 0 + 1605 06b8 2D .uleb128 0x2d + 1606 06b9 01 .byte 0x1 + 1607 06ba 31000000 .4byte .LASF47 + 1608 06be 03 .byte 0x3 + 1609 06bf 28 .byte 0x28 + 1610 06c0 01 .byte 0x1 + 1611 06c1 A5000000 .4byte 0xa5 + 1612 06c5 01 .byte 0x1 + 1613 06c6 DF060000 .4byte 0x6df + 1614 06ca 2E .uleb128 0x2e + 1615 06cb 6F000000 .4byte 0x6f + 1616 06cf 2E .uleb128 0x2e + 1617 06d0 7A000000 .4byte 0x7a + 1618 06d4 2E .uleb128 0x2e + 1619 06d5 6F000000 .4byte 0x6f + 1620 06d9 2E .uleb128 0x2e + 1621 06da 6F000000 .4byte 0x6f + 1622 06de 00 .byte 0 + 1623 06df 32 .uleb128 0x32 + 1624 06e0 01 .byte 0x1 + 1625 06e1 29020000 .4byte .LASF49 + 1626 06e5 01 .byte 0x1 + 1627 06e6 C7000000 .4byte 0xc7 + 1628 06ea 01 .byte 0x1 + 1629 06eb 01 .byte 0x1 + 1630 06ec 00070000 .4byte 0x700 + 1631 06f0 2E .uleb128 0x2e + 1632 06f1 C7000000 .4byte 0xc7 + 1633 06f5 2E .uleb128 0x2e + 1634 06f6 00070000 .4byte 0x700 + 1635 06fa 2E .uleb128 0x2e + 1636 06fb C0000000 .4byte 0xc0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 43 + + + 1637 06ff 00 .byte 0 + 1638 0700 0C .uleb128 0xc + 1639 0701 04 .byte 0x4 + 1640 0702 06070000 .4byte 0x706 + 1641 0706 33 .uleb128 0x33 + 1642 0707 31 .uleb128 0x31 + 1643 0708 01 .byte 0x1 + 1644 0709 8D010000 .4byte .LASF51 + 1645 070d 04 .byte 0x4 + 1646 070e 7E .byte 0x7e + 1647 070f 01 .byte 0x1 + 1648 0710 6F000000 .4byte 0x6f + 1649 0714 01 .byte 0x1 + 1650 0715 34 .uleb128 0x34 + 1651 0716 01 .byte 0x1 + 1652 0717 BF010000 .4byte .LASF57 + 1653 071b 04 .byte 0x4 + 1654 071c 7F .byte 0x7f + 1655 071d 01 .byte 0x1 + 1656 071e 01 .byte 0x1 + 1657 071f 2E .uleb128 0x2e + 1658 0720 6F000000 .4byte 0x6f + 1659 0724 00 .byte 0 + 1660 0725 00 .byte 0 + 1661 .section .debug_abbrev,"",%progbits + 1662 .Ldebug_abbrev0: + 1663 0000 01 .uleb128 0x1 + 1664 0001 11 .uleb128 0x11 + 1665 0002 01 .byte 0x1 + 1666 0003 25 .uleb128 0x25 + 1667 0004 0E .uleb128 0xe + 1668 0005 13 .uleb128 0x13 + 1669 0006 0B .uleb128 0xb + 1670 0007 03 .uleb128 0x3 + 1671 0008 0E .uleb128 0xe + 1672 0009 1B .uleb128 0x1b + 1673 000a 0E .uleb128 0xe + 1674 000b 55 .uleb128 0x55 + 1675 000c 06 .uleb128 0x6 + 1676 000d 11 .uleb128 0x11 + 1677 000e 01 .uleb128 0x1 + 1678 000f 52 .uleb128 0x52 + 1679 0010 01 .uleb128 0x1 + 1680 0011 10 .uleb128 0x10 + 1681 0012 06 .uleb128 0x6 + 1682 0013 00 .byte 0 + 1683 0014 00 .byte 0 + 1684 0015 02 .uleb128 0x2 + 1685 0016 24 .uleb128 0x24 + 1686 0017 00 .byte 0 + 1687 0018 0B .uleb128 0xb + 1688 0019 0B .uleb128 0xb + 1689 001a 3E .uleb128 0x3e + 1690 001b 0B .uleb128 0xb + 1691 001c 03 .uleb128 0x3 + 1692 001d 0E .uleb128 0xe + 1693 001e 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 44 + + + 1694 001f 00 .byte 0 + 1695 0020 03 .uleb128 0x3 + 1696 0021 24 .uleb128 0x24 + 1697 0022 00 .byte 0 + 1698 0023 0B .uleb128 0xb + 1699 0024 0B .uleb128 0xb + 1700 0025 3E .uleb128 0x3e + 1701 0026 0B .uleb128 0xb + 1702 0027 03 .uleb128 0x3 + 1703 0028 08 .uleb128 0x8 + 1704 0029 00 .byte 0 + 1705 002a 00 .byte 0 + 1706 002b 04 .uleb128 0x4 + 1707 002c 16 .uleb128 0x16 + 1708 002d 00 .byte 0 + 1709 002e 03 .uleb128 0x3 + 1710 002f 0E .uleb128 0xe + 1711 0030 3A .uleb128 0x3a + 1712 0031 0B .uleb128 0xb + 1713 0032 3B .uleb128 0x3b + 1714 0033 0B .uleb128 0xb + 1715 0034 49 .uleb128 0x49 + 1716 0035 13 .uleb128 0x13 + 1717 0036 00 .byte 0 + 1718 0037 00 .byte 0 + 1719 0038 05 .uleb128 0x5 + 1720 0039 35 .uleb128 0x35 + 1721 003a 00 .byte 0 + 1722 003b 49 .uleb128 0x49 + 1723 003c 13 .uleb128 0x13 + 1724 003d 00 .byte 0 + 1725 003e 00 .byte 0 + 1726 003f 06 .uleb128 0x6 + 1727 0040 0F .uleb128 0xf + 1728 0041 00 .byte 0 + 1729 0042 0B .uleb128 0xb + 1730 0043 0B .uleb128 0xb + 1731 0044 00 .byte 0 + 1732 0045 00 .byte 0 + 1733 0046 07 .uleb128 0x7 + 1734 0047 2E .uleb128 0x2e + 1735 0048 01 .byte 0x1 + 1736 0049 03 .uleb128 0x3 + 1737 004a 0E .uleb128 0xe + 1738 004b 3A .uleb128 0x3a + 1739 004c 0B .uleb128 0xb + 1740 004d 3B .uleb128 0x3b + 1741 004e 0B .uleb128 0xb + 1742 004f 27 .uleb128 0x27 + 1743 0050 0C .uleb128 0xc + 1744 0051 49 .uleb128 0x49 + 1745 0052 13 .uleb128 0x13 + 1746 0053 20 .uleb128 0x20 + 1747 0054 0B .uleb128 0xb + 1748 0055 01 .uleb128 0x1 + 1749 0056 13 .uleb128 0x13 + 1750 0057 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 45 + + + 1751 0058 00 .byte 0 + 1752 0059 08 .uleb128 0x8 + 1753 005a 34 .uleb128 0x34 + 1754 005b 00 .byte 0 + 1755 005c 03 .uleb128 0x3 + 1756 005d 0E .uleb128 0xe + 1757 005e 3A .uleb128 0x3a + 1758 005f 0B .uleb128 0xb + 1759 0060 3B .uleb128 0x3b + 1760 0061 0B .uleb128 0xb + 1761 0062 49 .uleb128 0x49 + 1762 0063 13 .uleb128 0x13 + 1763 0064 00 .byte 0 + 1764 0065 00 .byte 0 + 1765 0066 09 .uleb128 0x9 + 1766 0067 2E .uleb128 0x2e + 1767 0068 01 .byte 0x1 + 1768 0069 3F .uleb128 0x3f + 1769 006a 0C .uleb128 0xc + 1770 006b 03 .uleb128 0x3 + 1771 006c 0E .uleb128 0xe + 1772 006d 3A .uleb128 0x3a + 1773 006e 0B .uleb128 0xb + 1774 006f 3B .uleb128 0x3b + 1775 0070 05 .uleb128 0x5 + 1776 0071 27 .uleb128 0x27 + 1777 0072 0C .uleb128 0xc + 1778 0073 49 .uleb128 0x49 + 1779 0074 13 .uleb128 0x13 + 1780 0075 20 .uleb128 0x20 + 1781 0076 0B .uleb128 0xb + 1782 0077 01 .uleb128 0x1 + 1783 0078 13 .uleb128 0x13 + 1784 0079 00 .byte 0 + 1785 007a 00 .byte 0 + 1786 007b 0A .uleb128 0xa + 1787 007c 05 .uleb128 0x5 + 1788 007d 00 .byte 0 + 1789 007e 03 .uleb128 0x3 + 1790 007f 0E .uleb128 0xe + 1791 0080 3A .uleb128 0x3a + 1792 0081 0B .uleb128 0xb + 1793 0082 3B .uleb128 0x3b + 1794 0083 05 .uleb128 0x5 + 1795 0084 49 .uleb128 0x49 + 1796 0085 13 .uleb128 0x13 + 1797 0086 00 .byte 0 + 1798 0087 00 .byte 0 + 1799 0088 0B .uleb128 0xb + 1800 0089 34 .uleb128 0x34 + 1801 008a 00 .byte 0 + 1802 008b 03 .uleb128 0x3 + 1803 008c 0E .uleb128 0xe + 1804 008d 3A .uleb128 0x3a + 1805 008e 0B .uleb128 0xb + 1806 008f 3B .uleb128 0x3b + 1807 0090 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 46 + + + 1808 0091 49 .uleb128 0x49 + 1809 0092 13 .uleb128 0x13 + 1810 0093 00 .byte 0 + 1811 0094 00 .byte 0 + 1812 0095 0C .uleb128 0xc + 1813 0096 0F .uleb128 0xf + 1814 0097 00 .byte 0 + 1815 0098 0B .uleb128 0xb + 1816 0099 0B .uleb128 0xb + 1817 009a 49 .uleb128 0x49 + 1818 009b 13 .uleb128 0x13 + 1819 009c 00 .byte 0 + 1820 009d 00 .byte 0 + 1821 009e 0D .uleb128 0xd + 1822 009f 26 .uleb128 0x26 + 1823 00a0 00 .byte 0 + 1824 00a1 49 .uleb128 0x49 + 1825 00a2 13 .uleb128 0x13 + 1826 00a3 00 .byte 0 + 1827 00a4 00 .byte 0 + 1828 00a5 0E .uleb128 0xe + 1829 00a6 2E .uleb128 0x2e + 1830 00a7 01 .byte 0x1 + 1831 00a8 31 .uleb128 0x31 + 1832 00a9 13 .uleb128 0x13 + 1833 00aa 11 .uleb128 0x11 + 1834 00ab 01 .uleb128 0x1 + 1835 00ac 12 .uleb128 0x12 + 1836 00ad 01 .uleb128 0x1 + 1837 00ae 40 .uleb128 0x40 + 1838 00af 06 .uleb128 0x6 + 1839 00b0 9742 .uleb128 0x2117 + 1840 00b2 0C .uleb128 0xc + 1841 00b3 01 .uleb128 0x1 + 1842 00b4 13 .uleb128 0x13 + 1843 00b5 00 .byte 0 + 1844 00b6 00 .byte 0 + 1845 00b7 0F .uleb128 0xf + 1846 00b8 34 .uleb128 0x34 + 1847 00b9 00 .byte 0 + 1848 00ba 31 .uleb128 0x31 + 1849 00bb 13 .uleb128 0x13 + 1850 00bc 02 .uleb128 0x2 + 1851 00bd 0A .uleb128 0xa + 1852 00be 00 .byte 0 + 1853 00bf 00 .byte 0 + 1854 00c0 10 .uleb128 0x10 + 1855 00c1 898201 .uleb128 0x4109 + 1856 00c4 01 .byte 0x1 + 1857 00c5 11 .uleb128 0x11 + 1858 00c6 01 .uleb128 0x1 + 1859 00c7 31 .uleb128 0x31 + 1860 00c8 13 .uleb128 0x13 + 1861 00c9 01 .uleb128 0x1 + 1862 00ca 13 .uleb128 0x13 + 1863 00cb 00 .byte 0 + 1864 00cc 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 47 + + + 1865 00cd 11 .uleb128 0x11 + 1866 00ce 8A8201 .uleb128 0x410a + 1867 00d1 00 .byte 0 + 1868 00d2 02 .uleb128 0x2 + 1869 00d3 0A .uleb128 0xa + 1870 00d4 9142 .uleb128 0x2111 + 1871 00d6 0A .uleb128 0xa + 1872 00d7 00 .byte 0 + 1873 00d8 00 .byte 0 + 1874 00d9 12 .uleb128 0x12 + 1875 00da 898201 .uleb128 0x4109 + 1876 00dd 00 .byte 0 + 1877 00de 11 .uleb128 0x11 + 1878 00df 01 .uleb128 0x1 + 1879 00e0 31 .uleb128 0x31 + 1880 00e1 13 .uleb128 0x13 + 1881 00e2 00 .byte 0 + 1882 00e3 00 .byte 0 + 1883 00e4 13 .uleb128 0x13 + 1884 00e5 2E .uleb128 0x2e + 1885 00e6 01 .byte 0x1 + 1886 00e7 3F .uleb128 0x3f + 1887 00e8 0C .uleb128 0xc + 1888 00e9 03 .uleb128 0x3 + 1889 00ea 0E .uleb128 0xe + 1890 00eb 3A .uleb128 0x3a + 1891 00ec 0B .uleb128 0xb + 1892 00ed 3B .uleb128 0x3b + 1893 00ee 0B .uleb128 0xb + 1894 00ef 27 .uleb128 0x27 + 1895 00f0 0C .uleb128 0xc + 1896 00f1 11 .uleb128 0x11 + 1897 00f2 01 .uleb128 0x1 + 1898 00f3 12 .uleb128 0x12 + 1899 00f4 01 .uleb128 0x1 + 1900 00f5 40 .uleb128 0x40 + 1901 00f6 0A .uleb128 0xa + 1902 00f7 9742 .uleb128 0x2117 + 1903 00f9 0C .uleb128 0xc + 1904 00fa 01 .uleb128 0x1 + 1905 00fb 13 .uleb128 0x13 + 1906 00fc 00 .byte 0 + 1907 00fd 00 .byte 0 + 1908 00fe 14 .uleb128 0x14 + 1909 00ff 898201 .uleb128 0x4109 + 1910 0102 01 .byte 0x1 + 1911 0103 11 .uleb128 0x11 + 1912 0104 01 .uleb128 0x1 + 1913 0105 9542 .uleb128 0x2115 + 1914 0107 0C .uleb128 0xc + 1915 0108 31 .uleb128 0x31 + 1916 0109 13 .uleb128 0x13 + 1917 010a 00 .byte 0 + 1918 010b 00 .byte 0 + 1919 010c 15 .uleb128 0x15 + 1920 010d 2E .uleb128 0x2e + 1921 010e 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 48 + + + 1922 010f 3F .uleb128 0x3f + 1923 0110 0C .uleb128 0xc + 1924 0111 03 .uleb128 0x3 + 1925 0112 0E .uleb128 0xe + 1926 0113 3A .uleb128 0x3a + 1927 0114 0B .uleb128 0xb + 1928 0115 3B .uleb128 0x3b + 1929 0116 0B .uleb128 0xb + 1930 0117 27 .uleb128 0x27 + 1931 0118 0C .uleb128 0xc + 1932 0119 11 .uleb128 0x11 + 1933 011a 01 .uleb128 0x1 + 1934 011b 12 .uleb128 0x12 + 1935 011c 01 .uleb128 0x1 + 1936 011d 40 .uleb128 0x40 + 1937 011e 0A .uleb128 0xa + 1938 011f 9742 .uleb128 0x2117 + 1939 0121 0C .uleb128 0xc + 1940 0122 00 .byte 0 + 1941 0123 00 .byte 0 + 1942 0124 16 .uleb128 0x16 + 1943 0125 2E .uleb128 0x2e + 1944 0126 01 .byte 0x1 + 1945 0127 3F .uleb128 0x3f + 1946 0128 0C .uleb128 0xc + 1947 0129 03 .uleb128 0x3 + 1948 012a 0E .uleb128 0xe + 1949 012b 3A .uleb128 0x3a + 1950 012c 0B .uleb128 0xb + 1951 012d 3B .uleb128 0x3b + 1952 012e 0B .uleb128 0xb + 1953 012f 27 .uleb128 0x27 + 1954 0130 0C .uleb128 0xc + 1955 0131 49 .uleb128 0x49 + 1956 0132 13 .uleb128 0x13 + 1957 0133 11 .uleb128 0x11 + 1958 0134 01 .uleb128 0x1 + 1959 0135 12 .uleb128 0x12 + 1960 0136 01 .uleb128 0x1 + 1961 0137 40 .uleb128 0x40 + 1962 0138 06 .uleb128 0x6 + 1963 0139 9742 .uleb128 0x2117 + 1964 013b 0C .uleb128 0xc + 1965 013c 01 .uleb128 0x1 + 1966 013d 13 .uleb128 0x13 + 1967 013e 00 .byte 0 + 1968 013f 00 .byte 0 + 1969 0140 17 .uleb128 0x17 + 1970 0141 34 .uleb128 0x34 + 1971 0142 00 .byte 0 + 1972 0143 03 .uleb128 0x3 + 1973 0144 0E .uleb128 0xe + 1974 0145 3A .uleb128 0x3a + 1975 0146 0B .uleb128 0xb + 1976 0147 3B .uleb128 0x3b + 1977 0148 0B .uleb128 0xb + 1978 0149 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 49 + + + 1979 014a 13 .uleb128 0x13 + 1980 014b 02 .uleb128 0x2 + 1981 014c 0A .uleb128 0xa + 1982 014d 00 .byte 0 + 1983 014e 00 .byte 0 + 1984 014f 18 .uleb128 0x18 + 1985 0150 1D .uleb128 0x1d + 1986 0151 01 .byte 0x1 + 1987 0152 31 .uleb128 0x31 + 1988 0153 13 .uleb128 0x13 + 1989 0154 52 .uleb128 0x52 + 1990 0155 01 .uleb128 0x1 + 1991 0156 55 .uleb128 0x55 + 1992 0157 06 .uleb128 0x6 + 1993 0158 58 .uleb128 0x58 + 1994 0159 0B .uleb128 0xb + 1995 015a 59 .uleb128 0x59 + 1996 015b 0B .uleb128 0xb + 1997 015c 01 .uleb128 0x1 + 1998 015d 13 .uleb128 0x13 + 1999 015e 00 .byte 0 + 2000 015f 00 .byte 0 + 2001 0160 19 .uleb128 0x19 + 2002 0161 0B .uleb128 0xb + 2003 0162 01 .byte 0x1 + 2004 0163 55 .uleb128 0x55 + 2005 0164 06 .uleb128 0x6 + 2006 0165 00 .byte 0 + 2007 0166 00 .byte 0 + 2008 0167 1A .uleb128 0x1a + 2009 0168 34 .uleb128 0x34 + 2010 0169 00 .byte 0 + 2011 016a 31 .uleb128 0x31 + 2012 016b 13 .uleb128 0x13 + 2013 016c 02 .uleb128 0x2 + 2014 016d 06 .uleb128 0x6 + 2015 016e 00 .byte 0 + 2016 016f 00 .byte 0 + 2017 0170 1B .uleb128 0x1b + 2018 0171 1D .uleb128 0x1d + 2019 0172 01 .byte 0x1 + 2020 0173 31 .uleb128 0x31 + 2021 0174 13 .uleb128 0x13 + 2022 0175 11 .uleb128 0x11 + 2023 0176 01 .uleb128 0x1 + 2024 0177 12 .uleb128 0x12 + 2025 0178 01 .uleb128 0x1 + 2026 0179 58 .uleb128 0x58 + 2027 017a 0B .uleb128 0xb + 2028 017b 59 .uleb128 0x59 + 2029 017c 0B .uleb128 0xb + 2030 017d 01 .uleb128 0x1 + 2031 017e 13 .uleb128 0x13 + 2032 017f 00 .byte 0 + 2033 0180 00 .byte 0 + 2034 0181 1C .uleb128 0x1c + 2035 0182 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 50 + + + 2036 0183 01 .byte 0x1 + 2037 0184 11 .uleb128 0x11 + 2038 0185 01 .uleb128 0x1 + 2039 0186 12 .uleb128 0x12 + 2040 0187 01 .uleb128 0x1 + 2041 0188 00 .byte 0 + 2042 0189 00 .byte 0 + 2043 018a 1D .uleb128 0x1d + 2044 018b 898201 .uleb128 0x4109 + 2045 018e 00 .byte 0 + 2046 018f 11 .uleb128 0x11 + 2047 0190 01 .uleb128 0x1 + 2048 0191 9542 .uleb128 0x2115 + 2049 0193 0C .uleb128 0xc + 2050 0194 31 .uleb128 0x31 + 2051 0195 13 .uleb128 0x13 + 2052 0196 00 .byte 0 + 2053 0197 00 .byte 0 + 2054 0198 1E .uleb128 0x1e + 2055 0199 05 .uleb128 0x5 + 2056 019a 00 .byte 0 + 2057 019b 03 .uleb128 0x3 + 2058 019c 0E .uleb128 0xe + 2059 019d 3A .uleb128 0x3a + 2060 019e 0B .uleb128 0xb + 2061 019f 3B .uleb128 0x3b + 2062 01a0 0B .uleb128 0xb + 2063 01a1 49 .uleb128 0x49 + 2064 01a2 13 .uleb128 0x13 + 2065 01a3 02 .uleb128 0x2 + 2066 01a4 06 .uleb128 0x6 + 2067 01a5 00 .byte 0 + 2068 01a6 00 .byte 0 + 2069 01a7 1F .uleb128 0x1f + 2070 01a8 34 .uleb128 0x34 + 2071 01a9 00 .byte 0 + 2072 01aa 03 .uleb128 0x3 + 2073 01ab 0E .uleb128 0xe + 2074 01ac 3A .uleb128 0x3a + 2075 01ad 0B .uleb128 0xb + 2076 01ae 3B .uleb128 0x3b + 2077 01af 0B .uleb128 0xb + 2078 01b0 49 .uleb128 0x49 + 2079 01b1 13 .uleb128 0x13 + 2080 01b2 02 .uleb128 0x2 + 2081 01b3 06 .uleb128 0x6 + 2082 01b4 00 .byte 0 + 2083 01b5 00 .byte 0 + 2084 01b6 20 .uleb128 0x20 + 2085 01b7 05 .uleb128 0x5 + 2086 01b8 00 .byte 0 + 2087 01b9 31 .uleb128 0x31 + 2088 01ba 13 .uleb128 0x13 + 2089 01bb 02 .uleb128 0x2 + 2090 01bc 06 .uleb128 0x6 + 2091 01bd 00 .byte 0 + 2092 01be 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 51 + + + 2093 01bf 21 .uleb128 0x21 + 2094 01c0 1D .uleb128 0x1d + 2095 01c1 01 .byte 0x1 + 2096 01c2 31 .uleb128 0x31 + 2097 01c3 13 .uleb128 0x13 + 2098 01c4 52 .uleb128 0x52 + 2099 01c5 01 .uleb128 0x1 + 2100 01c6 55 .uleb128 0x55 + 2101 01c7 06 .uleb128 0x6 + 2102 01c8 58 .uleb128 0x58 + 2103 01c9 0B .uleb128 0xb + 2104 01ca 59 .uleb128 0x59 + 2105 01cb 05 .uleb128 0x5 + 2106 01cc 01 .uleb128 0x1 + 2107 01cd 13 .uleb128 0x13 + 2108 01ce 00 .byte 0 + 2109 01cf 00 .byte 0 + 2110 01d0 22 .uleb128 0x22 + 2111 01d1 898201 .uleb128 0x4109 + 2112 01d4 01 .byte 0x1 + 2113 01d5 11 .uleb128 0x11 + 2114 01d6 01 .uleb128 0x1 + 2115 01d7 31 .uleb128 0x31 + 2116 01d8 13 .uleb128 0x13 + 2117 01d9 00 .byte 0 + 2118 01da 00 .byte 0 + 2119 01db 23 .uleb128 0x23 + 2120 01dc 2E .uleb128 0x2e + 2121 01dd 01 .byte 0x1 + 2122 01de 3F .uleb128 0x3f + 2123 01df 0C .uleb128 0xc + 2124 01e0 03 .uleb128 0x3 + 2125 01e1 0E .uleb128 0xe + 2126 01e2 3A .uleb128 0x3a + 2127 01e3 0B .uleb128 0xb + 2128 01e4 3B .uleb128 0x3b + 2129 01e5 05 .uleb128 0x5 + 2130 01e6 27 .uleb128 0x27 + 2131 01e7 0C .uleb128 0xc + 2132 01e8 49 .uleb128 0x49 + 2133 01e9 13 .uleb128 0x13 + 2134 01ea 11 .uleb128 0x11 + 2135 01eb 01 .uleb128 0x1 + 2136 01ec 12 .uleb128 0x12 + 2137 01ed 01 .uleb128 0x1 + 2138 01ee 40 .uleb128 0x40 + 2139 01ef 06 .uleb128 0x6 + 2140 01f0 9742 .uleb128 0x2117 + 2141 01f2 0C .uleb128 0xc + 2142 01f3 01 .uleb128 0x1 + 2143 01f4 13 .uleb128 0x13 + 2144 01f5 00 .byte 0 + 2145 01f6 00 .byte 0 + 2146 01f7 24 .uleb128 0x24 + 2147 01f8 05 .uleb128 0x5 + 2148 01f9 00 .byte 0 + 2149 01fa 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 52 + + + 2150 01fb 0E .uleb128 0xe + 2151 01fc 3A .uleb128 0x3a + 2152 01fd 0B .uleb128 0xb + 2153 01fe 3B .uleb128 0x3b + 2154 01ff 05 .uleb128 0x5 + 2155 0200 49 .uleb128 0x49 + 2156 0201 13 .uleb128 0x13 + 2157 0202 02 .uleb128 0x2 + 2158 0203 06 .uleb128 0x6 + 2159 0204 00 .byte 0 + 2160 0205 00 .byte 0 + 2161 0206 25 .uleb128 0x25 + 2162 0207 34 .uleb128 0x34 + 2163 0208 00 .byte 0 + 2164 0209 03 .uleb128 0x3 + 2165 020a 0E .uleb128 0xe + 2166 020b 3A .uleb128 0x3a + 2167 020c 0B .uleb128 0xb + 2168 020d 3B .uleb128 0x3b + 2169 020e 05 .uleb128 0x5 + 2170 020f 49 .uleb128 0x49 + 2171 0210 13 .uleb128 0x13 + 2172 0211 02 .uleb128 0x2 + 2173 0212 06 .uleb128 0x6 + 2174 0213 00 .byte 0 + 2175 0214 00 .byte 0 + 2176 0215 26 .uleb128 0x26 + 2177 0216 34 .uleb128 0x34 + 2178 0217 00 .byte 0 + 2179 0218 03 .uleb128 0x3 + 2180 0219 08 .uleb128 0x8 + 2181 021a 3A .uleb128 0x3a + 2182 021b 0B .uleb128 0xb + 2183 021c 3B .uleb128 0x3b + 2184 021d 05 .uleb128 0x5 + 2185 021e 49 .uleb128 0x49 + 2186 021f 13 .uleb128 0x13 + 2187 0220 02 .uleb128 0x2 + 2188 0221 06 .uleb128 0x6 + 2189 0222 00 .byte 0 + 2190 0223 00 .byte 0 + 2191 0224 27 .uleb128 0x27 + 2192 0225 34 .uleb128 0x34 + 2193 0226 00 .byte 0 + 2194 0227 03 .uleb128 0x3 + 2195 0228 0E .uleb128 0xe + 2196 0229 3A .uleb128 0x3a + 2197 022a 0B .uleb128 0xb + 2198 022b 3B .uleb128 0x3b + 2199 022c 05 .uleb128 0x5 + 2200 022d 49 .uleb128 0x49 + 2201 022e 13 .uleb128 0x13 + 2202 022f 1C .uleb128 0x1c + 2203 0230 0D .uleb128 0xd + 2204 0231 00 .byte 0 + 2205 0232 00 .byte 0 + 2206 0233 28 .uleb128 0x28 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 53 + + + 2207 0234 2E .uleb128 0x2e + 2208 0235 01 .byte 0x1 + 2209 0236 3F .uleb128 0x3f + 2210 0237 0C .uleb128 0xc + 2211 0238 03 .uleb128 0x3 + 2212 0239 0E .uleb128 0xe + 2213 023a 3A .uleb128 0x3a + 2214 023b 0B .uleb128 0xb + 2215 023c 3B .uleb128 0x3b + 2216 023d 05 .uleb128 0x5 + 2217 023e 27 .uleb128 0x27 + 2218 023f 0C .uleb128 0xc + 2219 0240 11 .uleb128 0x11 + 2220 0241 01 .uleb128 0x1 + 2221 0242 12 .uleb128 0x12 + 2222 0243 01 .uleb128 0x1 + 2223 0244 40 .uleb128 0x40 + 2224 0245 06 .uleb128 0x6 + 2225 0246 9742 .uleb128 0x2117 + 2226 0248 0C .uleb128 0xc + 2227 0249 01 .uleb128 0x1 + 2228 024a 13 .uleb128 0x13 + 2229 024b 00 .byte 0 + 2230 024c 00 .byte 0 + 2231 024d 29 .uleb128 0x29 + 2232 024e 2E .uleb128 0x2e + 2233 024f 00 .byte 0 + 2234 0250 3F .uleb128 0x3f + 2235 0251 0C .uleb128 0xc + 2236 0252 03 .uleb128 0x3 + 2237 0253 0E .uleb128 0xe + 2238 0254 3A .uleb128 0x3a + 2239 0255 0B .uleb128 0xb + 2240 0256 3B .uleb128 0x3b + 2241 0257 05 .uleb128 0x5 + 2242 0258 27 .uleb128 0x27 + 2243 0259 0C .uleb128 0xc + 2244 025a 11 .uleb128 0x11 + 2245 025b 01 .uleb128 0x1 + 2246 025c 12 .uleb128 0x12 + 2247 025d 01 .uleb128 0x1 + 2248 025e 40 .uleb128 0x40 + 2249 025f 0A .uleb128 0xa + 2250 0260 9742 .uleb128 0x2117 + 2251 0262 0C .uleb128 0xc + 2252 0263 00 .byte 0 + 2253 0264 00 .byte 0 + 2254 0265 2A .uleb128 0x2a + 2255 0266 01 .uleb128 0x1 + 2256 0267 01 .byte 0x1 + 2257 0268 49 .uleb128 0x49 + 2258 0269 13 .uleb128 0x13 + 2259 026a 01 .uleb128 0x1 + 2260 026b 13 .uleb128 0x13 + 2261 026c 00 .byte 0 + 2262 026d 00 .byte 0 + 2263 026e 2B .uleb128 0x2b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 54 + + + 2264 026f 21 .uleb128 0x21 + 2265 0270 00 .byte 0 + 2266 0271 49 .uleb128 0x49 + 2267 0272 13 .uleb128 0x13 + 2268 0273 2F .uleb128 0x2f + 2269 0274 0B .uleb128 0xb + 2270 0275 00 .byte 0 + 2271 0276 00 .byte 0 + 2272 0277 2C .uleb128 0x2c + 2273 0278 34 .uleb128 0x34 + 2274 0279 00 .byte 0 + 2275 027a 03 .uleb128 0x3 + 2276 027b 0E .uleb128 0xe + 2277 027c 3A .uleb128 0x3a + 2278 027d 0B .uleb128 0xb + 2279 027e 3B .uleb128 0x3b + 2280 027f 0B .uleb128 0xb + 2281 0280 49 .uleb128 0x49 + 2282 0281 13 .uleb128 0x13 + 2283 0282 3F .uleb128 0x3f + 2284 0283 0C .uleb128 0xc + 2285 0284 02 .uleb128 0x2 + 2286 0285 0A .uleb128 0xa + 2287 0286 00 .byte 0 + 2288 0287 00 .byte 0 + 2289 0288 2D .uleb128 0x2d + 2290 0289 2E .uleb128 0x2e + 2291 028a 01 .byte 0x1 + 2292 028b 3F .uleb128 0x3f + 2293 028c 0C .uleb128 0xc + 2294 028d 03 .uleb128 0x3 + 2295 028e 0E .uleb128 0xe + 2296 028f 3A .uleb128 0x3a + 2297 0290 0B .uleb128 0xb + 2298 0291 3B .uleb128 0x3b + 2299 0292 0B .uleb128 0xb + 2300 0293 27 .uleb128 0x27 + 2301 0294 0C .uleb128 0xc + 2302 0295 49 .uleb128 0x49 + 2303 0296 13 .uleb128 0x13 + 2304 0297 3C .uleb128 0x3c + 2305 0298 0C .uleb128 0xc + 2306 0299 01 .uleb128 0x1 + 2307 029a 13 .uleb128 0x13 + 2308 029b 00 .byte 0 + 2309 029c 00 .byte 0 + 2310 029d 2E .uleb128 0x2e + 2311 029e 05 .uleb128 0x5 + 2312 029f 00 .byte 0 + 2313 02a0 49 .uleb128 0x49 + 2314 02a1 13 .uleb128 0x13 + 2315 02a2 00 .byte 0 + 2316 02a3 00 .byte 0 + 2317 02a4 2F .uleb128 0x2f + 2318 02a5 2E .uleb128 0x2e + 2319 02a6 01 .byte 0x1 + 2320 02a7 3F .uleb128 0x3f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 55 + + + 2321 02a8 0C .uleb128 0xc + 2322 02a9 03 .uleb128 0x3 + 2323 02aa 0E .uleb128 0xe + 2324 02ab 3A .uleb128 0x3a + 2325 02ac 0B .uleb128 0xb + 2326 02ad 3B .uleb128 0x3b + 2327 02ae 0B .uleb128 0xb + 2328 02af 27 .uleb128 0x27 + 2329 02b0 0C .uleb128 0xc + 2330 02b1 3C .uleb128 0x3c + 2331 02b2 0C .uleb128 0xc + 2332 02b3 01 .uleb128 0x1 + 2333 02b4 13 .uleb128 0x13 + 2334 02b5 00 .byte 0 + 2335 02b6 00 .byte 0 + 2336 02b7 30 .uleb128 0x30 + 2337 02b8 2E .uleb128 0x2e + 2338 02b9 00 .byte 0 + 2339 02ba 3F .uleb128 0x3f + 2340 02bb 0C .uleb128 0xc + 2341 02bc 03 .uleb128 0x3 + 2342 02bd 0E .uleb128 0xe + 2343 02be 3A .uleb128 0x3a + 2344 02bf 0B .uleb128 0xb + 2345 02c0 3B .uleb128 0x3b + 2346 02c1 0B .uleb128 0xb + 2347 02c2 27 .uleb128 0x27 + 2348 02c3 0C .uleb128 0xc + 2349 02c4 3C .uleb128 0x3c + 2350 02c5 0C .uleb128 0xc + 2351 02c6 00 .byte 0 + 2352 02c7 00 .byte 0 + 2353 02c8 31 .uleb128 0x31 + 2354 02c9 2E .uleb128 0x2e + 2355 02ca 00 .byte 0 + 2356 02cb 3F .uleb128 0x3f + 2357 02cc 0C .uleb128 0xc + 2358 02cd 03 .uleb128 0x3 + 2359 02ce 0E .uleb128 0xe + 2360 02cf 3A .uleb128 0x3a + 2361 02d0 0B .uleb128 0xb + 2362 02d1 3B .uleb128 0x3b + 2363 02d2 0B .uleb128 0xb + 2364 02d3 27 .uleb128 0x27 + 2365 02d4 0C .uleb128 0xc + 2366 02d5 49 .uleb128 0x49 + 2367 02d6 13 .uleb128 0x13 + 2368 02d7 3C .uleb128 0x3c + 2369 02d8 0C .uleb128 0xc + 2370 02d9 00 .byte 0 + 2371 02da 00 .byte 0 + 2372 02db 32 .uleb128 0x32 + 2373 02dc 2E .uleb128 0x2e + 2374 02dd 01 .byte 0x1 + 2375 02de 3F .uleb128 0x3f + 2376 02df 0C .uleb128 0xc + 2377 02e0 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 56 + + + 2378 02e1 0E .uleb128 0xe + 2379 02e2 27 .uleb128 0x27 + 2380 02e3 0C .uleb128 0xc + 2381 02e4 49 .uleb128 0x49 + 2382 02e5 13 .uleb128 0x13 + 2383 02e6 34 .uleb128 0x34 + 2384 02e7 0C .uleb128 0xc + 2385 02e8 3C .uleb128 0x3c + 2386 02e9 0C .uleb128 0xc + 2387 02ea 01 .uleb128 0x1 + 2388 02eb 13 .uleb128 0x13 + 2389 02ec 00 .byte 0 + 2390 02ed 00 .byte 0 + 2391 02ee 33 .uleb128 0x33 + 2392 02ef 26 .uleb128 0x26 + 2393 02f0 00 .byte 0 + 2394 02f1 00 .byte 0 + 2395 02f2 00 .byte 0 + 2396 02f3 34 .uleb128 0x34 + 2397 02f4 2E .uleb128 0x2e + 2398 02f5 01 .byte 0x1 + 2399 02f6 3F .uleb128 0x3f + 2400 02f7 0C .uleb128 0xc + 2401 02f8 03 .uleb128 0x3 + 2402 02f9 0E .uleb128 0xe + 2403 02fa 3A .uleb128 0x3a + 2404 02fb 0B .uleb128 0xb + 2405 02fc 3B .uleb128 0x3b + 2406 02fd 0B .uleb128 0xb + 2407 02fe 27 .uleb128 0x27 + 2408 02ff 0C .uleb128 0xc + 2409 0300 3C .uleb128 0x3c + 2410 0301 0C .uleb128 0xc + 2411 0302 00 .byte 0 + 2412 0303 00 .byte 0 + 2413 0304 00 .byte 0 + 2414 .section .debug_loc,"",%progbits + 2415 .Ldebug_loc0: + 2416 .LLST0: + 2417 0000 00000000 .4byte .LFB13 + 2418 0004 04000000 .4byte .LCFI0 + 2419 0008 0200 .2byte 0x2 + 2420 000a 7D .byte 0x7d + 2421 000b 00 .sleb128 0 + 2422 000c 04000000 .4byte .LCFI0 + 2423 0010 54000000 .4byte .LFE13 + 2424 0014 0200 .2byte 0x2 + 2425 0016 7D .byte 0x7d + 2426 0017 08 .sleb128 8 + 2427 0018 00000000 .4byte 0 + 2428 001c 00000000 .4byte 0 + 2429 .LLST1: + 2430 0020 00000000 .4byte .LFB3 + 2431 0024 02000000 .4byte .LCFI1 + 2432 0028 0200 .2byte 0x2 + 2433 002a 7D .byte 0x7d + 2434 002b 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 57 + + + 2435 002c 02000000 .4byte .LCFI1 + 2436 0030 28000000 .4byte .LFE3 + 2437 0034 0200 .2byte 0x2 + 2438 0036 7D .byte 0x7d + 2439 0037 08 .sleb128 8 + 2440 0038 00000000 .4byte 0 + 2441 003c 00000000 .4byte 0 + 2442 .LLST2: + 2443 0040 06000000 .4byte .LVL6 + 2444 0044 10000000 .4byte .LVL8 + 2445 0048 0300 .2byte 0x3 + 2446 004a 09 .byte 0x9 + 2447 004b FF .byte 0xff + 2448 004c 9F .byte 0x9f + 2449 004d 10000000 .4byte .LVL8 + 2450 0051 15000000 .4byte .LVL9-1 + 2451 0055 0100 .2byte 0x1 + 2452 0057 50 .byte 0x50 + 2453 0058 00000000 .4byte 0 + 2454 005c 00000000 .4byte 0 + 2455 .LLST3: + 2456 0060 16000000 .4byte .LVL9 + 2457 0064 24000000 .4byte .LVL11 + 2458 0068 0300 .2byte 0x3 + 2459 006a 09 .byte 0x9 + 2460 006b FF .byte 0xff + 2461 006c 9F .byte 0x9f + 2462 006d 00000000 .4byte 0 + 2463 0071 00000000 .4byte 0 + 2464 .LLST4: + 2465 0075 00000000 .4byte .LFB4 + 2466 0079 02000000 .4byte .LCFI2 + 2467 007d 0200 .2byte 0x2 + 2468 007f 7D .byte 0x7d + 2469 0080 00 .sleb128 0 + 2470 0081 02000000 .4byte .LCFI2 + 2471 0085 2C000000 .4byte .LFE4 + 2472 0089 0200 .2byte 0x2 + 2473 008b 7D .byte 0x7d + 2474 008c 10 .sleb128 16 + 2475 008d 00000000 .4byte 0 + 2476 0091 00000000 .4byte 0 + 2477 .LLST5: + 2478 0095 00000000 .4byte .LVL13 + 2479 0099 07000000 .4byte .LVL14-1 + 2480 009d 0100 .2byte 0x1 + 2481 009f 50 .byte 0x50 + 2482 00a0 07000000 .4byte .LVL14-1 + 2483 00a4 2C000000 .4byte .LFE4 + 2484 00a8 0100 .2byte 0x1 + 2485 00aa 54 .byte 0x54 + 2486 00ab 00000000 .4byte 0 + 2487 00af 00000000 .4byte 0 + 2488 .LLST6: + 2489 00b3 00000000 .4byte .LVL13 + 2490 00b7 24000000 .4byte .LVL17 + 2491 00bb 0200 .2byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 58 + + + 2492 00bd 30 .byte 0x30 + 2493 00be 9F .byte 0x9f + 2494 00bf 24000000 .4byte .LVL17 + 2495 00c3 2C000000 .4byte .LFE4 + 2496 00c7 0100 .2byte 0x1 + 2497 00c9 50 .byte 0x50 + 2498 00ca 00000000 .4byte 0 + 2499 00ce 00000000 .4byte 0 + 2500 .LLST7: + 2501 00d2 00000000 .4byte .LFB7 + 2502 00d6 02000000 .4byte .LCFI3 + 2503 00da 0200 .2byte 0x2 + 2504 00dc 7D .byte 0x7d + 2505 00dd 00 .sleb128 0 + 2506 00de 02000000 .4byte .LCFI3 + 2507 00e2 A0000000 .4byte .LFE7 + 2508 00e6 0200 .2byte 0x2 + 2509 00e8 7D .byte 0x7d + 2510 00e9 18 .sleb128 24 + 2511 00ea 00000000 .4byte 0 + 2512 00ee 00000000 .4byte 0 + 2513 .LLST8: + 2514 00f2 00000000 .4byte .LVL18 + 2515 00f6 0D000000 .4byte .LVL19-1 + 2516 00fa 0100 .2byte 0x1 + 2517 00fc 50 .byte 0x50 + 2518 00fd 0D000000 .4byte .LVL19-1 + 2519 0101 A0000000 .4byte .LFE7 + 2520 0105 0400 .2byte 0x4 + 2521 0107 F3 .byte 0xf3 + 2522 0108 01 .uleb128 0x1 + 2523 0109 50 .byte 0x50 + 2524 010a 9F .byte 0x9f + 2525 010b 00000000 .4byte 0 + 2526 010f 00000000 .4byte 0 + 2527 .LLST9: + 2528 0113 00000000 .4byte .LVL18 + 2529 0117 0D000000 .4byte .LVL19-1 + 2530 011b 0100 .2byte 0x1 + 2531 011d 51 .byte 0x51 + 2532 011e 0D000000 .4byte .LVL19-1 + 2533 0122 A0000000 .4byte .LFE7 + 2534 0126 0400 .2byte 0x4 + 2535 0128 F3 .byte 0xf3 + 2536 0129 01 .uleb128 0x1 + 2537 012a 51 .byte 0x51 + 2538 012b 9F .byte 0x9f + 2539 012c 00000000 .4byte 0 + 2540 0130 00000000 .4byte 0 + 2541 .LLST10: + 2542 0134 00000000 .4byte .LVL18 + 2543 0138 0D000000 .4byte .LVL19-1 + 2544 013c 0100 .2byte 0x1 + 2545 013e 52 .byte 0x52 + 2546 013f 0D000000 .4byte .LVL19-1 + 2547 0143 A0000000 .4byte .LFE7 + 2548 0147 0100 .2byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 59 + + + 2549 0149 57 .byte 0x57 + 2550 014a 00000000 .4byte 0 + 2551 014e 00000000 .4byte 0 + 2552 .LLST11: + 2553 0152 00000000 .4byte .LVL18 + 2554 0156 0D000000 .4byte .LVL19-1 + 2555 015a 0100 .2byte 0x1 + 2556 015c 53 .byte 0x53 + 2557 015d 0D000000 .4byte .LVL19-1 + 2558 0161 A0000000 .4byte .LFE7 + 2559 0165 0400 .2byte 0x4 + 2560 0167 F3 .byte 0xf3 + 2561 0168 01 .uleb128 0x1 + 2562 0169 53 .byte 0x53 + 2563 016a 9F .byte 0x9f + 2564 016b 00000000 .4byte 0 + 2565 016f 00000000 .4byte 0 + 2566 .LLST12: + 2567 0173 50000000 .4byte .LVL29 + 2568 0177 52000000 .4byte .LVL30 + 2569 017b 0100 .2byte 0x1 + 2570 017d 54 .byte 0x54 + 2571 017e 00000000 .4byte 0 + 2572 0182 00000000 .4byte 0 + 2573 .LLST13: + 2574 0186 10000000 .4byte .LVL20 + 2575 018a 1E000000 .4byte .LVL22 + 2576 018e 0100 .2byte 0x1 + 2577 0190 54 .byte 0x54 + 2578 0191 00000000 .4byte 0 + 2579 0195 00000000 .4byte 0 + 2580 .LLST14: + 2581 0199 10000000 .4byte .LVL20 + 2582 019d 4E000000 .4byte .LVL28 + 2583 01a1 0100 .2byte 0x1 + 2584 01a3 57 .byte 0x57 + 2585 01a4 52000000 .4byte .LVL30 + 2586 01a8 94000000 .4byte .LVL36 + 2587 01ac 0100 .2byte 0x1 + 2588 01ae 57 .byte 0x57 + 2589 01af 00000000 .4byte 0 + 2590 01b3 00000000 .4byte 0 + 2591 .LLST15: + 2592 01b7 10000000 .4byte .LVL20 + 2593 01bb 4E000000 .4byte .LVL28 + 2594 01bf 0100 .2byte 0x1 + 2595 01c1 56 .byte 0x56 + 2596 01c2 52000000 .4byte .LVL30 + 2597 01c6 94000000 .4byte .LVL36 + 2598 01ca 0100 .2byte 0x1 + 2599 01cc 56 .byte 0x56 + 2600 01cd 00000000 .4byte 0 + 2601 01d1 00000000 .4byte 0 + 2602 .LLST16: + 2603 01d5 10000000 .4byte .LVL20 + 2604 01d9 4E000000 .4byte .LVL28 + 2605 01dd 0100 .2byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 60 + + + 2606 01df 55 .byte 0x55 + 2607 01e0 52000000 .4byte .LVL30 + 2608 01e4 94000000 .4byte .LVL36 + 2609 01e8 0100 .2byte 0x1 + 2610 01ea 55 .byte 0x55 + 2611 01eb 00000000 .4byte 0 + 2612 01ef 00000000 .4byte 0 + 2613 .LLST17: + 2614 01f3 1E000000 .4byte .LVL22 + 2615 01f7 20000000 .4byte .LVL23 + 2616 01fb 0100 .2byte 0x1 + 2617 01fd 50 .byte 0x50 + 2618 01fe 20000000 .4byte .LVL23 + 2619 0202 36000000 .4byte .LVL25 + 2620 0206 0100 .2byte 0x1 + 2621 0208 54 .byte 0x54 + 2622 0209 48000000 .4byte .LVL26 + 2623 020d 4E000000 .4byte .LVL28 + 2624 0211 0100 .2byte 0x1 + 2625 0213 54 .byte 0x54 + 2626 0214 52000000 .4byte .LVL30 + 2627 0218 64000000 .4byte .LVL32 + 2628 021c 0200 .2byte 0x2 + 2629 021e 30 .byte 0x30 + 2630 021f 9F .byte 0x9f + 2631 0220 64000000 .4byte .LVL32 + 2632 0224 66000000 .4byte .LVL33 + 2633 0228 0100 .2byte 0x1 + 2634 022a 50 .byte 0x50 + 2635 022b 66000000 .4byte .LVL33 + 2636 022f 7C000000 .4byte .LVL35 + 2637 0233 0100 .2byte 0x1 + 2638 0235 54 .byte 0x54 + 2639 0236 00000000 .4byte 0 + 2640 023a 00000000 .4byte 0 + 2641 .LLST18: + 2642 023e 00000000 .4byte .LFB6 + 2643 0242 04000000 .4byte .LCFI4 + 2644 0246 0200 .2byte 0x2 + 2645 0248 7D .byte 0x7d + 2646 0249 00 .sleb128 0 + 2647 024a 04000000 .4byte .LCFI4 + 2648 024e 48000000 .4byte .LFE6 + 2649 0252 0200 .2byte 0x2 + 2650 0254 7D .byte 0x7d + 2651 0255 10 .sleb128 16 + 2652 0256 00000000 .4byte 0 + 2653 025a 00000000 .4byte 0 + 2654 .LLST19: + 2655 025e 00000000 .4byte .LVL37 + 2656 0262 3D000000 .4byte .LVL41-1 + 2657 0266 0100 .2byte 0x1 + 2658 0268 50 .byte 0x50 + 2659 0269 3D000000 .4byte .LVL41-1 + 2660 026d 3E000000 .4byte .LVL41 + 2661 0271 0400 .2byte 0x4 + 2662 0273 F3 .byte 0xf3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 61 + + + 2663 0274 01 .uleb128 0x1 + 2664 0275 50 .byte 0x50 + 2665 0276 9F .byte 0x9f + 2666 0277 3E000000 .4byte .LVL41 + 2667 027b 42000000 .4byte .LVL42 + 2668 027f 0100 .2byte 0x1 + 2669 0281 50 .byte 0x50 + 2670 0282 42000000 .4byte .LVL42 + 2671 0286 48000000 .4byte .LFE6 + 2672 028a 0400 .2byte 0x4 + 2673 028c F3 .byte 0xf3 + 2674 028d 01 .uleb128 0x1 + 2675 028e 50 .byte 0x50 + 2676 028f 9F .byte 0x9f + 2677 0290 00000000 .4byte 0 + 2678 0294 00000000 .4byte 0 + 2679 .LLST20: + 2680 0298 00000000 .4byte .LVL37 + 2681 029c 3D000000 .4byte .LVL41-1 + 2682 02a0 0100 .2byte 0x1 + 2683 02a2 51 .byte 0x51 + 2684 02a3 3D000000 .4byte .LVL41-1 + 2685 02a7 3E000000 .4byte .LVL41 + 2686 02ab 0400 .2byte 0x4 + 2687 02ad F3 .byte 0xf3 + 2688 02ae 01 .uleb128 0x1 + 2689 02af 51 .byte 0x51 + 2690 02b0 9F .byte 0x9f + 2691 02b1 3E000000 .4byte .LVL41 + 2692 02b5 48000000 .4byte .LFE6 + 2693 02b9 0100 .2byte 0x1 + 2694 02bb 51 .byte 0x51 + 2695 02bc 00000000 .4byte 0 + 2696 02c0 00000000 .4byte 0 + 2697 .LLST21: + 2698 02c4 00000000 .4byte .LVL37 + 2699 02c8 24000000 .4byte .LVL40 + 2700 02cc 0100 .2byte 0x1 + 2701 02ce 52 .byte 0x52 + 2702 02cf 24000000 .4byte .LVL40 + 2703 02d3 3E000000 .4byte .LVL41 + 2704 02d7 0300 .2byte 0x3 + 2705 02d9 75 .byte 0x75 + 2706 02da 60 .sleb128 -32 + 2707 02db 9F .byte 0x9f + 2708 02dc 3E000000 .4byte .LVL41 + 2709 02e0 48000000 .4byte .LFE6 + 2710 02e4 0100 .2byte 0x1 + 2711 02e6 52 .byte 0x52 + 2712 02e7 00000000 .4byte 0 + 2713 02eb 00000000 .4byte 0 + 2714 .LLST22: + 2715 02ef 0E000000 .4byte .LVL38 + 2716 02f3 24000000 .4byte .LVL40 + 2717 02f7 0100 .2byte 0x1 + 2718 02f9 56 .byte 0x56 + 2719 02fa 24000000 .4byte .LVL40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 62 + + + 2720 02fe 3D000000 .4byte .LVL41-1 + 2721 0302 0A00 .2byte 0xa + 2722 0304 70 .byte 0x70 + 2723 0305 00 .sleb128 0 + 2724 0306 38 .byte 0x38 + 2725 0307 24 .byte 0x24 + 2726 0308 71 .byte 0x71 + 2727 0309 00 .sleb128 0 + 2728 030a 22 .byte 0x22 + 2729 030b 38 .byte 0x38 + 2730 030c 24 .byte 0x24 + 2731 030d 9F .byte 0x9f + 2732 030e 00000000 .4byte 0 + 2733 0312 00000000 .4byte 0 + 2734 .LLST23: + 2735 0316 0E000000 .4byte .LVL38 + 2736 031a 10000000 .4byte .LVL39 + 2737 031e 0200 .2byte 0x2 + 2738 0320 30 .byte 0x30 + 2739 0321 9F .byte 0x9f + 2740 0322 00000000 .4byte 0 + 2741 0326 00000000 .4byte 0 + 2742 .LLST24: + 2743 032a 00000000 .4byte .LFB5 + 2744 032e 06000000 .4byte .LCFI5 + 2745 0332 0200 .2byte 0x2 + 2746 0334 7D .byte 0x7d + 2747 0335 00 .sleb128 0 + 2748 0336 06000000 .4byte .LCFI5 + 2749 033a 5C000000 .4byte .LFE5 + 2750 033e 0200 .2byte 0x2 + 2751 0340 7D .byte 0x7d + 2752 0341 18 .sleb128 24 + 2753 0342 00000000 .4byte 0 + 2754 0346 00000000 .4byte 0 + 2755 .LLST25: + 2756 034a 00000000 .4byte .LVL43 + 2757 034e 1A000000 .4byte .LVL45 + 2758 0352 0100 .2byte 0x1 + 2759 0354 50 .byte 0x50 + 2760 0355 1A000000 .4byte .LVL45 + 2761 0359 32000000 .4byte .LVL49 + 2762 035d 0400 .2byte 0x4 + 2763 035f F3 .byte 0xf3 + 2764 0360 01 .uleb128 0x1 + 2765 0361 50 .byte 0x50 + 2766 0362 9F .byte 0x9f + 2767 0363 32000000 .4byte .LVL49 + 2768 0367 34000000 .4byte .LVL50 + 2769 036b 0100 .2byte 0x1 + 2770 036d 50 .byte 0x50 + 2771 036e 34000000 .4byte .LVL50 + 2772 0372 50000000 .4byte .LVL53 + 2773 0376 0400 .2byte 0x4 + 2774 0378 F3 .byte 0xf3 + 2775 0379 01 .uleb128 0x1 + 2776 037a 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 63 + + + 2777 037b 9F .byte 0x9f + 2778 037c 50000000 .4byte .LVL53 + 2779 0380 54000000 .4byte .LVL54 + 2780 0384 0100 .2byte 0x1 + 2781 0386 50 .byte 0x50 + 2782 0387 54000000 .4byte .LVL54 + 2783 038b 5C000000 .4byte .LFE5 + 2784 038f 0400 .2byte 0x4 + 2785 0391 F3 .byte 0xf3 + 2786 0392 01 .uleb128 0x1 + 2787 0393 50 .byte 0x50 + 2788 0394 9F .byte 0x9f + 2789 0395 00000000 .4byte 0 + 2790 0399 00000000 .4byte 0 + 2791 .LLST26: + 2792 039d 00000000 .4byte .LVL43 + 2793 03a1 1E000000 .4byte .LVL47 + 2794 03a5 0100 .2byte 0x1 + 2795 03a7 51 .byte 0x51 + 2796 03a8 1E000000 .4byte .LVL47 + 2797 03ac 32000000 .4byte .LVL49 + 2798 03b0 0400 .2byte 0x4 + 2799 03b2 F3 .byte 0xf3 + 2800 03b3 01 .uleb128 0x1 + 2801 03b4 51 .byte 0x51 + 2802 03b5 9F .byte 0x9f + 2803 03b6 32000000 .4byte .LVL49 + 2804 03ba 34000000 .4byte .LVL50 + 2805 03be 0100 .2byte 0x1 + 2806 03c0 51 .byte 0x51 + 2807 03c1 34000000 .4byte .LVL50 + 2808 03c5 50000000 .4byte .LVL53 + 2809 03c9 0400 .2byte 0x4 + 2810 03cb F3 .byte 0xf3 + 2811 03cc 01 .uleb128 0x1 + 2812 03cd 51 .byte 0x51 + 2813 03ce 9F .byte 0x9f + 2814 03cf 50000000 .4byte .LVL53 + 2815 03d3 5C000000 .4byte .LFE5 + 2816 03d7 0100 .2byte 0x1 + 2817 03d9 51 .byte 0x51 + 2818 03da 00000000 .4byte 0 + 2819 03de 00000000 .4byte 0 + 2820 .LLST27: + 2821 03e2 00000000 .4byte .LVL43 + 2822 03e6 3C000000 .4byte .LVL51 + 2823 03ea 0100 .2byte 0x1 + 2824 03ec 52 .byte 0x52 + 2825 03ed 3C000000 .4byte .LVL51 + 2826 03f1 3F000000 .4byte .LVL52-1 + 2827 03f5 0100 .2byte 0x1 + 2828 03f7 51 .byte 0x51 + 2829 03f8 3F000000 .4byte .LVL52-1 + 2830 03fc 50000000 .4byte .LVL53 + 2831 0400 0400 .2byte 0x4 + 2832 0402 F3 .byte 0xf3 + 2833 0403 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 64 + + + 2834 0404 52 .byte 0x52 + 2835 0405 9F .byte 0x9f + 2836 0406 50000000 .4byte .LVL53 + 2837 040a 5C000000 .4byte .LFE5 + 2838 040e 0100 .2byte 0x1 + 2839 0410 52 .byte 0x52 + 2840 0411 00000000 .4byte 0 + 2841 0415 00000000 .4byte 0 + 2842 .LLST28: + 2843 0419 1C000000 .4byte .LVL46 + 2844 041d 1E000000 .4byte .LVL47 + 2845 0421 0200 .2byte 0x2 + 2846 0423 30 .byte 0x30 + 2847 0424 9F .byte 0x9f + 2848 0425 00000000 .4byte 0 + 2849 0429 00000000 .4byte 0 + 2850 .LLST29: + 2851 042d 1C000000 .4byte .LVL46 + 2852 0431 30000000 .4byte .LVL48 + 2853 0435 0100 .2byte 0x1 + 2854 0437 56 .byte 0x56 + 2855 0438 30000000 .4byte .LVL48 + 2856 043c 32000000 .4byte .LVL49 + 2857 0440 0C00 .2byte 0xc + 2858 0442 75 .byte 0x75 + 2859 0443 808009 .sleb128 147456 + 2860 0446 38 .byte 0x38 + 2861 0447 24 .byte 0x24 + 2862 0448 77 .byte 0x77 + 2863 0449 00 .sleb128 0 + 2864 044a 22 .byte 0x22 + 2865 044b 35 .byte 0x35 + 2866 044c 24 .byte 0x24 + 2867 044d 9F .byte 0x9f + 2868 044e 00000000 .4byte 0 + 2869 0452 00000000 .4byte 0 + 2870 .LLST30: + 2871 0456 12000000 .4byte .LVL44 + 2872 045a 32000000 .4byte .LVL49 + 2873 045e 0400 .2byte 0x4 + 2874 0460 0A .byte 0xa + 2875 0461 2001 .2byte 0x120 + 2876 0463 9F .byte 0x9f + 2877 0464 34000000 .4byte .LVL50 + 2878 0468 50000000 .4byte .LVL53 + 2879 046c 0100 .2byte 0x1 + 2880 046e 56 .byte 0x56 + 2881 046f 00000000 .4byte 0 + 2882 0473 00000000 .4byte 0 + 2883 .LLST31: + 2884 0477 00000000 .4byte .LFB8 + 2885 047b 02000000 .4byte .LCFI6 + 2886 047f 0200 .2byte 0x2 + 2887 0481 7D .byte 0x7d + 2888 0482 00 .sleb128 0 + 2889 0483 02000000 .4byte .LCFI6 + 2890 0487 44000000 .4byte .LFE8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 65 + + + 2891 048b 0200 .2byte 0x2 + 2892 048d 7D .byte 0x7d + 2893 048e 08 .sleb128 8 + 2894 048f 00000000 .4byte 0 + 2895 0493 00000000 .4byte 0 + 2896 .LLST32: + 2897 0497 00000000 .4byte .LVL55 + 2898 049b 07000000 .4byte .LVL56-1 + 2899 049f 0100 .2byte 0x1 + 2900 04a1 50 .byte 0x50 + 2901 04a2 07000000 .4byte .LVL56-1 + 2902 04a6 44000000 .4byte .LFE8 + 2903 04aa 0400 .2byte 0x4 + 2904 04ac F3 .byte 0xf3 + 2905 04ad 01 .uleb128 0x1 + 2906 04ae 50 .byte 0x50 + 2907 04af 9F .byte 0x9f + 2908 04b0 00000000 .4byte 0 + 2909 04b4 00000000 .4byte 0 + 2910 .LLST33: + 2911 04b8 08000000 .4byte .LVL56 + 2912 04bc 3D000000 .4byte .LVL57-1 + 2913 04c0 0100 .2byte 0x1 + 2914 04c2 50 .byte 0x50 + 2915 04c3 00000000 .4byte 0 + 2916 04c7 00000000 .4byte 0 + 2917 .section .debug_aranges,"",%progbits + 2918 0000 7C000000 .4byte 0x7c + 2919 0004 0200 .2byte 0x2 + 2920 0006 00000000 .4byte .Ldebug_info0 + 2921 000a 04 .byte 0x4 + 2922 000b 00 .byte 0 + 2923 000c 0000 .2byte 0 + 2924 000e 0000 .2byte 0 + 2925 0010 00000000 .4byte .LFB13 + 2926 0014 54000000 .4byte .LFE13-.LFB13 + 2927 0018 00000000 .4byte .LFB0 + 2928 001c 1C000000 .4byte .LFE0-.LFB0 + 2929 0020 00000000 .4byte .LFB1 + 2930 0024 18000000 .4byte .LFE1-.LFB1 + 2931 0028 00000000 .4byte .LFB3 + 2932 002c 28000000 .4byte .LFE3-.LFB3 + 2933 0030 00000000 .4byte .LFB4 + 2934 0034 2C000000 .4byte .LFE4-.LFB4 + 2935 0038 00000000 .4byte .LFB7 + 2936 003c A0000000 .4byte .LFE7-.LFB7 + 2937 0040 00000000 .4byte .LFB6 + 2938 0044 48000000 .4byte .LFE6-.LFB6 + 2939 0048 00000000 .4byte .LFB5 + 2940 004c 5C000000 .4byte .LFE5-.LFB5 + 2941 0050 00000000 .4byte .LFB8 + 2942 0054 44000000 .4byte .LFE8-.LFB8 + 2943 0058 00000000 .4byte .LFB9 + 2944 005c 18000000 .4byte .LFE9-.LFB9 + 2945 0060 00000000 .4byte .LFB10 + 2946 0064 18000000 .4byte .LFE10-.LFB10 + 2947 0068 00000000 .4byte .LFB11 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 66 + + + 2948 006c 20000000 .4byte .LFE11-.LFB11 + 2949 0070 00000000 .4byte .LFB12 + 2950 0074 0C000000 .4byte .LFE12-.LFB12 + 2951 0078 00000000 .4byte 0 + 2952 007c 00000000 .4byte 0 + 2953 .section .debug_ranges,"",%progbits + 2954 .Ldebug_ranges0: + 2955 0000 02000000 .4byte .LBB6 + 2956 0004 10000000 .4byte .LBE6 + 2957 0008 24000000 .4byte .LBB11 + 2958 000c 26000000 .4byte .LBE11 + 2959 0010 00000000 .4byte 0 + 2960 0014 00000000 .4byte 0 + 2961 0018 02000000 .4byte .LBB7 + 2962 001c 10000000 .4byte .LBE7 + 2963 0020 24000000 .4byte .LBB8 + 2964 0024 26000000 .4byte .LBE8 + 2965 0028 00000000 .4byte 0 + 2966 002c 00000000 .4byte 0 + 2967 0030 10000000 .4byte .LBB14 + 2968 0034 4E000000 .4byte .LBE14 + 2969 0038 52000000 .4byte .LBB17 + 2970 003c 94000000 .4byte .LBE17 + 2971 0040 00000000 .4byte 0 + 2972 0044 00000000 .4byte 0 + 2973 0048 10000000 .4byte .LBB15 + 2974 004c 4E000000 .4byte .LBE15 + 2975 0050 52000000 .4byte .LBB16 + 2976 0054 94000000 .4byte .LBE16 + 2977 0058 00000000 .4byte 0 + 2978 005c 00000000 .4byte 0 + 2979 0060 00000000 .4byte .LFB13 + 2980 0064 54000000 .4byte .LFE13 + 2981 0068 00000000 .4byte .LFB0 + 2982 006c 1C000000 .4byte .LFE0 + 2983 0070 00000000 .4byte .LFB1 + 2984 0074 18000000 .4byte .LFE1 + 2985 0078 00000000 .4byte .LFB3 + 2986 007c 28000000 .4byte .LFE3 + 2987 0080 00000000 .4byte .LFB4 + 2988 0084 2C000000 .4byte .LFE4 + 2989 0088 00000000 .4byte .LFB7 + 2990 008c A0000000 .4byte .LFE7 + 2991 0090 00000000 .4byte .LFB6 + 2992 0094 48000000 .4byte .LFE6 + 2993 0098 00000000 .4byte .LFB5 + 2994 009c 5C000000 .4byte .LFE5 + 2995 00a0 00000000 .4byte .LFB8 + 2996 00a4 44000000 .4byte .LFE8 + 2997 00a8 00000000 .4byte .LFB9 + 2998 00ac 18000000 .4byte .LFE9 + 2999 00b0 00000000 .4byte .LFB10 + 3000 00b4 18000000 .4byte .LFE10 + 3001 00b8 00000000 .4byte .LFB11 + 3002 00bc 20000000 .4byte .LFE11 + 3003 00c0 00000000 .4byte .LFB12 + 3004 00c4 0C000000 .4byte .LFE12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 67 + + + 3005 00c8 00000000 .4byte 0 + 3006 00cc 00000000 .4byte 0 + 3007 .section .debug_line,"",%progbits + 3008 .Ldebug_line0: + 3009 0000 FD010000 .section .debug_str,"MS",%progbits,1 + 3009 02005C00 + 3009 00000201 + 3009 FB0E0D00 + 3009 01010101 + 3010 .LASF15: + 3011 0000 63797374 .ascii "cystatus\000" + 3011 61747573 + 3011 00 + 3012 .LASF16: + 3013 0009 72656738 .ascii "reg8\000" + 3013 00 + 3014 .LASF40: + 3015 000e 726F7742 .ascii "rowBuffer\000" + 3015 75666665 + 3015 7200 + 3016 .LASF37: + 3017 0018 43794545 .ascii "CyEEPROM_Stop\000" + 3017 50524F4D + 3017 5F53746F + 3017 7000 + 3018 .LASF45: + 3019 0026 43795370 .ascii "CySpcStart\000" + 3019 63537461 + 3019 727400 + 3020 .LASF47: + 3021 0031 43795370 .ascii "CySpcWriteRow\000" + 3021 63577269 + 3021 7465526F + 3021 7700 + 3022 .LASF3: + 3023 003f 73686F72 .ascii "short unsigned int\000" + 3023 7420756E + 3023 7369676E + 3023 65642069 + 3023 6E7400 + 3024 .LASF43: + 3025 0052 43795370 .ascii "CySpcReadData\000" + 3025 63526561 + 3025 64446174 + 3025 6100 + 3026 .LASF22: + 3027 0060 73746174 .ascii "status\000" + 3027 757300 + 3028 .LASF12: + 3029 0067 666C6F61 .ascii "float\000" + 3029 7400 + 3030 .LASF32: + 3031 006d 4379466C .ascii "CyFlash_SetWaitCycles\000" + 3031 6173685F + 3031 53657457 + 3031 61697443 + 3031 79636C65 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 68 + + + 3032 .LASF7: + 3033 0083 6C6F6E67 .ascii "long long unsigned int\000" + 3033 206C6F6E + 3033 6720756E + 3033 7369676E + 3033 65642069 + 3034 .LASF24: + 3035 009a 43795365 .ascii "CySetFlashEEBuffer\000" + 3035 74466C61 + 3035 73684545 + 3035 42756666 + 3035 657200 + 3036 .LASF44: + 3037 00ad 43795370 .ascii "CySpcUnlock\000" + 3037 63556E6C + 3037 6F636B00 + 3038 .LASF20: + 3039 00b9 726F7744 .ascii "rowData\000" + 3039 61746100 + 3040 .LASF36: + 3041 00c1 43794545 .ascii "CyEEPROM_Start\000" + 3041 50524F4D + 3041 5F537461 + 3041 727400 + 3042 .LASF34: + 3043 00d0 696E7465 .ascii "interruptState\000" + 3043 72727570 + 3043 74537461 + 3043 746500 + 3044 .LASF5: + 3045 00df 6C6F6E67 .ascii "long unsigned int\000" + 3045 20756E73 + 3045 69676E65 + 3045 6420696E + 3045 7400 + 3046 .LASF9: + 3047 00f1 75696E74 .ascii "uint8\000" + 3047 3800 + 3048 .LASF18: + 3049 00f7 61727261 .ascii "arrayId\000" + 3049 79496400 + 3050 .LASF56: + 3051 00ff 64696554 .ascii "dieTemperature\000" + 3051 656D7065 + 3051 72617475 + 3051 726500 + 3052 .LASF25: + 3053 010e 43795772 .ascii "CyWriteRowConfig\000" + 3053 69746552 + 3053 6F77436F + 3053 6E666967 + 3053 00 + 3054 .LASF1: + 3055 011f 756E7369 .ascii "unsigned char\000" + 3055 676E6564 + 3055 20636861 + 3055 7200 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 69 + + + 3056 .LASF13: + 3057 012d 646F7562 .ascii "double\000" + 3057 6C6500 + 3058 .LASF35: + 3059 0134 4379466C .ascii "CyFlash_Stop\000" + 3059 6173685F + 3059 53746F70 + 3059 00 + 3060 .LASF21: + 3061 0141 726F7753 .ascii "rowSize\000" + 3061 697A6500 + 3062 .LASF2: + 3063 0149 73686F72 .ascii "short int\000" + 3063 7420696E + 3063 7400 + 3064 .LASF39: + 3065 0153 43794545 .ascii "CyEEPROM_ReadRelease\000" + 3065 50524F4D + 3065 5F526561 + 3065 6452656C + 3065 65617365 + 3066 .LASF42: + 3067 0168 43795370 .ascii "CySpcGetTemp\000" + 3067 63476574 + 3067 54656D70 + 3067 00 + 3068 .LASF10: + 3069 0175 75696E74 .ascii "uint16\000" + 3069 313600 + 3070 .LASF50: + 3071 017c 43795370 .ascii "CySpcLock\000" + 3071 634C6F63 + 3071 6B00 + 3072 .LASF11: + 3073 0186 75696E74 .ascii "uint32\000" + 3073 333200 + 3074 .LASF51: + 3075 018d 4379456E .ascii "CyEnterCriticalSection\000" + 3075 74657243 + 3075 72697469 + 3075 63616C53 + 3075 65637469 + 3076 .LASF8: + 3077 01a4 756E7369 .ascii "unsigned int\000" + 3077 676E6564 + 3077 20696E74 + 3077 00 + 3078 .LASF31: + 3079 01b1 4379466C .ascii "CyFlash_Start\000" + 3079 6173685F + 3079 53746172 + 3079 7400 + 3080 .LASF57: + 3081 01bf 43794578 .ascii "CyExitCriticalSection\000" + 3081 69744372 + 3081 69746963 + 3081 616C5365 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 70 + + + 3081 6374696F + 3082 .LASF28: + 3083 01d5 726F7745 .ascii "rowECC\000" + 3083 434300 + 3084 .LASF38: + 3085 01dc 43794545 .ascii "CyEEPROM_ReadReserve\000" + 3085 50524F4D + 3085 5F526561 + 3085 64526573 + 3085 65727665 + 3086 .LASF46: + 3087 01f1 43795370 .ascii "CySpcLoadRow\000" + 3087 634C6F61 + 3087 64526F77 + 3087 00 + 3088 .LASF17: + 3089 01fe 73697A65 .ascii "sizetype\000" + 3089 74797065 + 3089 00 + 3090 .LASF23: + 3091 0207 43795365 .ascii "CySetTemp\000" + 3091 7454656D + 3091 7000 + 3092 .LASF6: + 3093 0211 6C6F6E67 .ascii "long long int\000" + 3093 206C6F6E + 3093 6720696E + 3093 7400 + 3094 .LASF48: + 3095 021f 43794465 .ascii "CyDelayUs\000" + 3095 6C617955 + 3095 7300 + 3096 .LASF49: + 3097 0229 6D656D63 .ascii "memcpy\000" + 3097 707900 + 3098 .LASF55: + 3099 0230 43795365 .ascii "CySetTempInt\000" + 3099 7454656D + 3099 70496E74 + 3099 00 + 3100 .LASF29: + 3101 023d 6F666673 .ascii "offset\000" + 3101 657400 + 3102 .LASF33: + 3103 0244 66726571 .ascii "freq\000" + 3103 00 + 3104 .LASF26: + 3105 0249 62756666 .ascii "buffer\000" + 3105 657200 + 3106 .LASF52: + 3107 0250 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 3107 4320342E + 3107 372E3320 + 3107 32303133 + 3107 30333132 + 3108 0283 616E6368 .ascii "anch revision 196615]\000" + 3108 20726576 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccQlfCh1.s page 71 + + + 3108 6973696F + 3108 6E203139 + 3108 36363135 + 3109 .LASF54: + 3110 0299 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 3110 43534932 + 3110 53445C55 + 3110 53425F42 + 3110 6F6F746C + 3111 .LASF53: + 3112 02b9 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\CyFlash.c\000" + 3112 6E657261 + 3112 7465645F + 3112 536F7572 + 3112 63655C50 + 3113 .LASF4: + 3114 02dc 6C6F6E67 .ascii "long int\000" + 3114 20696E74 + 3114 00 + 3115 .LASF14: + 3116 02e5 63686172 .ascii "char\000" + 3116 00 + 3117 .LASF19: + 3118 02ea 726F774E .ascii "rowNumber\000" + 3118 756D6265 + 3118 7200 + 3119 .LASF0: + 3120 02f4 7369676E .ascii "signed char\000" + 3120 65642063 + 3120 68617200 + 3121 .LASF41: + 3122 0300 43795772 .ascii "CyWriteRowFull\000" + 3122 69746552 + 3122 6F774675 + 3122 6C6C00 + 3123 .LASF27: + 3124 030f 726F7741 .ascii "rowAddress\000" + 3124 64647265 + 3124 737300 + 3125 .LASF30: + 3126 031a 43795772 .ascii "CyWriteRowData\000" + 3126 69746552 + 3126 6F774461 + 3126 746100 + 3127 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.o new file mode 100755 index 0000000000000000000000000000000000000000..8f8a8789789f951005bd74efc7a15ee023f05bc1 GIT binary patch literal 14360 zcmcgydvsORncw@Idy|laa7lQC2=NeN2tqCi328(sHxB{<#5{Pl!jRVuNev|4+(2Sc zQ30)OXPi}QwY3Abw&OU?ERj;Bm4#M2?XkG%!gr&dpl)C!uv)25awUk@sZ=TKEuwcxdu+;NU%{i$Z za^L>7DkVD2p;PK~$r=@*>EQaJv4#n)3Ww+H4?6V|+A4}e>+}*dXLr?gXd zDu7F!rXLqi1e^1kXE)C^EhbKuG?zDDW9pgZf#!m<^NcU4lv#`SeZDR+mekDS?YzSu1G{BSFEV=pP!jB_VKJ4rx{yS z`jcNf%@>6Af6b96rTy&Rk#dUla9n`-9EXnghpIl}~#~y&bC6zs+!( zwOa7tj$ah&YG?5s7nQzv78drZ^P#fo%?_*`h`Euwrn#iKy7|4u5tEXs?9PhAKZA2APW-8pZV z2$?yrp9m%9++7Q=%UXCn!OuvnpFm3vR~+U;m0vtFyhfe2u*NjxMZ?2uSTD&`%A%tx zpj%PVCvkfSSEpenZJ&Fc_H>hx9CGLSy8rXgvtA|6}PP(hx~`V~wCz){u($^%nao%lNL z!68-yneRa{Bam4MMSZjW5}5im+_E}Z8zHTxXk~+VQM=+=w8;J=#V=|a$^H%7zNl|u z{iMq`I`vQ#eoiO(-!cYNZeS%OQ`t7}-*IEv)uwfu2{2ie^pC(w}Ah zT*BEUAVuV+nPl z@(e0JG_p2puh*(%Oj@}M4&(O%p%&;obgS=h_W{(9UX;3y;k*Nztkmp@JItQ=8!$_! z!8WvSfzpGdIRwyLgD--<>Vs@~P#=Q)byUj+ls;s%XQAQ3PO$Z1Gl#d&;L*BSpi=hZQegzS&K)-O$&Q|cU&3;R_b3WqOX|29Nn1Ek4WvX27 zrc$AW7%A0+Yacq&M>&=zhO5xx8vG2HSDisc7a95)&}QXkA|cN+!J3JhTBM(+QlD$i z+sunJYx=fy?oT;C54cnuF0VevT5g?+=dH-OHm9Wkp_ZIbe*pw8J}s$rS)kws;a<3jt+h7PK_~C6_7jq z-aECjvj4sTf_w3bzHT12_t%-uEq;bMgTmki#ZasoZqPPleeucJ46xuxnt+poGqT)91@T9cgk!Vg& znOuEC;>e3HXKggBtyI3>t>SJ98@SRfN$D05nh9~Go0HPbo|GLqxDWtBt*LHW3vk

JI^VmAJIpLZBD9YV^Q?DRrg$RLSyW{PIN_ zP$lb;#JeFfRA}jft#XsTx+|*skT>cvrpd+{DxX-Z(C=VJ9uGE8at=8vtU_i z>r#6tT)WH;IVo1ZX=~^DRUa-cDH+&k&%1MZ#O@96HPl|apbu{cNkezqy3oGGDXUUe zz_O>TBdoBqSAMa{9t+o6L7(bZEj`B`cb4-iv9rT1)U7e?b~y%ShY2OTErC{@J<}Oz zH+KA8J{ZtWseR?jlH!sZD{m~RZ>mV?=Cwe2NZz}Q^FUC~Kri~kV=3c)5^qyxbUouE z@vivjh>Asb)v4IfzJ}4hzGw_Hxq5YL`wiFc?1&EyyYt!+?~29U>ekpmJla0APmS~s z#p2HBo{@oFd!oG#4~)zjXpeUFHg(0jlvwW@9O|OTES#Mk(fHP`fq3J1&tP;!4G!(u z<(ON#ooL+XuU?&S3d?Krp24A>o9L?Pz~?C4g9p)AY;-sdZ{tzdc8b|iXw<2$SgdQj zrC0S1L^nlu4|AE1#-ec08(HJfp1y%yD$%s3zbmGCheo>xsU^c;Xy8Z3z%5aUgc|?} z9fQyw9gKF3unk;a6OFSsMIWkaUET%`hK%ZEV63M5jfn0*PuE~av?o3=v?u9=Yu)SI zosHFF2xM9V__VsQk>=bvbR8Lq#`cOM8;0N+rw^WU`_dKhEjb%*Z%xs`uJO$yYIk&Z z&+vFsu?15-)Ymr>jjO&`^k&uVuA4O*H#?0^P51-hYG+wxRdr=`)iS3%=0;(Wb7Ot` z^-H6>yQ96m(cX%hogb*^j&<$n>33q$y#pf{tW$MOZEe-^9ct@}?H!FBEz3HZwr}oe z*x4{N6vrCsjmE+~!j-uxnMr{_W;U;Iid311)vsvHU z8;g#NV5Ht!FzZaMX>45KlyiKWhK2@5oW7x$gV8vvWi(D}Y^Vnfhhig({x1Sh&qr@p zw5uBjBYczv8?n`*V-?p|tBmZ->!(=xff;(Ho^2QEIl4#}2TC-bRF_cjD{+5b?$pE+ z4Q%sNX~@fp9JC^Ti%gA&db04z>8JSw^qzv$V)ylEdzZBJp0t!LF|ttPu0Z6V9XVt) z_;mEjQB>;l3L|%AL=FZchXRqqcI56!?C`1FkQa&^3`7puk;9kS;nTVib`EAl4h18J z1ChJ!$US1m?`xCkZ}M?cFMXVT+DVfeS$Jf8cf6}xg-7Bs7yG>$rX#Mxz07mrZY-HF z)_IuBaAH4Un}mn4Q^S=hQvV+IT6jDpl}QYx^Ne;PGx{5N%hoLD$pn(yCKH*Z?iYwt zDGA$iIPrvFCc2EtVMr_{Nkfy0+*E10?~Qhk?%Fx9r*8-WHubLVZX^je3@!)H0YBM= zOtK5l6JPtwIfDaxqMk)eqYC#7?cN9tG{+yPm!AG`(j* zm*et^7eAb|r}XQfL&kvp(jTwiPonPc_f^o0fmghKKL&<>9IY_qfJb{c)k)ZU9Cg3F z5QwATdBwB$Wib5qhGD16%d_`$ z)cy7@f?)piif8X-F#PtOfg#QZ?M=t!*)#uL0PU^kO_+ct&|dNEy#GQ>6hfzG`$PZ^XGqW9D7C2Gr|9#mhq>m z6!yguL&ZPd^B8Yy(2d_5$i4XCsMh#r8sS!y?H%5`9M9hUX?j;;k$l&43aXyoeW>{R zt;C|AfF9?K`_t?9i)r?LgGoIAxmP@UN7C#$_>ngZCEDZpfM@TkY4$#XGk`OmF`#Gf zsWf}{!CotPwCCXR?0p+N{3Xf?EE0`ad&QHzh>Cx_=W(9Z4<*_w!R6UIC-x8yQ?8wf z|HF7m6CB#(^-7vvFBad;riFX+^e&)+<_v`?KZ0JhM*ykE@@KK$uRoKyy0ahSHs2=C{;hp=+8(P$V${$!Qpk9zJI1D&qVU7%N}|B^=lNxz1R?bqVU$9nhP zGqxLgy2_wX3iYRgCX0I_AM1HRntVkX&1Vi-?)YY^ohkGzwOeS%*qg1q=QQ65^4ThR zmQZRAw%juk-*39o-jp*l-x*KpF<9fccaCECa)x!;>67;p&^_AmDE9zP&Sy+}-%*@7 zKQcczQ-2XAk1x#cK-0`S_A!L_Gtqa@AwLY0P0i22#L3TOzXjjt$O`O9&ZQCuQl9^b zUyx>qll)9fwD6y$ndf9S9&n|Yr|cC<;ZJ2>>;AVX8=IsRw1xj1Fjp0W&-I^O@4mlg zH@NS7*?6Dhzc9#WW+LAK7K6`xL4LOIjU0BlFK~>S%3saT62L=0T*vI6>o>a@pZf^^ zVIckCx@CL%TMPoKD!WCgZNlfXk9?@8?De7tCZB8;k^$Ex&XLW#IguHd$V_J8xQH3V z!VAh0;qzUEa`s2WkPOmK(l-ch5!@koli;x6M+FZHeoFAOf{zG(S@4+P3xeMf{7=CP zg6|4)pVJ?HCqOI~yjrlC7*uMV;6`GlGW(f)zK0XVHq@9}>~dd;<#P zC!3_-A<%7}%6^9A|Q7x~qKD~V_yAtD~F!vBz9x8O~J!$jzf3w;|A z?f*gWnBdn0&k6oYaDs?&{h7$u25cZ=fC#_xh>-KkGSbCDFA_Q|^ff|XCv=O@TLrfZ ze}D-4cM1J>#3H3WCCG2Y*v}&(e?sVELO(0?^FsfJ&@T(VBK+S7{kqWa3VliFT&!{S ziw{W*b`UYn8;SF=hg(Vqc95 z-XVBM@Y8}12_6-ERPeaq(}Fw)qP-Uce?XjpJSNEVAJV@Rd{gibf`1XjKR1mY&ts@R zThQcR&?Q3iQ(DUF1Xl?*3bqSw5!@lzB{(9uPw=qdy@LGYmi9j{$Pdm*KPG7MHR!Jk z{SCo?6Xe&e)c=X#dBIl&-xB8^O6`UbhDCh{53N990D#-6}nGYHS z*9n?D4?aK1rMz2kKybI3i1nP%0DdFE7&i%kBB_{Q9<*Z0e!d7 z=J^8pb3%VX@XLbyCYkNca|Vbss4TpsdijmNhxp#(5Rv@Md##t>kXP!+$9{+qG2iBW z5&pK4hCTDX>bSozng9FAFH>rmi2TmN{0TP0<_Yh(K6N2{< z5tsV~A0#3^4+|b8B2JGAK1OtudP49?BI5QH!Donw-?M_x5fR511qX;2kLefwe~C2w zewqlse@2AAe*G7b2Ccna;XGl9reTRtt`PDi5HTe><6XDM%gf@P|_*aBBm*`-f Y3cZ8~|IPCVa-RQl9BW0sfr#<^F9pi{KL7v# literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.lst new file mode 100755 index 0000000..037ffc5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.lst @@ -0,0 +1,11191 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "CyLib.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.CyIMO_SetTrimValue,"ax",%progbits + 19 .align 1 + 20 .thumb + 21 .thumb_func + 22 .type CyIMO_SetTrimValue, %function + 23 CyIMO_SetTrimValue: + 24 .LFB7: + 25 .file 1 ".\\Generated_Source\\PSoC5\\CyLib.c" + 1:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/CyLib.c **** * File Name: CyLib.c + 3:.\Generated_Source\PSoC5/CyLib.c **** * Version 4.0 + 4:.\Generated_Source\PSoC5/CyLib.c **** * + 5:.\Generated_Source\PSoC5/CyLib.c **** * Description: + 6:.\Generated_Source\PSoC5/CyLib.c **** * Provides system API for the clocking, interrupts and watchdog timer. + 7:.\Generated_Source\PSoC5/CyLib.c **** * + 8:.\Generated_Source\PSoC5/CyLib.c **** * Note: + 9:.\Generated_Source\PSoC5/CyLib.c **** * Documentation of the API's in this file is located in the + 10:.\Generated_Source\PSoC5/CyLib.c **** * System Reference Guide provided with PSoC Creator. + 11:.\Generated_Source\PSoC5/CyLib.c **** * + 12:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 13:.\Generated_Source\PSoC5/CyLib.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 14:.\Generated_Source\PSoC5/CyLib.c **** * You may use this file only in accordance with the license, terms, conditions, + 15:.\Generated_Source\PSoC5/CyLib.c **** * disclaimers, and limitations in the end user license agreement accompanying + 16:.\Generated_Source\PSoC5/CyLib.c **** * the software package with which this file was provided. + 17:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 18:.\Generated_Source\PSoC5/CyLib.c **** + 19:.\Generated_Source\PSoC5/CyLib.c **** #include "CyLib.h" + 20:.\Generated_Source\PSoC5/CyLib.c **** + 21:.\Generated_Source\PSoC5/CyLib.c **** + 22:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 23:.\Generated_Source\PSoC5/CyLib.c **** * The CyResetStatus variable is used to obtain value of RESET_SR0 register after + 24:.\Generated_Source\PSoC5/CyLib.c **** * a device reset. It is set from initialize_psoc() at the early initialization + 25:.\Generated_Source\PSoC5/CyLib.c **** * stage. In case of IAR EW IDE, initialize_psoc() is executed before the data + 26:.\Generated_Source\PSoC5/CyLib.c **** * sections are initialized. To avoid zeroing, CyResetStatus should be placed + 27:.\Generated_Source\PSoC5/CyLib.c **** * to the .noinit section. + 28:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 29:.\Generated_Source\PSoC5/CyLib.c **** CY_NOINIT uint8 CYXDATA CyResetStatus; + 30:.\Generated_Source\PSoC5/CyLib.c **** + 31:.\Generated_Source\PSoC5/CyLib.c **** + 32:.\Generated_Source\PSoC5/CyLib.c **** /* Variable Vdda */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 2 + + + 33:.\Generated_Source\PSoC5/CyLib.c **** #if(CYDEV_VARIABLE_VDDA == 1) + 34:.\Generated_Source\PSoC5/CyLib.c **** + 35:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyScPumpEnabled = (uint8)(CYDEV_VDDA_MV < 2700); + 36:.\Generated_Source\PSoC5/CyLib.c **** + 37:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + 38:.\Generated_Source\PSoC5/CyLib.c **** + 39:.\Generated_Source\PSoC5/CyLib.c **** + 40:.\Generated_Source\PSoC5/CyLib.c **** /* Do not use these definitions directly in your application */ + 41:.\Generated_Source\PSoC5/CyLib.c **** uint32 cydelay_freq_hz = BCLK__BUS_CLK__HZ; + 42:.\Generated_Source\PSoC5/CyLib.c **** uint32 cydelay_freq_khz = (BCLK__BUS_CLK__HZ + 999u) / 1000u; + 43:.\Generated_Source\PSoC5/CyLib.c **** uint8 cydelay_freq_mhz = (uint8)((BCLK__BUS_CLK__HZ + 999999u) / 1000000u); + 44:.\Generated_Source\PSoC5/CyLib.c **** uint32 cydelay_32k_ms = 32768u * ((BCLK__BUS_CLK__HZ + 999u) / 1000u); + 45:.\Generated_Source\PSoC5/CyLib.c **** + 46:.\Generated_Source\PSoC5/CyLib.c **** + 47:.\Generated_Source\PSoC5/CyLib.c **** /* Function Prototypes */ + 48:.\Generated_Source\PSoC5/CyLib.c **** static uint8 CyUSB_PowerOnCheck(void) ; + 49:.\Generated_Source\PSoC5/CyLib.c **** static void CyIMO_SetTrimValue(uint8 freq) ; + 50:.\Generated_Source\PSoC5/CyLib.c **** static void CyBusClk_Internal_SetDivider(uint16 divider); + 51:.\Generated_Source\PSoC5/CyLib.c **** + 52:.\Generated_Source\PSoC5/CyLib.c **** + 53:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 54:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyPLL_OUT_Start + 55:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 56:.\Generated_Source\PSoC5/CyLib.c **** * + 57:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 58:.\Generated_Source\PSoC5/CyLib.c **** * Enables the PLL. Optionally waits for it to become stable. + 59:.\Generated_Source\PSoC5/CyLib.c **** * Waits at least 250 us or until it is detected that the PLL is stable. + 60:.\Generated_Source\PSoC5/CyLib.c **** * + 61:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 62:.\Generated_Source\PSoC5/CyLib.c **** * wait: + 63:.\Generated_Source\PSoC5/CyLib.c **** * 0: Return immediately after configuration + 64:.\Generated_Source\PSoC5/CyLib.c **** * 1: Wait for PLL lock or timeout. + 65:.\Generated_Source\PSoC5/CyLib.c **** * + 66:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 67:.\Generated_Source\PSoC5/CyLib.c **** * Status + 68:.\Generated_Source\PSoC5/CyLib.c **** * CYRET_SUCCESS - Completed successfully + 69:.\Generated_Source\PSoC5/CyLib.c **** * CYRET_TIMEOUT - Timeout occurred without detecting a stable clock. + 70:.\Generated_Source\PSoC5/CyLib.c **** * If the input source of the clock is jittery, then the lock indication + 71:.\Generated_Source\PSoC5/CyLib.c **** * may not occur. However, after the timeout has expired the generated PLL + 72:.\Generated_Source\PSoC5/CyLib.c **** * clock can still be used. + 73:.\Generated_Source\PSoC5/CyLib.c **** * + 74:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 75:.\Generated_Source\PSoC5/CyLib.c **** * If wait is enabled: This function wses the Fast Time Wheel to time the wait. + 76:.\Generated_Source\PSoC5/CyLib.c **** * Any other use of the Fast Time Wheel will be stopped during the period of + 77:.\Generated_Source\PSoC5/CyLib.c **** * this function and then restored. This function also uses the 100 KHz ILO. + 78:.\Generated_Source\PSoC5/CyLib.c **** * If not enabled, this function will enable the 100 KHz ILO for the period of + 79:.\Generated_Source\PSoC5/CyLib.c **** * this function. + 80:.\Generated_Source\PSoC5/CyLib.c **** * + 81:.\Generated_Source\PSoC5/CyLib.c **** * No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or + 82:.\Generated_Source\PSoC5/CyLib.c **** * Once Per Second interrupt may be made by interrupt routines during the period + 83:.\Generated_Source\PSoC5/CyLib.c **** * of this function execution. The current operation of the ILO, Central Time + 84:.\Generated_Source\PSoC5/CyLib.c **** * Wheel and Once Per Second interrupt are maintained during the operation of + 85:.\Generated_Source\PSoC5/CyLib.c **** * this function provided the reading of the Power Manager Interrupt Status + 86:.\Generated_Source\PSoC5/CyLib.c **** * Register is only done using the CyPmReadStatus() function. + 87:.\Generated_Source\PSoC5/CyLib.c **** * + 88:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 89:.\Generated_Source\PSoC5/CyLib.c **** cystatus CyPLL_OUT_Start(uint8 wait) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 3 + + + 90:.\Generated_Source\PSoC5/CyLib.c **** { + 91:.\Generated_Source\PSoC5/CyLib.c **** cystatus status = CYRET_SUCCESS; + 92:.\Generated_Source\PSoC5/CyLib.c **** + 93:.\Generated_Source\PSoC5/CyLib.c **** uint8 iloEnableState; + 94:.\Generated_Source\PSoC5/CyLib.c **** uint8 pmTwCfg0State; + 95:.\Generated_Source\PSoC5/CyLib.c **** uint8 pmTwCfg2State; + 96:.\Generated_Source\PSoC5/CyLib.c **** + 97:.\Generated_Source\PSoC5/CyLib.c **** + 98:.\Generated_Source\PSoC5/CyLib.c **** /* Enables the PLL circuit */ + 99:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG0_REG |= CY_CLK_PLL_ENABLE; + 100:.\Generated_Source\PSoC5/CyLib.c **** + 101:.\Generated_Source\PSoC5/CyLib.c **** if(wait != 0u) + 102:.\Generated_Source\PSoC5/CyLib.c **** { + 103:.\Generated_Source\PSoC5/CyLib.c **** /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + 104:.\Generated_Source\PSoC5/CyLib.c **** iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 105:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg0State = CY_LIB_PM_TW_CFG0_REG; + 106:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg2State = CY_LIB_PM_TW_CFG2_REG; + 107:.\Generated_Source\PSoC5/CyLib.c **** + 108:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_CLK_PLL_FTW_INTERVAL); + 109:.\Generated_Source\PSoC5/CyLib.c **** + 110:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_TIMEOUT; + 111:.\Generated_Source\PSoC5/CyLib.c **** + 112:.\Generated_Source\PSoC5/CyLib.c **** while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + 113:.\Generated_Source\PSoC5/CyLib.c **** { + 114:.\Generated_Source\PSoC5/CyLib.c **** /* Wait for the interrupt status */ + 115:.\Generated_Source\PSoC5/CyLib.c **** if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + 116:.\Generated_Source\PSoC5/CyLib.c **** { + 117:.\Generated_Source\PSoC5/CyLib.c **** if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + 118:.\Generated_Source\PSoC5/CyLib.c **** { + 119:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_SUCCESS; + 120:.\Generated_Source\PSoC5/CyLib.c **** break; + 121:.\Generated_Source\PSoC5/CyLib.c **** } + 122:.\Generated_Source\PSoC5/CyLib.c **** } + 123:.\Generated_Source\PSoC5/CyLib.c **** } + 124:.\Generated_Source\PSoC5/CyLib.c **** + 125:.\Generated_Source\PSoC5/CyLib.c **** /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + 126:.\Generated_Source\PSoC5/CyLib.c **** if(0u == iloEnableState) + 127:.\Generated_Source\PSoC5/CyLib.c **** { + 128:.\Generated_Source\PSoC5/CyLib.c **** CyILO_Stop100K(); + 129:.\Generated_Source\PSoC5/CyLib.c **** } + 130:.\Generated_Source\PSoC5/CyLib.c **** + 131:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG0_REG = pmTwCfg0State; + 132:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG2_REG = pmTwCfg2State; + 133:.\Generated_Source\PSoC5/CyLib.c **** } + 134:.\Generated_Source\PSoC5/CyLib.c **** + 135:.\Generated_Source\PSoC5/CyLib.c **** return(status); + 136:.\Generated_Source\PSoC5/CyLib.c **** } + 137:.\Generated_Source\PSoC5/CyLib.c **** + 138:.\Generated_Source\PSoC5/CyLib.c **** + 139:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 140:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyPLL_OUT_Stop + 141:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 142:.\Generated_Source\PSoC5/CyLib.c **** * + 143:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 144:.\Generated_Source\PSoC5/CyLib.c **** * Disables the PLL. + 145:.\Generated_Source\PSoC5/CyLib.c **** * + 146:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 4 + + + 147:.\Generated_Source\PSoC5/CyLib.c **** * None + 148:.\Generated_Source\PSoC5/CyLib.c **** * + 149:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 150:.\Generated_Source\PSoC5/CyLib.c **** * None + 151:.\Generated_Source\PSoC5/CyLib.c **** * + 152:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 153:.\Generated_Source\PSoC5/CyLib.c **** void CyPLL_OUT_Stop(void) + 154:.\Generated_Source\PSoC5/CyLib.c **** { + 155:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG0_REG &= ((uint8)(~CY_CLK_PLL_ENABLE)); + 156:.\Generated_Source\PSoC5/CyLib.c **** } + 157:.\Generated_Source\PSoC5/CyLib.c **** + 158:.\Generated_Source\PSoC5/CyLib.c **** + 159:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 160:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyPLL_OUT_SetPQ + 161:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 162:.\Generated_Source\PSoC5/CyLib.c **** * + 163:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 164:.\Generated_Source\PSoC5/CyLib.c **** * Sets the P and Q dividers and the charge pump current. + 165:.\Generated_Source\PSoC5/CyLib.c **** * The Frequency Out will be P/Q * Frequency In. + 166:.\Generated_Source\PSoC5/CyLib.c **** * The PLL must be disabled before calling this function. + 167:.\Generated_Source\PSoC5/CyLib.c **** * + 168:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 169:.\Generated_Source\PSoC5/CyLib.c **** * uint8 pDiv: + 170:.\Generated_Source\PSoC5/CyLib.c **** * Valid range [8 - 255]. + 171:.\Generated_Source\PSoC5/CyLib.c **** * + 172:.\Generated_Source\PSoC5/CyLib.c **** * uint8 qDiv: + 173:.\Generated_Source\PSoC5/CyLib.c **** * Valid range [1 - 16]. Input Frequency / Q must be in range of 1 to 3 MHz. + 174:.\Generated_Source\PSoC5/CyLib.c **** + 175:.\Generated_Source\PSoC5/CyLib.c **** * uint8 current: + 176:.\Generated_Source\PSoC5/CyLib.c **** * Valid range [1 - 7]. Charge pump current in uA. Refer to the device TRM and + 177:.\Generated_Source\PSoC5/CyLib.c **** * datasheet for more information. + 178:.\Generated_Source\PSoC5/CyLib.c **** * + 179:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 180:.\Generated_Source\PSoC5/CyLib.c **** * None + 181:.\Generated_Source\PSoC5/CyLib.c **** * + 182:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 183:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 184:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + 185:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 186:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 187:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 188:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 189:.\Generated_Source\PSoC5/CyLib.c **** * + 190:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 191:.\Generated_Source\PSoC5/CyLib.c **** void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) + 192:.\Generated_Source\PSoC5/CyLib.c **** { + 193:.\Generated_Source\PSoC5/CyLib.c **** /* Halt CPU in debug mode if PLL is enabled */ + 194:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + 195:.\Generated_Source\PSoC5/CyLib.c **** + 196:.\Generated_Source\PSoC5/CyLib.c **** if((pDiv >= CY_CLK_PLL_MIN_P_VALUE ) && + 197:.\Generated_Source\PSoC5/CyLib.c **** (qDiv <= CY_CLK_PLL_MAX_Q_VALUE ) && (qDiv >= CY_CLK_PLL_MIN_Q_VALUE ) && + 198:.\Generated_Source\PSoC5/CyLib.c **** (current >= CY_CLK_PLL_MIN_CUR_VALUE) && (current <= CY_CLK_PLL_MAX_CUR_VALUE)) + 199:.\Generated_Source\PSoC5/CyLib.c **** { + 200:.\Generated_Source\PSoC5/CyLib.c **** /* Set new values */ + 201:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_P_REG = pDiv; + 202:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_Q_REG = ((uint8)(qDiv - 1u)); + 203:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG1_REG = (CY_CLK_PLL_CFG1_REG & CY_CLK_PLL_CURRENT_MASK) | + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 5 + + + 204:.\Generated_Source\PSoC5/CyLib.c **** ((uint8)(((uint8)(current - 1u)) << CY_CLK_PLL_CURRENT_POSITION)); + 205:.\Generated_Source\PSoC5/CyLib.c **** } + 206:.\Generated_Source\PSoC5/CyLib.c **** else + 207:.\Generated_Source\PSoC5/CyLib.c **** { + 208:.\Generated_Source\PSoC5/CyLib.c **** /*********************************************************************** + 209:.\Generated_Source\PSoC5/CyLib.c **** * Halt CPU in debug mode if: + 210:.\Generated_Source\PSoC5/CyLib.c **** * - P divider is less than required + 211:.\Generated_Source\PSoC5/CyLib.c **** * - Q divider is out of range + 212:.\Generated_Source\PSoC5/CyLib.c **** * - pump current is out of range + 213:.\Generated_Source\PSoC5/CyLib.c **** ***********************************************************************/ + 214:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u != 0u); + 215:.\Generated_Source\PSoC5/CyLib.c **** } + 216:.\Generated_Source\PSoC5/CyLib.c **** + 217:.\Generated_Source\PSoC5/CyLib.c **** } + 218:.\Generated_Source\PSoC5/CyLib.c **** + 219:.\Generated_Source\PSoC5/CyLib.c **** + 220:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 221:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyPLL_OUT_SetSource + 222:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 223:.\Generated_Source\PSoC5/CyLib.c **** * + 224:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 225:.\Generated_Source\PSoC5/CyLib.c **** * Sets the input clock source to the PLL. The PLL must be disabled before + 226:.\Generated_Source\PSoC5/CyLib.c **** * calling this function. + 227:.\Generated_Source\PSoC5/CyLib.c **** * + 228:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 229:.\Generated_Source\PSoC5/CyLib.c **** * source: One of the three available PLL clock sources + 230:.\Generated_Source\PSoC5/CyLib.c **** * CY_PLL_SOURCE_IMO : IMO + 231:.\Generated_Source\PSoC5/CyLib.c **** * CY_PLL_SOURCE_XTAL : MHz Crystal + 232:.\Generated_Source\PSoC5/CyLib.c **** * CY_PLL_SOURCE_DSI : DSI + 233:.\Generated_Source\PSoC5/CyLib.c **** * + 234:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 235:.\Generated_Source\PSoC5/CyLib.c **** * None + 236:.\Generated_Source\PSoC5/CyLib.c **** * + 237:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 238:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 239:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + 240:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 241:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 242:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 243:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 244:.\Generated_Source\PSoC5/CyLib.c **** * + 245:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 246:.\Generated_Source\PSoC5/CyLib.c **** void CyPLL_OUT_SetSource(uint8 source) + 247:.\Generated_Source\PSoC5/CyLib.c **** { + 248:.\Generated_Source\PSoC5/CyLib.c **** /* Halt CPU in debug mode if PLL is enabled */ + 249:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + 250:.\Generated_Source\PSoC5/CyLib.c **** + 251:.\Generated_Source\PSoC5/CyLib.c **** switch(source) + 252:.\Generated_Source\PSoC5/CyLib.c **** { + 253:.\Generated_Source\PSoC5/CyLib.c **** case CY_PLL_SOURCE_IMO: + 254:.\Generated_Source\PSoC5/CyLib.c **** case CY_PLL_SOURCE_XTAL: + 255:.\Generated_Source\PSoC5/CyLib.c **** case CY_PLL_SOURCE_DSI: + 256:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG = ((CY_LIB_CLKDIST_CR_REG & CY_LIB_CLKDIST_CR_PLL_SCR_MASK) | sou + 257:.\Generated_Source\PSoC5/CyLib.c **** break; + 258:.\Generated_Source\PSoC5/CyLib.c **** + 259:.\Generated_Source\PSoC5/CyLib.c **** default: + 260:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u != 0u); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 6 + + + 261:.\Generated_Source\PSoC5/CyLib.c **** break; + 262:.\Generated_Source\PSoC5/CyLib.c **** } + 263:.\Generated_Source\PSoC5/CyLib.c **** } + 264:.\Generated_Source\PSoC5/CyLib.c **** + 265:.\Generated_Source\PSoC5/CyLib.c **** + 266:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 267:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_Start + 268:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 269:.\Generated_Source\PSoC5/CyLib.c **** * + 270:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 271:.\Generated_Source\PSoC5/CyLib.c **** * Enables the IMO. Optionally waits at least 6 us for it to settle. + 272:.\Generated_Source\PSoC5/CyLib.c **** * + 273:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 274:.\Generated_Source\PSoC5/CyLib.c **** * uint8 wait: + 275:.\Generated_Source\PSoC5/CyLib.c **** * 0: Return immediately after configuration + 276:.\Generated_Source\PSoC5/CyLib.c **** * 1: Wait for at least 6 us for the IMO to settle. + 277:.\Generated_Source\PSoC5/CyLib.c **** * + 278:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 279:.\Generated_Source\PSoC5/CyLib.c **** * None + 280:.\Generated_Source\PSoC5/CyLib.c **** * + 281:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 282:.\Generated_Source\PSoC5/CyLib.c **** * If wait is enabled: This function wses the Fast Time Wheel to time the wait. + 283:.\Generated_Source\PSoC5/CyLib.c **** * Any other use of the Fast Time Wheel will be stopped during the period of + 284:.\Generated_Source\PSoC5/CyLib.c **** * this function and then restored. This function also uses the 100 KHz ILO. + 285:.\Generated_Source\PSoC5/CyLib.c **** * If not enabled, this function will enable the 100 KHz ILO for the period of + 286:.\Generated_Source\PSoC5/CyLib.c **** * this function. + 287:.\Generated_Source\PSoC5/CyLib.c **** * + 288:.\Generated_Source\PSoC5/CyLib.c **** * No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or + 289:.\Generated_Source\PSoC5/CyLib.c **** * Once Per Second interrupt may be made by interrupt routines during the period + 290:.\Generated_Source\PSoC5/CyLib.c **** * of this function execution. The current operation of the ILO, Central Time + 291:.\Generated_Source\PSoC5/CyLib.c **** * Wheel and Once Per Second interrupt are maintained during the operation of + 292:.\Generated_Source\PSoC5/CyLib.c **** * this function provided the reading of the Power Manager Interrupt Status + 293:.\Generated_Source\PSoC5/CyLib.c **** * Register is only done using the CyPmReadStatus() function. + 294:.\Generated_Source\PSoC5/CyLib.c **** * + 295:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 296:.\Generated_Source\PSoC5/CyLib.c **** void CyIMO_Start(uint8 wait) + 297:.\Generated_Source\PSoC5/CyLib.c **** { + 298:.\Generated_Source\PSoC5/CyLib.c **** uint8 pmFtwCfg2Reg; + 299:.\Generated_Source\PSoC5/CyLib.c **** uint8 pmFtwCfg0Reg; + 300:.\Generated_Source\PSoC5/CyLib.c **** uint8 ilo100KhzEnable; + 301:.\Generated_Source\PSoC5/CyLib.c **** + 302:.\Generated_Source\PSoC5/CyLib.c **** + 303:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_ACT_CFG0_REG |= CY_LIB_PM_ACT_CFG0_IMO_EN; + 304:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_STBY_CFG0_REG |= CY_LIB_PM_STBY_CFG0_IMO_EN; + 305:.\Generated_Source\PSoC5/CyLib.c **** + 306:.\Generated_Source\PSoC5/CyLib.c **** if(0u != wait) + 307:.\Generated_Source\PSoC5/CyLib.c **** { + 308:.\Generated_Source\PSoC5/CyLib.c **** /* Need to turn on the 100KHz ILO if it happens to not already be running.*/ + 309:.\Generated_Source\PSoC5/CyLib.c **** ilo100KhzEnable = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 310:.\Generated_Source\PSoC5/CyLib.c **** pmFtwCfg0Reg = CY_LIB_PM_TW_CFG0_REG; + 311:.\Generated_Source\PSoC5/CyLib.c **** pmFtwCfg2Reg = CY_LIB_PM_TW_CFG2_REG; + 312:.\Generated_Source\PSoC5/CyLib.c **** + 313:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_LIB_CLK_IMO_FTW_TIMEOUT); + 314:.\Generated_Source\PSoC5/CyLib.c **** + 315:.\Generated_Source\PSoC5/CyLib.c **** while (0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + 316:.\Generated_Source\PSoC5/CyLib.c **** { + 317:.\Generated_Source\PSoC5/CyLib.c **** /* Wait for the interrupt status */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 7 + + + 318:.\Generated_Source\PSoC5/CyLib.c **** } + 319:.\Generated_Source\PSoC5/CyLib.c **** + 320:.\Generated_Source\PSoC5/CyLib.c **** if(0u == ilo100KhzEnable) + 321:.\Generated_Source\PSoC5/CyLib.c **** { + 322:.\Generated_Source\PSoC5/CyLib.c **** CyILO_Stop100K(); + 323:.\Generated_Source\PSoC5/CyLib.c **** } + 324:.\Generated_Source\PSoC5/CyLib.c **** + 325:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG0_REG = pmFtwCfg0Reg; + 326:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG2_REG = pmFtwCfg2Reg; + 327:.\Generated_Source\PSoC5/CyLib.c **** } + 328:.\Generated_Source\PSoC5/CyLib.c **** } + 329:.\Generated_Source\PSoC5/CyLib.c **** + 330:.\Generated_Source\PSoC5/CyLib.c **** + 331:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 332:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_Stop + 333:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 334:.\Generated_Source\PSoC5/CyLib.c **** * + 335:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 336:.\Generated_Source\PSoC5/CyLib.c **** * Disables the IMO. + 337:.\Generated_Source\PSoC5/CyLib.c **** * + 338:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 339:.\Generated_Source\PSoC5/CyLib.c **** * None + 340:.\Generated_Source\PSoC5/CyLib.c **** * + 341:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 342:.\Generated_Source\PSoC5/CyLib.c **** * None + 343:.\Generated_Source\PSoC5/CyLib.c **** * + 344:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 345:.\Generated_Source\PSoC5/CyLib.c **** void CyIMO_Stop(void) + 346:.\Generated_Source\PSoC5/CyLib.c **** { + 347:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_ACT_CFG0_REG &= ((uint8) (~CY_LIB_PM_ACT_CFG0_IMO_EN)); + 348:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_STBY_CFG0_REG &= ((uint8) (~CY_LIB_PM_STBY_CFG0_IMO_EN)); + 349:.\Generated_Source\PSoC5/CyLib.c **** } + 350:.\Generated_Source\PSoC5/CyLib.c **** + 351:.\Generated_Source\PSoC5/CyLib.c **** + 352:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 353:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyUSB_PowerOnCheck + 354:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 355:.\Generated_Source\PSoC5/CyLib.c **** * + 356:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 357:.\Generated_Source\PSoC5/CyLib.c **** * Returns the USB power status value. A private function to cy_boot. + 358:.\Generated_Source\PSoC5/CyLib.c **** * + 359:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 360:.\Generated_Source\PSoC5/CyLib.c **** * None + 361:.\Generated_Source\PSoC5/CyLib.c **** * + 362:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 363:.\Generated_Source\PSoC5/CyLib.c **** * uint8: one if the USB is enabled, 0 if not enabled. + 364:.\Generated_Source\PSoC5/CyLib.c **** * + 365:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 366:.\Generated_Source\PSoC5/CyLib.c **** static uint8 CyUSB_PowerOnCheck(void) + 367:.\Generated_Source\PSoC5/CyLib.c **** { + 368:.\Generated_Source\PSoC5/CyLib.c **** uint8 poweredOn = 0u; + 369:.\Generated_Source\PSoC5/CyLib.c **** + 370:.\Generated_Source\PSoC5/CyLib.c **** /* Check whether device is in Active or AltActiv and if USB is powered on */ + 371:.\Generated_Source\PSoC5/CyLib.c **** if((((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ACTIVE ) && + 372:.\Generated_Source\PSoC5/CyLib.c **** (0u != (CY_LIB_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + 373:.\Generated_Source\PSoC5/CyLib.c **** (((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ALT_ACT) && + 374:.\Generated_Source\PSoC5/CyLib.c **** (0u != (CY_LIB_PM_STBY_CFG5_REG & CY_ALT_ACT_USB_ENABLED)))) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 8 + + + 375:.\Generated_Source\PSoC5/CyLib.c **** { + 376:.\Generated_Source\PSoC5/CyLib.c **** poweredOn = 1u; + 377:.\Generated_Source\PSoC5/CyLib.c **** } + 378:.\Generated_Source\PSoC5/CyLib.c **** + 379:.\Generated_Source\PSoC5/CyLib.c **** return (poweredOn); + 380:.\Generated_Source\PSoC5/CyLib.c **** } + 381:.\Generated_Source\PSoC5/CyLib.c **** + 382:.\Generated_Source\PSoC5/CyLib.c **** + 383:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 384:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_SetTrimValue + 385:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 386:.\Generated_Source\PSoC5/CyLib.c **** * + 387:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 388:.\Generated_Source\PSoC5/CyLib.c **** * Sets the IMO factory trim values. + 389:.\Generated_Source\PSoC5/CyLib.c **** * + 390:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 391:.\Generated_Source\PSoC5/CyLib.c **** * uint8 freq - frequency for which trims must be set + 392:.\Generated_Source\PSoC5/CyLib.c **** * + 393:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 394:.\Generated_Source\PSoC5/CyLib.c **** * None + 395:.\Generated_Source\PSoC5/CyLib.c **** * + 396:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 397:.\Generated_Source\PSoC5/CyLib.c **** static void CyIMO_SetTrimValue(uint8 freq) + 398:.\Generated_Source\PSoC5/CyLib.c **** { + 26 .loc 1 398 0 + 27 .cfi_startproc + 28 @ args = 0, pretend = 0, frame = 0 + 29 @ frame_needed = 0, uses_anonymous_args = 0 + 30 @ link register save eliminated. + 31 .LVL0: + 32 .LBB10: + 33 .LBB11: + 371:.\Generated_Source\PSoC5/CyLib.c **** if((((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ACTIVE ) && + 34 .loc 1 371 0 + 35 0000 204B ldr r3, .L19 + 36 0002 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 37 0004 4A07 lsls r2, r1, #29 + 38 0006 03D1 bne .L2 + 372:.\Generated_Source\PSoC5/CyLib.c **** (0u != (CY_LIB_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + 39 .loc 1 372 0 + 40 0008 1F4A ldr r2, .L19+4 + 41 000a 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 371:.\Generated_Source\PSoC5/CyLib.c **** if((((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ACTIVE ) && + 42 .loc 1 371 0 + 43 000c DB07 lsls r3, r3, #31 + 44 000e 30D4 bmi .L3 + 45 .L2: + 373:.\Generated_Source\PSoC5/CyLib.c **** (((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ALT_ACT) && + 46 .loc 1 373 0 + 47 0010 1C49 ldr r1, .L19 + 48 0012 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 372:.\Generated_Source\PSoC5/CyLib.c **** (0u != (CY_LIB_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + 49 .loc 1 372 0 + 50 0014 02F00703 and r3, r2, #7 + 51 0018 012B cmp r3, #1 + 52 001a 05D1 bne .L15 + 374:.\Generated_Source\PSoC5/CyLib.c **** (0u != (CY_LIB_PM_STBY_CFG5_REG & CY_ALT_ACT_USB_ENABLED)))) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 9 + + + 53 .loc 1 374 0 + 54 001c 1B49 ldr r1, .L19+8 + 55 001e 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 373:.\Generated_Source\PSoC5/CyLib.c **** (((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ALT_ACT) && + 56 .loc 1 373 0 + 57 0020 12F00103 ands r3, r2, #1 + 58 0024 25D1 bne .L3 + 59 0026 00E0 b .L4 + 60 .L15: + 372:.\Generated_Source\PSoC5/CyLib.c **** (0u != (CY_LIB_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + 61 .loc 1 372 0 + 62 0028 0023 movs r3, #0 + 63 .LVL1: + 64 .L4: + 65 .LBE11: + 66 .LBE10: + 399:.\Generated_Source\PSoC5/CyLib.c **** uint8 usbPowerOn = CyUSB_PowerOnCheck(); + 400:.\Generated_Source\PSoC5/CyLib.c **** + 401:.\Generated_Source\PSoC5/CyLib.c **** /* If USB is powered */ + 402:.\Generated_Source\PSoC5/CyLib.c **** if(usbPowerOn == 1u) + 403:.\Generated_Source\PSoC5/CyLib.c **** { + 404:.\Generated_Source\PSoC5/CyLib.c **** /* Unlock USB write */ + 405:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_USB_CR1_REG &= ((uint8)(~CY_LIB_USB_CLK_EN)); + 406:.\Generated_Source\PSoC5/CyLib.c **** } + 407:.\Generated_Source\PSoC5/CyLib.c **** switch(freq) + 67 .loc 1 407 0 + 68 002a 0828 cmp r0, #8 + 69 002c 28D8 bhi .L1 + 70 002e DFE800F0 tbb [pc, r0] + 71 .L14: + 72 0032 05 .byte (.L6-.L14)/2 + 73 0033 07 .byte (.L7-.L14)/2 + 74 0034 09 .byte (.L8-.L14)/2 + 75 0035 0B .byte (.L9-.L14)/2 + 76 0036 0D .byte (.L10-.L14)/2 + 77 0037 0F .byte (.L11-.L14)/2 + 78 0038 11 .byte (.L12-.L14)/2 + 79 0039 27 .byte (.L1-.L14)/2 + 80 003a 15 .byte (.L13-.L14)/2 + 81 003b 00 .align 1 + 82 .L6: + 408:.\Generated_Source\PSoC5/CyLib.c **** { + 409:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_3MHZ: + 410:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_3MHZ_PTR); + 83 .loc 1 410 0 + 84 003c 144A ldr r2, .L19+12 + 85 003e 0AE0 b .L17 + 86 .L7: + 411:.\Generated_Source\PSoC5/CyLib.c **** break; + 412:.\Generated_Source\PSoC5/CyLib.c **** + 413:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_6MHZ: + 414:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_6MHZ_PTR); + 87 .loc 1 414 0 + 88 0040 144A ldr r2, .L19+16 + 89 0042 08E0 b .L17 + 90 .L8: + 415:.\Generated_Source\PSoC5/CyLib.c **** break; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 10 + + + 416:.\Generated_Source\PSoC5/CyLib.c **** + 417:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_12MHZ: + 418:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_12MHZ_PTR); + 91 .loc 1 418 0 + 92 0044 144A ldr r2, .L19+20 + 93 0046 06E0 b .L17 + 94 .L9: + 419:.\Generated_Source\PSoC5/CyLib.c **** break; + 420:.\Generated_Source\PSoC5/CyLib.c **** + 421:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_24MHZ: + 422:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_24MHZ_PTR); + 95 .loc 1 422 0 + 96 0048 144A ldr r2, .L19+24 + 97 004a 04E0 b .L17 + 98 .L10: + 423:.\Generated_Source\PSoC5/CyLib.c **** break; + 424:.\Generated_Source\PSoC5/CyLib.c **** + 425:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_48MHZ: + 426:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_TR1_PTR); + 99 .loc 1 426 0 + 100 004c 144A ldr r2, .L19+28 + 101 004e 02E0 b .L17 + 102 .L11: + 427:.\Generated_Source\PSoC5/CyLib.c **** break; + 428:.\Generated_Source\PSoC5/CyLib.c **** + 429:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_62MHZ: + 430:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_67MHZ_PTR); + 103 .loc 1 430 0 + 104 0050 144A ldr r2, .L19+32 + 105 0052 00E0 b .L17 + 106 .L12: + 431:.\Generated_Source\PSoC5/CyLib.c **** break; + 432:.\Generated_Source\PSoC5/CyLib.c **** + 433:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC5) + 434:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_74MHZ: + 435:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_80MHZ_PTR); + 107 .loc 1 435 0 + 108 0054 144A ldr r2, .L19+36 + 109 .L17: + 110 0056 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 111 0058 144B ldr r3, .L19+40 + 112 005a 08E0 b .L16 + 113 .L13: + 436:.\Generated_Source\PSoC5/CyLib.c **** break; + 437:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC5) */ + 438:.\Generated_Source\PSoC5/CyLib.c **** + 439:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_USB: + 440:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_USB_PTR); + 114 .loc 1 440 0 + 115 005c 1448 ldr r0, .L19+44 + 116 .LVL2: + 117 005e 134A ldr r2, .L19+40 + 118 0060 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 119 0062 1170 strb r1, [r2, #0] + 441:.\Generated_Source\PSoC5/CyLib.c **** + 442:.\Generated_Source\PSoC5/CyLib.c **** /* If USB is powered */ + 443:.\Generated_Source\PSoC5/CyLib.c **** if(usbPowerOn == 1u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 11 + + + 120 .loc 1 443 0 + 121 0064 63B1 cbz r3, .L1 + 444:.\Generated_Source\PSoC5/CyLib.c **** { + 445:.\Generated_Source\PSoC5/CyLib.c **** /* Lock the USB Oscillator */ + 446:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_USB_CR1_REG |= CY_LIB_USB_CLK_EN; + 122 .loc 1 446 0 + 123 0066 134B ldr r3, .L19+48 + 124 0068 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 125 006a 40F00201 orr r1, r0, #2 + 126 .L16: + 127 006e 1970 strb r1, [r3, #0] + 128 0070 7047 bx lr + 129 .LVL3: + 130 .L3: + 405:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_USB_CR1_REG &= ((uint8)(~CY_LIB_USB_CLK_EN)); + 131 .loc 1 405 0 + 132 0072 104B ldr r3, .L19+48 + 133 0074 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 134 0076 01F0FD02 and r2, r1, #253 + 135 007a 1A70 strb r2, [r3, #0] + 136 007c 0123 movs r3, #1 + 137 007e D4E7 b .L4 + 138 .LVL4: + 139 .L1: + 140 0080 7047 bx lr + 141 .L20: + 142 0082 00BF .align 2 + 143 .L19: + 144 0084 93430040 .word 1073759123 + 145 0088 A5430040 .word 1073759141 + 146 008c B5430040 .word 1073759157 + 147 0090 08010049 .word 1224737032 + 148 0094 09010049 .word 1224737033 + 149 0098 0A010049 .word 1224737034 + 150 009c 0B010049 .word 1224737035 + 151 00a0 89010049 .word 1224737161 + 152 00a4 0C010049 .word 1224737036 + 153 00a8 0D010049 .word 1224737037 + 154 00ac A1460040 .word 1073759905 + 155 00b0 0F010049 .word 1224737039 + 156 00b4 09600040 .word 1073766409 + 157 .cfi_endproc + 158 .LFE7: + 159 .size CyIMO_SetTrimValue, .-CyIMO_SetTrimValue + 160 .section .text.CyBusClk_Internal_SetDivider,"ax",%progbits + 161 .align 1 + 162 .thumb + 163 .thumb_func + 164 .type CyBusClk_Internal_SetDivider, %function + 165 CyBusClk_Internal_SetDivider: + 166 .LFB14: + 447:.\Generated_Source\PSoC5/CyLib.c **** } + 448:.\Generated_Source\PSoC5/CyLib.c **** break; + 449:.\Generated_Source\PSoC5/CyLib.c **** + 450:.\Generated_Source\PSoC5/CyLib.c **** default: + 451:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u != 0u); + 452:.\Generated_Source\PSoC5/CyLib.c **** break; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 12 + + + 453:.\Generated_Source\PSoC5/CyLib.c **** } + 454:.\Generated_Source\PSoC5/CyLib.c **** + 455:.\Generated_Source\PSoC5/CyLib.c **** } + 456:.\Generated_Source\PSoC5/CyLib.c **** + 457:.\Generated_Source\PSoC5/CyLib.c **** + 458:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 459:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_SetFreq + 460:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 461:.\Generated_Source\PSoC5/CyLib.c **** * + 462:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 463:.\Generated_Source\PSoC5/CyLib.c **** * Sets the frequency of the IMO. Changes may be made while the IMO is running. + 464:.\Generated_Source\PSoC5/CyLib.c **** * + 465:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 466:.\Generated_Source\PSoC5/CyLib.c **** * freq: Frequency of IMO operation + 467:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_3MHZ to set 3 MHz + 468:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_6MHZ to set 6 MHz + 469:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_12MHZ to set 12 MHz + 470:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_24MHZ to set 24 MHz + 471:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_48MHZ to set 48 MHz + 472:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_62MHZ to set 62.6 MHz + 473:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_74MHZ to set 74.7 MHz (not applicable for PSoC 3) + 474:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_FREQ_USB to set 24 MHz (Trimmed for USB operation) + 475:.\Generated_Source\PSoC5/CyLib.c **** * + 476:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 477:.\Generated_Source\PSoC5/CyLib.c **** * None + 478:.\Generated_Source\PSoC5/CyLib.c **** * + 479:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 480:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 481:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + 482:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 483:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 484:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 485:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 486:.\Generated_Source\PSoC5/CyLib.c **** * + 487:.\Generated_Source\PSoC5/CyLib.c **** * When the USB setting is chosen, the USB clock locking circuit is enabled. + 488:.\Generated_Source\PSoC5/CyLib.c **** * Otherwise this circuit is disabled. The USB block must be powered before + 489:.\Generated_Source\PSoC5/CyLib.c **** * selecting the USB setting. + 490:.\Generated_Source\PSoC5/CyLib.c **** * + 491:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 492:.\Generated_Source\PSoC5/CyLib.c **** void CyIMO_SetFreq(uint8 freq) + 493:.\Generated_Source\PSoC5/CyLib.c **** { + 494:.\Generated_Source\PSoC5/CyLib.c **** uint8 currentFreq; + 495:.\Generated_Source\PSoC5/CyLib.c **** uint8 nextFreq; + 496:.\Generated_Source\PSoC5/CyLib.c **** + 497:.\Generated_Source\PSoC5/CyLib.c **** /*************************************************************************** + 498:.\Generated_Source\PSoC5/CyLib.c **** * When changing the IMO frequency the Trim values must also be set + 499:.\Generated_Source\PSoC5/CyLib.c **** * accordingly.This requires reading the current frequency. If the new + 500:.\Generated_Source\PSoC5/CyLib.c **** * frequency is faster, then set the new trim and then change the frequency, + 501:.\Generated_Source\PSoC5/CyLib.c **** * otherwise change the frequency and then set the new trim values. + 502:.\Generated_Source\PSoC5/CyLib.c **** ***************************************************************************/ + 503:.\Generated_Source\PSoC5/CyLib.c **** + 504:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + 505:.\Generated_Source\PSoC5/CyLib.c **** + 506:.\Generated_Source\PSoC5/CyLib.c **** /* Check if the requested frequency is USB. */ + 507:.\Generated_Source\PSoC5/CyLib.c **** nextFreq = (freq == CY_IMO_FREQ_USB) ? CY_IMO_FREQ_24MHZ : freq; + 508:.\Generated_Source\PSoC5/CyLib.c **** + 509:.\Generated_Source\PSoC5/CyLib.c **** switch (currentFreq) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 13 + + + 510:.\Generated_Source\PSoC5/CyLib.c **** { + 511:.\Generated_Source\PSoC5/CyLib.c **** case 0u: + 512:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_12MHZ; + 513:.\Generated_Source\PSoC5/CyLib.c **** break; + 514:.\Generated_Source\PSoC5/CyLib.c **** + 515:.\Generated_Source\PSoC5/CyLib.c **** case 1u: + 516:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_6MHZ; + 517:.\Generated_Source\PSoC5/CyLib.c **** break; + 518:.\Generated_Source\PSoC5/CyLib.c **** + 519:.\Generated_Source\PSoC5/CyLib.c **** case 2u: + 520:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_24MHZ; + 521:.\Generated_Source\PSoC5/CyLib.c **** break; + 522:.\Generated_Source\PSoC5/CyLib.c **** + 523:.\Generated_Source\PSoC5/CyLib.c **** case 3u: + 524:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_3MHZ; + 525:.\Generated_Source\PSoC5/CyLib.c **** break; + 526:.\Generated_Source\PSoC5/CyLib.c **** + 527:.\Generated_Source\PSoC5/CyLib.c **** case 4u: + 528:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_48MHZ; + 529:.\Generated_Source\PSoC5/CyLib.c **** break; + 530:.\Generated_Source\PSoC5/CyLib.c **** + 531:.\Generated_Source\PSoC5/CyLib.c **** case 5u: + 532:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_62MHZ; + 533:.\Generated_Source\PSoC5/CyLib.c **** break; + 534:.\Generated_Source\PSoC5/CyLib.c **** + 535:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC5) + 536:.\Generated_Source\PSoC5/CyLib.c **** case 6u: + 537:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_74MHZ; + 538:.\Generated_Source\PSoC5/CyLib.c **** break; + 539:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC5) */ + 540:.\Generated_Source\PSoC5/CyLib.c **** + 541:.\Generated_Source\PSoC5/CyLib.c **** default: + 542:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u != 0u); + 543:.\Generated_Source\PSoC5/CyLib.c **** break; + 544:.\Generated_Source\PSoC5/CyLib.c **** } + 545:.\Generated_Source\PSoC5/CyLib.c **** + 546:.\Generated_Source\PSoC5/CyLib.c **** if (nextFreq >= currentFreq) + 547:.\Generated_Source\PSoC5/CyLib.c **** { + 548:.\Generated_Source\PSoC5/CyLib.c **** /* Set the new trim first */ + 549:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_SetTrimValue(freq); + 550:.\Generated_Source\PSoC5/CyLib.c **** } + 551:.\Generated_Source\PSoC5/CyLib.c **** + 552:.\Generated_Source\PSoC5/CyLib.c **** /* Set the usbclk_on bit when using CY_IMO_FREQ_USB, if not clear it */ + 553:.\Generated_Source\PSoC5/CyLib.c **** switch(freq) + 554:.\Generated_Source\PSoC5/CyLib.c **** { + 555:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_3MHZ: + 556:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 557:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_3MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 558:.\Generated_Source\PSoC5/CyLib.c **** break; + 559:.\Generated_Source\PSoC5/CyLib.c **** + 560:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_6MHZ: + 561:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 562:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_6MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 563:.\Generated_Source\PSoC5/CyLib.c **** break; + 564:.\Generated_Source\PSoC5/CyLib.c **** + 565:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_12MHZ: + 566:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 14 + + + 567:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_12MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 568:.\Generated_Source\PSoC5/CyLib.c **** break; + 569:.\Generated_Source\PSoC5/CyLib.c **** + 570:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_24MHZ: + 571:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 572:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_24MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 573:.\Generated_Source\PSoC5/CyLib.c **** break; + 574:.\Generated_Source\PSoC5/CyLib.c **** + 575:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_48MHZ: + 576:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 577:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_48MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 578:.\Generated_Source\PSoC5/CyLib.c **** break; + 579:.\Generated_Source\PSoC5/CyLib.c **** + 580:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_62MHZ: + 581:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 582:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_62MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 583:.\Generated_Source\PSoC5/CyLib.c **** break; + 584:.\Generated_Source\PSoC5/CyLib.c **** + 585:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC5) + 586:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_74MHZ: + 587:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 588:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_74MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + 589:.\Generated_Source\PSoC5/CyLib.c **** break; + 590:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC5) */ + 591:.\Generated_Source\PSoC5/CyLib.c **** + 592:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_FREQ_USB: + 593:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 594:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_IMO_24MHZ_VALUE) | CY_LIB_IMO_USBCLK_ON_SET; + 595:.\Generated_Source\PSoC5/CyLib.c **** break; + 596:.\Generated_Source\PSoC5/CyLib.c **** + 597:.\Generated_Source\PSoC5/CyLib.c **** default: + 598:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u != 0u); + 599:.\Generated_Source\PSoC5/CyLib.c **** break; + 600:.\Generated_Source\PSoC5/CyLib.c **** } + 601:.\Generated_Source\PSoC5/CyLib.c **** + 602:.\Generated_Source\PSoC5/CyLib.c **** /* Turn on the IMO Doubler, if switching to CY_IMO_FREQ_USB */ + 603:.\Generated_Source\PSoC5/CyLib.c **** if (freq == CY_IMO_FREQ_USB) + 604:.\Generated_Source\PSoC5/CyLib.c **** { + 605:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_EnableDoubler(); + 606:.\Generated_Source\PSoC5/CyLib.c **** } + 607:.\Generated_Source\PSoC5/CyLib.c **** else + 608:.\Generated_Source\PSoC5/CyLib.c **** { + 609:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_DisableDoubler(); + 610:.\Generated_Source\PSoC5/CyLib.c **** } + 611:.\Generated_Source\PSoC5/CyLib.c **** + 612:.\Generated_Source\PSoC5/CyLib.c **** if (nextFreq < currentFreq) + 613:.\Generated_Source\PSoC5/CyLib.c **** { + 614:.\Generated_Source\PSoC5/CyLib.c **** /* Set the new trim after setting the frequency */ + 615:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_SetTrimValue(freq); + 616:.\Generated_Source\PSoC5/CyLib.c **** } + 617:.\Generated_Source\PSoC5/CyLib.c **** } + 618:.\Generated_Source\PSoC5/CyLib.c **** + 619:.\Generated_Source\PSoC5/CyLib.c **** + 620:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 621:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_SetSource + 622:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 623:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 15 + + + 624:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 625:.\Generated_Source\PSoC5/CyLib.c **** * Sets the source of the clock output from the IMO block. + 626:.\Generated_Source\PSoC5/CyLib.c **** * + 627:.\Generated_Source\PSoC5/CyLib.c **** * The output from the IMO is by default the IMO itself. Optionally the MHz + 628:.\Generated_Source\PSoC5/CyLib.c **** * Crystal or a DSI input can be the source of the IMO output instead. + 629:.\Generated_Source\PSoC5/CyLib.c **** * + 630:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 631:.\Generated_Source\PSoC5/CyLib.c **** * source: CY_IMO_SOURCE_DSI to set the DSI as source. + 632:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_SOURCE_XTAL to set the MHz as source. + 633:.\Generated_Source\PSoC5/CyLib.c **** * CY_IMO_SOURCE_IMO to set the IMO itself. + 634:.\Generated_Source\PSoC5/CyLib.c **** * + 635:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 636:.\Generated_Source\PSoC5/CyLib.c **** * None + 637:.\Generated_Source\PSoC5/CyLib.c **** * + 638:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 639:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 640:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + 641:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 642:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 643:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 644:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 645:.\Generated_Source\PSoC5/CyLib.c **** * + 646:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 647:.\Generated_Source\PSoC5/CyLib.c **** void CyIMO_SetSource(uint8 source) + 648:.\Generated_Source\PSoC5/CyLib.c **** { + 649:.\Generated_Source\PSoC5/CyLib.c **** switch(source) + 650:.\Generated_Source\PSoC5/CyLib.c **** { + 651:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_SOURCE_DSI: + 652:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG &= ((uint8)(~CY_LIB_CLKDIST_CR_IMO2X)); + 653:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + 654:.\Generated_Source\PSoC5/CyLib.c **** break; + 655:.\Generated_Source\PSoC5/CyLib.c **** + 656:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_SOURCE_XTAL: + 657:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG |= CY_LIB_CLKDIST_CR_IMO2X; + 658:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + 659:.\Generated_Source\PSoC5/CyLib.c **** break; + 660:.\Generated_Source\PSoC5/CyLib.c **** + 661:.\Generated_Source\PSoC5/CyLib.c **** case CY_IMO_SOURCE_IMO: + 662:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_IMO)); + 663:.\Generated_Source\PSoC5/CyLib.c **** break; + 664:.\Generated_Source\PSoC5/CyLib.c **** + 665:.\Generated_Source\PSoC5/CyLib.c **** default: + 666:.\Generated_Source\PSoC5/CyLib.c **** /* Incorrect source value */ + 667:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(0u != 0u); + 668:.\Generated_Source\PSoC5/CyLib.c **** break; + 669:.\Generated_Source\PSoC5/CyLib.c **** } + 670:.\Generated_Source\PSoC5/CyLib.c **** } + 671:.\Generated_Source\PSoC5/CyLib.c **** + 672:.\Generated_Source\PSoC5/CyLib.c **** + 673:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 674:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_EnableDoubler + 675:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 676:.\Generated_Source\PSoC5/CyLib.c **** * + 677:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 678:.\Generated_Source\PSoC5/CyLib.c **** * Enables the IMO doubler. The 2x frequency clock is used to convert a 24 MHz + 679:.\Generated_Source\PSoC5/CyLib.c **** * input to a 48 MHz output for use by the USB block. + 680:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 16 + + + 681:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 682:.\Generated_Source\PSoC5/CyLib.c **** * None + 683:.\Generated_Source\PSoC5/CyLib.c **** * + 684:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 685:.\Generated_Source\PSoC5/CyLib.c **** * None + 686:.\Generated_Source\PSoC5/CyLib.c **** * + 687:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 688:.\Generated_Source\PSoC5/CyLib.c **** void CyIMO_EnableDoubler(void) + 689:.\Generated_Source\PSoC5/CyLib.c **** { + 690:.\Generated_Source\PSoC5/CyLib.c **** /* Set the FASTCLK_IMO_CR_PTR regigster's 4th bit */ + 691:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_DOUBLER; + 692:.\Generated_Source\PSoC5/CyLib.c **** } + 693:.\Generated_Source\PSoC5/CyLib.c **** + 694:.\Generated_Source\PSoC5/CyLib.c **** + 695:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 696:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIMO_DisableDoubler + 697:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 698:.\Generated_Source\PSoC5/CyLib.c **** * + 699:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 700:.\Generated_Source\PSoC5/CyLib.c **** * Disables the IMO doubler. + 701:.\Generated_Source\PSoC5/CyLib.c **** * + 702:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 703:.\Generated_Source\PSoC5/CyLib.c **** * None + 704:.\Generated_Source\PSoC5/CyLib.c **** * + 705:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 706:.\Generated_Source\PSoC5/CyLib.c **** * None + 707:.\Generated_Source\PSoC5/CyLib.c **** * + 708:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 709:.\Generated_Source\PSoC5/CyLib.c **** void CyIMO_DisableDoubler(void) + 710:.\Generated_Source\PSoC5/CyLib.c **** { + 711:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_DOUBLER)); + 712:.\Generated_Source\PSoC5/CyLib.c **** } + 713:.\Generated_Source\PSoC5/CyLib.c **** + 714:.\Generated_Source\PSoC5/CyLib.c **** + 715:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 716:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyMasterClk_SetSource + 717:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 718:.\Generated_Source\PSoC5/CyLib.c **** * + 719:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 720:.\Generated_Source\PSoC5/CyLib.c **** * Sets the source of the master clock. + 721:.\Generated_Source\PSoC5/CyLib.c **** * + 722:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 723:.\Generated_Source\PSoC5/CyLib.c **** * source: One of the four available Master clock sources. + 724:.\Generated_Source\PSoC5/CyLib.c **** * CY_MASTER_SOURCE_IMO + 725:.\Generated_Source\PSoC5/CyLib.c **** * CY_MASTER_SOURCE_PLL + 726:.\Generated_Source\PSoC5/CyLib.c **** * CY_MASTER_SOURCE_XTAL + 727:.\Generated_Source\PSoC5/CyLib.c **** * CY_MASTER_SOURCE_DSI + 728:.\Generated_Source\PSoC5/CyLib.c **** * + 729:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 730:.\Generated_Source\PSoC5/CyLib.c **** * None + 731:.\Generated_Source\PSoC5/CyLib.c **** * + 732:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 733:.\Generated_Source\PSoC5/CyLib.c **** * The current source and the new source must both be running and stable before + 734:.\Generated_Source\PSoC5/CyLib.c **** * calling this function. + 735:.\Generated_Source\PSoC5/CyLib.c **** * + 736:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 737:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 17 + + + 738:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 739:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 740:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 741:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 742:.\Generated_Source\PSoC5/CyLib.c **** * + 743:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 744:.\Generated_Source\PSoC5/CyLib.c **** void CyMasterClk_SetSource(uint8 source) + 745:.\Generated_Source\PSoC5/CyLib.c **** { + 746:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & MASTER_CLK_SRC_CLEAR) | + 747:.\Generated_Source\PSoC5/CyLib.c **** (source & ((uint8)(~MASTER_CLK_SRC_CLEAR))); + 748:.\Generated_Source\PSoC5/CyLib.c **** } + 749:.\Generated_Source\PSoC5/CyLib.c **** + 750:.\Generated_Source\PSoC5/CyLib.c **** + 751:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 752:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyMasterClk_SetDivider + 753:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 754:.\Generated_Source\PSoC5/CyLib.c **** * + 755:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 756:.\Generated_Source\PSoC5/CyLib.c **** * Sets the divider value used to generate Master Clock. + 757:.\Generated_Source\PSoC5/CyLib.c **** * + 758:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 759:.\Generated_Source\PSoC5/CyLib.c **** * uint8 divider: + 760:.\Generated_Source\PSoC5/CyLib.c **** * Valid range [0-255]. The clock will be divided by this value + 1. + 761:.\Generated_Source\PSoC5/CyLib.c **** * For example to divide by 2 this parameter should be set to 1. + 762:.\Generated_Source\PSoC5/CyLib.c **** * + 763:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 764:.\Generated_Source\PSoC5/CyLib.c **** * None + 765:.\Generated_Source\PSoC5/CyLib.c **** * + 766:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 767:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 768:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + 769:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 770:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 771:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 772:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 773:.\Generated_Source\PSoC5/CyLib.c **** * + 774:.\Generated_Source\PSoC5/CyLib.c **** * When changing the Master or Bus clock divider value from div-by-n to div-by-1 + 775:.\Generated_Source\PSoC5/CyLib.c **** * the first clock cycle output after the div-by-1 can be up to 4 ns shorter + 776:.\Generated_Source\PSoC5/CyLib.c **** * than the final/expected div-by-1 period. + 777:.\Generated_Source\PSoC5/CyLib.c **** * + 778:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 779:.\Generated_Source\PSoC5/CyLib.c **** void CyMasterClk_SetDivider(uint8 divider) + 780:.\Generated_Source\PSoC5/CyLib.c **** { + 781:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR0_REG = divider; + 782:.\Generated_Source\PSoC5/CyLib.c **** } + 783:.\Generated_Source\PSoC5/CyLib.c **** + 784:.\Generated_Source\PSoC5/CyLib.c **** + 785:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 786:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyBusClk_Internal_SetDivider + 787:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 788:.\Generated_Source\PSoC5/CyLib.c **** * + 789:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 790:.\Generated_Source\PSoC5/CyLib.c **** * Function used by CyBusClk_SetDivider(). For internal use only. + 791:.\Generated_Source\PSoC5/CyLib.c **** * + 792:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 793:.\Generated_Source\PSoC5/CyLib.c **** * divider: Valid range [0-65535]. + 794:.\Generated_Source\PSoC5/CyLib.c **** * The clock will be divided by this value + 1. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 18 + + + 795:.\Generated_Source\PSoC5/CyLib.c **** * For example to divide by 2 this parameter should be set to 1. + 796:.\Generated_Source\PSoC5/CyLib.c **** * + 797:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 798:.\Generated_Source\PSoC5/CyLib.c **** * None + 799:.\Generated_Source\PSoC5/CyLib.c **** * + 800:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 801:.\Generated_Source\PSoC5/CyLib.c **** static void CyBusClk_Internal_SetDivider(uint16 divider) + 802:.\Generated_Source\PSoC5/CyLib.c **** { + 167 .loc 1 802 0 + 168 .cfi_startproc + 169 @ args = 0, pretend = 0, frame = 0 + 170 @ frame_needed = 0, uses_anonymous_args = 0 + 171 @ link register save eliminated. + 172 .LVL5: + 803:.\Generated_Source\PSoC5/CyLib.c **** /* Mask bits to enable shadow loads */ + 804:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_AMASK_REG &= CY_LIB_CLKDIST_AMASK_MASK; + 173 .loc 1 804 0 + 174 0000 0D4B ldr r3, .L22 + 175 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 176 0004 02F0F001 and r1, r2, #240 + 805:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_DMASK_REG = CY_LIB_CLKDIST_DMASK_MASK; + 177 .loc 1 805 0 + 178 0008 0022 movs r2, #0 + 804:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_AMASK_REG &= CY_LIB_CLKDIST_AMASK_MASK; + 179 .loc 1 804 0 + 180 000a 1970 strb r1, [r3, #0] + 181 .loc 1 805 0 + 182 000c 03F8042C strb r2, [r3, #-4] + 806:.\Generated_Source\PSoC5/CyLib.c **** + 807:.\Generated_Source\PSoC5/CyLib.c **** /* Enable mask bits to enable shadow loads */ + 808:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_MASK; + 183 .loc 1 808 0 + 184 0010 13F80C1C ldrb r1, [r3, #-12] @ zero_extendqisi2 + 185 0014 41F08002 orr r2, r1, #128 + 809:.\Generated_Source\PSoC5/CyLib.c **** + 810:.\Generated_Source\PSoC5/CyLib.c **** /* Update Shadow Divider Value Register with the new divider */ + 811:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_WRK_LSB_REG = LO8(divider); + 186 .loc 1 811 0 + 187 0018 C1B2 uxtb r1, r0 + 812:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_WRK_MSB_REG = HI8(divider); + 188 .loc 1 812 0 + 189 001a 000A lsrs r0, r0, #8 + 190 .LVL6: + 808:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_MASK; + 191 .loc 1 808 0 + 192 001c 03F80C2C strb r2, [r3, #-12] + 811:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_WRK_LSB_REG = LO8(divider); + 193 .loc 1 811 0 + 194 0020 03F8121C strb r1, [r3, #-18] + 195 .loc 1 812 0 + 196 0024 03F8110C strb r0, [r3, #-17] + 813:.\Generated_Source\PSoC5/CyLib.c **** + 814:.\Generated_Source\PSoC5/CyLib.c **** + 815:.\Generated_Source\PSoC5/CyLib.c **** /*************************************************************************** + 816:.\Generated_Source\PSoC5/CyLib.c **** * Copy shadow value defined in Shadow Divider Value Register + 817:.\Generated_Source\PSoC5/CyLib.c **** * (CY_LIB_CLKDIST_WRK_LSB_REG and CY_LIB_CLKDIST_WRK_MSB_REG) to all + 818:.\Generated_Source\PSoC5/CyLib.c **** * dividers selected in Analog and Digital Clock Mask Registers + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 19 + + + 819:.\Generated_Source\PSoC5/CyLib.c **** * (CY_LIB_CLKDIST_AMASK_REG and CY_LIB_CLKDIST_DMASK_REG). + 820:.\Generated_Source\PSoC5/CyLib.c **** ***************************************************************************/ + 821:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_LD_REG |= CY_LIB_CLKDIST_LD_LOAD; + 197 .loc 1 821 0 + 198 0028 13F8132C ldrb r2, [r3, #-19] @ zero_extendqisi2 + 199 002c 42F00101 orr r1, r2, #1 + 200 0030 03F8131C strb r1, [r3, #-19] + 201 0034 7047 bx lr + 202 .L23: + 203 0036 00BF .align 2 + 204 .L22: + 205 0038 14400040 .word 1073758228 + 206 .cfi_endproc + 207 .LFE14: + 208 .size CyBusClk_Internal_SetDivider, .-CyBusClk_Internal_SetDivider + 209 .section .text.CyPLL_OUT_Stop,"ax",%progbits + 210 .align 1 + 211 .global CyPLL_OUT_Stop + 212 .thumb + 213 .thumb_func + 214 .type CyPLL_OUT_Stop, %function + 215 CyPLL_OUT_Stop: + 216 .LFB1: + 154:.\Generated_Source\PSoC5/CyLib.c **** { + 217 .loc 1 154 0 + 218 .cfi_startproc + 219 @ args = 0, pretend = 0, frame = 0 + 220 @ frame_needed = 0, uses_anonymous_args = 0 + 221 @ link register save eliminated. + 155:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG0_REG &= ((uint8)(~CY_CLK_PLL_ENABLE)); + 222 .loc 1 155 0 + 223 0000 024B ldr r3, .L25 + 224 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 225 0004 02F0FE00 and r0, r2, #254 + 226 0008 1870 strb r0, [r3, #0] + 227 000a 7047 bx lr + 228 .L26: + 229 .align 2 + 230 .L25: + 231 000c 20420040 .word 1073758752 + 232 .cfi_endproc + 233 .LFE1: + 234 .size CyPLL_OUT_Stop, .-CyPLL_OUT_Stop + 235 .section .text.CyPLL_OUT_SetPQ,"ax",%progbits + 236 .align 1 + 237 .global CyPLL_OUT_SetPQ + 238 .thumb + 239 .thumb_func + 240 .type CyPLL_OUT_SetPQ, %function + 241 CyPLL_OUT_SetPQ: + 242 .LFB2: + 192:.\Generated_Source\PSoC5/CyLib.c **** { + 243 .loc 1 192 0 + 244 .cfi_startproc + 245 @ args = 0, pretend = 0, frame = 0 + 246 @ frame_needed = 0, uses_anonymous_args = 0 + 247 @ link register save eliminated. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 20 + + + 248 .LVL7: + 196:.\Generated_Source\PSoC5/CyLib.c **** if((pDiv >= CY_CLK_PLL_MIN_P_VALUE ) && + 249 .loc 1 196 0 + 250 0000 0728 cmp r0, #7 + 251 0002 14D9 bls .L27 + 196:.\Generated_Source\PSoC5/CyLib.c **** if((pDiv >= CY_CLK_PLL_MIN_P_VALUE ) && + 252 .loc 1 196 0 is_stmt 0 discriminator 1 + 253 0004 1029 cmp r1, #16 + 254 0006 12D8 bhi .L27 + 197:.\Generated_Source\PSoC5/CyLib.c **** (qDiv <= CY_CLK_PLL_MAX_Q_VALUE ) && (qDiv >= CY_CLK_PLL_MIN_Q_VALUE ) && + 255 .loc 1 197 0 is_stmt 1 + 256 0008 89B1 cbz r1, .L27 + 197:.\Generated_Source\PSoC5/CyLib.c **** (qDiv <= CY_CLK_PLL_MAX_Q_VALUE ) && (qDiv >= CY_CLK_PLL_MIN_Q_VALUE ) && + 257 .loc 1 197 0 is_stmt 0 discriminator 1 + 258 000a 82B1 cbz r2, .L27 + 198:.\Generated_Source\PSoC5/CyLib.c **** (current >= CY_CLK_PLL_MIN_CUR_VALUE) && (current <= CY_CLK_PLL_MAX_CUR_VALUE)) + 259 .loc 1 198 0 is_stmt 1 + 260 000c 072A cmp r2, #7 + 261 000e 0ED8 bhi .L27 + 201:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_P_REG = pDiv; + 262 .loc 1 201 0 + 263 0010 074B ldr r3, .L35 + 202:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_Q_REG = ((uint8)(qDiv - 1u)); + 264 .loc 1 202 0 + 265 0012 0139 subs r1, r1, #1 + 266 .LVL8: + 201:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_P_REG = pDiv; + 267 .loc 1 201 0 + 268 0014 1870 strb r0, [r3, #0] + 202:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_Q_REG = ((uint8)(qDiv - 1u)); + 269 .loc 1 202 0 + 270 0016 C8B2 uxtb r0, r1 + 271 .LVL9: + 272 0018 5870 strb r0, [r3, #1] + 203:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG1_REG = (CY_CLK_PLL_CFG1_REG & CY_CLK_PLL_CURRENT_MASK) | + 273 .loc 1 203 0 + 274 001a 13F8011C ldrb r1, [r3, #-1] @ zero_extendqisi2 + 204:.\Generated_Source\PSoC5/CyLib.c **** ((uint8)(((uint8)(current - 1u)) << CY_CLK_PLL_CURRENT_POSITION)); + 275 .loc 1 204 0 + 276 001e 013A subs r2, r2, #1 + 277 .LVL10: + 203:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG1_REG = (CY_CLK_PLL_CFG1_REG & CY_CLK_PLL_CURRENT_MASK) | + 278 .loc 1 203 0 + 279 0020 01F08F00 and r0, r1, #143 + 280 0024 40EA0211 orr r1, r0, r2, lsl #4 + 281 0028 CAB2 uxtb r2, r1 + 282 002a 03F8012C strb r2, [r3, #-1] + 283 .LVL11: + 284 .L27: + 285 002e 7047 bx lr + 286 .L36: + 287 .align 2 + 288 .L35: + 289 0030 22420040 .word 1073758754 + 290 .cfi_endproc + 291 .LFE2: + 292 .size CyPLL_OUT_SetPQ, .-CyPLL_OUT_SetPQ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 21 + + + 293 .section .text.CyPLL_OUT_SetSource,"ax",%progbits + 294 .align 1 + 295 .global CyPLL_OUT_SetSource + 296 .thumb + 297 .thumb_func + 298 .type CyPLL_OUT_SetSource, %function + 299 CyPLL_OUT_SetSource: + 300 .LFB3: + 247:.\Generated_Source\PSoC5/CyLib.c **** { + 301 .loc 1 247 0 + 302 .cfi_startproc + 303 @ args = 0, pretend = 0, frame = 0 + 304 @ frame_needed = 0, uses_anonymous_args = 0 + 305 @ link register save eliminated. + 306 .LVL12: + 251:.\Generated_Source\PSoC5/CyLib.c **** switch(source) + 307 .loc 1 251 0 + 308 0000 0228 cmp r0, #2 + 309 0002 06D8 bhi .L37 + 256:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG = ((CY_LIB_CLKDIST_CR_REG & CY_LIB_CLKDIST_CR_PLL_SCR_MASK) | sou + 310 .loc 1 256 0 + 311 0004 4FF04023 mov r3, #1073758208 + 312 0008 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 313 000a 02F0FC01 and r1, r2, #252 + 314 000e 0843 orrs r0, r0, r1 + 315 .LVL13: + 316 0010 1870 strb r0, [r3, #0] + 317 .L37: + 318 0012 7047 bx lr + 319 .cfi_endproc + 320 .LFE3: + 321 .size CyPLL_OUT_SetSource, .-CyPLL_OUT_SetSource + 322 .section .text.CyIMO_Stop,"ax",%progbits + 323 .align 1 + 324 .global CyIMO_Stop + 325 .thumb + 326 .thumb_func + 327 .type CyIMO_Stop, %function + 328 CyIMO_Stop: + 329 .LFB5: + 346:.\Generated_Source\PSoC5/CyLib.c **** { + 330 .loc 1 346 0 + 331 .cfi_startproc + 332 @ args = 0, pretend = 0, frame = 0 + 333 @ frame_needed = 0, uses_anonymous_args = 0 + 334 @ link register save eliminated. + 347:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_ACT_CFG0_REG &= ((uint8) (~CY_LIB_PM_ACT_CFG0_IMO_EN)); + 335 .loc 1 347 0 + 336 0000 044B ldr r3, .L41 + 337 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 338 0004 02F0EF00 and r0, r2, #239 + 339 0008 1870 strb r0, [r3, #0] + 348:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_STBY_CFG0_REG &= ((uint8) (~CY_LIB_PM_STBY_CFG0_IMO_EN)); + 340 .loc 1 348 0 + 341 000a 197C ldrb r1, [r3, #16] @ zero_extendqisi2 + 342 000c 01F0EF02 and r2, r1, #239 + 343 0010 1A74 strb r2, [r3, #16] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 22 + + + 344 0012 7047 bx lr + 345 .L42: + 346 .align 2 + 347 .L41: + 348 0014 A0430040 .word 1073759136 + 349 .cfi_endproc + 350 .LFE5: + 351 .size CyIMO_Stop, .-CyIMO_Stop + 352 .section .text.CyIMO_SetSource,"ax",%progbits + 353 .align 1 + 354 .global CyIMO_SetSource + 355 .thumb + 356 .thumb_func + 357 .type CyIMO_SetSource, %function + 358 CyIMO_SetSource: + 359 .LFB9: + 648:.\Generated_Source\PSoC5/CyLib.c **** { + 360 .loc 1 648 0 + 361 .cfi_startproc + 362 @ args = 0, pretend = 0, frame = 0 + 363 @ frame_needed = 0, uses_anonymous_args = 0 + 364 @ link register save eliminated. + 365 .LVL14: + 649:.\Generated_Source\PSoC5/CyLib.c **** switch(source) + 366 .loc 1 649 0 + 367 0000 0128 cmp r0, #1 + 368 0002 08D0 beq .L46 + 369 0004 14D3 bcc .L45 + 370 0006 0228 cmp r0, #2 + 371 0008 17D1 bne .L43 + 652:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG &= ((uint8)(~CY_LIB_CLKDIST_CR_IMO2X)); + 372 .loc 1 652 0 + 373 000a 4FF04023 mov r3, #1073758208 + 374 000e 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 375 0010 02F0BF00 and r0, r2, #191 + 376 .LVL15: + 377 0014 04E0 b .L48 + 378 .LVL16: + 379 .L46: + 657:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG |= CY_LIB_CLKDIST_CR_IMO2X; + 380 .loc 1 657 0 + 381 0016 4FF04023 mov r3, #1073758208 + 382 001a 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 383 001c 42F04000 orr r0, r2, #64 + 384 .LVL17: + 385 .L48: + 386 0020 1870 strb r0, [r3, #0] + 658:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + 387 .loc 1 658 0 + 388 0022 93F80012 ldrb r1, [r3, #512] @ zero_extendqisi2 + 389 0026 41F02002 orr r2, r1, #32 + 390 002a 83F80022 strb r2, [r3, #512] + 659:.\Generated_Source\PSoC5/CyLib.c **** break; + 391 .loc 1 659 0 + 392 002e 7047 bx lr + 393 .LVL18: + 394 .L45: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 23 + + + 662:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_IMO)); + 395 .loc 1 662 0 + 396 0030 024B ldr r3, .L49 + 397 0032 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 398 .LVL19: + 399 0034 00F0DF01 and r1, r0, #223 + 400 0038 1970 strb r1, [r3, #0] + 401 .L43: + 402 003a 7047 bx lr + 403 .L50: + 404 .align 2 + 405 .L49: + 406 003c 00420040 .word 1073758720 + 407 .cfi_endproc + 408 .LFE9: + 409 .size CyIMO_SetSource, .-CyIMO_SetSource + 410 .section .text.CyIMO_EnableDoubler,"ax",%progbits + 411 .align 1 + 412 .global CyIMO_EnableDoubler + 413 .thumb + 414 .thumb_func + 415 .type CyIMO_EnableDoubler, %function + 416 CyIMO_EnableDoubler: + 417 .LFB10: + 689:.\Generated_Source\PSoC5/CyLib.c **** { + 418 .loc 1 689 0 + 419 .cfi_startproc + 420 @ args = 0, pretend = 0, frame = 0 + 421 @ frame_needed = 0, uses_anonymous_args = 0 + 422 @ link register save eliminated. + 691:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_DOUBLER; + 423 .loc 1 691 0 + 424 0000 024B ldr r3, .L52 + 425 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 426 0004 42F01000 orr r0, r2, #16 + 427 0008 1870 strb r0, [r3, #0] + 428 000a 7047 bx lr + 429 .L53: + 430 .align 2 + 431 .L52: + 432 000c 00420040 .word 1073758720 + 433 .cfi_endproc + 434 .LFE10: + 435 .size CyIMO_EnableDoubler, .-CyIMO_EnableDoubler + 436 .section .text.CyIMO_DisableDoubler,"ax",%progbits + 437 .align 1 + 438 .global CyIMO_DisableDoubler + 439 .thumb + 440 .thumb_func + 441 .type CyIMO_DisableDoubler, %function + 442 CyIMO_DisableDoubler: + 443 .LFB11: + 710:.\Generated_Source\PSoC5/CyLib.c **** { + 444 .loc 1 710 0 + 445 .cfi_startproc + 446 @ args = 0, pretend = 0, frame = 0 + 447 @ frame_needed = 0, uses_anonymous_args = 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 24 + + + 448 @ link register save eliminated. + 711:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_DOUBLER)); + 449 .loc 1 711 0 + 450 0000 024B ldr r3, .L55 + 451 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 452 0004 02F0EF00 and r0, r2, #239 + 453 0008 1870 strb r0, [r3, #0] + 454 000a 7047 bx lr + 455 .L56: + 456 .align 2 + 457 .L55: + 458 000c 00420040 .word 1073758720 + 459 .cfi_endproc + 460 .LFE11: + 461 .size CyIMO_DisableDoubler, .-CyIMO_DisableDoubler + 462 .section .text.CyIMO_SetFreq,"ax",%progbits + 463 .align 1 + 464 .global CyIMO_SetFreq + 465 .thumb + 466 .thumb_func + 467 .type CyIMO_SetFreq, %function + 468 CyIMO_SetFreq: + 469 .LFB8: + 493:.\Generated_Source\PSoC5/CyLib.c **** { + 470 .loc 1 493 0 + 471 .cfi_startproc + 472 @ args = 0, pretend = 0, frame = 0 + 473 @ frame_needed = 0, uses_anonymous_args = 0 + 474 .LVL20: + 475 0000 70B5 push {r4, r5, r6, lr} + 476 .LCFI0: + 477 .cfi_def_cfa_offset 16 + 478 .cfi_offset 4, -16 + 479 .cfi_offset 5, -12 + 480 .cfi_offset 6, -8 + 481 .cfi_offset 14, -4 + 504:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + 482 .loc 1 504 0 + 483 0002 344B ldr r3, .L82 + 507:.\Generated_Source\PSoC5/CyLib.c **** nextFreq = (freq == CY_IMO_FREQ_USB) ? CY_IMO_FREQ_24MHZ : freq; + 484 .loc 1 507 0 + 485 0004 0828 cmp r0, #8 + 486 0006 14BF ite ne + 487 0008 0646 movne r6, r0 + 488 000a 0326 moveq r6, #3 + 504:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + 489 .loc 1 504 0 + 490 000c 1D78 ldrb r5, [r3, #0] @ zero_extendqisi2 + 493:.\Generated_Source\PSoC5/CyLib.c **** { + 491 .loc 1 493 0 + 492 000e 0446 mov r4, r0 + 504:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + 493 .loc 1 504 0 + 494 0010 05F00705 and r5, r5, #7 + 495 .LVL21: + 509:.\Generated_Source\PSoC5/CyLib.c **** switch (currentFreq) + 496 .loc 1 509 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 25 + + + 497 0014 022D cmp r5, #2 + 498 0016 05D0 beq .L61 + 509:.\Generated_Source\PSoC5/CyLib.c **** switch (currentFreq) + 499 .loc 1 509 0 is_stmt 0 discriminator 3 + 500 0018 032D cmp r5, #3 + 501 001a 07D0 beq .L80 + 512:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_12MHZ; + 502 .loc 1 512 0 is_stmt 1 discriminator 3 + 503 001c 002D cmp r5, #0 + 504 001e 08BF it eq + 505 0020 0225 moveq r5, #2 + 506 .LVL22: + 507 0022 00E0 b .L59 + 508 .LVL23: + 509 .L61: + 520:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_24MHZ; + 510 .loc 1 520 0 + 511 0024 0325 movs r5, #3 + 512 .LVL24: + 513 .L59: + 546:.\Generated_Source\PSoC5/CyLib.c **** if (nextFreq >= currentFreq) + 514 .loc 1 546 0 + 515 0026 AE42 cmp r6, r5 + 516 0028 04D3 bcc .L63 + 517 002a 00E0 b .L62 + 518 .LVL25: + 519 .L80: + 524:.\Generated_Source\PSoC5/CyLib.c **** currentFreq = CY_IMO_FREQ_3MHZ; + 520 .loc 1 524 0 + 521 002c 0025 movs r5, #0 + 522 .LVL26: + 523 .L62: + 549:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_SetTrimValue(freq); + 524 .loc 1 549 0 + 525 002e 2046 mov r0, r4 + 526 .LVL27: + 527 0030 FFF7FEFF bl CyIMO_SetTrimValue + 528 .LVL28: + 529 .L63: + 553:.\Generated_Source\PSoC5/CyLib.c **** switch(freq) + 530 .loc 1 553 0 + 531 0034 082C cmp r4, #8 + 532 0036 3ED8 bhi .L64 + 533 0038 DFE804F0 tbb [pc, r4] + 534 .L73: + 535 003c 05 .byte (.L65-.L73)/2 + 536 003d 0C .byte (.L66-.L73)/2 + 537 003e 13 .byte (.L67-.L73)/2 + 538 003f 18 .byte (.L68-.L73)/2 + 539 0040 1F .byte (.L69-.L73)/2 + 540 0041 26 .byte (.L70-.L73)/2 + 541 0042 2D .byte (.L71-.L73)/2 + 542 0043 3D .byte (.L64-.L73)/2 + 543 0044 35 .byte (.L72-.L73)/2 + 544 0045 00 .align 1 + 545 .L65: + 556:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 26 + + + 546 .loc 1 556 0 + 547 0046 2348 ldr r0, .L82 + 548 0048 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 549 004a 02F0B801 and r1, r2, #184 + 550 004e 41F00303 orr r3, r1, #3 + 551 0052 26E0 b .L81 + 552 .L66: + 561:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 553 .loc 1 561 0 + 554 0054 1F48 ldr r0, .L82 + 555 0056 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 556 0058 01F0B803 and r3, r1, #184 + 557 005c 43F00103 orr r3, r3, #1 + 558 0060 1FE0 b .L81 + 559 .L67: + 566:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 560 .loc 1 566 0 + 561 0062 1C48 ldr r0, .L82 + 562 0064 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 563 0066 02F0B803 and r3, r2, #184 + 564 006a 1AE0 b .L81 + 565 .L68: + 571:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 566 .loc 1 571 0 + 567 006c 1948 ldr r0, .L82 + 568 006e 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 569 0070 01F0B803 and r3, r1, #184 + 570 0074 43F00203 orr r3, r3, #2 + 571 0078 13E0 b .L81 + 572 .L69: + 576:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 573 .loc 1 576 0 + 574 007a 1648 ldr r0, .L82 + 575 007c 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 576 007e 03F0B802 and r2, r3, #184 + 577 0082 42F00403 orr r3, r2, #4 + 578 0086 0CE0 b .L81 + 579 .L70: + 581:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 580 .loc 1 581 0 + 581 0088 1248 ldr r0, .L82 + 582 008a 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 583 008c 02F0B801 and r1, r2, #184 + 584 0090 41F00503 orr r3, r1, #5 + 585 0094 05E0 b .L81 + 586 .L71: + 587:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 587 .loc 1 587 0 + 588 0096 0F48 ldr r0, .L82 + 589 0098 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 590 009a 02F0B801 and r1, r2, #184 + 591 009e 41F00603 orr r3, r1, #6 + 592 .L81: + 593 00a2 0370 strb r3, [r0, #0] + 589:.\Generated_Source\PSoC5/CyLib.c **** break; + 594 .loc 1 589 0 + 595 00a4 0CE0 b .L76 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 27 + + + 596 .L72: + 593:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) + 597 .loc 1 593 0 + 598 00a6 0B48 ldr r0, .L82 + 599 00a8 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 600 00aa 02F0B801 and r1, r2, #184 + 601 00ae 41F04203 orr r3, r1, #66 + 602 00b2 0370 strb r3, [r0, #0] + 603 00b4 01E0 b .L75 + 604 .L64: + 603:.\Generated_Source\PSoC5/CyLib.c **** if (freq == CY_IMO_FREQ_USB) + 605 .loc 1 603 0 + 606 00b6 082C cmp r4, #8 + 607 00b8 02D1 bne .L76 + 608 .L75: + 605:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_EnableDoubler(); + 609 .loc 1 605 0 + 610 00ba FFF7FEFF bl CyIMO_EnableDoubler + 611 .LVL29: + 612 00be 01E0 b .L77 + 613 .L76: + 609:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_DisableDoubler(); + 614 .loc 1 609 0 + 615 00c0 FFF7FEFF bl CyIMO_DisableDoubler + 616 .LVL30: + 617 .L77: + 612:.\Generated_Source\PSoC5/CyLib.c **** if (nextFreq < currentFreq) + 618 .loc 1 612 0 + 619 00c4 AE42 cmp r6, r5 + 620 00c6 04D2 bcs .L57 + 615:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_SetTrimValue(freq); + 621 .loc 1 615 0 + 622 00c8 2046 mov r0, r4 + 617:.\Generated_Source\PSoC5/CyLib.c **** } + 623 .loc 1 617 0 + 624 00ca BDE87040 pop {r4, r5, r6, lr} + 615:.\Generated_Source\PSoC5/CyLib.c **** CyIMO_SetTrimValue(freq); + 625 .loc 1 615 0 + 626 00ce FFF7FEBF b CyIMO_SetTrimValue + 627 .LVL31: + 628 .L57: + 629 00d2 70BD pop {r4, r5, r6, pc} + 630 .L83: + 631 .align 2 + 632 .L82: + 633 00d4 00420040 .word 1073758720 + 634 .cfi_endproc + 635 .LFE8: + 636 .size CyIMO_SetFreq, .-CyIMO_SetFreq + 637 .section .text.CyMasterClk_SetSource,"ax",%progbits + 638 .align 1 + 639 .global CyMasterClk_SetSource + 640 .thumb + 641 .thumb_func + 642 .type CyMasterClk_SetSource, %function + 643 CyMasterClk_SetSource: + 644 .LFB12: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 28 + + + 745:.\Generated_Source\PSoC5/CyLib.c **** { + 645 .loc 1 745 0 + 646 .cfi_startproc + 647 @ args = 0, pretend = 0, frame = 0 + 648 @ frame_needed = 0, uses_anonymous_args = 0 + 649 @ link register save eliminated. + 650 .LVL32: + 746:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & MASTER_CLK_SRC_CLEAR) | + 651 .loc 1 746 0 + 652 0000 044B ldr r3, .L85 + 653 0002 00F00300 and r0, r0, #3 + 654 .LVL33: + 655 0006 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 656 0008 02F0FC01 and r1, r2, #252 + 657 000c 40EA0102 orr r2, r0, r1 + 658 0010 1A70 strb r2, [r3, #0] + 659 0012 7047 bx lr + 660 .L86: + 661 .align 2 + 662 .L85: + 663 0014 05400040 .word 1073758213 + 664 .cfi_endproc + 665 .LFE12: + 666 .size CyMasterClk_SetSource, .-CyMasterClk_SetSource + 667 .section .text.CyMasterClk_SetDivider,"ax",%progbits + 668 .align 1 + 669 .global CyMasterClk_SetDivider + 670 .thumb + 671 .thumb_func + 672 .type CyMasterClk_SetDivider, %function + 673 CyMasterClk_SetDivider: + 674 .LFB13: + 780:.\Generated_Source\PSoC5/CyLib.c **** { + 675 .loc 1 780 0 + 676 .cfi_startproc + 677 @ args = 0, pretend = 0, frame = 0 + 678 @ frame_needed = 0, uses_anonymous_args = 0 + 679 @ link register save eliminated. + 680 .LVL34: + 781:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR0_REG = divider; + 681 .loc 1 781 0 + 682 0000 014B ldr r3, .L88 + 683 0002 1870 strb r0, [r3, #0] + 684 0004 7047 bx lr + 685 .L89: + 686 0006 00BF .align 2 + 687 .L88: + 688 0008 04400040 .word 1073758212 + 689 .cfi_endproc + 690 .LFE13: + 691 .size CyMasterClk_SetDivider, .-CyMasterClk_SetDivider + 692 .section .text.CyBusClk_SetDivider,"ax",%progbits + 693 .align 1 + 694 .global CyBusClk_SetDivider + 695 .thumb + 696 .thumb_func + 697 .type CyBusClk_SetDivider, %function + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 29 + + + 698 CyBusClk_SetDivider: + 699 .LFB15: + 822:.\Generated_Source\PSoC5/CyLib.c **** } + 823:.\Generated_Source\PSoC5/CyLib.c **** + 824:.\Generated_Source\PSoC5/CyLib.c **** + 825:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 826:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyBusClk_SetDivider + 827:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 828:.\Generated_Source\PSoC5/CyLib.c **** * + 829:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 830:.\Generated_Source\PSoC5/CyLib.c **** * Sets the divider value used to generate Bus Clock. + 831:.\Generated_Source\PSoC5/CyLib.c **** * + 832:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 833:.\Generated_Source\PSoC5/CyLib.c **** * divider: Valid range [0-65535]. The clock will be divided by this value + 1. + 834:.\Generated_Source\PSoC5/CyLib.c **** * For example to divide by 2 this parameter should be set to 1. + 835:.\Generated_Source\PSoC5/CyLib.c **** * + 836:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 837:.\Generated_Source\PSoC5/CyLib.c **** * None + 838:.\Generated_Source\PSoC5/CyLib.c **** * + 839:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 840:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 841:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + 842:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 843:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 844:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 845:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 846:.\Generated_Source\PSoC5/CyLib.c **** * + 847:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 848:.\Generated_Source\PSoC5/CyLib.c **** void CyBusClk_SetDivider(uint16 divider) + 849:.\Generated_Source\PSoC5/CyLib.c **** { + 700 .loc 1 849 0 + 701 .cfi_startproc + 702 @ args = 0, pretend = 0, frame = 0 + 703 @ frame_needed = 0, uses_anonymous_args = 0 + 704 .LVL35: + 705 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 706 .LCFI1: + 707 .cfi_def_cfa_offset 24 + 708 .cfi_offset 3, -24 + 709 .cfi_offset 4, -20 + 710 .cfi_offset 5, -16 + 711 .cfi_offset 6, -12 + 712 .cfi_offset 7, -8 + 713 .cfi_offset 14, -4 + 714 .loc 1 849 0 + 715 0002 0446 mov r4, r0 + 850:.\Generated_Source\PSoC5/CyLib.c **** uint8 masterClkDiv; + 851:.\Generated_Source\PSoC5/CyLib.c **** uint16 busClkDiv; + 852:.\Generated_Source\PSoC5/CyLib.c **** uint8 interruptState; + 853:.\Generated_Source\PSoC5/CyLib.c **** + 854:.\Generated_Source\PSoC5/CyLib.c **** interruptState = CyEnterCriticalSection(); + 716 .loc 1 854 0 + 717 0004 FFF7FEFF bl CyEnterCriticalSection + 718 .LVL36: + 855:.\Generated_Source\PSoC5/CyLib.c **** + 856:.\Generated_Source\PSoC5/CyLib.c **** /* Work around to set the bus clock divider value */ + 857:.\Generated_Source\PSoC5/CyLib.c **** busClkDiv = (uint16)((uint16)CY_LIB_CLKDIST_BCFG_MSB_REG << 8u); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 30 + + + 719 .loc 1 857 0 + 720 0008 154B ldr r3, .L100 + 854:.\Generated_Source\PSoC5/CyLib.c **** interruptState = CyEnterCriticalSection(); + 721 .loc 1 854 0 + 722 000a 0646 mov r6, r0 + 723 .LVL37: + 858:.\Generated_Source\PSoC5/CyLib.c **** busClkDiv |= CY_LIB_CLKDIST_BCFG_LSB_REG; + 724 .loc 1 858 0 + 725 000c 581E subs r0, r3, #1 + 726 .LVL38: + 857:.\Generated_Source\PSoC5/CyLib.c **** busClkDiv = (uint16)((uint16)CY_LIB_CLKDIST_BCFG_MSB_REG << 8u); + 727 .loc 1 857 0 + 728 000e 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 729 .LVL39: + 730 .loc 1 858 0 + 731 0010 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 732 .LVL40: + 859:.\Generated_Source\PSoC5/CyLib.c **** + 860:.\Generated_Source\PSoC5/CyLib.c **** if ((divider == 0u) || (busClkDiv == 0u)) + 733 .loc 1 860 0 + 734 0012 14B1 cbz r4, .L91 + 735 .loc 1 860 0 is_stmt 0 discriminator 1 + 736 0014 51EA0223 orrs r3, r1, r2, lsl #8 + 737 0018 1AD1 bne .L92 + 738 .L91: + 861:.\Generated_Source\PSoC5/CyLib.c **** { + 862:.\Generated_Source\PSoC5/CyLib.c **** /* Save away the master clock divider value */ + 863:.\Generated_Source\PSoC5/CyLib.c **** masterClkDiv = CY_LIB_CLKDIST_MSTR0_REG; + 739 .loc 1 863 0 is_stmt 1 + 740 001a 124D ldr r5, .L100+4 + 741 001c 2B78 ldrb r3, [r5, #0] @ zero_extendqisi2 + 864:.\Generated_Source\PSoC5/CyLib.c **** + 865:.\Generated_Source\PSoC5/CyLib.c **** if (masterClkDiv < CY_LIB_CLKDIST_MASTERCLK_DIV) + 742 .loc 1 865 0 + 743 001e 062B cmp r3, #6 + 863:.\Generated_Source\PSoC5/CyLib.c **** masterClkDiv = CY_LIB_CLKDIST_MSTR0_REG; + 744 .loc 1 863 0 + 745 0020 1F46 mov r7, r3 + 746 .LVL41: + 747 .loc 1 865 0 + 748 0022 01D8 bhi .L93 + 749 .LVL42: + 750 .LBB12: + 751 .LBB13: + 781:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR0_REG = divider; + 752 .loc 1 781 0 + 753 0024 0722 movs r2, #7 + 754 .LVL43: + 755 0026 2A70 strb r2, [r5, #0] + 756 .LVL44: + 757 .L93: + 758 0028 0F4D ldr r5, .L100+8 + 759 .LBE13: + 760 .LBE12: + 866:.\Generated_Source\PSoC5/CyLib.c **** { + 867:.\Generated_Source\PSoC5/CyLib.c **** /* Set master clock divider to 7 */ + 868:.\Generated_Source\PSoC5/CyLib.c **** CyMasterClk_SetDivider(CY_LIB_CLKDIST_MASTERCLK_DIV); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 31 + + + 869:.\Generated_Source\PSoC5/CyLib.c **** } + 870:.\Generated_Source\PSoC5/CyLib.c **** + 871:.\Generated_Source\PSoC5/CyLib.c **** if (divider == 0u) + 761 .loc 1 871 0 + 762 002a 3CB9 cbnz r4, .L94 + 872:.\Generated_Source\PSoC5/CyLib.c **** { + 873:.\Generated_Source\PSoC5/CyLib.c **** /* Set the SSS bit and the divider register desired value */ + 874:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_SSS; + 763 .loc 1 874 0 + 764 002c 2B78 ldrb r3, [r5, #0] @ zero_extendqisi2 + 765 .LVL45: + 875:.\Generated_Source\PSoC5/CyLib.c **** CyBusClk_Internal_SetDivider(divider); + 766 .loc 1 875 0 + 767 002e 2046 mov r0, r4 + 874:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_SSS; + 768 .loc 1 874 0 + 769 0030 43F04002 orr r2, r3, #64 + 770 0034 2A70 strb r2, [r5, #0] + 771 .loc 1 875 0 + 772 0036 FFF7FEFF bl CyBusClk_Internal_SetDivider + 773 .LVL46: + 774 003a 06E0 b .L95 + 775 .LVL47: + 776 .L94: + 876:.\Generated_Source\PSoC5/CyLib.c **** } + 877:.\Generated_Source\PSoC5/CyLib.c **** else + 878:.\Generated_Source\PSoC5/CyLib.c **** { + 879:.\Generated_Source\PSoC5/CyLib.c **** CyBusClk_Internal_SetDivider(divider); + 777 .loc 1 879 0 + 778 003c 2046 mov r0, r4 + 779 003e FFF7FEFF bl CyBusClk_Internal_SetDivider + 780 .LVL48: + 880:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_BCFG2_REG &= ((uint8)(~CY_LIB_CLKDIST_BCFG2_SSS)); + 781 .loc 1 880 0 + 782 0042 2878 ldrb r0, [r5, #0] @ zero_extendqisi2 + 783 0044 00F0BF01 and r1, r0, #191 + 784 0048 2970 strb r1, [r5, #0] + 785 .L95: + 786 .LVL49: + 787 .LBB14: + 788 .LBB15: + 781:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR0_REG = divider; + 789 .loc 1 781 0 + 790 004a 0648 ldr r0, .L100+4 + 791 004c 0770 strb r7, [r0, #0] + 792 004e 02E0 b .L96 + 793 .LVL50: + 794 .L92: + 795 .LBE15: + 796 .LBE14: + 881:.\Generated_Source\PSoC5/CyLib.c **** } + 882:.\Generated_Source\PSoC5/CyLib.c **** + 883:.\Generated_Source\PSoC5/CyLib.c **** /* Restore the master clock */ + 884:.\Generated_Source\PSoC5/CyLib.c **** CyMasterClk_SetDivider(masterClkDiv); + 885:.\Generated_Source\PSoC5/CyLib.c **** } + 886:.\Generated_Source\PSoC5/CyLib.c **** else + 887:.\Generated_Source\PSoC5/CyLib.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 32 + + + 888:.\Generated_Source\PSoC5/CyLib.c **** CyBusClk_Internal_SetDivider(divider); + 797 .loc 1 888 0 + 798 0050 2046 mov r0, r4 + 799 0052 FFF7FEFF bl CyBusClk_Internal_SetDivider + 800 .LVL51: + 801 .L96: + 889:.\Generated_Source\PSoC5/CyLib.c **** } + 890:.\Generated_Source\PSoC5/CyLib.c **** + 891:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); + 802 .loc 1 891 0 + 803 0056 3046 mov r0, r6 + 892:.\Generated_Source\PSoC5/CyLib.c **** } + 804 .loc 1 892 0 + 805 0058 BDE8F840 pop {r3, r4, r5, r6, r7, lr} + 891:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); + 806 .loc 1 891 0 + 807 005c FFF7FEBF b CyExitCriticalSection + 808 .LVL52: + 809 .L101: + 810 .align 2 + 811 .L100: + 812 0060 07400040 .word 1073758215 + 813 0064 04400040 .word 1073758212 + 814 0068 08400040 .word 1073758216 + 815 .cfi_endproc + 816 .LFE15: + 817 .size CyBusClk_SetDivider, .-CyBusClk_SetDivider + 818 .section .text.CyUsbClk_SetSource,"ax",%progbits + 819 .align 1 + 820 .global CyUsbClk_SetSource + 821 .thumb + 822 .thumb_func + 823 .type CyUsbClk_SetSource, %function + 824 CyUsbClk_SetSource: + 825 .LFB16: + 893:.\Generated_Source\PSoC5/CyLib.c **** + 894:.\Generated_Source\PSoC5/CyLib.c **** + 895:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC3) + 896:.\Generated_Source\PSoC5/CyLib.c **** + 897:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 898:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyCpuClk_SetDivider + 899:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 900:.\Generated_Source\PSoC5/CyLib.c **** * + 901:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 902:.\Generated_Source\PSoC5/CyLib.c **** * Sets the divider value used to generate the CPU Clock. Only applicable for + 903:.\Generated_Source\PSoC5/CyLib.c **** * PSoC 3 parts. + 904:.\Generated_Source\PSoC5/CyLib.c **** * + 905:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 906:.\Generated_Source\PSoC5/CyLib.c **** * divider: Valid range [0-15]. The clock will be divided by this value + 1. + 907:.\Generated_Source\PSoC5/CyLib.c **** * For example to divide by 2 this parameter should be set to 1. + 908:.\Generated_Source\PSoC5/CyLib.c **** * + 909:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 910:.\Generated_Source\PSoC5/CyLib.c **** * None + 911:.\Generated_Source\PSoC5/CyLib.c **** * + 912:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 913:.\Generated_Source\PSoC5/CyLib.c **** * If as result of this function execution the CPU clock frequency is increased + 914:.\Generated_Source\PSoC5/CyLib.c **** * then the number of clock cycles the cache will wait before it samples data + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 33 + + + 915:.\Generated_Source\PSoC5/CyLib.c **** * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + 916:.\Generated_Source\PSoC5/CyLib.c **** * with appropriate parameter. It can be optionally called if CPU clock + 917:.\Generated_Source\PSoC5/CyLib.c **** * frequency is lowered in order to improve CPU performance. + 918:.\Generated_Source\PSoC5/CyLib.c **** * See CyFlash_SetWaitCycles() description for more information. + 919:.\Generated_Source\PSoC5/CyLib.c **** * + 920:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 921:.\Generated_Source\PSoC5/CyLib.c **** void CyCpuClk_SetDivider(uint8 divider) + 922:.\Generated_Source\PSoC5/CyLib.c **** { + 923:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & CY_LIB_CLKDIST_MSTR1_DIV_MASK) | + 924:.\Generated_Source\PSoC5/CyLib.c **** ((uint8)(divider << CY_LIB_CLKDIST_DIV_POSITION)); + 925:.\Generated_Source\PSoC5/CyLib.c **** } + 926:.\Generated_Source\PSoC5/CyLib.c **** + 927:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC3) */ + 928:.\Generated_Source\PSoC5/CyLib.c **** + 929:.\Generated_Source\PSoC5/CyLib.c **** + 930:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 931:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyUsbClk_SetSource + 932:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 933:.\Generated_Source\PSoC5/CyLib.c **** * + 934:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 935:.\Generated_Source\PSoC5/CyLib.c **** * Sets the source of the USB clock. + 936:.\Generated_Source\PSoC5/CyLib.c **** * + 937:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 938:.\Generated_Source\PSoC5/CyLib.c **** * source: One of the four available USB clock sources + 939:.\Generated_Source\PSoC5/CyLib.c **** * CY_LIB_USB_CLK_IMO2X - IMO 2x + 940:.\Generated_Source\PSoC5/CyLib.c **** * CY_LIB_USB_CLK_IMO - IMO + 941:.\Generated_Source\PSoC5/CyLib.c **** * CY_LIB_USB_CLK_PLL - PLL + 942:.\Generated_Source\PSoC5/CyLib.c **** * CY_LIB_USB_CLK_DSI - DSI + 943:.\Generated_Source\PSoC5/CyLib.c **** * + 944:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 945:.\Generated_Source\PSoC5/CyLib.c **** * None + 946:.\Generated_Source\PSoC5/CyLib.c **** * + 947:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 948:.\Generated_Source\PSoC5/CyLib.c **** void CyUsbClk_SetSource(uint8 source) + 949:.\Generated_Source\PSoC5/CyLib.c **** { + 826 .loc 1 949 0 + 827 .cfi_startproc + 828 @ args = 0, pretend = 0, frame = 0 + 829 @ frame_needed = 0, uses_anonymous_args = 0 + 830 @ link register save eliminated. + 831 .LVL53: + 950:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_UCFG_REG = (CY_LIB_CLKDIST_UCFG_REG & ((uint8)(~CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK + 832 .loc 1 950 0 + 833 0000 044B ldr r3, .L103 + 834 0002 00F00300 and r0, r0, #3 + 835 .LVL54: + 836 0006 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 837 0008 02F0FC01 and r1, r2, #252 + 838 000c 40EA0102 orr r2, r0, r1 + 839 0010 1A70 strb r2, [r3, #0] + 840 0012 7047 bx lr + 841 .L104: + 842 .align 2 + 843 .L103: + 844 0014 09400040 .word 1073758217 + 845 .cfi_endproc + 846 .LFE16: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 34 + + + 847 .size CyUsbClk_SetSource, .-CyUsbClk_SetSource + 848 .section .text.CyILO_Start1K,"ax",%progbits + 849 .align 1 + 850 .global CyILO_Start1K + 851 .thumb + 852 .thumb_func + 853 .type CyILO_Start1K, %function + 854 CyILO_Start1K: + 855 .LFB17: + 951:.\Generated_Source\PSoC5/CyLib.c **** (CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK & source); + 952:.\Generated_Source\PSoC5/CyLib.c **** } + 953:.\Generated_Source\PSoC5/CyLib.c **** + 954:.\Generated_Source\PSoC5/CyLib.c **** + 955:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 956:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_Start1K + 957:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 958:.\Generated_Source\PSoC5/CyLib.c **** * + 959:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 960:.\Generated_Source\PSoC5/CyLib.c **** * Enables the ILO 1 KHz oscillator. + 961:.\Generated_Source\PSoC5/CyLib.c **** * + 962:.\Generated_Source\PSoC5/CyLib.c **** * Note The ILO 1 KHz oscillator is always enabled by default, regardless of the + 963:.\Generated_Source\PSoC5/CyLib.c **** * selection in the Clock Editor. Therefore, this API is only needed if the + 964:.\Generated_Source\PSoC5/CyLib.c **** * oscillator was turned off manually. + 965:.\Generated_Source\PSoC5/CyLib.c **** * + 966:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 967:.\Generated_Source\PSoC5/CyLib.c **** * None + 968:.\Generated_Source\PSoC5/CyLib.c **** * + 969:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 970:.\Generated_Source\PSoC5/CyLib.c **** * None + 971:.\Generated_Source\PSoC5/CyLib.c **** * + 972:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + 973:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_Start1K(void) + 974:.\Generated_Source\PSoC5/CyLib.c **** { + 856 .loc 1 974 0 + 857 .cfi_startproc + 858 @ args = 0, pretend = 0, frame = 0 + 859 @ frame_needed = 0, uses_anonymous_args = 0 + 860 @ link register save eliminated. + 975:.\Generated_Source\PSoC5/CyLib.c **** /* Set the bit 1 of ILO RS */ + 976:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ; + 861 .loc 1 976 0 + 862 0000 024B ldr r3, .L106 + 863 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 864 0004 42F00200 orr r0, r2, #2 + 865 0008 1870 strb r0, [r3, #0] + 866 000a 7047 bx lr + 867 .L107: + 868 .align 2 + 869 .L106: + 870 000c 00430040 .word 1073758976 + 871 .cfi_endproc + 872 .LFE17: + 873 .size CyILO_Start1K, .-CyILO_Start1K + 874 .section .text.CyILO_Stop1K,"ax",%progbits + 875 .align 1 + 876 .global CyILO_Stop1K + 877 .thumb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 35 + + + 878 .thumb_func + 879 .type CyILO_Stop1K, %function + 880 CyILO_Stop1K: + 881 .LFB18: + 977:.\Generated_Source\PSoC5/CyLib.c **** } + 978:.\Generated_Source\PSoC5/CyLib.c **** + 979:.\Generated_Source\PSoC5/CyLib.c **** + 980:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* + 981:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_Stop1K + 982:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** + 983:.\Generated_Source\PSoC5/CyLib.c **** * + 984:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + 985:.\Generated_Source\PSoC5/CyLib.c **** * Disables the ILO 1 KHz oscillator. + 986:.\Generated_Source\PSoC5/CyLib.c **** * + 987:.\Generated_Source\PSoC5/CyLib.c **** * Note The ILO 1 KHz oscillator must be enabled if Sleep or Hibernate low power + 988:.\Generated_Source\PSoC5/CyLib.c **** * mode APIs are expected to be used. For more information, refer to the Power + 989:.\Generated_Source\PSoC5/CyLib.c **** * Management section of this document. + 990:.\Generated_Source\PSoC5/CyLib.c **** * + 991:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + 992:.\Generated_Source\PSoC5/CyLib.c **** * None + 993:.\Generated_Source\PSoC5/CyLib.c **** * + 994:.\Generated_Source\PSoC5/CyLib.c **** * Return: + 995:.\Generated_Source\PSoC5/CyLib.c **** * None + 996:.\Generated_Source\PSoC5/CyLib.c **** * + 997:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: + 998:.\Generated_Source\PSoC5/CyLib.c **** * PSoC5: Stopping the ILO 1 kHz could break the active WDT functionality. + 999:.\Generated_Source\PSoC5/CyLib.c **** * +1000:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1001:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_Stop1K(void) +1002:.\Generated_Source\PSoC5/CyLib.c **** { + 882 .loc 1 1002 0 + 883 .cfi_startproc + 884 @ args = 0, pretend = 0, frame = 0 + 885 @ frame_needed = 0, uses_anonymous_args = 0 + 886 @ link register save eliminated. +1003:.\Generated_Source\PSoC5/CyLib.c **** /* Clear the bit 1 of ILO RS */ +1004:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ)); + 887 .loc 1 1004 0 + 888 0000 024B ldr r3, .L109 + 889 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 890 0004 02F0FD00 and r0, r2, #253 + 891 0008 1870 strb r0, [r3, #0] + 892 000a 7047 bx lr + 893 .L110: + 894 .align 2 + 895 .L109: + 896 000c 00430040 .word 1073758976 + 897 .cfi_endproc + 898 .LFE18: + 899 .size CyILO_Stop1K, .-CyILO_Stop1K + 900 .section .text.CyILO_Start100K,"ax",%progbits + 901 .align 1 + 902 .global CyILO_Start100K + 903 .thumb + 904 .thumb_func + 905 .type CyILO_Start100K, %function + 906 CyILO_Start100K: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 36 + + + 907 .LFB19: +1005:.\Generated_Source\PSoC5/CyLib.c **** } +1006:.\Generated_Source\PSoC5/CyLib.c **** +1007:.\Generated_Source\PSoC5/CyLib.c **** +1008:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1009:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_Start100K +1010:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1011:.\Generated_Source\PSoC5/CyLib.c **** * +1012:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1013:.\Generated_Source\PSoC5/CyLib.c **** * Enables the ILO 100 KHz oscillator. +1014:.\Generated_Source\PSoC5/CyLib.c **** * +1015:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1016:.\Generated_Source\PSoC5/CyLib.c **** * None +1017:.\Generated_Source\PSoC5/CyLib.c **** * +1018:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1019:.\Generated_Source\PSoC5/CyLib.c **** * None +1020:.\Generated_Source\PSoC5/CyLib.c **** * +1021:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1022:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_Start100K(void) +1023:.\Generated_Source\PSoC5/CyLib.c **** { + 908 .loc 1 1023 0 + 909 .cfi_startproc + 910 @ args = 0, pretend = 0, frame = 0 + 911 @ frame_needed = 0, uses_anonymous_args = 0 + 912 @ link register save eliminated. +1024:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 913 .loc 1 1024 0 + 914 0000 024B ldr r3, .L112 + 915 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 916 0004 42F00400 orr r0, r2, #4 + 917 0008 1870 strb r0, [r3, #0] + 918 000a 7047 bx lr + 919 .L113: + 920 .align 2 + 921 .L112: + 922 000c 00430040 .word 1073758976 + 923 .cfi_endproc + 924 .LFE19: + 925 .size CyILO_Start100K, .-CyILO_Start100K + 926 .section .text.CyILO_Stop100K,"ax",%progbits + 927 .align 1 + 928 .global CyILO_Stop100K + 929 .thumb + 930 .thumb_func + 931 .type CyILO_Stop100K, %function + 932 CyILO_Stop100K: + 933 .LFB20: +1025:.\Generated_Source\PSoC5/CyLib.c **** } +1026:.\Generated_Source\PSoC5/CyLib.c **** +1027:.\Generated_Source\PSoC5/CyLib.c **** +1028:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1029:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_Stop100K +1030:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1031:.\Generated_Source\PSoC5/CyLib.c **** * +1032:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1033:.\Generated_Source\PSoC5/CyLib.c **** * Disables the ILO 100 KHz oscillator. +1034:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 37 + + +1035:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1036:.\Generated_Source\PSoC5/CyLib.c **** * None +1037:.\Generated_Source\PSoC5/CyLib.c **** * +1038:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1039:.\Generated_Source\PSoC5/CyLib.c **** * None +1040:.\Generated_Source\PSoC5/CyLib.c **** * +1041:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1042:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_Stop100K(void) +1043:.\Generated_Source\PSoC5/CyLib.c **** { + 934 .loc 1 1043 0 + 935 .cfi_startproc + 936 @ args = 0, pretend = 0, frame = 0 + 937 @ frame_needed = 0, uses_anonymous_args = 0 + 938 @ link register save eliminated. +1044:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ)); + 939 .loc 1 1044 0 + 940 0000 024B ldr r3, .L115 + 941 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 942 0004 02F0FB00 and r0, r2, #251 + 943 0008 1870 strb r0, [r3, #0] + 944 000a 7047 bx lr + 945 .L116: + 946 .align 2 + 947 .L115: + 948 000c 00430040 .word 1073758976 + 949 .cfi_endproc + 950 .LFE20: + 951 .size CyILO_Stop100K, .-CyILO_Stop100K + 952 .section .text.CyIMO_Start,"ax",%progbits + 953 .align 1 + 954 .global CyIMO_Start + 955 .thumb + 956 .thumb_func + 957 .type CyIMO_Start, %function + 958 CyIMO_Start: + 959 .LFB4: + 297:.\Generated_Source\PSoC5/CyLib.c **** { + 960 .loc 1 297 0 + 961 .cfi_startproc + 962 @ args = 0, pretend = 0, frame = 0 + 963 @ frame_needed = 0, uses_anonymous_args = 0 + 964 .LVL55: + 965 0000 70B5 push {r4, r5, r6, lr} + 966 .LCFI2: + 967 .cfi_def_cfa_offset 16 + 968 .cfi_offset 4, -16 + 969 .cfi_offset 5, -12 + 970 .cfi_offset 6, -8 + 971 .cfi_offset 14, -4 + 303:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_ACT_CFG0_REG |= CY_LIB_PM_ACT_CFG0_IMO_EN; + 972 .loc 1 303 0 + 973 0002 114B ldr r3, .L128 + 974 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 975 0006 42F01001 orr r1, r2, #16 + 976 000a 1970 strb r1, [r3, #0] + 304:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_STBY_CFG0_REG |= CY_LIB_PM_STBY_CFG0_IMO_EN; + 977 .loc 1 304 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 38 + + + 978 000c 1A7C ldrb r2, [r3, #16] @ zero_extendqisi2 + 979 000e 42F01001 orr r1, r2, #16 + 980 0012 1974 strb r1, [r3, #16] + 981 0014 1033 adds r3, r3, #16 + 306:.\Generated_Source\PSoC5/CyLib.c **** if(0u != wait) + 982 .loc 1 306 0 + 983 0016 B0B1 cbz r0, .L117 + 309:.\Generated_Source\PSoC5/CyLib.c **** ilo100KhzEnable = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 984 .loc 1 309 0 + 985 0018 13F8B06C ldrb r6, [r3, #-176] @ zero_extendqisi2 + 310:.\Generated_Source\PSoC5/CyLib.c **** pmFtwCfg0Reg = CY_LIB_PM_TW_CFG0_REG; + 986 .loc 1 310 0 + 987 001c 13F8305C ldrb r5, [r3, #-48] @ zero_extendqisi2 + 309:.\Generated_Source\PSoC5/CyLib.c **** ilo100KhzEnable = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 988 .loc 1 309 0 + 989 0020 06F00400 and r0, r6, #4 + 990 .LVL56: + 991 0024 C6B2 uxtb r6, r0 + 992 .LVL57: + 313:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_LIB_CLK_IMO_FTW_TIMEOUT); + 993 .loc 1 313 0 + 994 0026 0020 movs r0, #0 + 995 .LVL58: + 311:.\Generated_Source\PSoC5/CyLib.c **** pmFtwCfg2Reg = CY_LIB_PM_TW_CFG2_REG; + 996 .loc 1 311 0 + 997 0028 13F82E4C ldrb r4, [r3, #-46] @ zero_extendqisi2 + 998 .LVL59: + 313:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_LIB_CLK_IMO_FTW_TIMEOUT); + 999 .loc 1 313 0 + 1000 002c FFF7FEFF bl CyPmFtwSetInterval + 1001 .LVL60: + 1002 .L119: + 315:.\Generated_Source\PSoC5/CyLib.c **** while (0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + 1003 .loc 1 315 0 discriminator 1 + 1004 0030 0120 movs r0, #1 + 1005 0032 FFF7FEFF bl CyPmReadStatus + 1006 .LVL61: + 1007 0036 C107 lsls r1, r0, #31 + 1008 0038 FAD5 bpl .L119 + 320:.\Generated_Source\PSoC5/CyLib.c **** if(0u == ilo100KhzEnable) + 1009 .loc 1 320 0 + 1010 003a 0EB9 cbnz r6, .L120 + 322:.\Generated_Source\PSoC5/CyLib.c **** CyILO_Stop100K(); + 1011 .loc 1 322 0 + 1012 003c FFF7FEFF bl CyILO_Stop100K + 1013 .LVL62: + 1014 .L120: + 325:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG0_REG = pmFtwCfg0Reg; + 1015 .loc 1 325 0 + 1016 0040 024B ldr r3, .L128+4 + 1017 0042 1D70 strb r5, [r3, #0] + 326:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG2_REG = pmFtwCfg2Reg; + 1018 .loc 1 326 0 + 1019 0044 9C70 strb r4, [r3, #2] + 1020 .LVL63: + 1021 .L117: + 1022 0046 70BD pop {r4, r5, r6, pc} + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 39 + + + 1023 .L129: + 1024 .align 2 + 1025 .L128: + 1026 0048 A0430040 .word 1073759136 + 1027 004c 80430040 .word 1073759104 + 1028 .cfi_endproc + 1029 .LFE4: + 1030 .size CyIMO_Start, .-CyIMO_Start + 1031 .section .text.CyPLL_OUT_Start,"ax",%progbits + 1032 .align 1 + 1033 .global CyPLL_OUT_Start + 1034 .thumb + 1035 .thumb_func + 1036 .type CyPLL_OUT_Start, %function + 1037 CyPLL_OUT_Start: + 1038 .LFB0: + 90:.\Generated_Source\PSoC5/CyLib.c **** { + 1039 .loc 1 90 0 + 1040 .cfi_startproc + 1041 @ args = 0, pretend = 0, frame = 0 + 1042 @ frame_needed = 0, uses_anonymous_args = 0 + 1043 .LVL64: + 1044 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 1045 .LCFI3: + 1046 .cfi_def_cfa_offset 24 + 1047 .cfi_offset 3, -24 + 1048 .cfi_offset 4, -20 + 1049 .cfi_offset 5, -16 + 1050 .cfi_offset 6, -12 + 1051 .cfi_offset 7, -8 + 1052 .cfi_offset 14, -4 + 99:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_PLL_CFG0_REG |= CY_CLK_PLL_ENABLE; + 1053 .loc 1 99 0 + 1054 0002 164B ldr r3, .L145 + 1055 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1056 0006 42F00101 orr r1, r2, #1 + 1057 000a 1970 strb r1, [r3, #0] + 101:.\Generated_Source\PSoC5/CyLib.c **** if(wait != 0u) + 1058 .loc 1 101 0 + 1059 000c 10B3 cbz r0, .L136 + 104:.\Generated_Source\PSoC5/CyLib.c **** iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 1060 .loc 1 104 0 + 1061 000e 93F8E070 ldrb r7, [r3, #224] @ zero_extendqisi2 + 105:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg0State = CY_LIB_PM_TW_CFG0_REG; + 1062 .loc 1 105 0 + 1063 0012 93F86061 ldrb r6, [r3, #352] @ zero_extendqisi2 + 104:.\Generated_Source\PSoC5/CyLib.c **** iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + 1064 .loc 1 104 0 + 1065 0016 07F00400 and r0, r7, #4 + 1066 .LVL65: + 1067 001a C7B2 uxtb r7, r0 + 1068 .LVL66: + 108:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_CLK_PLL_FTW_INTERVAL); + 1069 .loc 1 108 0 + 1070 001c 1820 movs r0, #24 + 1071 .LVL67: + 106:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg2State = CY_LIB_PM_TW_CFG2_REG; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 40 + + + 1072 .loc 1 106 0 + 1073 001e 93F86251 ldrb r5, [r3, #354] @ zero_extendqisi2 + 1074 .LVL68: + 108:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_CLK_PLL_FTW_INTERVAL); + 1075 .loc 1 108 0 + 1076 0022 FFF7FEFF bl CyPmFtwSetInterval + 1077 .LVL69: + 1078 .L143: + 112:.\Generated_Source\PSoC5/CyLib.c **** while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + 1079 .loc 1 112 0 discriminator 1 + 1080 0026 0120 movs r0, #1 + 1081 0028 FFF7FEFF bl CyPmReadStatus + 1082 .LVL70: + 1083 002c 10F00101 ands r1, r0, #1 + 1084 0030 08D1 bne .L144 + 1085 .L134: + 115:.\Generated_Source\PSoC5/CyLib.c **** if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + 1086 .loc 1 115 0 + 1087 0032 0B4C ldr r4, .L145+4 + 1088 0034 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1089 0036 DA07 lsls r2, r3, #31 + 1090 0038 F5D5 bpl .L143 + 117:.\Generated_Source\PSoC5/CyLib.c **** if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + 1091 .loc 1 117 0 + 1092 003a 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 1093 003c D407 lsls r4, r2, #31 + 1094 003e F2D5 bpl .L143 + 1095 .L137: + 119:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_SUCCESS; + 1096 .loc 1 119 0 + 1097 0040 0C46 mov r4, r1 + 1098 0042 00E0 b .L133 + 1099 .L144: + 110:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_TIMEOUT; + 1100 .loc 1 110 0 + 1101 0044 1024 movs r4, #16 + 1102 .L133: + 1103 .LVL71: + 126:.\Generated_Source\PSoC5/CyLib.c **** if(0u == iloEnableState) + 1104 .loc 1 126 0 + 1105 0046 0FB9 cbnz r7, .L135 + 128:.\Generated_Source\PSoC5/CyLib.c **** CyILO_Stop100K(); + 1106 .loc 1 128 0 + 1107 0048 FFF7FEFF bl CyILO_Stop100K + 1108 .LVL72: + 1109 .L135: + 131:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG0_REG = pmTwCfg0State; + 1110 .loc 1 131 0 + 1111 004c 0548 ldr r0, .L145+8 + 1112 004e 0670 strb r6, [r0, #0] + 132:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG2_REG = pmTwCfg2State; + 1113 .loc 1 132 0 + 1114 0050 8570 strb r5, [r0, #2] + 1115 0052 00E0 b .L131 + 1116 .LVL73: + 1117 .L136: + 91:.\Generated_Source\PSoC5/CyLib.c **** cystatus status = CYRET_SUCCESS; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 41 + + + 1118 .loc 1 91 0 + 1119 0054 0446 mov r4, r0 + 1120 .LVL74: + 1121 .L131: + 136:.\Generated_Source\PSoC5/CyLib.c **** } + 1122 .loc 1 136 0 + 1123 0056 2046 mov r0, r4 + 1124 0058 F8BD pop {r3, r4, r5, r6, r7, pc} + 1125 .L146: + 1126 005a 00BF .align 2 + 1127 .L145: + 1128 005c 20420040 .word 1073758752 + 1129 0060 25420040 .word 1073758757 + 1130 0064 80430040 .word 1073759104 + 1131 .cfi_endproc + 1132 .LFE0: + 1133 .size CyPLL_OUT_Start, .-CyPLL_OUT_Start + 1134 .section .text.CyILO_Enable33K,"ax",%progbits + 1135 .align 1 + 1136 .global CyILO_Enable33K + 1137 .thumb + 1138 .thumb_func + 1139 .type CyILO_Enable33K, %function + 1140 CyILO_Enable33K: + 1141 .LFB21: +1045:.\Generated_Source\PSoC5/CyLib.c **** } +1046:.\Generated_Source\PSoC5/CyLib.c **** +1047:.\Generated_Source\PSoC5/CyLib.c **** +1048:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1049:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_Enable33K +1050:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1051:.\Generated_Source\PSoC5/CyLib.c **** * +1052:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1053:.\Generated_Source\PSoC5/CyLib.c **** * Enables the ILO 33 KHz divider. +1054:.\Generated_Source\PSoC5/CyLib.c **** * +1055:.\Generated_Source\PSoC5/CyLib.c **** * Note that the 33 KHz clock is generated from the 100 KHz oscillator, +1056:.\Generated_Source\PSoC5/CyLib.c **** * so it must also be running in order to generate the 33 KHz output. +1057:.\Generated_Source\PSoC5/CyLib.c **** * +1058:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1059:.\Generated_Source\PSoC5/CyLib.c **** * None +1060:.\Generated_Source\PSoC5/CyLib.c **** * +1061:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1062:.\Generated_Source\PSoC5/CyLib.c **** * None +1063:.\Generated_Source\PSoC5/CyLib.c **** * +1064:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1065:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_Enable33K(void) +1066:.\Generated_Source\PSoC5/CyLib.c **** { + 1142 .loc 1 1066 0 + 1143 .cfi_startproc + 1144 @ args = 0, pretend = 0, frame = 0 + 1145 @ frame_needed = 0, uses_anonymous_args = 0 + 1146 @ link register save eliminated. +1067:.\Generated_Source\PSoC5/CyLib.c **** /* Set the bit 5 of ILO RS */ +1068:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ; + 1147 .loc 1 1068 0 + 1148 0000 024B ldr r3, .L148 + 1149 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 42 + + + 1150 0004 42F02000 orr r0, r2, #32 + 1151 0008 1870 strb r0, [r3, #0] + 1152 000a 7047 bx lr + 1153 .L149: + 1154 .align 2 + 1155 .L148: + 1156 000c 00430040 .word 1073758976 + 1157 .cfi_endproc + 1158 .LFE21: + 1159 .size CyILO_Enable33K, .-CyILO_Enable33K + 1160 .section .text.CyILO_Disable33K,"ax",%progbits + 1161 .align 1 + 1162 .global CyILO_Disable33K + 1163 .thumb + 1164 .thumb_func + 1165 .type CyILO_Disable33K, %function + 1166 CyILO_Disable33K: + 1167 .LFB22: +1069:.\Generated_Source\PSoC5/CyLib.c **** } +1070:.\Generated_Source\PSoC5/CyLib.c **** +1071:.\Generated_Source\PSoC5/CyLib.c **** +1072:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1073:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_Disable33K +1074:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1075:.\Generated_Source\PSoC5/CyLib.c **** * +1076:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1077:.\Generated_Source\PSoC5/CyLib.c **** * Disables the ILO 33 KHz divider. +1078:.\Generated_Source\PSoC5/CyLib.c **** * +1079:.\Generated_Source\PSoC5/CyLib.c **** * Note that the 33 KHz clock is generated from the 100 KHz oscillator, but this +1080:.\Generated_Source\PSoC5/CyLib.c **** * API does not disable the 100 KHz clock. +1081:.\Generated_Source\PSoC5/CyLib.c **** * +1082:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1083:.\Generated_Source\PSoC5/CyLib.c **** * None +1084:.\Generated_Source\PSoC5/CyLib.c **** * +1085:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1086:.\Generated_Source\PSoC5/CyLib.c **** * None +1087:.\Generated_Source\PSoC5/CyLib.c **** * +1088:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1089:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_Disable33K(void) +1090:.\Generated_Source\PSoC5/CyLib.c **** { + 1168 .loc 1 1090 0 + 1169 .cfi_startproc + 1170 @ args = 0, pretend = 0, frame = 0 + 1171 @ frame_needed = 0, uses_anonymous_args = 0 + 1172 @ link register save eliminated. +1091:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ)); + 1173 .loc 1 1091 0 + 1174 0000 024B ldr r3, .L151 + 1175 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1176 0004 02F0DF00 and r0, r2, #223 + 1177 0008 1870 strb r0, [r3, #0] + 1178 000a 7047 bx lr + 1179 .L152: + 1180 .align 2 + 1181 .L151: + 1182 000c 00430040 .word 1073758976 + 1183 .cfi_endproc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 43 + + + 1184 .LFE22: + 1185 .size CyILO_Disable33K, .-CyILO_Disable33K + 1186 .section .text.CyILO_SetSource,"ax",%progbits + 1187 .align 1 + 1188 .global CyILO_SetSource + 1189 .thumb + 1190 .thumb_func + 1191 .type CyILO_SetSource, %function + 1192 CyILO_SetSource: + 1193 .LFB23: +1092:.\Generated_Source\PSoC5/CyLib.c **** } +1093:.\Generated_Source\PSoC5/CyLib.c **** +1094:.\Generated_Source\PSoC5/CyLib.c **** +1095:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1096:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_SetSource +1097:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1098:.\Generated_Source\PSoC5/CyLib.c **** * +1099:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1100:.\Generated_Source\PSoC5/CyLib.c **** * Sets the source of the clock output from the ILO block. +1101:.\Generated_Source\PSoC5/CyLib.c **** * +1102:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1103:.\Generated_Source\PSoC5/CyLib.c **** * source: One of the three available ILO output sources +1104:.\Generated_Source\PSoC5/CyLib.c **** * Value Define Source +1105:.\Generated_Source\PSoC5/CyLib.c **** * 0 CY_ILO_SOURCE_100K ILO 100 KHz +1106:.\Generated_Source\PSoC5/CyLib.c **** * 1 CY_ILO_SOURCE_33K ILO 33 KHz +1107:.\Generated_Source\PSoC5/CyLib.c **** * 2 CY_ILO_SOURCE_1K ILO 1 KHz +1108:.\Generated_Source\PSoC5/CyLib.c **** * +1109:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1110:.\Generated_Source\PSoC5/CyLib.c **** * None +1111:.\Generated_Source\PSoC5/CyLib.c **** * +1112:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1113:.\Generated_Source\PSoC5/CyLib.c **** void CyILO_SetSource(uint8 source) +1114:.\Generated_Source\PSoC5/CyLib.c **** { + 1194 .loc 1 1114 0 + 1195 .cfi_startproc + 1196 @ args = 0, pretend = 0, frame = 0 + 1197 @ frame_needed = 0, uses_anonymous_args = 0 + 1198 @ link register save eliminated. + 1199 .LVL75: +1115:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG = (CY_LIB_CLKDIST_CR_REG & CY_ILO_SOURCE_BITS_CLEAR) | + 1200 .loc 1 1115 0 + 1201 0000 4FF04023 mov r3, #1073758208 + 1202 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 +1116:.\Generated_Source\PSoC5/CyLib.c **** (((uint8) (source << 2u)) & ((uint8)(~CY_ILO_SOURCE_BITS_CLEAR))); + 1203 .loc 1 1116 0 + 1204 0006 8000 lsls r0, r0, #2 + 1205 .LVL76: +1115:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_CLKDIST_CR_REG = (CY_LIB_CLKDIST_CR_REG & CY_ILO_SOURCE_BITS_CLEAR) | + 1206 .loc 1 1115 0 + 1207 0008 00F00C01 and r1, r0, #12 + 1208 000c 02F0F302 and r2, r2, #243 + 1209 0010 41EA0200 orr r0, r1, r2 + 1210 0014 1870 strb r0, [r3, #0] + 1211 0016 7047 bx lr + 1212 .cfi_endproc + 1213 .LFE23: + 1214 .size CyILO_SetSource, .-CyILO_SetSource + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 44 + + + 1215 .section .text.CyILO_SetPowerMode,"ax",%progbits + 1216 .align 1 + 1217 .global CyILO_SetPowerMode + 1218 .thumb + 1219 .thumb_func + 1220 .type CyILO_SetPowerMode, %function + 1221 CyILO_SetPowerMode: + 1222 .LFB24: +1117:.\Generated_Source\PSoC5/CyLib.c **** } +1118:.\Generated_Source\PSoC5/CyLib.c **** +1119:.\Generated_Source\PSoC5/CyLib.c **** +1120:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1121:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyILO_SetPowerMode +1122:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1123:.\Generated_Source\PSoC5/CyLib.c **** * +1124:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1125:.\Generated_Source\PSoC5/CyLib.c **** * Sets the power mode used by the ILO during power down. Allows for lower power +1126:.\Generated_Source\PSoC5/CyLib.c **** * down power usage resulting in a slower startup time. +1127:.\Generated_Source\PSoC5/CyLib.c **** * +1128:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1129:.\Generated_Source\PSoC5/CyLib.c **** * uint8 mode +1130:.\Generated_Source\PSoC5/CyLib.c **** * CY_ILO_FAST_START - Faster start-up, internal bias left on when powered down +1131:.\Generated_Source\PSoC5/CyLib.c **** * CY_ILO_SLOW_START - Slower start-up, internal bias off when powered down +1132:.\Generated_Source\PSoC5/CyLib.c **** * +1133:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1134:.\Generated_Source\PSoC5/CyLib.c **** * Prevous power mode state. +1135:.\Generated_Source\PSoC5/CyLib.c **** * +1136:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1137:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyILO_SetPowerMode(uint8 mode) +1138:.\Generated_Source\PSoC5/CyLib.c **** { + 1223 .loc 1 1138 0 + 1224 .cfi_startproc + 1225 @ args = 0, pretend = 0, frame = 0 + 1226 @ frame_needed = 0, uses_anonymous_args = 0 + 1227 @ link register save eliminated. + 1228 .LVL77: +1139:.\Generated_Source\PSoC5/CyLib.c **** uint8 state; +1140:.\Generated_Source\PSoC5/CyLib.c **** +1141:.\Generated_Source\PSoC5/CyLib.c **** /* Get current state. */ +1142:.\Generated_Source\PSoC5/CyLib.c **** state = CY_LIB_SLOWCLK_ILO_CR0_REG; + 1229 .loc 1 1142 0 + 1230 0000 054A ldr r2, .L158 + 1231 0002 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 1232 .LVL78: +1143:.\Generated_Source\PSoC5/CyLib.c **** +1144:.\Generated_Source\PSoC5/CyLib.c **** /* Set the the oscillator power mode. */ +1145:.\Generated_Source\PSoC5/CyLib.c **** if(mode != CY_ILO_FAST_START) + 1233 .loc 1 1145 0 + 1234 0004 10B1 cbz r0, .L155 +1146:.\Generated_Source\PSoC5/CyLib.c **** { +1147:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG = (state | CY_ILO_CONTROL_PD_MODE); + 1235 .loc 1 1147 0 + 1236 0006 43F01001 orr r1, r3, #16 + 1237 000a 01E0 b .L157 + 1238 .L155: +1148:.\Generated_Source\PSoC5/CyLib.c **** } +1149:.\Generated_Source\PSoC5/CyLib.c **** else + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 45 + + +1150:.\Generated_Source\PSoC5/CyLib.c **** { +1151:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_SLOWCLK_ILO_CR0_REG = (state & ((uint8)(~CY_ILO_CONTROL_PD_MODE))); + 1239 .loc 1 1151 0 + 1240 000c 03F0EF01 and r1, r3, #239 + 1241 .L157: + 1242 0010 1170 strb r1, [r2, #0] +1152:.\Generated_Source\PSoC5/CyLib.c **** } +1153:.\Generated_Source\PSoC5/CyLib.c **** +1154:.\Generated_Source\PSoC5/CyLib.c **** /* Return the old mode. */ +1155:.\Generated_Source\PSoC5/CyLib.c **** return ((state & CY_ILO_CONTROL_PD_MODE) >> CY_ILO_CONTROL_PD_POSITION); +1156:.\Generated_Source\PSoC5/CyLib.c **** } + 1243 .loc 1 1156 0 + 1244 0012 C3F30010 ubfx r0, r3, #4, #1 + 1245 .LVL79: + 1246 0016 7047 bx lr + 1247 .L159: + 1248 .align 2 + 1249 .L158: + 1250 0018 00430040 .word 1073758976 + 1251 .cfi_endproc + 1252 .LFE24: + 1253 .size CyILO_SetPowerMode, .-CyILO_SetPowerMode + 1254 .section .text.CyXTAL_32KHZ_Stop,"ax",%progbits + 1255 .align 1 + 1256 .global CyXTAL_32KHZ_Stop + 1257 .thumb + 1258 .thumb_func + 1259 .type CyXTAL_32KHZ_Stop, %function + 1260 CyXTAL_32KHZ_Stop: + 1261 .LFB26: +1157:.\Generated_Source\PSoC5/CyLib.c **** +1158:.\Generated_Source\PSoC5/CyLib.c **** +1159:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1160:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_32KHZ_Start +1161:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1162:.\Generated_Source\PSoC5/CyLib.c **** * +1163:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1164:.\Generated_Source\PSoC5/CyLib.c **** * Enables the 32 KHz Crystal Oscillator. +1165:.\Generated_Source\PSoC5/CyLib.c **** * +1166:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1167:.\Generated_Source\PSoC5/CyLib.c **** * None +1168:.\Generated_Source\PSoC5/CyLib.c **** * +1169:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1170:.\Generated_Source\PSoC5/CyLib.c **** * None +1171:.\Generated_Source\PSoC5/CyLib.c **** * +1172:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1173:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_32KHZ_Start(void) +1174:.\Generated_Source\PSoC5/CyLib.c **** { +1175:.\Generated_Source\PSoC5/CyLib.c **** volatile uint16 i; +1176:.\Generated_Source\PSoC5/CyLib.c **** +1177:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; +1178:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; +1179:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | +1180:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_LP_DEFAULT; +1181:.\Generated_Source\PSoC5/CyLib.c **** +1182:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC3) +1183:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_PDBEN; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 46 + + +1184:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC3) */ +1185:.\Generated_Source\PSoC5/CyLib.c **** +1186:.\Generated_Source\PSoC5/CyLib.c **** /* Enable operation of the 32K Crystal Oscillator */ +1187:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_EN; +1188:.\Generated_Source\PSoC5/CyLib.c **** +1189:.\Generated_Source\PSoC5/CyLib.c **** for (i = 1000u; i > 0u; i--) +1190:.\Generated_Source\PSoC5/CyLib.c **** { +1191:.\Generated_Source\PSoC5/CyLib.c **** if(0u != (CyXTAL_32KHZ_ReadStatus() & CY_XTAL32K_ANA_STAT)) +1192:.\Generated_Source\PSoC5/CyLib.c **** { +1193:.\Generated_Source\PSoC5/CyLib.c **** /* Ready - switch to the hign power mode */ +1194:.\Generated_Source\PSoC5/CyLib.c **** (void) CyXTAL_32KHZ_SetPowerMode(0u); +1195:.\Generated_Source\PSoC5/CyLib.c **** +1196:.\Generated_Source\PSoC5/CyLib.c **** break; +1197:.\Generated_Source\PSoC5/CyLib.c **** } +1198:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(1u); +1199:.\Generated_Source\PSoC5/CyLib.c **** } +1200:.\Generated_Source\PSoC5/CyLib.c **** } +1201:.\Generated_Source\PSoC5/CyLib.c **** +1202:.\Generated_Source\PSoC5/CyLib.c **** +1203:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1204:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_32KHZ_Stop +1205:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1206:.\Generated_Source\PSoC5/CyLib.c **** * +1207:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1208:.\Generated_Source\PSoC5/CyLib.c **** * Disables the 32KHz Crystal Oscillator. +1209:.\Generated_Source\PSoC5/CyLib.c **** * +1210:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1211:.\Generated_Source\PSoC5/CyLib.c **** * None +1212:.\Generated_Source\PSoC5/CyLib.c **** * +1213:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1214:.\Generated_Source\PSoC5/CyLib.c **** * None +1215:.\Generated_Source\PSoC5/CyLib.c **** * +1216:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1217:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_32KHZ_Stop(void) +1218:.\Generated_Source\PSoC5/CyLib.c **** { + 1262 .loc 1 1218 0 + 1263 .cfi_startproc + 1264 @ args = 0, pretend = 0, frame = 0 + 1265 @ frame_needed = 0, uses_anonymous_args = 0 + 1266 @ link register save eliminated. +1219:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1267 .loc 1 1219 0 + 1268 0000 094B ldr r3, .L161 + 1269 0002 F322 movs r2, #243 +1220:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_POWERDOWN; + 1270 .loc 1 1220 0 + 1271 0004 0949 ldr r1, .L161+4 +1219:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1272 .loc 1 1219 0 + 1273 0006 1A70 strb r2, [r3, #0] + 1274 .loc 1 1220 0 + 1275 0008 0020 movs r0, #0 +1221:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + 1276 .loc 1 1221 0 + 1277 000a 094B ldr r3, .L161+8 +1220:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_POWERDOWN; + 1278 .loc 1 1220 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 47 + + + 1279 000c 0870 strb r0, [r1, #0] + 1280 .loc 1 1221 0 + 1281 000e 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1282 0010 02F0F300 and r0, r2, #243 + 1283 0014 40F00401 orr r1, r0, #4 + 1284 0018 1970 strb r1, [r3, #0] +1222:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_LP_DEFAULT; +1223:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG &= ((uint8)(~(CY_CLK_XTAL32_CR_EN | CY_CLK_XTAL32_CR_LPM))); + 1285 .loc 1 1223 0 + 1286 001a 13F8012C ldrb r2, [r3, #-1] @ zero_extendqisi2 + 1287 001e 02F0FC00 and r0, r2, #252 + 1288 0022 03F8010C strb r0, [r3, #-1] + 1289 0026 7047 bx lr + 1290 .L162: + 1291 .align 2 + 1292 .L161: + 1293 0028 0A430040 .word 1073758986 + 1294 002c 98460040 .word 1073759896 + 1295 0030 09430040 .word 1073758985 + 1296 .cfi_endproc + 1297 .LFE26: + 1298 .size CyXTAL_32KHZ_Stop, .-CyXTAL_32KHZ_Stop + 1299 .section .text.CyXTAL_32KHZ_ReadStatus,"ax",%progbits + 1300 .align 1 + 1301 .global CyXTAL_32KHZ_ReadStatus + 1302 .thumb + 1303 .thumb_func + 1304 .type CyXTAL_32KHZ_ReadStatus, %function + 1305 CyXTAL_32KHZ_ReadStatus: + 1306 .LFB27: +1224:.\Generated_Source\PSoC5/CyLib.c **** +1225:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC3) +1226:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_PDBEN)); +1227:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC3) */ +1228:.\Generated_Source\PSoC5/CyLib.c **** } +1229:.\Generated_Source\PSoC5/CyLib.c **** +1230:.\Generated_Source\PSoC5/CyLib.c **** +1231:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1232:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_32KHZ_ReadStatus +1233:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1234:.\Generated_Source\PSoC5/CyLib.c **** * +1235:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1236:.\Generated_Source\PSoC5/CyLib.c **** * Returns status of the 32 KHz oscillator. +1237:.\Generated_Source\PSoC5/CyLib.c **** * +1238:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1239:.\Generated_Source\PSoC5/CyLib.c **** * None +1240:.\Generated_Source\PSoC5/CyLib.c **** * +1241:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1242:.\Generated_Source\PSoC5/CyLib.c **** * Value Define Source +1243:.\Generated_Source\PSoC5/CyLib.c **** * 20 CY_XTAL32K_ANA_STAT Analog measurement +1244:.\Generated_Source\PSoC5/CyLib.c **** * 1: Stable +1245:.\Generated_Source\PSoC5/CyLib.c **** * 0: Not stable +1246:.\Generated_Source\PSoC5/CyLib.c **** * +1247:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1248:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyXTAL_32KHZ_ReadStatus(void) +1249:.\Generated_Source\PSoC5/CyLib.c **** { + 1307 .loc 1 1249 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 48 + + + 1308 .cfi_startproc + 1309 @ args = 0, pretend = 0, frame = 0 + 1310 @ frame_needed = 0, uses_anonymous_args = 0 + 1311 @ link register save eliminated. +1250:.\Generated_Source\PSoC5/CyLib.c **** return(CY_CLK_XTAL32_CR_REG & CY_XTAL32K_ANA_STAT); + 1312 .loc 1 1250 0 + 1313 0000 024B ldr r3, .L164 + 1314 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 +1251:.\Generated_Source\PSoC5/CyLib.c **** } + 1315 .loc 1 1251 0 + 1316 0004 00F02000 and r0, r0, #32 + 1317 0008 7047 bx lr + 1318 .L165: + 1319 000a 00BF .align 2 + 1320 .L164: + 1321 000c 08430040 .word 1073758984 + 1322 .cfi_endproc + 1323 .LFE27: + 1324 .size CyXTAL_32KHZ_ReadStatus, .-CyXTAL_32KHZ_ReadStatus + 1325 .section .text.CyXTAL_Start,"ax",%progbits + 1326 .align 1 + 1327 .global CyXTAL_Start + 1328 .thumb + 1329 .thumb_func + 1330 .type CyXTAL_Start, %function + 1331 CyXTAL_Start: + 1332 .LFB29: +1252:.\Generated_Source\PSoC5/CyLib.c **** +1253:.\Generated_Source\PSoC5/CyLib.c **** +1254:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1255:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_32KHZ_SetPowerMode +1256:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1257:.\Generated_Source\PSoC5/CyLib.c **** * +1258:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1259:.\Generated_Source\PSoC5/CyLib.c **** * Sets the power mode for the 32 KHz oscillator used during sleep mode. +1260:.\Generated_Source\PSoC5/CyLib.c **** * Allows for lower power during sleep when there are fewer sources of noise. +1261:.\Generated_Source\PSoC5/CyLib.c **** * During active mode the oscillator is always run in high power mode. +1262:.\Generated_Source\PSoC5/CyLib.c **** * +1263:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1264:.\Generated_Source\PSoC5/CyLib.c **** * uint8 mode +1265:.\Generated_Source\PSoC5/CyLib.c **** * 0: High power mode +1266:.\Generated_Source\PSoC5/CyLib.c **** * 1: Low power mode during sleep +1267:.\Generated_Source\PSoC5/CyLib.c **** * +1268:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1269:.\Generated_Source\PSoC5/CyLib.c **** * Previous power mode. +1270:.\Generated_Source\PSoC5/CyLib.c **** * +1271:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1272:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) +1273:.\Generated_Source\PSoC5/CyLib.c **** { +1274:.\Generated_Source\PSoC5/CyLib.c **** uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; +1275:.\Generated_Source\PSoC5/CyLib.c **** +1276:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; +1277:.\Generated_Source\PSoC5/CyLib.c **** +1278:.\Generated_Source\PSoC5/CyLib.c **** if(1u == mode) +1279:.\Generated_Source\PSoC5/CyLib.c **** { +1280:.\Generated_Source\PSoC5/CyLib.c **** /* Low power mode during Sleep */ +1281:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_LOW_POWER; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 49 + + +1282:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(10u); +1283:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | +1284:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_LP_LOWPOWER; +1285:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(20u); +1286:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_LPM; +1287:.\Generated_Source\PSoC5/CyLib.c **** } +1288:.\Generated_Source\PSoC5/CyLib.c **** else +1289:.\Generated_Source\PSoC5/CyLib.c **** { +1290:.\Generated_Source\PSoC5/CyLib.c **** /* High power mode */ +1291:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_HIGH_POWER; +1292:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(10u); +1293:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | +1294:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_LP_DEFAULT; +1295:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_LPM)); +1296:.\Generated_Source\PSoC5/CyLib.c **** } +1297:.\Generated_Source\PSoC5/CyLib.c **** +1298:.\Generated_Source\PSoC5/CyLib.c **** return(state); +1299:.\Generated_Source\PSoC5/CyLib.c **** } +1300:.\Generated_Source\PSoC5/CyLib.c **** +1301:.\Generated_Source\PSoC5/CyLib.c **** +1302:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1303:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_Start +1304:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1305:.\Generated_Source\PSoC5/CyLib.c **** * +1306:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1307:.\Generated_Source\PSoC5/CyLib.c **** * Enables the megahertz crystal. +1308:.\Generated_Source\PSoC5/CyLib.c **** * +1309:.\Generated_Source\PSoC5/CyLib.c **** * PSoC 3: +1310:.\Generated_Source\PSoC5/CyLib.c **** * Waits until the XERR bit is low (no error) for a millisecond or until the +1311:.\Generated_Source\PSoC5/CyLib.c **** * number of milliseconds specified by the wait parameter has expired. +1312:.\Generated_Source\PSoC5/CyLib.c **** * +1313:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1314:.\Generated_Source\PSoC5/CyLib.c **** * wait: Valid range [0-255]. +1315:.\Generated_Source\PSoC5/CyLib.c **** * This is the timeout value in milliseconds. +1316:.\Generated_Source\PSoC5/CyLib.c **** * The appropriate value is crystal specific. +1317:.\Generated_Source\PSoC5/CyLib.c **** * +1318:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1319:.\Generated_Source\PSoC5/CyLib.c **** * CYRET_SUCCESS - Completed successfully +1320:.\Generated_Source\PSoC5/CyLib.c **** * CYRET_TIMEOUT - Timeout occurred without detecting a low value on XERR. +1321:.\Generated_Source\PSoC5/CyLib.c **** * +1322:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects and Restrictions: +1323:.\Generated_Source\PSoC5/CyLib.c **** * If wait is enabled (non-zero wait). Uses the Fast Timewheel to time the wait. +1324:.\Generated_Source\PSoC5/CyLib.c **** * Any other use of the Fast Timewheel (FTW) will be stopped during the period +1325:.\Generated_Source\PSoC5/CyLib.c **** * of this function and then restored. +1326:.\Generated_Source\PSoC5/CyLib.c **** * +1327:.\Generated_Source\PSoC5/CyLib.c **** * Uses the 100KHz ILO. If not enabled, this function will enable the 100KHz +1328:.\Generated_Source\PSoC5/CyLib.c **** * ILO for the period of this function. No changes to the setup of the ILO, +1329:.\Generated_Source\PSoC5/CyLib.c **** * Fast Timewheel, Central Timewheel or Once Per Second interrupt may be made +1330:.\Generated_Source\PSoC5/CyLib.c **** * by interrupt routines during the period of this function. +1331:.\Generated_Source\PSoC5/CyLib.c **** * +1332:.\Generated_Source\PSoC5/CyLib.c **** * The current operation of the ILO, Central Timewheel and Once Per Second +1333:.\Generated_Source\PSoC5/CyLib.c **** * interrupt are maintained during the operation of this function provided the +1334:.\Generated_Source\PSoC5/CyLib.c **** * reading of the Power Manager Interrupt Status Register is only done using the +1335:.\Generated_Source\PSoC5/CyLib.c **** * CyPmReadStatus() function. +1336:.\Generated_Source\PSoC5/CyLib.c **** * +1337:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1338:.\Generated_Source\PSoC5/CyLib.c **** cystatus CyXTAL_Start(uint8 wait) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 50 + + +1339:.\Generated_Source\PSoC5/CyLib.c **** { + 1333 .loc 1 1339 0 + 1334 .cfi_startproc + 1335 @ args = 0, pretend = 0, frame = 8 + 1336 @ frame_needed = 0, uses_anonymous_args = 0 + 1337 .LVL80: + 1338 0000 F7B5 push {r0, r1, r2, r4, r5, r6, r7, lr} + 1339 .LCFI4: + 1340 .cfi_def_cfa_offset 32 + 1341 .cfi_offset 0, -32 + 1342 .cfi_offset 1, -28 + 1343 .cfi_offset 2, -24 + 1344 .cfi_offset 4, -20 + 1345 .cfi_offset 5, -16 + 1346 .cfi_offset 6, -12 + 1347 .cfi_offset 7, -8 + 1348 .cfi_offset 14, -4 +1340:.\Generated_Source\PSoC5/CyLib.c **** cystatus status = CYRET_SUCCESS; +1341:.\Generated_Source\PSoC5/CyLib.c **** volatile uint8 timeout = wait; +1342:.\Generated_Source\PSoC5/CyLib.c **** volatile uint8 count; +1343:.\Generated_Source\PSoC5/CyLib.c **** uint8 iloEnableState; +1344:.\Generated_Source\PSoC5/CyLib.c **** uint8 pmTwCfg0Tmp; +1345:.\Generated_Source\PSoC5/CyLib.c **** uint8 pmTwCfg2Tmp; +1346:.\Generated_Source\PSoC5/CyLib.c **** +1347:.\Generated_Source\PSoC5/CyLib.c **** +1348:.\Generated_Source\PSoC5/CyLib.c **** /* Enables the MHz crystal oscillator circuit */ +1349:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_ENABLE; + 1349 .loc 1 1349 0 + 1350 0002 1F4B ldr r3, .L184 +1341:.\Generated_Source\PSoC5/CyLib.c **** volatile uint8 timeout = wait; + 1351 .loc 1 1341 0 + 1352 0004 8DF80600 strb r0, [sp, #6] + 1353 .LVL81: + 1354 .loc 1 1349 0 + 1355 0008 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1356 000a 42F00101 orr r1, r2, #1 + 1357 000e 1970 strb r1, [r3, #0] +1350:.\Generated_Source\PSoC5/CyLib.c **** +1351:.\Generated_Source\PSoC5/CyLib.c **** +1352:.\Generated_Source\PSoC5/CyLib.c **** if(wait > 0u) + 1358 .loc 1 1352 0 + 1359 0010 98B3 cbz r0, .L174 +1353:.\Generated_Source\PSoC5/CyLib.c **** { +1354:.\Generated_Source\PSoC5/CyLib.c **** /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ +1355:.\Generated_Source\PSoC5/CyLib.c **** iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG; +1356:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg0Tmp = CY_LIB_PM_TW_CFG0_REG; +1357:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg2Tmp = CY_LIB_PM_TW_CFG2_REG; +1358:.\Generated_Source\PSoC5/CyLib.c **** +1359:.\Generated_Source\PSoC5/CyLib.c **** /* Set 250 us interval */ +1360:.\Generated_Source\PSoC5/CyLib.c **** CyPmFtwSetInterval(CY_CLK_XMHZ_FTW_INTERVAL); + 1360 .loc 1 1360 0 + 1361 0012 1820 movs r0, #24 + 1362 .LVL82: +1355:.\Generated_Source\PSoC5/CyLib.c **** iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG; + 1363 .loc 1 1355 0 + 1364 0014 93F8F070 ldrb r7, [r3, #240] @ zero_extendqisi2 + 1365 .LVL83: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 51 + + +1356:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg0Tmp = CY_LIB_PM_TW_CFG0_REG; + 1366 .loc 1 1356 0 + 1367 0018 93F87061 ldrb r6, [r3, #368] @ zero_extendqisi2 + 1368 .LVL84: +1357:.\Generated_Source\PSoC5/CyLib.c **** pmTwCfg2Tmp = CY_LIB_PM_TW_CFG2_REG; + 1369 .loc 1 1357 0 + 1370 001c 93F87251 ldrb r5, [r3, #370] @ zero_extendqisi2 + 1371 .LVL85: + 1372 .loc 1 1360 0 + 1373 0020 FFF7FEFF bl CyPmFtwSetInterval + 1374 .LVL86: + 1375 .L168: +1361:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_TIMEOUT; +1362:.\Generated_Source\PSoC5/CyLib.c **** +1363:.\Generated_Source\PSoC5/CyLib.c **** +1364:.\Generated_Source\PSoC5/CyLib.c **** for( ; timeout > 0u; timeout--) + 1376 .loc 1 1364 0 discriminator 1 + 1377 0024 9DF80600 ldrb r0, [sp, #6] @ zero_extendqisi2 + 1378 .LVL87: + 1379 0028 E0B1 cbz r0, .L182 + 1380 .L172: +1365:.\Generated_Source\PSoC5/CyLib.c **** { +1366:.\Generated_Source\PSoC5/CyLib.c **** /* Read XERR bit to clear it */ +1367:.\Generated_Source\PSoC5/CyLib.c **** (void) CY_CLK_XMHZ_CSR_REG; + 1381 .loc 1 1367 0 + 1382 002a 154C ldr r4, .L184 +1368:.\Generated_Source\PSoC5/CyLib.c **** +1369:.\Generated_Source\PSoC5/CyLib.c **** /* Wait for a millisecond - 4 x 250 us */ +1370:.\Generated_Source\PSoC5/CyLib.c **** for(count = 4u; count > 0u; count--) + 1383 .loc 1 1370 0 + 1384 002c 0420 movs r0, #4 + 1385 .LVL88: +1367:.\Generated_Source\PSoC5/CyLib.c **** (void) CY_CLK_XMHZ_CSR_REG; + 1386 .loc 1 1367 0 + 1387 002e 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1388 .L181: + 1389 .LVL89: + 1390 .loc 1 1370 0 + 1391 0030 8DF80700 strb r0, [sp, #7] + 1392 0034 9DF80740 ldrb r4, [sp, #7] @ zero_extendqisi2 + 1393 .LVL90: + 1394 0038 4CB1 cbz r4, .L183 + 1395 .LVL91: + 1396 .L178: +1371:.\Generated_Source\PSoC5/CyLib.c **** { +1372:.\Generated_Source\PSoC5/CyLib.c **** while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + 1397 .loc 1 1372 0 + 1398 003a 0120 movs r0, #1 + 1399 003c FFF7FEFF bl CyPmReadStatus + 1400 .LVL92: + 1401 0040 C007 lsls r0, r0, #31 + 1402 0042 FAD5 bpl .L178 +1370:.\Generated_Source\PSoC5/CyLib.c **** for(count = 4u; count > 0u; count--) + 1403 .loc 1 1370 0 + 1404 0044 9DF80720 ldrb r2, [sp, #7] @ zero_extendqisi2 + 1405 .LVL93: + 1406 0048 511E subs r1, r2, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 52 + + + 1407 004a C8B2 uxtb r0, r1 + 1408 .LVL94: + 1409 004c F0E7 b .L181 + 1410 .L183: +1373:.\Generated_Source\PSoC5/CyLib.c **** { +1374:.\Generated_Source\PSoC5/CyLib.c **** /* Wait for the FTW interrupt event */ +1375:.\Generated_Source\PSoC5/CyLib.c **** } +1376:.\Generated_Source\PSoC5/CyLib.c **** } +1377:.\Generated_Source\PSoC5/CyLib.c **** +1378:.\Generated_Source\PSoC5/CyLib.c **** +1379:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************* +1380:.\Generated_Source\PSoC5/CyLib.c **** * High output indicates oscillator failure. +1381:.\Generated_Source\PSoC5/CyLib.c **** * Only can be used after start-up interval (1 ms) is completed. +1382:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************/ +1383:.\Generated_Source\PSoC5/CyLib.c **** if(0u == (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) + 1411 .loc 1 1383 0 + 1412 004e 0C4B ldr r3, .L184 + 1413 0050 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1414 0052 1106 lsls r1, r2, #24 + 1415 0054 07D5 bpl .L171 +1364:.\Generated_Source\PSoC5/CyLib.c **** for( ; timeout > 0u; timeout--) + 1416 .loc 1 1364 0 + 1417 0056 9DF80610 ldrb r1, [sp, #6] @ zero_extendqisi2 + 1418 .LVL95: + 1419 005a 481E subs r0, r1, #1 + 1420 005c C4B2 uxtb r4, r0 + 1421 .LVL96: + 1422 005e 8DF80640 strb r4, [sp, #6] + 1423 0062 DFE7 b .L168 + 1424 .LVL97: + 1425 .L182: +1361:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_TIMEOUT; + 1426 .loc 1 1361 0 + 1427 0064 1024 movs r4, #16 + 1428 .L171: + 1429 .LVL98: +1384:.\Generated_Source\PSoC5/CyLib.c **** { +1385:.\Generated_Source\PSoC5/CyLib.c **** status = CYRET_SUCCESS; +1386:.\Generated_Source\PSoC5/CyLib.c **** break; +1387:.\Generated_Source\PSoC5/CyLib.c **** } +1388:.\Generated_Source\PSoC5/CyLib.c **** } +1389:.\Generated_Source\PSoC5/CyLib.c **** +1390:.\Generated_Source\PSoC5/CyLib.c **** +1391:.\Generated_Source\PSoC5/CyLib.c **** /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ +1392:.\Generated_Source\PSoC5/CyLib.c **** if(0u == (iloEnableState & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ)) + 1430 .loc 1 1392 0 + 1431 0066 07F00407 and r7, r7, #4 + 1432 .LVL99: + 1433 006a FFB2 uxtb r7, r7 + 1434 006c 0FB9 cbnz r7, .L173 +1393:.\Generated_Source\PSoC5/CyLib.c **** { +1394:.\Generated_Source\PSoC5/CyLib.c **** CyILO_Stop100K(); + 1435 .loc 1 1394 0 + 1436 006e FFF7FEFF bl CyILO_Stop100K + 1437 .LVL100: + 1438 .L173: +1395:.\Generated_Source\PSoC5/CyLib.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 53 + + +1396:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG0_REG = pmTwCfg0Tmp; + 1439 .loc 1 1396 0 + 1440 0072 044B ldr r3, .L184+4 + 1441 0074 1E70 strb r6, [r3, #0] +1397:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_PM_TW_CFG2_REG = pmTwCfg2Tmp; + 1442 .loc 1 1397 0 + 1443 0076 9D70 strb r5, [r3, #2] + 1444 0078 00E0 b .L167 + 1445 .LVL101: + 1446 .L174: +1340:.\Generated_Source\PSoC5/CyLib.c **** cystatus status = CYRET_SUCCESS; + 1447 .loc 1 1340 0 + 1448 007a 0446 mov r4, r0 + 1449 .LVL102: + 1450 .L167: +1398:.\Generated_Source\PSoC5/CyLib.c **** } +1399:.\Generated_Source\PSoC5/CyLib.c **** +1400:.\Generated_Source\PSoC5/CyLib.c **** return(status); +1401:.\Generated_Source\PSoC5/CyLib.c **** } + 1451 .loc 1 1401 0 + 1452 007c 2046 mov r0, r4 + 1453 .LVL103: + 1454 007e FEBD pop {r1, r2, r3, r4, r5, r6, r7, pc} + 1455 .L185: + 1456 .align 2 + 1457 .L184: + 1458 0080 10420040 .word 1073758736 + 1459 0084 80430040 .word 1073759104 + 1460 .cfi_endproc + 1461 .LFE29: + 1462 .size CyXTAL_Start, .-CyXTAL_Start + 1463 .section .text.CyXTAL_Stop,"ax",%progbits + 1464 .align 1 + 1465 .global CyXTAL_Stop + 1466 .thumb + 1467 .thumb_func + 1468 .type CyXTAL_Stop, %function + 1469 CyXTAL_Stop: + 1470 .LFB30: +1402:.\Generated_Source\PSoC5/CyLib.c **** +1403:.\Generated_Source\PSoC5/CyLib.c **** +1404:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1405:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_Stop +1406:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1407:.\Generated_Source\PSoC5/CyLib.c **** * +1408:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1409:.\Generated_Source\PSoC5/CyLib.c **** * Disables the megahertz crystal oscillator. +1410:.\Generated_Source\PSoC5/CyLib.c **** * +1411:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1412:.\Generated_Source\PSoC5/CyLib.c **** * None +1413:.\Generated_Source\PSoC5/CyLib.c **** * +1414:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1415:.\Generated_Source\PSoC5/CyLib.c **** * None +1416:.\Generated_Source\PSoC5/CyLib.c **** * +1417:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1418:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_Stop(void) +1419:.\Generated_Source\PSoC5/CyLib.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 54 + + + 1471 .loc 1 1419 0 + 1472 .cfi_startproc + 1473 @ args = 0, pretend = 0, frame = 0 + 1474 @ frame_needed = 0, uses_anonymous_args = 0 + 1475 @ link register save eliminated. +1420:.\Generated_Source\PSoC5/CyLib.c **** /* Disable the the oscillator. */ +1421:.\Generated_Source\PSoC5/CyLib.c **** FASTCLK_XMHZ_CSR &= ((uint8)(~XMHZ_CONTROL_ENABLE)); + 1476 .loc 1 1421 0 + 1477 0000 024B ldr r3, .L187 + 1478 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1479 0004 02F0FE00 and r0, r2, #254 + 1480 0008 1870 strb r0, [r3, #0] + 1481 000a 7047 bx lr + 1482 .L188: + 1483 .align 2 + 1484 .L187: + 1485 000c 10420040 .word 1073758736 + 1486 .cfi_endproc + 1487 .LFE30: + 1488 .size CyXTAL_Stop, .-CyXTAL_Stop + 1489 .section .text.CyXTAL_EnableErrStatus,"ax",%progbits + 1490 .align 1 + 1491 .global CyXTAL_EnableErrStatus + 1492 .thumb + 1493 .thumb_func + 1494 .type CyXTAL_EnableErrStatus, %function + 1495 CyXTAL_EnableErrStatus: + 1496 .LFB31: +1422:.\Generated_Source\PSoC5/CyLib.c **** } +1423:.\Generated_Source\PSoC5/CyLib.c **** +1424:.\Generated_Source\PSoC5/CyLib.c **** +1425:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1426:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_EnableErrStatus +1427:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1428:.\Generated_Source\PSoC5/CyLib.c **** * +1429:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1430:.\Generated_Source\PSoC5/CyLib.c **** * Enables the generation of the XERR status bit for the megahertz crystal. +1431:.\Generated_Source\PSoC5/CyLib.c **** * This function is not available for PSoC5. +1432:.\Generated_Source\PSoC5/CyLib.c **** * +1433:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1434:.\Generated_Source\PSoC5/CyLib.c **** * None +1435:.\Generated_Source\PSoC5/CyLib.c **** * +1436:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1437:.\Generated_Source\PSoC5/CyLib.c **** * None +1438:.\Generated_Source\PSoC5/CyLib.c **** * +1439:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1440:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_EnableErrStatus(void) +1441:.\Generated_Source\PSoC5/CyLib.c **** { + 1497 .loc 1 1441 0 + 1498 .cfi_startproc + 1499 @ args = 0, pretend = 0, frame = 0 + 1500 @ frame_needed = 0, uses_anonymous_args = 0 + 1501 @ link register save eliminated. +1442:.\Generated_Source\PSoC5/CyLib.c **** /* If oscillator has insufficient amplitude, XERR bit will be high. */ +1443:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XFB)); + 1502 .loc 1 1443 0 + 1503 0000 024B ldr r3, .L190 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 55 + + + 1504 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1505 0004 02F0FB00 and r0, r2, #251 + 1506 0008 1870 strb r0, [r3, #0] + 1507 000a 7047 bx lr + 1508 .L191: + 1509 .align 2 + 1510 .L190: + 1511 000c 10420040 .word 1073758736 + 1512 .cfi_endproc + 1513 .LFE31: + 1514 .size CyXTAL_EnableErrStatus, .-CyXTAL_EnableErrStatus + 1515 .section .text.CyXTAL_DisableErrStatus,"ax",%progbits + 1516 .align 1 + 1517 .global CyXTAL_DisableErrStatus + 1518 .thumb + 1519 .thumb_func + 1520 .type CyXTAL_DisableErrStatus, %function + 1521 CyXTAL_DisableErrStatus: + 1522 .LFB32: +1444:.\Generated_Source\PSoC5/CyLib.c **** } +1445:.\Generated_Source\PSoC5/CyLib.c **** +1446:.\Generated_Source\PSoC5/CyLib.c **** +1447:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1448:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_DisableErrStatus +1449:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1450:.\Generated_Source\PSoC5/CyLib.c **** * +1451:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1452:.\Generated_Source\PSoC5/CyLib.c **** * Disables the generation of the XERR status bit for the megahertz crystal. +1453:.\Generated_Source\PSoC5/CyLib.c **** * This function is not available for PSoC5. +1454:.\Generated_Source\PSoC5/CyLib.c **** * +1455:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1456:.\Generated_Source\PSoC5/CyLib.c **** * None +1457:.\Generated_Source\PSoC5/CyLib.c **** * +1458:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1459:.\Generated_Source\PSoC5/CyLib.c **** * None +1460:.\Generated_Source\PSoC5/CyLib.c **** * +1461:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1462:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_DisableErrStatus(void) +1463:.\Generated_Source\PSoC5/CyLib.c **** { + 1523 .loc 1 1463 0 + 1524 .cfi_startproc + 1525 @ args = 0, pretend = 0, frame = 0 + 1526 @ frame_needed = 0, uses_anonymous_args = 0 + 1527 @ link register save eliminated. +1464:.\Generated_Source\PSoC5/CyLib.c **** /* If oscillator has insufficient amplitude, XERR bit will be high. */ +1465:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XFB; + 1528 .loc 1 1465 0 + 1529 0000 024B ldr r3, .L193 + 1530 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1531 0004 42F00400 orr r0, r2, #4 + 1532 0008 1870 strb r0, [r3, #0] + 1533 000a 7047 bx lr + 1534 .L194: + 1535 .align 2 + 1536 .L193: + 1537 000c 10420040 .word 1073758736 + 1538 .cfi_endproc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 56 + + + 1539 .LFE32: + 1540 .size CyXTAL_DisableErrStatus, .-CyXTAL_DisableErrStatus + 1541 .section .text.CyXTAL_ReadStatus,"ax",%progbits + 1542 .align 1 + 1543 .global CyXTAL_ReadStatus + 1544 .thumb + 1545 .thumb_func + 1546 .type CyXTAL_ReadStatus, %function + 1547 CyXTAL_ReadStatus: + 1548 .LFB33: +1466:.\Generated_Source\PSoC5/CyLib.c **** } +1467:.\Generated_Source\PSoC5/CyLib.c **** +1468:.\Generated_Source\PSoC5/CyLib.c **** +1469:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1470:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_ReadStatus +1471:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1472:.\Generated_Source\PSoC5/CyLib.c **** * +1473:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1474:.\Generated_Source\PSoC5/CyLib.c **** * Reads the XERR status bit for the megahertz crystal. This status bit is a +1475:.\Generated_Source\PSoC5/CyLib.c **** * sticky clear on read value. This function is not available for PSoC5. +1476:.\Generated_Source\PSoC5/CyLib.c **** * +1477:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1478:.\Generated_Source\PSoC5/CyLib.c **** * None +1479:.\Generated_Source\PSoC5/CyLib.c **** * +1480:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1481:.\Generated_Source\PSoC5/CyLib.c **** * Status +1482:.\Generated_Source\PSoC5/CyLib.c **** * 0: No error +1483:.\Generated_Source\PSoC5/CyLib.c **** * 1: Error +1484:.\Generated_Source\PSoC5/CyLib.c **** * +1485:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1486:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyXTAL_ReadStatus(void) +1487:.\Generated_Source\PSoC5/CyLib.c **** { + 1549 .loc 1 1487 0 + 1550 .cfi_startproc + 1551 @ args = 0, pretend = 0, frame = 0 + 1552 @ frame_needed = 0, uses_anonymous_args = 0 + 1553 @ link register save eliminated. +1488:.\Generated_Source\PSoC5/CyLib.c **** /*************************************************************************** +1489:.\Generated_Source\PSoC5/CyLib.c **** * High output indicates oscillator failure. Only use this after start-up +1490:.\Generated_Source\PSoC5/CyLib.c **** * interval is completed. This can be used for status and failure recovery. +1491:.\Generated_Source\PSoC5/CyLib.c **** ***************************************************************************/ +1492:.\Generated_Source\PSoC5/CyLib.c **** return((0u != (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) ? 1u : 0u); + 1554 .loc 1 1492 0 + 1555 0000 014B ldr r3, .L196 + 1556 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 +1493:.\Generated_Source\PSoC5/CyLib.c **** } + 1557 .loc 1 1493 0 + 1558 0004 C009 lsrs r0, r0, #7 + 1559 0006 7047 bx lr + 1560 .L197: + 1561 .align 2 + 1562 .L196: + 1563 0008 10420040 .word 1073758736 + 1564 .cfi_endproc + 1565 .LFE33: + 1566 .size CyXTAL_ReadStatus, .-CyXTAL_ReadStatus + 1567 .section .text.CyXTAL_EnableFaultRecovery,"ax",%progbits + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 57 + + + 1568 .align 1 + 1569 .global CyXTAL_EnableFaultRecovery + 1570 .thumb + 1571 .thumb_func + 1572 .type CyXTAL_EnableFaultRecovery, %function + 1573 CyXTAL_EnableFaultRecovery: + 1574 .LFB34: +1494:.\Generated_Source\PSoC5/CyLib.c **** +1495:.\Generated_Source\PSoC5/CyLib.c **** +1496:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1497:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_EnableFaultRecovery +1498:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1499:.\Generated_Source\PSoC5/CyLib.c **** * +1500:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1501:.\Generated_Source\PSoC5/CyLib.c **** * Enables the fault recovery circuit which will switch to the IMO in the case +1502:.\Generated_Source\PSoC5/CyLib.c **** * of a fault in the megahertz crystal circuit. The crystal must be up and +1503:.\Generated_Source\PSoC5/CyLib.c **** * running with the XERR bit at 0, before calling this function to prevent +1504:.\Generated_Source\PSoC5/CyLib.c **** * immediate fault switchover. This function is not available for PSoC5. +1505:.\Generated_Source\PSoC5/CyLib.c **** * +1506:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1507:.\Generated_Source\PSoC5/CyLib.c **** * None +1508:.\Generated_Source\PSoC5/CyLib.c **** * +1509:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1510:.\Generated_Source\PSoC5/CyLib.c **** * None +1511:.\Generated_Source\PSoC5/CyLib.c **** * +1512:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1513:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_EnableFaultRecovery(void) +1514:.\Generated_Source\PSoC5/CyLib.c **** { + 1575 .loc 1 1514 0 + 1576 .cfi_startproc + 1577 @ args = 0, pretend = 0, frame = 0 + 1578 @ frame_needed = 0, uses_anonymous_args = 0 + 1579 @ link register save eliminated. +1515:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XPROT; + 1580 .loc 1 1515 0 + 1581 0000 024B ldr r3, .L199 + 1582 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1583 0004 42F04000 orr r0, r2, #64 + 1584 0008 1870 strb r0, [r3, #0] + 1585 000a 7047 bx lr + 1586 .L200: + 1587 .align 2 + 1588 .L199: + 1589 000c 10420040 .word 1073758736 + 1590 .cfi_endproc + 1591 .LFE34: + 1592 .size CyXTAL_EnableFaultRecovery, .-CyXTAL_EnableFaultRecovery + 1593 .section .text.CyXTAL_DisableFaultRecovery,"ax",%progbits + 1594 .align 1 + 1595 .global CyXTAL_DisableFaultRecovery + 1596 .thumb + 1597 .thumb_func + 1598 .type CyXTAL_DisableFaultRecovery, %function + 1599 CyXTAL_DisableFaultRecovery: + 1600 .LFB35: +1516:.\Generated_Source\PSoC5/CyLib.c **** } +1517:.\Generated_Source\PSoC5/CyLib.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 58 + + +1518:.\Generated_Source\PSoC5/CyLib.c **** +1519:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1520:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_DisableFaultRecovery +1521:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1522:.\Generated_Source\PSoC5/CyLib.c **** * +1523:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1524:.\Generated_Source\PSoC5/CyLib.c **** * Disables the fault recovery circuit which will switch to the IMO in the case +1525:.\Generated_Source\PSoC5/CyLib.c **** * of a fault in the megahertz crystal circuit. This function is not available +1526:.\Generated_Source\PSoC5/CyLib.c **** * for PSoC5. +1527:.\Generated_Source\PSoC5/CyLib.c **** * +1528:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1529:.\Generated_Source\PSoC5/CyLib.c **** * None +1530:.\Generated_Source\PSoC5/CyLib.c **** * +1531:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1532:.\Generated_Source\PSoC5/CyLib.c **** * None +1533:.\Generated_Source\PSoC5/CyLib.c **** * +1534:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1535:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_DisableFaultRecovery(void) +1536:.\Generated_Source\PSoC5/CyLib.c **** { + 1601 .loc 1 1536 0 + 1602 .cfi_startproc + 1603 @ args = 0, pretend = 0, frame = 0 + 1604 @ frame_needed = 0, uses_anonymous_args = 0 + 1605 @ link register save eliminated. +1537:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XPROT)); + 1606 .loc 1 1537 0 + 1607 0000 024B ldr r3, .L202 + 1608 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1609 0004 02F0BF00 and r0, r2, #191 + 1610 0008 1870 strb r0, [r3, #0] + 1611 000a 7047 bx lr + 1612 .L203: + 1613 .align 2 + 1614 .L202: + 1615 000c 10420040 .word 1073758736 + 1616 .cfi_endproc + 1617 .LFE35: + 1618 .size CyXTAL_DisableFaultRecovery, .-CyXTAL_DisableFaultRecovery + 1619 .section .text.CyXTAL_SetStartup,"ax",%progbits + 1620 .align 1 + 1621 .global CyXTAL_SetStartup + 1622 .thumb + 1623 .thumb_func + 1624 .type CyXTAL_SetStartup, %function + 1625 CyXTAL_SetStartup: + 1626 .LFB36: +1538:.\Generated_Source\PSoC5/CyLib.c **** } +1539:.\Generated_Source\PSoC5/CyLib.c **** +1540:.\Generated_Source\PSoC5/CyLib.c **** +1541:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1542:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_SetStartup +1543:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1544:.\Generated_Source\PSoC5/CyLib.c **** * +1545:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1546:.\Generated_Source\PSoC5/CyLib.c **** * Sets the startup settings for the crystal. Logic model outputs a frequency +1547:.\Generated_Source\PSoC5/CyLib.c **** * (setting + 4) MHz when enabled. +1548:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 59 + + +1549:.\Generated_Source\PSoC5/CyLib.c **** * This is artificial as the actual frequency is determined by an attached +1550:.\Generated_Source\PSoC5/CyLib.c **** * external crystal. +1551:.\Generated_Source\PSoC5/CyLib.c **** * +1552:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1553:.\Generated_Source\PSoC5/CyLib.c **** * setting: Valid range [0-31]. +1554:.\Generated_Source\PSoC5/CyLib.c **** * Value is dependent on the frequency and quality of the crystal being used. +1555:.\Generated_Source\PSoC5/CyLib.c **** * Refer to the device TRM and datasheet for more information. +1556:.\Generated_Source\PSoC5/CyLib.c **** * +1557:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1558:.\Generated_Source\PSoC5/CyLib.c **** * None +1559:.\Generated_Source\PSoC5/CyLib.c **** * +1560:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1561:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_SetStartup(uint8 setting) +1562:.\Generated_Source\PSoC5/CyLib.c **** { + 1627 .loc 1 1562 0 + 1628 .cfi_startproc + 1629 @ args = 0, pretend = 0, frame = 0 + 1630 @ frame_needed = 0, uses_anonymous_args = 0 + 1631 @ link register save eliminated. + 1632 .LVL104: +1563:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CFG0_REG = (CY_CLK_XMHZ_CFG0_REG & ((uint8)(~CY_CLK_XMHZ_CFG0_XCFG_MASK))) | + 1633 .loc 1 1563 0 + 1634 0000 044B ldr r3, .L205 + 1635 0002 00F01F00 and r0, r0, #31 + 1636 .LVL105: + 1637 0006 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1638 0008 02F0E001 and r1, r2, #224 + 1639 000c 40EA0102 orr r2, r0, r1 + 1640 0010 1A70 strb r2, [r3, #0] + 1641 0012 7047 bx lr + 1642 .L206: + 1643 .align 2 + 1644 .L205: + 1645 0014 12420040 .word 1073758738 + 1646 .cfi_endproc + 1647 .LFE36: + 1648 .size CyXTAL_SetStartup, .-CyXTAL_SetStartup + 1649 .section .text.CyXTAL_SetFbVoltage,"ax",%progbits + 1650 .align 1 + 1651 .global CyXTAL_SetFbVoltage + 1652 .thumb + 1653 .thumb_func + 1654 .type CyXTAL_SetFbVoltage, %function + 1655 CyXTAL_SetFbVoltage: + 1656 .LFB37: +1564:.\Generated_Source\PSoC5/CyLib.c **** (setting & CY_CLK_XMHZ_CFG0_XCFG_MASK); +1565:.\Generated_Source\PSoC5/CyLib.c **** } +1566:.\Generated_Source\PSoC5/CyLib.c **** +1567:.\Generated_Source\PSoC5/CyLib.c **** +1568:.\Generated_Source\PSoC5/CyLib.c **** +1569:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1570:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_SetFbVoltage +1571:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1572:.\Generated_Source\PSoC5/CyLib.c **** * +1573:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1574:.\Generated_Source\PSoC5/CyLib.c **** * Sets the feedback reference voltage to use for the crystal circuit. +1575:.\Generated_Source\PSoC5/CyLib.c **** * This function is only available for PSoC3 and PSoC 5LP. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 60 + + +1576:.\Generated_Source\PSoC5/CyLib.c **** * +1577:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1578:.\Generated_Source\PSoC5/CyLib.c **** * setting: Valid range [0-15]. +1579:.\Generated_Source\PSoC5/CyLib.c **** * Refer to the device TRM and datasheet for more information. +1580:.\Generated_Source\PSoC5/CyLib.c **** * +1581:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1582:.\Generated_Source\PSoC5/CyLib.c **** * None +1583:.\Generated_Source\PSoC5/CyLib.c **** * +1584:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1585:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_SetFbVoltage(uint8 setting) +1586:.\Generated_Source\PSoC5/CyLib.c **** { + 1657 .loc 1 1586 0 + 1658 .cfi_startproc + 1659 @ args = 0, pretend = 0, frame = 0 + 1660 @ frame_needed = 0, uses_anonymous_args = 0 + 1661 @ link register save eliminated. + 1662 .LVL106: +1587:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_FB_MASK))) | + 1663 .loc 1 1587 0 + 1664 0000 044B ldr r3, .L208 + 1665 0002 00F00F00 and r0, r0, #15 + 1666 .LVL107: + 1667 0006 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1668 0008 02F0F001 and r1, r2, #240 + 1669 000c 40EA0102 orr r2, r0, r1 + 1670 0010 1A70 strb r2, [r3, #0] + 1671 0012 7047 bx lr + 1672 .L209: + 1673 .align 2 + 1674 .L208: + 1675 0014 13420040 .word 1073758739 + 1676 .cfi_endproc + 1677 .LFE37: + 1678 .size CyXTAL_SetFbVoltage, .-CyXTAL_SetFbVoltage + 1679 .section .text.CyXTAL_SetWdVoltage,"ax",%progbits + 1680 .align 1 + 1681 .global CyXTAL_SetWdVoltage + 1682 .thumb + 1683 .thumb_func + 1684 .type CyXTAL_SetWdVoltage, %function + 1685 CyXTAL_SetWdVoltage: + 1686 .LFB38: +1588:.\Generated_Source\PSoC5/CyLib.c **** (setting & CY_CLK_XMHZ_CFG1_VREF_FB_MASK)); +1589:.\Generated_Source\PSoC5/CyLib.c **** } +1590:.\Generated_Source\PSoC5/CyLib.c **** +1591:.\Generated_Source\PSoC5/CyLib.c **** +1592:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1593:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyXTAL_SetWdVoltage +1594:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1595:.\Generated_Source\PSoC5/CyLib.c **** * +1596:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1597:.\Generated_Source\PSoC5/CyLib.c **** * Sets the reference voltage used by the watchdog to detect a failure in the +1598:.\Generated_Source\PSoC5/CyLib.c **** * crystal circuit. This function is only available for PSoC3 and PSoC 5LP. +1599:.\Generated_Source\PSoC5/CyLib.c **** * +1600:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1601:.\Generated_Source\PSoC5/CyLib.c **** * setting: Valid range [0-7]. +1602:.\Generated_Source\PSoC5/CyLib.c **** * Refer to the device TRM and datasheet for more information. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 61 + + +1603:.\Generated_Source\PSoC5/CyLib.c **** * +1604:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1605:.\Generated_Source\PSoC5/CyLib.c **** * None +1606:.\Generated_Source\PSoC5/CyLib.c **** * +1607:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1608:.\Generated_Source\PSoC5/CyLib.c **** void CyXTAL_SetWdVoltage(uint8 setting) +1609:.\Generated_Source\PSoC5/CyLib.c **** { + 1687 .loc 1 1609 0 + 1688 .cfi_startproc + 1689 @ args = 0, pretend = 0, frame = 0 + 1690 @ frame_needed = 0, uses_anonymous_args = 0 + 1691 @ link register save eliminated. + 1692 .LVL108: +1610:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_WD_MASK))) | + 1693 .loc 1 1610 0 + 1694 0000 054B ldr r3, .L211 +1611:.\Generated_Source\PSoC5/CyLib.c **** (((uint8)(setting << 4u)) & CY_CLK_XMHZ_CFG1_VREF_WD_MASK)); + 1695 .loc 1 1611 0 + 1696 0002 0001 lsls r0, r0, #4 + 1697 .LVL109: +1610:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_WD_MASK))) | + 1698 .loc 1 1610 0 + 1699 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1700 0006 00F07001 and r1, r0, #112 + 1701 000a 02F08F02 and r2, r2, #143 + 1702 000e 41EA0200 orr r0, r1, r2 + 1703 0012 1870 strb r0, [r3, #0] + 1704 0014 7047 bx lr + 1705 .L212: + 1706 0016 00BF .align 2 + 1707 .L211: + 1708 0018 13420040 .word 1073758739 + 1709 .cfi_endproc + 1710 .LFE38: + 1711 .size CyXTAL_SetWdVoltage, .-CyXTAL_SetWdVoltage + 1712 .section .text.CyHalt,"ax",%progbits + 1713 .align 1 + 1714 .global CyHalt + 1715 .thumb + 1716 .thumb_func + 1717 .type CyHalt, %function + 1718 CyHalt: + 1719 .LFB39: +1612:.\Generated_Source\PSoC5/CyLib.c **** } +1613:.\Generated_Source\PSoC5/CyLib.c **** +1614:.\Generated_Source\PSoC5/CyLib.c **** +1615:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1616:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyHalt +1617:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1618:.\Generated_Source\PSoC5/CyLib.c **** * +1619:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1620:.\Generated_Source\PSoC5/CyLib.c **** * Halts the CPU. +1621:.\Generated_Source\PSoC5/CyLib.c **** * +1622:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1623:.\Generated_Source\PSoC5/CyLib.c **** * uint8 reason: Value to be used during debugging. +1624:.\Generated_Source\PSoC5/CyLib.c **** * +1625:.\Generated_Source\PSoC5/CyLib.c **** * Return: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 62 + + +1626:.\Generated_Source\PSoC5/CyLib.c **** * None +1627:.\Generated_Source\PSoC5/CyLib.c **** * +1628:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1629:.\Generated_Source\PSoC5/CyLib.c **** void CyHalt(uint8 reason) CYREENTRANT +1630:.\Generated_Source\PSoC5/CyLib.c **** { + 1720 .loc 1 1630 0 + 1721 .cfi_startproc + 1722 @ args = 0, pretend = 0, frame = 0 + 1723 @ frame_needed = 0, uses_anonymous_args = 0 + 1724 @ link register save eliminated. + 1725 .LVL110: +1631:.\Generated_Source\PSoC5/CyLib.c **** if(0u != reason) +1632:.\Generated_Source\PSoC5/CyLib.c **** { +1633:.\Generated_Source\PSoC5/CyLib.c **** /* To remove unreferenced local variable warning */ +1634:.\Generated_Source\PSoC5/CyLib.c **** } +1635:.\Generated_Source\PSoC5/CyLib.c **** +1636:.\Generated_Source\PSoC5/CyLib.c **** #if defined (__ARMCC_VERSION) +1637:.\Generated_Source\PSoC5/CyLib.c **** __breakpoint(0x0); +1638:.\Generated_Source\PSoC5/CyLib.c **** #elif defined(__GNUC__) || defined (__ICCARM__) +1639:.\Generated_Source\PSoC5/CyLib.c **** __asm(" bkpt 1"); + 1726 .loc 1 1639 0 + 1727 @ 1639 ".\Generated_Source\PSoC5\CyLib.c" 1 + 1728 0000 01BE bkpt 1 + 1729 @ 0 "" 2 + 1730 .thumb + 1731 0002 7047 bx lr + 1732 .cfi_endproc + 1733 .LFE39: + 1734 .size CyHalt, .-CyHalt + 1735 .section .text.CySoftwareReset,"ax",%progbits + 1736 .align 1 + 1737 .global CySoftwareReset + 1738 .thumb + 1739 .thumb_func + 1740 .type CySoftwareReset, %function + 1741 CySoftwareReset: + 1742 .LFB40: +1640:.\Generated_Source\PSoC5/CyLib.c **** #elif defined(__C51__) +1641:.\Generated_Source\PSoC5/CyLib.c **** CYDEV_HALT_CPU; +1642:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (__ARMCC_VERSION) */ +1643:.\Generated_Source\PSoC5/CyLib.c **** } +1644:.\Generated_Source\PSoC5/CyLib.c **** +1645:.\Generated_Source\PSoC5/CyLib.c **** +1646:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1647:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CySoftwareReset +1648:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1649:.\Generated_Source\PSoC5/CyLib.c **** * +1650:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1651:.\Generated_Source\PSoC5/CyLib.c **** * Forces a software reset of the device. +1652:.\Generated_Source\PSoC5/CyLib.c **** * +1653:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1654:.\Generated_Source\PSoC5/CyLib.c **** * None +1655:.\Generated_Source\PSoC5/CyLib.c **** * +1656:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1657:.\Generated_Source\PSoC5/CyLib.c **** * None +1658:.\Generated_Source\PSoC5/CyLib.c **** * +1659:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 63 + + +1660:.\Generated_Source\PSoC5/CyLib.c **** void CySoftwareReset(void) +1661:.\Generated_Source\PSoC5/CyLib.c **** { + 1743 .loc 1 1661 0 + 1744 .cfi_startproc + 1745 @ args = 0, pretend = 0, frame = 0 + 1746 @ frame_needed = 0, uses_anonymous_args = 0 + 1747 @ link register save eliminated. +1662:.\Generated_Source\PSoC5/CyLib.c **** CY_LIB_RESET_CR2_REG |= CY_LIB_RESET_CR2_RESET; + 1748 .loc 1 1662 0 + 1749 0000 024B ldr r3, .L215 + 1750 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1751 0004 42F00100 orr r0, r2, #1 + 1752 0008 1870 strb r0, [r3, #0] + 1753 000a 7047 bx lr + 1754 .L216: + 1755 .align 2 + 1756 .L215: + 1757 000c F6460040 .word 1073759990 + 1758 .cfi_endproc + 1759 .LFE40: + 1760 .size CySoftwareReset, .-CySoftwareReset + 1761 .section .text.CyDelay,"ax",%progbits + 1762 .align 1 + 1763 .global CyDelay + 1764 .thumb + 1765 .thumb_func + 1766 .type CyDelay, %function + 1767 CyDelay: + 1768 .LFB41: +1663:.\Generated_Source\PSoC5/CyLib.c **** } +1664:.\Generated_Source\PSoC5/CyLib.c **** +1665:.\Generated_Source\PSoC5/CyLib.c **** +1666:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1667:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyDelay +1668:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1669:.\Generated_Source\PSoC5/CyLib.c **** * +1670:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1671:.\Generated_Source\PSoC5/CyLib.c **** * Blocks for milliseconds. +1672:.\Generated_Source\PSoC5/CyLib.c **** * +1673:.\Generated_Source\PSoC5/CyLib.c **** * Note: +1674:.\Generated_Source\PSoC5/CyLib.c **** * CyDelay has been implemented with the instruction cache assumed enabled. When +1675:.\Generated_Source\PSoC5/CyLib.c **** * instruction cache is disabled on PSoC5, CyDelay will be two times larger. For +1676:.\Generated_Source\PSoC5/CyLib.c **** * example, with instruction cache disabled CyDelay(100) would result in about +1677:.\Generated_Source\PSoC5/CyLib.c **** * 200 ms delay instead of 100 ms. +1678:.\Generated_Source\PSoC5/CyLib.c **** * +1679:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1680:.\Generated_Source\PSoC5/CyLib.c **** * milliseconds: number of milliseconds to delay. +1681:.\Generated_Source\PSoC5/CyLib.c **** * +1682:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1683:.\Generated_Source\PSoC5/CyLib.c **** * None +1684:.\Generated_Source\PSoC5/CyLib.c **** * +1685:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1686:.\Generated_Source\PSoC5/CyLib.c **** void CyDelay(uint32 milliseconds) CYREENTRANT +1687:.\Generated_Source\PSoC5/CyLib.c **** { + 1769 .loc 1 1687 0 + 1770 .cfi_startproc + 1771 @ args = 0, pretend = 0, frame = 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 64 + + + 1772 @ frame_needed = 0, uses_anonymous_args = 0 + 1773 .LVL111: + 1774 0000 10B5 push {r4, lr} + 1775 .LCFI5: + 1776 .cfi_def_cfa_offset 8 + 1777 .cfi_offset 4, -8 + 1778 .cfi_offset 14, -4 + 1779 0002 0446 mov r4, r0 + 1780 .LVL112: + 1781 .L218: +1688:.\Generated_Source\PSoC5/CyLib.c **** while (milliseconds > 32768u) + 1782 .loc 1 1688 0 discriminator 1 + 1783 0004 B4F5004F cmp r4, #32768 + 1784 0008 064B ldr r3, .L221 + 1785 000a 05D9 bls .L220 + 1786 .L219: +1689:.\Generated_Source\PSoC5/CyLib.c **** { +1690:.\Generated_Source\PSoC5/CyLib.c **** /*********************************************************************** +1691:.\Generated_Source\PSoC5/CyLib.c **** * This loop prevents overflow.At 100MHz, milliseconds * delay_freq_khz +1692:.\Generated_Source\PSoC5/CyLib.c **** * overflows at about 42 seconds. +1693:.\Generated_Source\PSoC5/CyLib.c **** ***********************************************************************/ +1694:.\Generated_Source\PSoC5/CyLib.c **** CyDelayCycles(cydelay_32k_ms); + 1787 .loc 1 1694 0 + 1788 000c 1868 ldr r0, [r3, #0] + 1789 000e FFF7FEFF bl CyDelayCycles + 1790 .LVL113: +1695:.\Generated_Source\PSoC5/CyLib.c **** milliseconds = ((uint32)(milliseconds - 32768u)); + 1791 .loc 1 1695 0 + 1792 0012 A4F50044 sub r4, r4, #32768 + 1793 .LVL114: + 1794 0016 F5E7 b .L218 + 1795 .L220: +1696:.\Generated_Source\PSoC5/CyLib.c **** } +1697:.\Generated_Source\PSoC5/CyLib.c **** +1698:.\Generated_Source\PSoC5/CyLib.c **** CyDelayCycles(milliseconds * cydelay_freq_khz); + 1796 .loc 1 1698 0 + 1797 0018 5868 ldr r0, [r3, #4] + 1798 001a 6043 muls r0, r4, r0 +1699:.\Generated_Source\PSoC5/CyLib.c **** } + 1799 .loc 1 1699 0 + 1800 001c BDE81040 pop {r4, lr} +1698:.\Generated_Source\PSoC5/CyLib.c **** CyDelayCycles(milliseconds * cydelay_freq_khz); + 1801 .loc 1 1698 0 + 1802 0020 FFF7FEBF b CyDelayCycles + 1803 .LVL115: + 1804 .L222: + 1805 .align 2 + 1806 .L221: + 1807 0024 00000000 .word .LANCHOR0 + 1808 .cfi_endproc + 1809 .LFE41: + 1810 .size CyDelay, .-CyDelay + 1811 .section .text.CyDelayUs,"ax",%progbits + 1812 .align 1 + 1813 .global CyDelayUs + 1814 .thumb + 1815 .thumb_func + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 65 + + + 1816 .type CyDelayUs, %function + 1817 CyDelayUs: + 1818 .LFB42: +1700:.\Generated_Source\PSoC5/CyLib.c **** +1701:.\Generated_Source\PSoC5/CyLib.c **** +1702:.\Generated_Source\PSoC5/CyLib.c **** #if(!CY_PSOC3) +1703:.\Generated_Source\PSoC5/CyLib.c **** +1704:.\Generated_Source\PSoC5/CyLib.c **** /* For PSoC3 devices function is defined in CyBootAsmKeil.a51 file */ +1705:.\Generated_Source\PSoC5/CyLib.c **** +1706:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1707:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyDelayUs +1708:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1709:.\Generated_Source\PSoC5/CyLib.c **** * +1710:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1711:.\Generated_Source\PSoC5/CyLib.c **** * Blocks for microseconds. +1712:.\Generated_Source\PSoC5/CyLib.c **** * +1713:.\Generated_Source\PSoC5/CyLib.c **** * Note: +1714:.\Generated_Source\PSoC5/CyLib.c **** * CyDelay has been implemented with the instruction cache assumed enabled. +1715:.\Generated_Source\PSoC5/CyLib.c **** * When instruction cache is disabled on PSoC5, CyDelayUs will be two times +1716:.\Generated_Source\PSoC5/CyLib.c **** * larger. Ex: With instruction cache disabled CyDelayUs(100) would result +1717:.\Generated_Source\PSoC5/CyLib.c **** * in about 200us delay instead of 100us. +1718:.\Generated_Source\PSoC5/CyLib.c **** * +1719:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1720:.\Generated_Source\PSoC5/CyLib.c **** * uint16 microseconds: number of microseconds to delay. +1721:.\Generated_Source\PSoC5/CyLib.c **** * +1722:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1723:.\Generated_Source\PSoC5/CyLib.c **** * None +1724:.\Generated_Source\PSoC5/CyLib.c **** * +1725:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: +1726:.\Generated_Source\PSoC5/CyLib.c **** * CyDelayUS has been implemented with the instruction cache assumed enabled. +1727:.\Generated_Source\PSoC5/CyLib.c **** * When instruction cache is disabled on PSoC 5, CyDelayUs will be two times +1728:.\Generated_Source\PSoC5/CyLib.c **** * larger. For example, with instruction cache disabled CyDelayUs(100) would +1729:.\Generated_Source\PSoC5/CyLib.c **** * result in about 200 us delay instead of 100 us. +1730:.\Generated_Source\PSoC5/CyLib.c **** * +1731:.\Generated_Source\PSoC5/CyLib.c **** * If the bus clock frequency is a small non-integer number, the actual delay +1732:.\Generated_Source\PSoC5/CyLib.c **** * can be up to twice as long as the nominal value. The actual delay cannot be +1733:.\Generated_Source\PSoC5/CyLib.c **** * shorter than the nominal one. +1734:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1735:.\Generated_Source\PSoC5/CyLib.c **** void CyDelayUs(uint16 microseconds) CYREENTRANT +1736:.\Generated_Source\PSoC5/CyLib.c **** { + 1819 .loc 1 1736 0 + 1820 .cfi_startproc + 1821 @ args = 0, pretend = 0, frame = 0 + 1822 @ frame_needed = 0, uses_anonymous_args = 0 + 1823 @ link register save eliminated. + 1824 .LVL116: +1737:.\Generated_Source\PSoC5/CyLib.c **** CyDelayCycles((uint32)microseconds * cydelay_freq_mhz); + 1825 .loc 1 1737 0 + 1826 0000 024B ldr r3, .L224 + 1827 0002 197A ldrb r1, [r3, #8] @ zero_extendqisi2 + 1828 0004 4843 muls r0, r1, r0 + 1829 .LVL117: +1738:.\Generated_Source\PSoC5/CyLib.c **** } + 1830 .loc 1 1738 0 +1737:.\Generated_Source\PSoC5/CyLib.c **** CyDelayCycles((uint32)microseconds * cydelay_freq_mhz); + 1831 .loc 1 1737 0 + 1832 0006 FFF7FEBF b CyDelayCycles + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 66 + + + 1833 .LVL118: + 1834 .L225: + 1835 000a 00BF .align 2 + 1836 .L224: + 1837 000c 00000000 .word .LANCHOR0 + 1838 .cfi_endproc + 1839 .LFE42: + 1840 .size CyDelayUs, .-CyDelayUs + 1841 .section .text.CyXTAL_32KHZ_SetPowerMode,"ax",%progbits + 1842 .align 1 + 1843 .global CyXTAL_32KHZ_SetPowerMode + 1844 .thumb + 1845 .thumb_func + 1846 .type CyXTAL_32KHZ_SetPowerMode, %function + 1847 CyXTAL_32KHZ_SetPowerMode: + 1848 .LFB28: +1273:.\Generated_Source\PSoC5/CyLib.c **** { + 1849 .loc 1 1273 0 + 1850 .cfi_startproc + 1851 @ args = 0, pretend = 0, frame = 0 + 1852 @ frame_needed = 0, uses_anonymous_args = 0 + 1853 .LVL119: + 1854 0000 70B5 push {r4, r5, r6, lr} + 1855 .LCFI6: + 1856 .cfi_def_cfa_offset 16 + 1857 .cfi_offset 4, -16 + 1858 .cfi_offset 5, -12 + 1859 .cfi_offset 6, -8 + 1860 .cfi_offset 14, -4 +1274:.\Generated_Source\PSoC5/CyLib.c **** uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; + 1861 .loc 1 1274 0 + 1862 0002 164C ldr r4, .L230 +1276:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1863 .loc 1 1276 0 + 1864 0004 164B ldr r3, .L230+4 +1274:.\Generated_Source\PSoC5/CyLib.c **** uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; + 1865 .loc 1 1274 0 + 1866 0006 2678 ldrb r6, [r4, #0] @ zero_extendqisi2 +1276:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1867 .loc 1 1276 0 + 1868 0008 F321 movs r1, #243 +1278:.\Generated_Source\PSoC5/CyLib.c **** if(1u == mode) + 1869 .loc 1 1278 0 + 1870 000a 0128 cmp r0, #1 +1276:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1871 .loc 1 1276 0 + 1872 000c 1970 strb r1, [r3, #0] +1274:.\Generated_Source\PSoC5/CyLib.c **** uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; + 1873 .loc 1 1274 0 + 1874 000e C6F34006 ubfx r6, r6, #1, #1 + 1875 .LVL120: + 1876 0012 1449 ldr r1, .L230+8 + 1877 0014 144D ldr r5, .L230+12 +1278:.\Generated_Source\PSoC5/CyLib.c **** if(1u == mode) + 1878 .loc 1 1278 0 + 1879 0016 10D1 bne .L227 +1281:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_LOW_POWER; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 67 + + + 1880 .loc 1 1281 0 + 1881 0018 0870 strb r0, [r1, #0] +1282:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(10u); + 1882 .loc 1 1282 0 + 1883 001a 0A20 movs r0, #10 + 1884 .LVL121: + 1885 001c FFF7FEFF bl CyDelayUs + 1886 .LVL122: +1283:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + 1887 .loc 1 1283 0 + 1888 0020 2B78 ldrb r3, [r5, #0] @ zero_extendqisi2 +1285:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(20u); + 1889 .loc 1 1285 0 + 1890 0022 1420 movs r0, #20 +1283:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + 1891 .loc 1 1283 0 + 1892 0024 03F0F301 and r1, r3, #243 + 1893 0028 41F00802 orr r2, r1, #8 + 1894 002c 2A70 strb r2, [r5, #0] +1285:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(20u); + 1895 .loc 1 1285 0 + 1896 002e FFF7FEFF bl CyDelayUs + 1897 .LVL123: +1286:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_LPM; + 1898 .loc 1 1286 0 + 1899 0032 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 1900 0034 40F00200 orr r0, r0, #2 + 1901 0038 0DE0 b .L229 + 1902 .LVL124: + 1903 .L227: +1291:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_HIGH_POWER; + 1904 .loc 1 1291 0 + 1905 003a 0622 movs r2, #6 + 1906 003c 0A70 strb r2, [r1, #0] +1292:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(10u); + 1907 .loc 1 1292 0 + 1908 003e 0A20 movs r0, #10 + 1909 .LVL125: + 1910 0040 FFF7FEFF bl CyDelayUs + 1911 .LVL126: +1293:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + 1912 .loc 1 1293 0 + 1913 0044 2878 ldrb r0, [r5, #0] @ zero_extendqisi2 + 1914 0046 00F0F303 and r3, r0, #243 + 1915 004a 43F00401 orr r1, r3, #4 + 1916 004e 2970 strb r1, [r5, #0] +1295:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_LPM)); + 1917 .loc 1 1295 0 + 1918 0050 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 1919 0052 02F0FD00 and r0, r2, #253 + 1920 .L229: + 1921 0056 2070 strb r0, [r4, #0] +1299:.\Generated_Source\PSoC5/CyLib.c **** } + 1922 .loc 1 1299 0 + 1923 0058 3046 mov r0, r6 + 1924 005a 70BD pop {r4, r5, r6, pc} + 1925 .L231: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 68 + + + 1926 .align 2 + 1927 .L230: + 1928 005c 08430040 .word 1073758984 + 1929 0060 0A430040 .word 1073758986 + 1930 0064 98460040 .word 1073759896 + 1931 0068 09430040 .word 1073758985 + 1932 .cfi_endproc + 1933 .LFE28: + 1934 .size CyXTAL_32KHZ_SetPowerMode, .-CyXTAL_32KHZ_SetPowerMode + 1935 .section .text.CyXTAL_32KHZ_Start,"ax",%progbits + 1936 .align 1 + 1937 .global CyXTAL_32KHZ_Start + 1938 .thumb + 1939 .thumb_func + 1940 .type CyXTAL_32KHZ_Start, %function + 1941 CyXTAL_32KHZ_Start: + 1942 .LFB25: +1174:.\Generated_Source\PSoC5/CyLib.c **** { + 1943 .loc 1 1174 0 + 1944 .cfi_startproc + 1945 @ args = 0, pretend = 0, frame = 8 + 1946 @ frame_needed = 0, uses_anonymous_args = 0 + 1947 0000 07B5 push {r0, r1, r2, lr} + 1948 .LCFI7: + 1949 .cfi_def_cfa_offset 16 + 1950 .cfi_offset 0, -16 + 1951 .cfi_offset 1, -12 + 1952 .cfi_offset 2, -8 + 1953 .cfi_offset 14, -4 +1177:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1954 .loc 1 1177 0 + 1955 0002 174B ldr r3, .L238 + 1956 0004 F322 movs r2, #243 +1178:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; + 1957 .loc 1 1178 0 + 1958 0006 1749 ldr r1, .L238+4 +1177:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + 1959 .loc 1 1177 0 + 1960 0008 1A70 strb r2, [r3, #0] +1178:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; + 1961 .loc 1 1178 0 + 1962 000a 0320 movs r0, #3 +1179:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + 1963 .loc 1 1179 0 + 1964 000c 164B ldr r3, .L238+8 +1178:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; + 1965 .loc 1 1178 0 + 1966 000e 0870 strb r0, [r1, #0] +1179:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + 1967 .loc 1 1179 0 + 1968 0010 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1969 0012 02F0F300 and r0, r2, #243 + 1970 0016 40F00401 orr r1, r0, #4 + 1971 001a 1970 strb r1, [r3, #0] +1187:.\Generated_Source\PSoC5/CyLib.c **** CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_EN; + 1972 .loc 1 1187 0 + 1973 001c 13F8012C ldrb r2, [r3, #-1] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 69 + + + 1974 0020 42F00100 orr r0, r2, #1 + 1975 0024 03F8010C strb r0, [r3, #-1] +1189:.\Generated_Source\PSoC5/CyLib.c **** for (i = 1000u; i > 0u; i--) + 1976 .loc 1 1189 0 + 1977 0028 4FF47A73 mov r3, #1000 + 1978 .L237: + 1979 .LVL127: + 1980 002c ADF80630 strh r3, [sp, #6] @ movhi + 1981 0030 BDF80630 ldrh r3, [sp, #6] + 1982 .LVL128: + 1983 0034 99B2 uxth r1, r3 + 1984 0036 89B1 cbz r1, .L232 + 1985 .L236: + 1986 .LBB16: + 1987 .LBB17: +1250:.\Generated_Source\PSoC5/CyLib.c **** return(CY_CLK_XTAL32_CR_REG & CY_XTAL32K_ANA_STAT); + 1988 .loc 1 1250 0 + 1989 0038 0C4A ldr r2, .L238+12 + 1990 003a 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 1991 .LBE17: + 1992 .LBE16: +1191:.\Generated_Source\PSoC5/CyLib.c **** if(0u != (CyXTAL_32KHZ_ReadStatus() & CY_XTAL32K_ANA_STAT)) + 1993 .loc 1 1191 0 + 1994 003c 00F02003 and r3, r0, #32 + 1995 0040 D9B2 uxtb r1, r3 + 1996 0042 19B1 cbz r1, .L234 +1194:.\Generated_Source\PSoC5/CyLib.c **** (void) CyXTAL_32KHZ_SetPowerMode(0u); + 1997 .loc 1 1194 0 + 1998 0044 0020 movs r0, #0 + 1999 0046 FFF7FEFF bl CyXTAL_32KHZ_SetPowerMode + 2000 .LVL129: +1196:.\Generated_Source\PSoC5/CyLib.c **** break; + 2001 .loc 1 1196 0 + 2002 004a 07E0 b .L232 + 2003 .L234: +1198:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(1u); + 2004 .loc 1 1198 0 + 2005 004c 0120 movs r0, #1 + 2006 004e FFF7FEFF bl CyDelayUs + 2007 .LVL130: +1189:.\Generated_Source\PSoC5/CyLib.c **** for (i = 1000u; i > 0u; i--) + 2008 .loc 1 1189 0 + 2009 0052 BDF80620 ldrh r2, [sp, #6] + 2010 .LVL131: + 2011 0056 501E subs r0, r2, #1 + 2012 0058 83B2 uxth r3, r0 + 2013 .LVL132: + 2014 005a E7E7 b .L237 + 2015 .LVL133: + 2016 .L232: +1200:.\Generated_Source\PSoC5/CyLib.c **** } + 2017 .loc 1 1200 0 + 2018 005c 0EBD pop {r1, r2, r3, pc} + 2019 .L239: + 2020 005e 00BF .align 2 + 2021 .L238: + 2022 0060 0A430040 .word 1073758986 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 70 + + + 2023 0064 98460040 .word 1073759896 + 2024 0068 09430040 .word 1073758985 + 2025 006c 08430040 .word 1073758984 + 2026 .cfi_endproc + 2027 .LFE25: + 2028 .size CyXTAL_32KHZ_Start, .-CyXTAL_32KHZ_Start + 2029 .section .text.CyDelayFreq,"ax",%progbits + 2030 .align 1 + 2031 .global CyDelayFreq + 2032 .thumb + 2033 .thumb_func + 2034 .type CyDelayFreq, %function + 2035 CyDelayFreq: + 2036 .LFB43: +1739:.\Generated_Source\PSoC5/CyLib.c **** +1740:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (!CY_PSOC3) */ +1741:.\Generated_Source\PSoC5/CyLib.c **** +1742:.\Generated_Source\PSoC5/CyLib.c **** +1743:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1744:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyDelayFreq +1745:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1746:.\Generated_Source\PSoC5/CyLib.c **** * +1747:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1748:.\Generated_Source\PSoC5/CyLib.c **** * Sets clock frequency for CyDelay. +1749:.\Generated_Source\PSoC5/CyLib.c **** * +1750:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1751:.\Generated_Source\PSoC5/CyLib.c **** * freq: Frequency of bus clock in Hertz. +1752:.\Generated_Source\PSoC5/CyLib.c **** * +1753:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1754:.\Generated_Source\PSoC5/CyLib.c **** * None +1755:.\Generated_Source\PSoC5/CyLib.c **** * +1756:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1757:.\Generated_Source\PSoC5/CyLib.c **** void CyDelayFreq(uint32 freq) CYREENTRANT +1758:.\Generated_Source\PSoC5/CyLib.c **** { + 2037 .loc 1 1758 0 + 2038 .cfi_startproc + 2039 @ args = 0, pretend = 0, frame = 0 + 2040 @ frame_needed = 0, uses_anonymous_args = 0 + 2041 @ link register save eliminated. + 2042 .LVL134: + 2043 0000 0C4B ldr r3, .L243 +1759:.\Generated_Source\PSoC5/CyLib.c **** if (freq != 0u) + 2044 .loc 1 1759 0 + 2045 0002 08B1 cbz r0, .L241 +1760:.\Generated_Source\PSoC5/CyLib.c **** { +1761:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_hz = freq; + 2046 .loc 1 1761 0 + 2047 0004 D860 str r0, [r3, #12] + 2048 0006 01E0 b .L242 + 2049 .L241: +1762:.\Generated_Source\PSoC5/CyLib.c **** } +1763:.\Generated_Source\PSoC5/CyLib.c **** else +1764:.\Generated_Source\PSoC5/CyLib.c **** { +1765:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_hz = BCLK__BUS_CLK__HZ; + 2050 .loc 1 1765 0 + 2051 0008 0B4A ldr r2, .L243+4 + 2052 000a DA60 str r2, [r3, #12] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 71 + + + 2053 .L242: +1766:.\Generated_Source\PSoC5/CyLib.c **** } +1767:.\Generated_Source\PSoC5/CyLib.c **** +1768:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_mhz = (uint8)((cydelay_freq_hz + 999999u) / 1000000u); + 2054 .loc 1 1768 0 + 2055 000c D968 ldr r1, [r3, #12] + 2056 000e 01F57420 add r0, r1, #999424 + 2057 .LVL135: + 2058 0012 00F23F22 addw r2, r0, #575 + 2059 0016 0948 ldr r0, .L243+8 +1769:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_khz = (cydelay_freq_hz + 999u) / 1000u; + 2060 .loc 1 1769 0 + 2061 0018 01F2E731 addw r1, r1, #999 +1768:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_mhz = (uint8)((cydelay_freq_hz + 999999u) / 1000000u); + 2062 .loc 1 1768 0 + 2063 001c B2FBF0F2 udiv r2, r2, r0 + 2064 .loc 1 1769 0 + 2065 0020 4FF47A70 mov r0, #1000 +1768:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_mhz = (uint8)((cydelay_freq_hz + 999999u) / 1000000u); + 2066 .loc 1 1768 0 + 2067 0024 1A72 strb r2, [r3, #8] + 2068 .loc 1 1769 0 + 2069 0026 B1FBF0F2 udiv r2, r1, r0 +1770:.\Generated_Source\PSoC5/CyLib.c **** cydelay_32k_ms = 32768u * cydelay_freq_khz; + 2070 .loc 1 1770 0 + 2071 002a D103 lsls r1, r2, #15 +1769:.\Generated_Source\PSoC5/CyLib.c **** cydelay_freq_khz = (cydelay_freq_hz + 999u) / 1000u; + 2072 .loc 1 1769 0 + 2073 002c 5A60 str r2, [r3, #4] + 2074 .loc 1 1770 0 + 2075 002e 1960 str r1, [r3, #0] + 2076 0030 7047 bx lr + 2077 .L244: + 2078 0032 00BF .align 2 + 2079 .L243: + 2080 0034 00000000 .word .LANCHOR0 + 2081 0038 0090D003 .word 64000000 + 2082 003c 40420F00 .word 1000000 + 2083 .cfi_endproc + 2084 .LFE43: + 2085 .size CyDelayFreq, .-CyDelayFreq + 2086 .section .text.CyWdtStart,"ax",%progbits + 2087 .align 1 + 2088 .global CyWdtStart + 2089 .thumb + 2090 .thumb_func + 2091 .type CyWdtStart, %function + 2092 CyWdtStart: + 2093 .LFB44: +1771:.\Generated_Source\PSoC5/CyLib.c **** } +1772:.\Generated_Source\PSoC5/CyLib.c **** +1773:.\Generated_Source\PSoC5/CyLib.c **** +1774:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1775:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyWdtStart +1776:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1777:.\Generated_Source\PSoC5/CyLib.c **** * +1778:.\Generated_Source\PSoC5/CyLib.c **** * Summary: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 72 + + +1779:.\Generated_Source\PSoC5/CyLib.c **** * Enables the watchdog timer. +1780:.\Generated_Source\PSoC5/CyLib.c **** * +1781:.\Generated_Source\PSoC5/CyLib.c **** * The timer is configured for the specified count interval, the central +1782:.\Generated_Source\PSoC5/CyLib.c **** * timewheel is cleared, the setting for low power mode is configured and the +1783:.\Generated_Source\PSoC5/CyLib.c **** * watchdog timer is enabled. +1784:.\Generated_Source\PSoC5/CyLib.c **** * +1785:.\Generated_Source\PSoC5/CyLib.c **** * Once enabled the watchdog cannot be disabled. The watchdog counts each time +1786:.\Generated_Source\PSoC5/CyLib.c **** * the Central Time Wheel (CTW) reaches the period specified. The watchdog must +1787:.\Generated_Source\PSoC5/CyLib.c **** * be cleared using the CyWdtClear() function before three ticks of the watchdog +1788:.\Generated_Source\PSoC5/CyLib.c **** * timer occur. The CTW is free running, so this will occur after between 2 and +1789:.\Generated_Source\PSoC5/CyLib.c **** * 3 timer periods elapse. +1790:.\Generated_Source\PSoC5/CyLib.c **** * +1791:.\Generated_Source\PSoC5/CyLib.c **** * PSoC5: The watchdog timer should not be used during sleep modes. Since the +1792:.\Generated_Source\PSoC5/CyLib.c **** * WDT cannot be disabled after it is enabled, the WDT timeout period can be +1793:.\Generated_Source\PSoC5/CyLib.c **** * set to be greater than the sleep wakeup period, then feed the dog on each +1794:.\Generated_Source\PSoC5/CyLib.c **** * wakeup from Sleep. +1795:.\Generated_Source\PSoC5/CyLib.c **** * +1796:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1797:.\Generated_Source\PSoC5/CyLib.c **** * ticks: One of the four available timer periods. Once WDT enabled, the +1798:.\Generated_Source\PSoC5/CyLib.c **** interval cannot be changed. +1799:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_2_TICKS - 4 - 6 ms +1800:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_16_TICKS - 32 - 48 ms +1801:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_128_TICKS - 256 - 384 ms +1802:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_1024_TICKS - 2.048 - 3.072 s +1803:.\Generated_Source\PSoC5/CyLib.c **** * +1804:.\Generated_Source\PSoC5/CyLib.c **** * lpMode: Low power mode configuration. This parameter is ignored for PSoC 5. +1805:.\Generated_Source\PSoC5/CyLib.c **** * The WDT always acts as if CYWDT_LPMODE_NOCHANGE is passed. +1806:.\Generated_Source\PSoC5/CyLib.c **** * +1807:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_LPMODE_NOCHANGE - No Change +1808:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_LPMODE_MAXINTER - Switch to longest timer mode during low power +1809:.\Generated_Source\PSoC5/CyLib.c **** * mode +1810:.\Generated_Source\PSoC5/CyLib.c **** * CYWDT_LPMODE_DISABLED - Disable WDT during low power mode +1811:.\Generated_Source\PSoC5/CyLib.c **** * +1812:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1813:.\Generated_Source\PSoC5/CyLib.c **** * None +1814:.\Generated_Source\PSoC5/CyLib.c **** * +1815:.\Generated_Source\PSoC5/CyLib.c **** * Side Effects: +1816:.\Generated_Source\PSoC5/CyLib.c **** * PSoC5: The ILO 1 KHz must be enabled for proper WDT operation. Stopping the +1817:.\Generated_Source\PSoC5/CyLib.c **** * ILO 1 kHz could break the active WDT functionality. +1818:.\Generated_Source\PSoC5/CyLib.c **** * +1819:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1820:.\Generated_Source\PSoC5/CyLib.c **** void CyWdtStart(uint8 ticks, uint8 lpMode) +1821:.\Generated_Source\PSoC5/CyLib.c **** { + 2094 .loc 1 1821 0 + 2095 .cfi_startproc + 2096 @ args = 0, pretend = 0, frame = 0 + 2097 @ frame_needed = 0, uses_anonymous_args = 0 + 2098 @ link register save eliminated. + 2099 .LVL136: +1822:.\Generated_Source\PSoC5/CyLib.c **** /* Set WDT interval */ +1823:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG = (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_INTERVAL_MASK))) | (ticks & CY_WDT_CFG_ + 2100 .loc 1 1823 0 + 2101 0000 0E4B ldr r3, .L246 + 2102 0002 00F00300 and r0, r0, #3 + 2103 .LVL137: + 2104 0006 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 +1824:.\Generated_Source\PSoC5/CyLib.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 73 + + +1825:.\Generated_Source\PSoC5/CyLib.c **** /* Reset CTW to ensure that first watchdog period is full */ +1826:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG |= CY_WDT_CFG_CTW_RESET; +1827:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG &= ((uint8)(~CY_WDT_CFG_CTW_RESET)); +1828:.\Generated_Source\PSoC5/CyLib.c **** +1829:.\Generated_Source\PSoC5/CyLib.c **** /* Setting the low power mode */ +1830:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG = (((uint8)(lpMode << CY_WDT_CFG_LPMODE_SHIFT)) & CY_WDT_CFG_LPMODE_MASK) | + 2105 .loc 1 1830 0 + 2106 0008 4901 lsls r1, r1, #5 + 2107 .LVL138: +1823:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG = (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_INTERVAL_MASK))) | (ticks & CY_WDT_CFG_ + 2108 .loc 1 1823 0 + 2109 000a 02F0FC02 and r2, r2, #252 + 2110 000e 1043 orrs r0, r0, r2 + 2111 0010 1870 strb r0, [r3, #0] +1826:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG |= CY_WDT_CFG_CTW_RESET; + 2112 .loc 1 1826 0 + 2113 0012 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 2114 0014 42F08000 orr r0, r2, #128 + 2115 0018 1870 strb r0, [r3, #0] +1827:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG &= ((uint8)(~CY_WDT_CFG_CTW_RESET)); + 2116 .loc 1 1827 0 + 2117 001a 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 2118 001c 02F07F00 and r0, r2, #127 + 2119 0020 1870 strb r0, [r3, #0] +1831:.\Generated_Source\PSoC5/CyLib.c **** (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_LPMODE_MASK))); + 2120 .loc 1 1831 0 + 2121 0022 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 +1830:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG = (((uint8)(lpMode << CY_WDT_CFG_LPMODE_SHIFT)) & CY_WDT_CFG_LPMODE_MASK) | + 2122 .loc 1 1830 0 + 2123 0024 01F06000 and r0, r1, #96 + 2124 0028 02F09F02 and r2, r2, #159 + 2125 002c 40EA0201 orr r1, r0, r2 + 2126 0030 1970 strb r1, [r3, #0] +1832:.\Generated_Source\PSoC5/CyLib.c **** +1833:.\Generated_Source\PSoC5/CyLib.c **** /* Enables the watchdog reset */ +1834:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CFG_REG |= CY_WDT_CFG_WDR_EN; + 2127 .loc 1 1834 0 + 2128 0032 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 2129 0034 40F01002 orr r2, r0, #16 + 2130 0038 1A70 strb r2, [r3, #0] + 2131 003a 7047 bx lr + 2132 .L247: + 2133 .align 2 + 2134 .L246: + 2135 003c 83430040 .word 1073759107 + 2136 .cfi_endproc + 2137 .LFE44: + 2138 .size CyWdtStart, .-CyWdtStart + 2139 .section .text.CyWdtClear,"ax",%progbits + 2140 .align 1 + 2141 .global CyWdtClear + 2142 .thumb + 2143 .thumb_func + 2144 .type CyWdtClear, %function + 2145 CyWdtClear: + 2146 .LFB45: +1835:.\Generated_Source\PSoC5/CyLib.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 74 + + +1836:.\Generated_Source\PSoC5/CyLib.c **** +1837:.\Generated_Source\PSoC5/CyLib.c **** +1838:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1839:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyWdtClear +1840:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1841:.\Generated_Source\PSoC5/CyLib.c **** * +1842:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1843:.\Generated_Source\PSoC5/CyLib.c **** * Clears (feeds) the watchdog timer. +1844:.\Generated_Source\PSoC5/CyLib.c **** * +1845:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1846:.\Generated_Source\PSoC5/CyLib.c **** * None +1847:.\Generated_Source\PSoC5/CyLib.c **** * +1848:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1849:.\Generated_Source\PSoC5/CyLib.c **** * None +1850:.\Generated_Source\PSoC5/CyLib.c **** * +1851:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1852:.\Generated_Source\PSoC5/CyLib.c **** void CyWdtClear(void) +1853:.\Generated_Source\PSoC5/CyLib.c **** { + 2147 .loc 1 1853 0 + 2148 .cfi_startproc + 2149 @ args = 0, pretend = 0, frame = 0 + 2150 @ frame_needed = 0, uses_anonymous_args = 0 + 2151 @ link register save eliminated. +1854:.\Generated_Source\PSoC5/CyLib.c **** CY_WDT_CR_REG = CY_WDT_CR_FEED; + 2152 .loc 1 1854 0 + 2153 0000 014B ldr r3, .L249 + 2154 0002 0122 movs r2, #1 + 2155 0004 1A70 strb r2, [r3, #0] + 2156 0006 7047 bx lr + 2157 .L250: + 2158 .align 2 + 2159 .L249: + 2160 0008 84430040 .word 1073759108 + 2161 .cfi_endproc + 2162 .LFE45: + 2163 .size CyWdtClear, .-CyWdtClear + 2164 .section .text.CyVdLvDigitEnable,"ax",%progbits + 2165 .align 1 + 2166 .global CyVdLvDigitEnable + 2167 .thumb + 2168 .thumb_func + 2169 .type CyVdLvDigitEnable, %function + 2170 CyVdLvDigitEnable: + 2171 .LFB46: +1855:.\Generated_Source\PSoC5/CyLib.c **** } +1856:.\Generated_Source\PSoC5/CyLib.c **** +1857:.\Generated_Source\PSoC5/CyLib.c **** +1858:.\Generated_Source\PSoC5/CyLib.c **** +1859:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1860:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdLvDigitEnable +1861:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1862:.\Generated_Source\PSoC5/CyLib.c **** * +1863:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1864:.\Generated_Source\PSoC5/CyLib.c **** * Enables the digital low voltage monitors to generate interrupt on Vddd +1865:.\Generated_Source\PSoC5/CyLib.c **** * archives specified threshold and optionally resets device. +1866:.\Generated_Source\PSoC5/CyLib.c **** * +1867:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 75 + + +1868:.\Generated_Source\PSoC5/CyLib.c **** * reset: Option to reset device at a specified Vddd threshold: +1869:.\Generated_Source\PSoC5/CyLib.c **** * 0 - Device is not reset. +1870:.\Generated_Source\PSoC5/CyLib.c **** * 1 - Device is reset. +1871:.\Generated_Source\PSoC5/CyLib.c **** * +1872:.\Generated_Source\PSoC5/CyLib.c **** * threshold: Sets the trip level for the voltage monitor. +1873:.\Generated_Source\PSoC5/CyLib.c **** * Values from 1.70 V to 5.45 V are accepted with the approximately 250 mV +1874:.\Generated_Source\PSoC5/CyLib.c **** * interval. +1875:.\Generated_Source\PSoC5/CyLib.c **** * +1876:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1877:.\Generated_Source\PSoC5/CyLib.c **** * None +1878:.\Generated_Source\PSoC5/CyLib.c **** * +1879:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1880:.\Generated_Source\PSoC5/CyLib.c **** void CyVdLvDigitEnable(uint8 reset, uint8 threshold) +1881:.\Generated_Source\PSoC5/CyLib.c **** { + 2172 .loc 1 1881 0 + 2173 .cfi_startproc + 2174 @ args = 0, pretend = 0, frame = 0 + 2175 @ frame_needed = 0, uses_anonymous_args = 0 + 2176 .LVL139: + 2177 0000 38B5 push {r3, r4, r5, lr} + 2178 .LCFI8: + 2179 .cfi_def_cfa_offset 16 + 2180 .cfi_offset 3, -16 + 2181 .cfi_offset 4, -12 + 2182 .cfi_offset 5, -8 + 2183 .cfi_offset 14, -4 +1882:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLEAR_PTR = 0x01u; + 2184 .loc 1 1882 0 + 2185 0002 144A ldr r2, .L255 + 2186 0004 0123 movs r3, #1 +1883:.\Generated_Source\PSoC5/CyLib.c **** +1884:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + 2187 .loc 1 1884 0 + 2188 0006 144C ldr r4, .L255+4 +1882:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLEAR_PTR = 0x01u; + 2189 .loc 1 1882 0 + 2190 0008 1360 str r3, [r2, #0] +1881:.\Generated_Source\PSoC5/CyLib.c **** { + 2191 .loc 1 1881 0 + 2192 000a 0546 mov r5, r0 + 2193 .loc 1 1884 0 + 2194 000c 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 2195 .LVL140: +1885:.\Generated_Source\PSoC5/CyLib.c **** +1886:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_TRIP_REG = (threshold & CY_VD_LVI_TRIP_LVID_MASK) | + 2196 .loc 1 1886 0 + 2197 000e 01F00F01 and r1, r1, #15 + 2198 .LVL141: +1884:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + 2199 .loc 1 1884 0 + 2200 0012 00F0BF02 and r2, r0, #191 + 2201 0016 2270 strb r2, [r4, #0] +1887:.\Generated_Source\PSoC5/CyLib.c **** (CY_VD_LVI_TRIP_REG & ((uint8)(~CY_VD_LVI_TRIP_LVID_MASK))); + 2202 .loc 1 1887 0 + 2203 0018 104A ldr r2, .L255+8 + 2204 001a 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 +1886:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_TRIP_REG = (threshold & CY_VD_LVI_TRIP_LVID_MASK) | + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 76 + + + 2205 .loc 1 1886 0 + 2206 001c 00F0F000 and r0, r0, #240 + 2207 0020 0143 orrs r1, r1, r0 + 2208 0022 1170 strb r1, [r2, #0] +1888:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVID_EN; + 2209 .loc 1 1888 0 + 2210 0024 5078 ldrb r0, [r2, #1] @ zero_extendqisi2 + 2211 0026 1843 orrs r0, r0, r3 + 2212 0028 5070 strb r0, [r2, #1] +1889:.\Generated_Source\PSoC5/CyLib.c **** +1890:.\Generated_Source\PSoC5/CyLib.c **** /* Timeout to eliminate glitches on the LVI/HVI when enabling */ +1891:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(1u); + 2213 .loc 1 1891 0 + 2214 002a 1846 mov r0, r3 + 2215 002c FFF7FEFF bl CyDelayUs + 2216 .LVL142: +1892:.\Generated_Source\PSoC5/CyLib.c **** +1893:.\Generated_Source\PSoC5/CyLib.c **** (void)CY_VD_PERSISTENT_STATUS_REG; + 2217 .loc 1 1893 0 + 2218 0030 0B4B ldr r3, .L255+12 + 2219 0032 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 +1894:.\Generated_Source\PSoC5/CyLib.c **** +1895:.\Generated_Source\PSoC5/CyLib.c **** if(0u != reset) +1896:.\Generated_Source\PSoC5/CyLib.c **** { +1897:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG |= CY_VD_PRESD_EN; + 2220 .loc 1 1897 0 + 2221 0034 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 +1895:.\Generated_Source\PSoC5/CyLib.c **** if(0u != reset) + 2222 .loc 1 1895 0 + 2223 0036 15B1 cbz r5, .L252 + 2224 .loc 1 1897 0 + 2225 0038 42F04001 orr r1, r2, #64 + 2226 003c 01E0 b .L254 + 2227 .L252: +1898:.\Generated_Source\PSoC5/CyLib.c **** } +1899:.\Generated_Source\PSoC5/CyLib.c **** else +1900:.\Generated_Source\PSoC5/CyLib.c **** { +1901:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + 2228 .loc 1 1901 0 + 2229 003e 02F0BF01 and r1, r2, #191 + 2230 .L254: +1902:.\Generated_Source\PSoC5/CyLib.c **** } +1903:.\Generated_Source\PSoC5/CyLib.c **** +1904:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLR_PEND_PTR = 0x01u; + 2231 .loc 1 1904 0 + 2232 0042 084B ldr r3, .L255+16 + 2233 0044 0120 movs r0, #1 +1905:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_ENABLE_PTR = 0x01u; + 2234 .loc 1 1905 0 + 2235 0046 A3F5C072 sub r2, r3, #384 +1901:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + 2236 .loc 1 1901 0 + 2237 004a 2170 strb r1, [r4, #0] +1904:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLR_PEND_PTR = 0x01u; + 2238 .loc 1 1904 0 + 2239 004c 1860 str r0, [r3, #0] + 2240 .loc 1 1905 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 77 + + + 2241 004e 1060 str r0, [r2, #0] + 2242 0050 38BD pop {r3, r4, r5, pc} + 2243 .L256: + 2244 0052 00BF .align 2 + 2245 .L255: + 2246 0054 80E100E0 .word -536813184 + 2247 0058 F7460040 .word 1073759991 + 2248 005c F4460040 .word 1073759988 + 2249 0060 FA460040 .word 1073759994 + 2250 0064 80E200E0 .word -536812928 + 2251 .cfi_endproc + 2252 .LFE46: + 2253 .size CyVdLvDigitEnable, .-CyVdLvDigitEnable + 2254 .section .text.CyVdLvAnalogEnable,"ax",%progbits + 2255 .align 1 + 2256 .global CyVdLvAnalogEnable + 2257 .thumb + 2258 .thumb_func + 2259 .type CyVdLvAnalogEnable, %function + 2260 CyVdLvAnalogEnable: + 2261 .LFB47: +1906:.\Generated_Source\PSoC5/CyLib.c **** } +1907:.\Generated_Source\PSoC5/CyLib.c **** +1908:.\Generated_Source\PSoC5/CyLib.c **** +1909:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1910:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdLvAnalogEnable +1911:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1912:.\Generated_Source\PSoC5/CyLib.c **** * +1913:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1914:.\Generated_Source\PSoC5/CyLib.c **** * Enables the analog low voltage monitors to generate interrupt on Vdda +1915:.\Generated_Source\PSoC5/CyLib.c **** * archives specified threshold and optionally resets device. +1916:.\Generated_Source\PSoC5/CyLib.c **** * +1917:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1918:.\Generated_Source\PSoC5/CyLib.c **** * reset: Option to reset device at a specified Vdda threshold: +1919:.\Generated_Source\PSoC5/CyLib.c **** * 0 - Device is not reset. +1920:.\Generated_Source\PSoC5/CyLib.c **** * 1 - Device is reset. +1921:.\Generated_Source\PSoC5/CyLib.c **** * +1922:.\Generated_Source\PSoC5/CyLib.c **** * threshold: Sets the trip level for the voltage monitor. +1923:.\Generated_Source\PSoC5/CyLib.c **** * Values from 1.70 V to 5.45 V are accepted with the approximately 250 mV +1924:.\Generated_Source\PSoC5/CyLib.c **** * interval. +1925:.\Generated_Source\PSoC5/CyLib.c **** * +1926:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1927:.\Generated_Source\PSoC5/CyLib.c **** * None +1928:.\Generated_Source\PSoC5/CyLib.c **** * +1929:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1930:.\Generated_Source\PSoC5/CyLib.c **** void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) +1931:.\Generated_Source\PSoC5/CyLib.c **** { + 2262 .loc 1 1931 0 + 2263 .cfi_startproc + 2264 @ args = 0, pretend = 0, frame = 0 + 2265 @ frame_needed = 0, uses_anonymous_args = 0 + 2266 .LVL143: + 2267 0000 38B5 push {r3, r4, r5, lr} + 2268 .LCFI9: + 2269 .cfi_def_cfa_offset 16 + 2270 .cfi_offset 3, -16 + 2271 .cfi_offset 4, -12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 78 + + + 2272 .cfi_offset 5, -8 + 2273 .cfi_offset 14, -4 +1932:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLEAR_PTR = 0x01u; + 2274 .loc 1 1932 0 + 2275 0002 144A ldr r2, .L261 + 2276 0004 0123 movs r3, #1 +1933:.\Generated_Source\PSoC5/CyLib.c **** +1934:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + 2277 .loc 1 1934 0 + 2278 0006 144C ldr r4, .L261+4 +1932:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLEAR_PTR = 0x01u; + 2279 .loc 1 1932 0 + 2280 0008 1360 str r3, [r2, #0] +1931:.\Generated_Source\PSoC5/CyLib.c **** { + 2281 .loc 1 1931 0 + 2282 000a 0546 mov r5, r0 + 2283 .loc 1 1934 0 + 2284 000c 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 2285 .LVL144: + 2286 000e 00F07F02 and r2, r0, #127 + 2287 0012 2270 strb r2, [r4, #0] +1935:.\Generated_Source\PSoC5/CyLib.c **** +1936:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_TRIP_REG = ((uint8)(threshold << 4u)) | (CY_VD_LVI_TRIP_REG & 0x0Fu); + 2288 .loc 1 1936 0 + 2289 0014 114A ldr r2, .L261+8 + 2290 0016 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 2291 0018 00F00F00 and r0, r0, #15 + 2292 001c 40EA0111 orr r1, r0, r1, lsl #4 + 2293 .LVL145: + 2294 0020 C8B2 uxtb r0, r1 + 2295 0022 1070 strb r0, [r2, #0] +1937:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVIA_EN; + 2296 .loc 1 1937 0 + 2297 0024 5178 ldrb r1, [r2, #1] @ zero_extendqisi2 + 2298 0026 41F00200 orr r0, r1, #2 + 2299 002a 5070 strb r0, [r2, #1] +1938:.\Generated_Source\PSoC5/CyLib.c **** +1939:.\Generated_Source\PSoC5/CyLib.c **** /* Timeout to eliminate glitches on the LVI/HVI when enabling */ +1940:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(1u); + 2300 .loc 1 1940 0 + 2301 002c 1846 mov r0, r3 + 2302 002e FFF7FEFF bl CyDelayUs + 2303 .LVL146: +1941:.\Generated_Source\PSoC5/CyLib.c **** +1942:.\Generated_Source\PSoC5/CyLib.c **** (void)CY_VD_PERSISTENT_STATUS_REG; + 2304 .loc 1 1942 0 + 2305 0032 0B4B ldr r3, .L261+12 + 2306 0034 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 +1943:.\Generated_Source\PSoC5/CyLib.c **** +1944:.\Generated_Source\PSoC5/CyLib.c **** if(0u != reset) +1945:.\Generated_Source\PSoC5/CyLib.c **** { +1946:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG |= CY_VD_PRESA_EN; + 2307 .loc 1 1946 0 + 2308 0036 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 +1944:.\Generated_Source\PSoC5/CyLib.c **** if(0u != reset) + 2309 .loc 1 1944 0 + 2310 0038 15B1 cbz r5, .L258 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 79 + + + 2311 .loc 1 1946 0 + 2312 003a 42F08001 orr r1, r2, #128 + 2313 003e 01E0 b .L260 + 2314 .L258: +1947:.\Generated_Source\PSoC5/CyLib.c **** } +1948:.\Generated_Source\PSoC5/CyLib.c **** else +1949:.\Generated_Source\PSoC5/CyLib.c **** { +1950:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + 2315 .loc 1 1950 0 + 2316 0040 02F07F01 and r1, r2, #127 + 2317 .L260: +1951:.\Generated_Source\PSoC5/CyLib.c **** } +1952:.\Generated_Source\PSoC5/CyLib.c **** +1953:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLR_PEND_PTR = 0x01u; + 2318 .loc 1 1953 0 + 2319 0044 074B ldr r3, .L261+16 + 2320 0046 0120 movs r0, #1 +1954:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_ENABLE_PTR = 0x01u; + 2321 .loc 1 1954 0 + 2322 0048 A3F5C072 sub r2, r3, #384 +1950:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + 2323 .loc 1 1950 0 + 2324 004c 2170 strb r1, [r4, #0] +1953:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLR_PEND_PTR = 0x01u; + 2325 .loc 1 1953 0 + 2326 004e 1860 str r0, [r3, #0] + 2327 .loc 1 1954 0 + 2328 0050 1060 str r0, [r2, #0] + 2329 0052 38BD pop {r3, r4, r5, pc} + 2330 .L262: + 2331 .align 2 + 2332 .L261: + 2333 0054 80E100E0 .word -536813184 + 2334 0058 F7460040 .word 1073759991 + 2335 005c F4460040 .word 1073759988 + 2336 0060 FA460040 .word 1073759994 + 2337 0064 80E200E0 .word -536812928 + 2338 .cfi_endproc + 2339 .LFE47: + 2340 .size CyVdLvAnalogEnable, .-CyVdLvAnalogEnable + 2341 .section .text.CyVdLvDigitDisable,"ax",%progbits + 2342 .align 1 + 2343 .global CyVdLvDigitDisable + 2344 .thumb + 2345 .thumb_func + 2346 .type CyVdLvDigitDisable, %function + 2347 CyVdLvDigitDisable: + 2348 .LFB48: +1955:.\Generated_Source\PSoC5/CyLib.c **** } +1956:.\Generated_Source\PSoC5/CyLib.c **** +1957:.\Generated_Source\PSoC5/CyLib.c **** +1958:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1959:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdLvDigitDisable +1960:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1961:.\Generated_Source\PSoC5/CyLib.c **** * +1962:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1963:.\Generated_Source\PSoC5/CyLib.c **** * Disables the digital low voltage monitor (interrupt and device reset are + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 80 + + +1964:.\Generated_Source\PSoC5/CyLib.c **** * disabled). +1965:.\Generated_Source\PSoC5/CyLib.c **** * +1966:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1967:.\Generated_Source\PSoC5/CyLib.c **** * None +1968:.\Generated_Source\PSoC5/CyLib.c **** * +1969:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1970:.\Generated_Source\PSoC5/CyLib.c **** * None +1971:.\Generated_Source\PSoC5/CyLib.c **** * +1972:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +1973:.\Generated_Source\PSoC5/CyLib.c **** void CyVdLvDigitDisable(void) +1974:.\Generated_Source\PSoC5/CyLib.c **** { + 2349 .loc 1 1974 0 + 2350 .cfi_startproc + 2351 @ args = 0, pretend = 0, frame = 0 + 2352 @ frame_needed = 0, uses_anonymous_args = 0 + 2353 @ link register save eliminated. +1975:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVID_EN)); + 2354 .loc 1 1975 0 + 2355 0000 064B ldr r3, .L266 + 2356 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 2357 0004 02F0FE00 and r0, r2, #254 + 2358 0008 1870 strb r0, [r3, #0] +1976:.\Generated_Source\PSoC5/CyLib.c **** +1977:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + 2359 .loc 1 1977 0 + 2360 000a 9978 ldrb r1, [r3, #2] @ zero_extendqisi2 + 2361 000c 01F0BF02 and r2, r1, #191 + 2362 0010 9A70 strb r2, [r3, #2] + 2363 .L264: +1978:.\Generated_Source\PSoC5/CyLib.c **** +1979:.\Generated_Source\PSoC5/CyLib.c **** while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + 2364 .loc 1 1979 0 discriminator 1 + 2365 0012 034B ldr r3, .L266+4 + 2366 0014 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 2367 0016 4307 lsls r3, r0, #29 + 2368 0018 FBD1 bne .L264 +1980:.\Generated_Source\PSoC5/CyLib.c **** { +1981:.\Generated_Source\PSoC5/CyLib.c **** +1982:.\Generated_Source\PSoC5/CyLib.c **** } +1983:.\Generated_Source\PSoC5/CyLib.c **** } + 2369 .loc 1 1983 0 + 2370 001a 7047 bx lr + 2371 .L267: + 2372 .align 2 + 2373 .L266: + 2374 001c F5460040 .word 1073759989 + 2375 0020 FA460040 .word 1073759994 + 2376 .cfi_endproc + 2377 .LFE48: + 2378 .size CyVdLvDigitDisable, .-CyVdLvDigitDisable + 2379 .section .text.CyVdLvAnalogDisable,"ax",%progbits + 2380 .align 1 + 2381 .global CyVdLvAnalogDisable + 2382 .thumb + 2383 .thumb_func + 2384 .type CyVdLvAnalogDisable, %function + 2385 CyVdLvAnalogDisable: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 81 + + + 2386 .LFB49: +1984:.\Generated_Source\PSoC5/CyLib.c **** +1985:.\Generated_Source\PSoC5/CyLib.c **** +1986:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +1987:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdLvAnalogDisable +1988:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +1989:.\Generated_Source\PSoC5/CyLib.c **** * +1990:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +1991:.\Generated_Source\PSoC5/CyLib.c **** * Disables the analog low voltage monitor (interrupt and device reset are +1992:.\Generated_Source\PSoC5/CyLib.c **** * disabled). +1993:.\Generated_Source\PSoC5/CyLib.c **** * +1994:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +1995:.\Generated_Source\PSoC5/CyLib.c **** * None +1996:.\Generated_Source\PSoC5/CyLib.c **** * +1997:.\Generated_Source\PSoC5/CyLib.c **** * Return: +1998:.\Generated_Source\PSoC5/CyLib.c **** * None +1999:.\Generated_Source\PSoC5/CyLib.c **** * +2000:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2001:.\Generated_Source\PSoC5/CyLib.c **** void CyVdLvAnalogDisable(void) +2002:.\Generated_Source\PSoC5/CyLib.c **** { + 2387 .loc 1 2002 0 + 2388 .cfi_startproc + 2389 @ args = 0, pretend = 0, frame = 0 + 2390 @ frame_needed = 0, uses_anonymous_args = 0 + 2391 @ link register save eliminated. +2003:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVIA_EN)); + 2392 .loc 1 2003 0 + 2393 0000 064B ldr r3, .L271 + 2394 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 2395 0004 02F0FD00 and r0, r2, #253 + 2396 0008 1870 strb r0, [r3, #0] +2004:.\Generated_Source\PSoC5/CyLib.c **** +2005:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + 2397 .loc 1 2005 0 + 2398 000a 9978 ldrb r1, [r3, #2] @ zero_extendqisi2 + 2399 000c 01F07F02 and r2, r1, #127 + 2400 0010 9A70 strb r2, [r3, #2] + 2401 .L269: +2006:.\Generated_Source\PSoC5/CyLib.c **** +2007:.\Generated_Source\PSoC5/CyLib.c **** while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + 2402 .loc 1 2007 0 discriminator 1 + 2403 0012 034B ldr r3, .L271+4 + 2404 0014 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 2405 0016 4207 lsls r2, r0, #29 + 2406 0018 FBD1 bne .L269 +2008:.\Generated_Source\PSoC5/CyLib.c **** { +2009:.\Generated_Source\PSoC5/CyLib.c **** +2010:.\Generated_Source\PSoC5/CyLib.c **** } +2011:.\Generated_Source\PSoC5/CyLib.c **** } + 2407 .loc 1 2011 0 + 2408 001a 7047 bx lr + 2409 .L272: + 2410 .align 2 + 2411 .L271: + 2412 001c F5460040 .word 1073759989 + 2413 0020 FA460040 .word 1073759994 + 2414 .cfi_endproc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 82 + + + 2415 .LFE49: + 2416 .size CyVdLvAnalogDisable, .-CyVdLvAnalogDisable + 2417 .section .text.CyVdHvAnalogEnable,"ax",%progbits + 2418 .align 1 + 2419 .global CyVdHvAnalogEnable + 2420 .thumb + 2421 .thumb_func + 2422 .type CyVdHvAnalogEnable, %function + 2423 CyVdHvAnalogEnable: + 2424 .LFB50: +2012:.\Generated_Source\PSoC5/CyLib.c **** +2013:.\Generated_Source\PSoC5/CyLib.c **** +2014:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2015:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdHvAnalogEnable +2016:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2017:.\Generated_Source\PSoC5/CyLib.c **** * +2018:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2019:.\Generated_Source\PSoC5/CyLib.c **** * Enables the analog high voltage monitors to generate interrupt on +2020:.\Generated_Source\PSoC5/CyLib.c **** * Vdda archives 5.75 V threshold and optionally resets device. +2021:.\Generated_Source\PSoC5/CyLib.c **** * +2022:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2023:.\Generated_Source\PSoC5/CyLib.c **** * None +2024:.\Generated_Source\PSoC5/CyLib.c **** * +2025:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2026:.\Generated_Source\PSoC5/CyLib.c **** * None +2027:.\Generated_Source\PSoC5/CyLib.c **** * +2028:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2029:.\Generated_Source\PSoC5/CyLib.c **** void CyVdHvAnalogEnable(void) +2030:.\Generated_Source\PSoC5/CyLib.c **** { + 2425 .loc 1 2030 0 + 2426 .cfi_startproc + 2427 @ args = 0, pretend = 0, frame = 0 + 2428 @ frame_needed = 0, uses_anonymous_args = 0 + 2429 0000 10B5 push {r4, lr} + 2430 .LCFI10: + 2431 .cfi_def_cfa_offset 8 + 2432 .cfi_offset 4, -8 + 2433 .cfi_offset 14, -4 +2031:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLEAR_PTR = 0x01u; + 2434 .loc 1 2031 0 + 2435 0002 0C4B ldr r3, .L274 +2032:.\Generated_Source\PSoC5/CyLib.c **** +2033:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + 2436 .loc 1 2033 0 + 2437 0004 0C48 ldr r0, .L274+4 +2031:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLEAR_PTR = 0x01u; + 2438 .loc 1 2031 0 + 2439 0006 0124 movs r4, #1 + 2440 0008 1C60 str r4, [r3, #0] + 2441 .loc 1 2033 0 + 2442 000a 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 2443 000c 02F07F01 and r1, r2, #127 + 2444 0010 0170 strb r1, [r0, #0] +2034:.\Generated_Source\PSoC5/CyLib.c **** +2035:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_HVIA_EN; + 2445 .loc 1 2035 0 + 2446 0012 10F8023C ldrb r3, [r0, #-2] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 83 + + + 2447 0016 43F00402 orr r2, r3, #4 + 2448 001a 00F8022C strb r2, [r0, #-2] +2036:.\Generated_Source\PSoC5/CyLib.c **** +2037:.\Generated_Source\PSoC5/CyLib.c **** /* Timeout to eliminate glitches on the LVI/HVI when enabling */ +2038:.\Generated_Source\PSoC5/CyLib.c **** CyDelayUs(1u); + 2449 .loc 1 2038 0 + 2450 001e 2046 mov r0, r4 + 2451 0020 FFF7FEFF bl CyDelayUs + 2452 .LVL147: +2039:.\Generated_Source\PSoC5/CyLib.c **** +2040:.\Generated_Source\PSoC5/CyLib.c **** (void) CY_VD_PERSISTENT_STATUS_REG; +2041:.\Generated_Source\PSoC5/CyLib.c **** +2042:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLR_PEND_PTR = 0x01u; + 2453 .loc 1 2042 0 + 2454 0024 0549 ldr r1, .L274+8 +2040:.\Generated_Source\PSoC5/CyLib.c **** (void) CY_VD_PERSISTENT_STATUS_REG; + 2455 .loc 1 2040 0 + 2456 0026 0648 ldr r0, .L274+12 + 2457 0028 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 +2043:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_ENABLE_PTR = 0x01u; + 2458 .loc 1 2043 0 + 2459 002a A1F5C073 sub r3, r1, #384 +2042:.\Generated_Source\PSoC5/CyLib.c **** *CY_INT_CLR_PEND_PTR = 0x01u; + 2460 .loc 1 2042 0 + 2461 002e 0C60 str r4, [r1, #0] + 2462 .loc 1 2043 0 + 2463 0030 1C60 str r4, [r3, #0] + 2464 0032 10BD pop {r4, pc} + 2465 .L275: + 2466 .align 2 + 2467 .L274: + 2468 0034 80E100E0 .word -536813184 + 2469 0038 F7460040 .word 1073759991 + 2470 003c 80E200E0 .word -536812928 + 2471 0040 FA460040 .word 1073759994 + 2472 .cfi_endproc + 2473 .LFE50: + 2474 .size CyVdHvAnalogEnable, .-CyVdHvAnalogEnable + 2475 .section .text.CyVdHvAnalogDisable,"ax",%progbits + 2476 .align 1 + 2477 .global CyVdHvAnalogDisable + 2478 .thumb + 2479 .thumb_func + 2480 .type CyVdHvAnalogDisable, %function + 2481 CyVdHvAnalogDisable: + 2482 .LFB51: +2044:.\Generated_Source\PSoC5/CyLib.c **** } +2045:.\Generated_Source\PSoC5/CyLib.c **** +2046:.\Generated_Source\PSoC5/CyLib.c **** +2047:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2048:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdHvAnalogDisable +2049:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2050:.\Generated_Source\PSoC5/CyLib.c **** * +2051:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2052:.\Generated_Source\PSoC5/CyLib.c **** * Disables the analog low voltage monitor +2053:.\Generated_Source\PSoC5/CyLib.c **** * (interrupt and device reset are disabled). +2054:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 84 + + +2055:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2056:.\Generated_Source\PSoC5/CyLib.c **** * None +2057:.\Generated_Source\PSoC5/CyLib.c **** * +2058:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2059:.\Generated_Source\PSoC5/CyLib.c **** * None +2060:.\Generated_Source\PSoC5/CyLib.c **** * +2061:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2062:.\Generated_Source\PSoC5/CyLib.c **** void CyVdHvAnalogDisable(void) +2063:.\Generated_Source\PSoC5/CyLib.c **** { + 2483 .loc 1 2063 0 + 2484 .cfi_startproc + 2485 @ args = 0, pretend = 0, frame = 0 + 2486 @ frame_needed = 0, uses_anonymous_args = 0 + 2487 @ link register save eliminated. +2064:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_HVIA_EN)); + 2488 .loc 1 2064 0 + 2489 0000 024B ldr r3, .L277 + 2490 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 2491 0004 02F0FB00 and r0, r2, #251 + 2492 0008 1870 strb r0, [r3, #0] + 2493 000a 7047 bx lr + 2494 .L278: + 2495 .align 2 + 2496 .L277: + 2497 000c F5460040 .word 1073759989 + 2498 .cfi_endproc + 2499 .LFE51: + 2500 .size CyVdHvAnalogDisable, .-CyVdHvAnalogDisable + 2501 .section .text.CyVdStickyStatus,"ax",%progbits + 2502 .align 1 + 2503 .global CyVdStickyStatus + 2504 .thumb + 2505 .thumb_func + 2506 .type CyVdStickyStatus, %function + 2507 CyVdStickyStatus: + 2508 .LFB52: +2065:.\Generated_Source\PSoC5/CyLib.c **** } +2066:.\Generated_Source\PSoC5/CyLib.c **** +2067:.\Generated_Source\PSoC5/CyLib.c **** +2068:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2069:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdStickyStatus +2070:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2071:.\Generated_Source\PSoC5/CyLib.c **** * +2072:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2073:.\Generated_Source\PSoC5/CyLib.c **** * Manages the Reset and Voltage Detection Status Register 0. +2074:.\Generated_Source\PSoC5/CyLib.c **** * This register has the interrupt status for the HVIA, LVID and LVIA. +2075:.\Generated_Source\PSoC5/CyLib.c **** * This hardware register clears on read. +2076:.\Generated_Source\PSoC5/CyLib.c **** * +2077:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2078:.\Generated_Source\PSoC5/CyLib.c **** * mask: Bits in the shadow register to clear. +2079:.\Generated_Source\PSoC5/CyLib.c **** * Define Definition +2080:.\Generated_Source\PSoC5/CyLib.c **** * CY_VD_LVID Persistent status of digital LVI. +2081:.\Generated_Source\PSoC5/CyLib.c **** * CY_VD_LVIA Persistent status of analog LVI. +2082:.\Generated_Source\PSoC5/CyLib.c **** * CY_VD_HVIA Persistent status of analog HVI. +2083:.\Generated_Source\PSoC5/CyLib.c **** * +2084:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2085:.\Generated_Source\PSoC5/CyLib.c **** * Status. Same enumerated bit values as used for the mask parameter. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 85 + + +2086:.\Generated_Source\PSoC5/CyLib.c **** * +2087:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2088:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyVdStickyStatus(uint8 mask) +2089:.\Generated_Source\PSoC5/CyLib.c **** { + 2509 .loc 1 2089 0 + 2510 .cfi_startproc + 2511 @ args = 0, pretend = 0, frame = 0 + 2512 @ frame_needed = 0, uses_anonymous_args = 0 + 2513 @ link register save eliminated. + 2514 .LVL148: +2090:.\Generated_Source\PSoC5/CyLib.c **** uint8 status; +2091:.\Generated_Source\PSoC5/CyLib.c **** +2092:.\Generated_Source\PSoC5/CyLib.c **** status = CY_VD_PERSISTENT_STATUS_REG; + 2515 .loc 1 2092 0 + 2516 0000 034B ldr r3, .L280 + 2517 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 2518 .LVL149: +2093:.\Generated_Source\PSoC5/CyLib.c **** CY_VD_PERSISTENT_STATUS_REG &= ((uint8)(~mask)); + 2519 .loc 1 2093 0 + 2520 0004 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 2521 0006 21EA0000 bic r0, r1, r0 + 2522 .LVL150: + 2523 000a 1870 strb r0, [r3, #0] +2094:.\Generated_Source\PSoC5/CyLib.c **** +2095:.\Generated_Source\PSoC5/CyLib.c **** return(status); +2096:.\Generated_Source\PSoC5/CyLib.c **** } + 2524 .loc 1 2096 0 + 2525 000c 1046 mov r0, r2 + 2526 000e 7047 bx lr + 2527 .L281: + 2528 .align 2 + 2529 .L280: + 2530 0010 FA460040 .word 1073759994 + 2531 .cfi_endproc + 2532 .LFE52: + 2533 .size CyVdStickyStatus, .-CyVdStickyStatus + 2534 .section .text.CyVdRealTimeStatus,"ax",%progbits + 2535 .align 1 + 2536 .global CyVdRealTimeStatus + 2537 .thumb + 2538 .thumb_func + 2539 .type CyVdRealTimeStatus, %function + 2540 CyVdRealTimeStatus: + 2541 .LFB53: +2097:.\Generated_Source\PSoC5/CyLib.c **** +2098:.\Generated_Source\PSoC5/CyLib.c **** +2099:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2100:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyVdRealTimeStatus +2101:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2102:.\Generated_Source\PSoC5/CyLib.c **** * +2103:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2104:.\Generated_Source\PSoC5/CyLib.c **** * Returns the real time voltage detection status. +2105:.\Generated_Source\PSoC5/CyLib.c **** * +2106:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2107:.\Generated_Source\PSoC5/CyLib.c **** * None +2108:.\Generated_Source\PSoC5/CyLib.c **** * +2109:.\Generated_Source\PSoC5/CyLib.c **** * Return: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 86 + + +2110:.\Generated_Source\PSoC5/CyLib.c **** * Status: +2111:.\Generated_Source\PSoC5/CyLib.c **** * Define Definition +2112:.\Generated_Source\PSoC5/CyLib.c **** * CY_VD_LVID Persistent status of digital LVI. +2113:.\Generated_Source\PSoC5/CyLib.c **** * CY_VD_LVIA Persistent status of analog LVI. +2114:.\Generated_Source\PSoC5/CyLib.c **** * CY_VD_HVIA Persistent status of analog HVI. +2115:.\Generated_Source\PSoC5/CyLib.c **** * +2116:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2117:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyVdRealTimeStatus(void) +2118:.\Generated_Source\PSoC5/CyLib.c **** { + 2542 .loc 1 2118 0 + 2543 .cfi_startproc + 2544 @ args = 0, pretend = 0, frame = 0 + 2545 @ frame_needed = 0, uses_anonymous_args = 0 + 2546 0000 10B5 push {r4, lr} + 2547 .LCFI11: + 2548 .cfi_def_cfa_offset 8 + 2549 .cfi_offset 4, -8 + 2550 .cfi_offset 14, -4 +2119:.\Generated_Source\PSoC5/CyLib.c **** uint8 interruptState; +2120:.\Generated_Source\PSoC5/CyLib.c **** uint8 vdFlagsState; +2121:.\Generated_Source\PSoC5/CyLib.c **** +2122:.\Generated_Source\PSoC5/CyLib.c **** interruptState = CyEnterCriticalSection(); + 2551 .loc 1 2122 0 + 2552 0002 FFF7FEFF bl CyEnterCriticalSection + 2553 .LVL151: +2123:.\Generated_Source\PSoC5/CyLib.c **** vdFlagsState = CY_VD_RT_STATUS_REG; + 2554 .loc 1 2123 0 + 2555 0006 034B ldr r3, .L283 + 2556 0008 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 2557 .LVL152: +2124:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); + 2558 .loc 1 2124 0 + 2559 000a FFF7FEFF bl CyExitCriticalSection + 2560 .LVL153: +2125:.\Generated_Source\PSoC5/CyLib.c **** +2126:.\Generated_Source\PSoC5/CyLib.c **** return(vdFlagsState); +2127:.\Generated_Source\PSoC5/CyLib.c **** } + 2561 .loc 1 2127 0 + 2562 000e 2046 mov r0, r4 + 2563 0010 10BD pop {r4, pc} + 2564 .L284: + 2565 0012 00BF .align 2 + 2566 .L283: + 2567 0014 FC460040 .word 1073759996 + 2568 .cfi_endproc + 2569 .LFE53: + 2570 .size CyVdRealTimeStatus, .-CyVdRealTimeStatus + 2571 .section .text.CyDisableInts,"ax",%progbits + 2572 .align 1 + 2573 .global CyDisableInts + 2574 .thumb + 2575 .thumb_func + 2576 .type CyDisableInts, %function + 2577 CyDisableInts: + 2578 .LFB54: +2128:.\Generated_Source\PSoC5/CyLib.c **** +2129:.\Generated_Source\PSoC5/CyLib.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 87 + + +2130:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2131:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyDisableInts +2132:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2133:.\Generated_Source\PSoC5/CyLib.c **** * +2134:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2135:.\Generated_Source\PSoC5/CyLib.c **** * Disables the interrupt enable for each interrupt. +2136:.\Generated_Source\PSoC5/CyLib.c **** * +2137:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2138:.\Generated_Source\PSoC5/CyLib.c **** * None +2139:.\Generated_Source\PSoC5/CyLib.c **** * +2140:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2141:.\Generated_Source\PSoC5/CyLib.c **** * 32 bit mask of previously enabled interrupts. +2142:.\Generated_Source\PSoC5/CyLib.c **** * +2143:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2144:.\Generated_Source\PSoC5/CyLib.c **** uint32 CyDisableInts(void) +2145:.\Generated_Source\PSoC5/CyLib.c **** { + 2579 .loc 1 2145 0 + 2580 .cfi_startproc + 2581 @ args = 0, pretend = 0, frame = 0 + 2582 @ frame_needed = 0, uses_anonymous_args = 0 + 2583 0000 10B5 push {r4, lr} + 2584 .LCFI12: + 2585 .cfi_def_cfa_offset 8 + 2586 .cfi_offset 4, -8 + 2587 .cfi_offset 14, -4 +2146:.\Generated_Source\PSoC5/CyLib.c **** uint32 intState; +2147:.\Generated_Source\PSoC5/CyLib.c **** uint8 interruptState; +2148:.\Generated_Source\PSoC5/CyLib.c **** +2149:.\Generated_Source\PSoC5/CyLib.c **** interruptState = CyEnterCriticalSection(); + 2588 .loc 1 2149 0 + 2589 0002 FFF7FEFF bl CyEnterCriticalSection + 2590 .LVL154: +2150:.\Generated_Source\PSoC5/CyLib.c **** +2151:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC3) +2152:.\Generated_Source\PSoC5/CyLib.c **** +2153:.\Generated_Source\PSoC5/CyLib.c **** /* Get the current interrupt state. */ +2154:.\Generated_Source\PSoC5/CyLib.c **** intState = ((uint32) CY_GET_REG8(CY_INT_CLR_EN0_PTR)); +2155:.\Generated_Source\PSoC5/CyLib.c **** intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN1_PTR)) << 8u)); +2156:.\Generated_Source\PSoC5/CyLib.c **** intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN2_PTR)) << 16u)); +2157:.\Generated_Source\PSoC5/CyLib.c **** intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN3_PTR)) << 24u)); +2158:.\Generated_Source\PSoC5/CyLib.c **** +2159:.\Generated_Source\PSoC5/CyLib.c **** +2160:.\Generated_Source\PSoC5/CyLib.c **** /* Disable all of the interrupts. */ +2161:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_CLR_EN0_PTR, 0xFFu); +2162:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_CLR_EN1_PTR, 0xFFu); +2163:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_CLR_EN2_PTR, 0xFFu); +2164:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_CLR_EN3_PTR, 0xFFu); +2165:.\Generated_Source\PSoC5/CyLib.c **** +2166:.\Generated_Source\PSoC5/CyLib.c **** #else +2167:.\Generated_Source\PSoC5/CyLib.c **** +2168:.\Generated_Source\PSoC5/CyLib.c **** /* Get the current interrupt state. */ +2169:.\Generated_Source\PSoC5/CyLib.c **** intState = CY_GET_REG32(CY_INT_CLEAR_PTR); + 2591 .loc 1 2169 0 + 2592 0006 044B ldr r3, .L286 +2170:.\Generated_Source\PSoC5/CyLib.c **** +2171:.\Generated_Source\PSoC5/CyLib.c **** /* Disable all of the interrupts. */ +2172:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG32(CY_INT_CLEAR_PTR, 0xFFFFFFFFu); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 88 + + + 2593 .loc 1 2172 0 + 2594 0008 4FF0FF32 mov r2, #-1 +2169:.\Generated_Source\PSoC5/CyLib.c **** intState = CY_GET_REG32(CY_INT_CLEAR_PTR); + 2595 .loc 1 2169 0 + 2596 000c 1C68 ldr r4, [r3, #0] + 2597 .LVL155: + 2598 .loc 1 2172 0 + 2599 000e 1A60 str r2, [r3, #0] +2173:.\Generated_Source\PSoC5/CyLib.c **** +2174:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC3) */ +2175:.\Generated_Source\PSoC5/CyLib.c **** +2176:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); + 2600 .loc 1 2176 0 + 2601 0010 FFF7FEFF bl CyExitCriticalSection + 2602 .LVL156: +2177:.\Generated_Source\PSoC5/CyLib.c **** +2178:.\Generated_Source\PSoC5/CyLib.c **** return (intState); +2179:.\Generated_Source\PSoC5/CyLib.c **** } + 2603 .loc 1 2179 0 + 2604 0014 2046 mov r0, r4 + 2605 0016 10BD pop {r4, pc} + 2606 .L287: + 2607 .align 2 + 2608 .L286: + 2609 0018 80E100E0 .word -536813184 + 2610 .cfi_endproc + 2611 .LFE54: + 2612 .size CyDisableInts, .-CyDisableInts + 2613 .section .text.CyEnableInts,"ax",%progbits + 2614 .align 1 + 2615 .global CyEnableInts + 2616 .thumb + 2617 .thumb_func + 2618 .type CyEnableInts, %function + 2619 CyEnableInts: + 2620 .LFB55: +2180:.\Generated_Source\PSoC5/CyLib.c **** +2181:.\Generated_Source\PSoC5/CyLib.c **** +2182:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2183:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyEnableInts +2184:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2185:.\Generated_Source\PSoC5/CyLib.c **** * +2186:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2187:.\Generated_Source\PSoC5/CyLib.c **** * Enables interrupts to a given state. +2188:.\Generated_Source\PSoC5/CyLib.c **** * +2189:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2190:.\Generated_Source\PSoC5/CyLib.c **** * uint32 mask: 32 bit mask of interrupts to enable. +2191:.\Generated_Source\PSoC5/CyLib.c **** * +2192:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2193:.\Generated_Source\PSoC5/CyLib.c **** * None +2194:.\Generated_Source\PSoC5/CyLib.c **** * +2195:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2196:.\Generated_Source\PSoC5/CyLib.c **** void CyEnableInts(uint32 mask) +2197:.\Generated_Source\PSoC5/CyLib.c **** { + 2621 .loc 1 2197 0 + 2622 .cfi_startproc + 2623 @ args = 0, pretend = 0, frame = 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 89 + + + 2624 @ frame_needed = 0, uses_anonymous_args = 0 + 2625 .LVL157: + 2626 0000 10B5 push {r4, lr} + 2627 .LCFI13: + 2628 .cfi_def_cfa_offset 8 + 2629 .cfi_offset 4, -8 + 2630 .cfi_offset 14, -4 + 2631 .loc 1 2197 0 + 2632 0002 0446 mov r4, r0 +2198:.\Generated_Source\PSoC5/CyLib.c **** +2199:.\Generated_Source\PSoC5/CyLib.c **** uint8 interruptState; +2200:.\Generated_Source\PSoC5/CyLib.c **** +2201:.\Generated_Source\PSoC5/CyLib.c **** interruptState = CyEnterCriticalSection(); + 2633 .loc 1 2201 0 + 2634 0004 FFF7FEFF bl CyEnterCriticalSection + 2635 .LVL158: +2202:.\Generated_Source\PSoC5/CyLib.c **** +2203:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC3) +2204:.\Generated_Source\PSoC5/CyLib.c **** +2205:.\Generated_Source\PSoC5/CyLib.c **** /* Set interrupts as enabled. */ +2206:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_SET_EN3_PTR, ((uint8) (mask >> 24u))); +2207:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_SET_EN2_PTR, ((uint8) (mask >> 16u))); +2208:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_SET_EN1_PTR, ((uint8) (mask >> 8u ))); +2209:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG8(CY_INT_SET_EN0_PTR, ((uint8) (mask ))); +2210:.\Generated_Source\PSoC5/CyLib.c **** +2211:.\Generated_Source\PSoC5/CyLib.c **** #else +2212:.\Generated_Source\PSoC5/CyLib.c **** +2213:.\Generated_Source\PSoC5/CyLib.c **** CY_SET_REG32(CY_INT_ENABLE_PTR, mask); + 2636 .loc 1 2213 0 + 2637 0008 024B ldr r3, .L289 + 2638 000a 1C60 str r4, [r3, #0] +2214:.\Generated_Source\PSoC5/CyLib.c **** +2215:.\Generated_Source\PSoC5/CyLib.c **** #endif /* (CY_PSOC3) */ +2216:.\Generated_Source\PSoC5/CyLib.c **** +2217:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); +2218:.\Generated_Source\PSoC5/CyLib.c **** +2219:.\Generated_Source\PSoC5/CyLib.c **** } + 2639 .loc 1 2219 0 + 2640 000c BDE81040 pop {r4, lr} +2217:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); + 2641 .loc 1 2217 0 + 2642 0010 FFF7FEBF b CyExitCriticalSection + 2643 .LVL159: + 2644 .L290: + 2645 .align 2 + 2646 .L289: + 2647 0014 00E100E0 .word -536813312 + 2648 .cfi_endproc + 2649 .LFE55: + 2650 .size CyEnableInts, .-CyEnableInts + 2651 .section .text.CyFlushCache,"ax",%progbits + 2652 .align 1 + 2653 .global CyFlushCache + 2654 .thumb + 2655 .thumb_func + 2656 .type CyFlushCache, %function + 2657 CyFlushCache: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 90 + + + 2658 .LFB56: +2220:.\Generated_Source\PSoC5/CyLib.c **** +2221:.\Generated_Source\PSoC5/CyLib.c **** #if(CY_PSOC5) +2222:.\Generated_Source\PSoC5/CyLib.c **** +2223:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2224:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyFlushCache +2225:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2226:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2227:.\Generated_Source\PSoC5/CyLib.c **** * Flushes the PSoC 5/5LP cache by invalidating all entries. +2228:.\Generated_Source\PSoC5/CyLib.c **** * +2229:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2230:.\Generated_Source\PSoC5/CyLib.c **** * None +2231:.\Generated_Source\PSoC5/CyLib.c **** * +2232:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2233:.\Generated_Source\PSoC5/CyLib.c **** * None +2234:.\Generated_Source\PSoC5/CyLib.c **** * +2235:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2236:.\Generated_Source\PSoC5/CyLib.c **** void CyFlushCache(void) +2237:.\Generated_Source\PSoC5/CyLib.c **** { + 2659 .loc 1 2237 0 + 2660 .cfi_startproc + 2661 @ args = 0, pretend = 0, frame = 0 + 2662 @ frame_needed = 0, uses_anonymous_args = 0 + 2663 0000 08B5 push {r3, lr} + 2664 .LCFI14: + 2665 .cfi_def_cfa_offset 8 + 2666 .cfi_offset 3, -8 + 2667 .cfi_offset 14, -4 +2238:.\Generated_Source\PSoC5/CyLib.c **** uint8 interruptState; +2239:.\Generated_Source\PSoC5/CyLib.c **** +2240:.\Generated_Source\PSoC5/CyLib.c **** /* Save current global interrupt enable and disable it */ +2241:.\Generated_Source\PSoC5/CyLib.c **** interruptState = CyEnterCriticalSection(); + 2668 .loc 1 2241 0 + 2669 0002 FFF7FEFF bl CyEnterCriticalSection + 2670 .LVL160: +2242:.\Generated_Source\PSoC5/CyLib.c **** +2243:.\Generated_Source\PSoC5/CyLib.c **** /* Fill instruction prefectch unit to insure data integrity */ +2244:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2671 .loc 1 2244 0 + 2672 @ 2244 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2673 0006 00BF NOP + 2674 + 2675 @ 0 "" 2 +2245:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2676 .loc 1 2245 0 + 2677 @ 2245 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2678 0008 00BF NOP + 2679 + 2680 @ 0 "" 2 +2246:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2681 .loc 1 2246 0 + 2682 @ 2246 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2683 000a 00BF NOP + 2684 + 2685 @ 0 "" 2 +2247:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2686 .loc 1 2247 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 91 + + + 2687 @ 2247 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2688 000c 00BF NOP + 2689 + 2690 @ 0 "" 2 +2248:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2691 .loc 1 2248 0 + 2692 @ 2248 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2693 000e 00BF NOP + 2694 + 2695 @ 0 "" 2 +2249:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2696 .loc 1 2249 0 + 2697 @ 2249 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2698 0010 00BF NOP + 2699 + 2700 @ 0 "" 2 +2250:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2701 .loc 1 2250 0 + 2702 @ 2250 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2703 0012 00BF NOP + 2704 + 2705 @ 0 "" 2 +2251:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2706 .loc 1 2251 0 + 2707 @ 2251 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2708 0014 00BF NOP + 2709 + 2710 @ 0 "" 2 +2252:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2711 .loc 1 2252 0 + 2712 @ 2252 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2713 0016 00BF NOP + 2714 + 2715 @ 0 "" 2 +2253:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2716 .loc 1 2253 0 + 2717 @ 2253 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2718 0018 00BF NOP + 2719 + 2720 @ 0 "" 2 +2254:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2721 .loc 1 2254 0 + 2722 @ 2254 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2723 001a 00BF NOP + 2724 + 2725 @ 0 "" 2 +2255:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2726 .loc 1 2255 0 + 2727 @ 2255 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2728 001c 00BF NOP + 2729 + 2730 @ 0 "" 2 +2256:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2731 .loc 1 2256 0 + 2732 @ 2256 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2733 001e 00BF NOP + 2734 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 92 + + + 2735 @ 0 "" 2 +2257:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2736 .loc 1 2257 0 + 2737 @ 2257 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2738 0020 00BF NOP + 2739 + 2740 @ 0 "" 2 +2258:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2741 .loc 1 2258 0 + 2742 @ 2258 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2743 0022 00BF NOP + 2744 + 2745 @ 0 "" 2 +2259:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2746 .loc 1 2259 0 + 2747 @ 2259 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2748 0024 00BF NOP + 2749 + 2750 @ 0 "" 2 +2260:.\Generated_Source\PSoC5/CyLib.c **** +2261:.\Generated_Source\PSoC5/CyLib.c **** /* All entries in the cache are invalidated on the next clock cycle. */ +2262:.\Generated_Source\PSoC5/CyLib.c **** CY_CACHE_CONTROL_REG |= CY_CACHE_CONTROL_FLUSH; + 2751 .loc 1 2262 0 + 2752 .thumb + 2753 0026 0D4B ldr r3, .L292 + 2754 0028 1A88 ldrh r2, [r3, #0] + 2755 002a 91B2 uxth r1, r2 + 2756 002c 41F00402 orr r2, r1, #4 + 2757 0030 1A80 strh r2, [r3, #0] @ movhi +2263:.\Generated_Source\PSoC5/CyLib.c **** +2264:.\Generated_Source\PSoC5/CyLib.c **** +2265:.\Generated_Source\PSoC5/CyLib.c **** /*********************************************************************** +2266:.\Generated_Source\PSoC5/CyLib.c **** * The prefetch unit could/would be filled with the instructions that +2267:.\Generated_Source\PSoC5/CyLib.c **** * succeed the flush. Since a flush is desired then theoretically those +2268:.\Generated_Source\PSoC5/CyLib.c **** * instructions might be considered stale/invalid. +2269:.\Generated_Source\PSoC5/CyLib.c **** ***********************************************************************/ +2270:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2758 .loc 1 2270 0 + 2759 @ 2270 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2760 0032 00BF NOP + 2761 + 2762 @ 0 "" 2 +2271:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2763 .loc 1 2271 0 + 2764 @ 2271 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2765 0034 00BF NOP + 2766 + 2767 @ 0 "" 2 +2272:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2768 .loc 1 2272 0 + 2769 @ 2272 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2770 0036 00BF NOP + 2771 + 2772 @ 0 "" 2 +2273:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2773 .loc 1 2273 0 + 2774 @ 2273 ".\Generated_Source\PSoC5\CyLib.c" 1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 93 + + + 2775 0038 00BF NOP + 2776 + 2777 @ 0 "" 2 +2274:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2778 .loc 1 2274 0 + 2779 @ 2274 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2780 003a 00BF NOP + 2781 + 2782 @ 0 "" 2 +2275:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2783 .loc 1 2275 0 + 2784 @ 2275 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2785 003c 00BF NOP + 2786 + 2787 @ 0 "" 2 +2276:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2788 .loc 1 2276 0 + 2789 @ 2276 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2790 003e 00BF NOP + 2791 + 2792 @ 0 "" 2 +2277:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2793 .loc 1 2277 0 + 2794 @ 2277 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2795 0040 00BF NOP + 2796 + 2797 @ 0 "" 2 +2278:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2798 .loc 1 2278 0 + 2799 @ 2278 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2800 0042 00BF NOP + 2801 + 2802 @ 0 "" 2 +2279:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2803 .loc 1 2279 0 + 2804 @ 2279 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2805 0044 00BF NOP + 2806 + 2807 @ 0 "" 2 +2280:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2808 .loc 1 2280 0 + 2809 @ 2280 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2810 0046 00BF NOP + 2811 + 2812 @ 0 "" 2 +2281:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2813 .loc 1 2281 0 + 2814 @ 2281 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2815 0048 00BF NOP + 2816 + 2817 @ 0 "" 2 +2282:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2818 .loc 1 2282 0 + 2819 @ 2282 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2820 004a 00BF NOP + 2821 + 2822 @ 0 "" 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 94 + + +2283:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2823 .loc 1 2283 0 + 2824 @ 2283 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2825 004c 00BF NOP + 2826 + 2827 @ 0 "" 2 +2284:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2828 .loc 1 2284 0 + 2829 @ 2284 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2830 004e 00BF NOP + 2831 + 2832 @ 0 "" 2 +2285:.\Generated_Source\PSoC5/CyLib.c **** CY_NOP; + 2833 .loc 1 2285 0 + 2834 @ 2285 ".\Generated_Source\PSoC5\CyLib.c" 1 + 2835 0050 00BF NOP + 2836 + 2837 @ 0 "" 2 +2286:.\Generated_Source\PSoC5/CyLib.c **** +2287:.\Generated_Source\PSoC5/CyLib.c **** /* Restore global interrupt enable state */ +2288:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); +2289:.\Generated_Source\PSoC5/CyLib.c **** } + 2838 .loc 1 2289 0 + 2839 .thumb + 2840 0052 BDE80840 pop {r3, lr} +2288:.\Generated_Source\PSoC5/CyLib.c **** CyExitCriticalSection(interruptState); + 2841 .loc 1 2288 0 + 2842 0056 FFF7FEBF b CyExitCriticalSection + 2843 .LVL161: + 2844 .L293: + 2845 005a 00BF .align 2 + 2846 .L292: + 2847 005c 00480040 .word 1073760256 + 2848 .cfi_endproc + 2849 .LFE56: + 2850 .size CyFlushCache, .-CyFlushCache + 2851 .section .text.CyIntSetSysVector,"ax",%progbits + 2852 .align 1 + 2853 .global CyIntSetSysVector + 2854 .thumb + 2855 .thumb_func + 2856 .type CyIntSetSysVector, %function + 2857 CyIntSetSysVector: + 2858 .LFB57: +2290:.\Generated_Source\PSoC5/CyLib.c **** +2291:.\Generated_Source\PSoC5/CyLib.c **** +2292:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2293:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntSetSysVector +2294:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2295:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2296:.\Generated_Source\PSoC5/CyLib.c **** * Sets the interrupt vector of the specified system interrupt number. System +2297:.\Generated_Source\PSoC5/CyLib.c **** * interrupts are present only for the ARM platform. These interrupts are for +2298:.\Generated_Source\PSoC5/CyLib.c **** * SysTick, PendSV and others. +2299:.\Generated_Source\PSoC5/CyLib.c **** * +2300:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2301:.\Generated_Source\PSoC5/CyLib.c **** * number: Interrupt number, valid range [0-15]. +2302:.\Generated_Source\PSoC5/CyLib.c **** address: Pointer to an interrupt service routine. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 95 + + +2303:.\Generated_Source\PSoC5/CyLib.c **** * +2304:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2305:.\Generated_Source\PSoC5/CyLib.c **** * The old ISR vector at this location. +2306:.\Generated_Source\PSoC5/CyLib.c **** * +2307:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2308:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) +2309:.\Generated_Source\PSoC5/CyLib.c **** { + 2859 .loc 1 2309 0 + 2860 .cfi_startproc + 2861 @ args = 0, pretend = 0, frame = 0 + 2862 @ frame_needed = 0, uses_anonymous_args = 0 + 2863 @ link register save eliminated. + 2864 .LVL162: +2310:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress oldIsr; +2311:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; +2312:.\Generated_Source\PSoC5/CyLib.c **** +2313:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); +2314:.\Generated_Source\PSoC5/CyLib.c **** +2315:.\Generated_Source\PSoC5/CyLib.c **** /* Save old Interrupt service routine. */ +2316:.\Generated_Source\PSoC5/CyLib.c **** oldIsr = ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + 2865 .loc 1 2316 0 + 2866 0000 044B ldr r3, .L295 + 2867 .LVL163: + 2868 0002 00F00F02 and r2, r0, #15 + 2869 0006 1B68 ldr r3, [r3, #0] + 2870 .LVL164: + 2871 0008 53F82200 ldr r0, [r3, r2, lsl #2] + 2872 .LVL165: +2317:.\Generated_Source\PSoC5/CyLib.c **** +2318:.\Generated_Source\PSoC5/CyLib.c **** /* Set new Interrupt service routine. */ +2319:.\Generated_Source\PSoC5/CyLib.c **** ramVectorTable[number & CY_INT_SYS_NUMBER_MASK] = address; + 2873 .loc 1 2319 0 + 2874 000c 43F82210 str r1, [r3, r2, lsl #2] +2320:.\Generated_Source\PSoC5/CyLib.c **** +2321:.\Generated_Source\PSoC5/CyLib.c **** return (oldIsr); +2322:.\Generated_Source\PSoC5/CyLib.c **** } + 2875 .loc 1 2322 0 + 2876 0010 7047 bx lr + 2877 .L296: + 2878 0012 00BF .align 2 + 2879 .L295: + 2880 0014 08ED00E0 .word -536810232 + 2881 .cfi_endproc + 2882 .LFE57: + 2883 .size CyIntSetSysVector, .-CyIntSetSysVector + 2884 .section .text.CyIntGetSysVector,"ax",%progbits + 2885 .align 1 + 2886 .global CyIntGetSysVector + 2887 .thumb + 2888 .thumb_func + 2889 .type CyIntGetSysVector, %function + 2890 CyIntGetSysVector: + 2891 .LFB58: +2323:.\Generated_Source\PSoC5/CyLib.c **** +2324:.\Generated_Source\PSoC5/CyLib.c **** +2325:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2326:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntGetSysVector + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 96 + + +2327:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2328:.\Generated_Source\PSoC5/CyLib.c **** * +2329:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2330:.\Generated_Source\PSoC5/CyLib.c **** * Gets the interrupt vector of the specified system interrupt number. System +2331:.\Generated_Source\PSoC5/CyLib.c **** * interrupts are present only for the ARM platform. These interrupts are for +2332:.\Generated_Source\PSoC5/CyLib.c **** * SysTick, PendSV and others. +2333:.\Generated_Source\PSoC5/CyLib.c **** * +2334:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2335:.\Generated_Source\PSoC5/CyLib.c **** * number: The interrupt number, valid range [0-15]. +2336:.\Generated_Source\PSoC5/CyLib.c **** * +2337:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2338:.\Generated_Source\PSoC5/CyLib.c **** * Address of the ISR in the interrupt vector table. +2339:.\Generated_Source\PSoC5/CyLib.c **** * +2340:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2341:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress CyIntGetSysVector(uint8 number) +2342:.\Generated_Source\PSoC5/CyLib.c **** { + 2892 .loc 1 2342 0 + 2893 .cfi_startproc + 2894 @ args = 0, pretend = 0, frame = 0 + 2895 @ frame_needed = 0, uses_anonymous_args = 0 + 2896 @ link register save eliminated. + 2897 .LVL166: +2343:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; +2344:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); +2345:.\Generated_Source\PSoC5/CyLib.c **** +2346:.\Generated_Source\PSoC5/CyLib.c **** return ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + 2898 .loc 1 2346 0 + 2899 0000 034B ldr r3, .L298 + 2900 .LVL167: + 2901 0002 00F00F00 and r0, r0, #15 + 2902 .LVL168: + 2903 0006 1968 ldr r1, [r3, #0] +2347:.\Generated_Source\PSoC5/CyLib.c **** } + 2904 .loc 1 2347 0 + 2905 0008 51F82000 ldr r0, [r1, r0, lsl #2] + 2906 000c 7047 bx lr + 2907 .L299: + 2908 000e 00BF .align 2 + 2909 .L298: + 2910 0010 08ED00E0 .word -536810232 + 2911 .cfi_endproc + 2912 .LFE58: + 2913 .size CyIntGetSysVector, .-CyIntGetSysVector + 2914 .section .text.CyIntSetVector,"ax",%progbits + 2915 .align 1 + 2916 .global CyIntSetVector + 2917 .thumb + 2918 .thumb_func + 2919 .type CyIntSetVector, %function + 2920 CyIntSetVector: + 2921 .LFB59: +2348:.\Generated_Source\PSoC5/CyLib.c **** +2349:.\Generated_Source\PSoC5/CyLib.c **** +2350:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2351:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntSetVector +2352:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2353:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 97 + + +2354:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2355:.\Generated_Source\PSoC5/CyLib.c **** * Sets the interrupt vector of the specified interrupt number. +2356:.\Generated_Source\PSoC5/CyLib.c **** * +2357:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2358:.\Generated_Source\PSoC5/CyLib.c **** * number: Valid range [0-31]. Interrupt number +2359:.\Generated_Source\PSoC5/CyLib.c **** * address: Pointer to an interrupt service routine +2360:.\Generated_Source\PSoC5/CyLib.c **** * +2361:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2362:.\Generated_Source\PSoC5/CyLib.c **** * Previous interrupt vector value. +2363:.\Generated_Source\PSoC5/CyLib.c **** * +2364:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2365:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress CyIntSetVector(uint8 number, cyisraddress address) +2366:.\Generated_Source\PSoC5/CyLib.c **** { + 2922 .loc 1 2366 0 + 2923 .cfi_startproc + 2924 @ args = 0, pretend = 0, frame = 0 + 2925 @ frame_needed = 0, uses_anonymous_args = 0 + 2926 @ link register save eliminated. + 2927 .LVL169: +2367:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress oldIsr; +2368:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; +2369:.\Generated_Source\PSoC5/CyLib.c **** +2370:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_NUMBER_MAX); +2371:.\Generated_Source\PSoC5/CyLib.c **** +2372:.\Generated_Source\PSoC5/CyLib.c **** /* Save old Interrupt service routine. */ +2373:.\Generated_Source\PSoC5/CyLib.c **** oldIsr = ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]; + 2928 .loc 1 2373 0 + 2929 0000 054B ldr r3, .L301 + 2930 .LVL170: + 2931 0002 00F01F00 and r0, r0, #31 + 2932 .LVL171: + 2933 0006 1B68 ldr r3, [r3, #0] + 2934 .LVL172: + 2935 0008 00F11002 add r2, r0, #16 + 2936 000c 53F82200 ldr r0, [r3, r2, lsl #2] + 2937 .LVL173: +2374:.\Generated_Source\PSoC5/CyLib.c **** +2375:.\Generated_Source\PSoC5/CyLib.c **** /* Set new Interrupt service routine. */ +2376:.\Generated_Source\PSoC5/CyLib.c **** ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)] = address; + 2938 .loc 1 2376 0 + 2939 0010 43F82210 str r1, [r3, r2, lsl #2] +2377:.\Generated_Source\PSoC5/CyLib.c **** +2378:.\Generated_Source\PSoC5/CyLib.c **** return (oldIsr); +2379:.\Generated_Source\PSoC5/CyLib.c **** } + 2940 .loc 1 2379 0 + 2941 0014 7047 bx lr + 2942 .L302: + 2943 0016 00BF .align 2 + 2944 .L301: + 2945 0018 08ED00E0 .word -536810232 + 2946 .cfi_endproc + 2947 .LFE59: + 2948 .size CyIntSetVector, .-CyIntSetVector + 2949 .section .text.CyIntGetVector,"ax",%progbits + 2950 .align 1 + 2951 .global CyIntGetVector + 2952 .thumb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 98 + + + 2953 .thumb_func + 2954 .type CyIntGetVector, %function + 2955 CyIntGetVector: + 2956 .LFB60: +2380:.\Generated_Source\PSoC5/CyLib.c **** +2381:.\Generated_Source\PSoC5/CyLib.c **** +2382:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2383:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntGetVector +2384:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2385:.\Generated_Source\PSoC5/CyLib.c **** * +2386:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2387:.\Generated_Source\PSoC5/CyLib.c **** * Gets the interrupt vector of the specified interrupt number. +2388:.\Generated_Source\PSoC5/CyLib.c **** * +2389:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2390:.\Generated_Source\PSoC5/CyLib.c **** * number: Valid range [0-31]. Interrupt number +2391:.\Generated_Source\PSoC5/CyLib.c **** * +2392:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2393:.\Generated_Source\PSoC5/CyLib.c **** * Address of the ISR in the interrupt vector table. +2394:.\Generated_Source\PSoC5/CyLib.c **** * +2395:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2396:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress CyIntGetVector(uint8 number) +2397:.\Generated_Source\PSoC5/CyLib.c **** { + 2957 .loc 1 2397 0 + 2958 .cfi_startproc + 2959 @ args = 0, pretend = 0, frame = 0 + 2960 @ frame_needed = 0, uses_anonymous_args = 0 + 2961 @ link register save eliminated. + 2962 .LVL174: +2398:.\Generated_Source\PSoC5/CyLib.c **** cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; +2399:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_NUMBER_MAX); +2400:.\Generated_Source\PSoC5/CyLib.c **** +2401:.\Generated_Source\PSoC5/CyLib.c **** return (ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]); + 2963 .loc 1 2401 0 + 2964 0000 034B ldr r3, .L304 + 2965 .LVL175: + 2966 0002 00F01F00 and r0, r0, #31 + 2967 .LVL176: + 2968 0006 1968 ldr r1, [r3, #0] + 2969 0008 1030 adds r0, r0, #16 +2402:.\Generated_Source\PSoC5/CyLib.c **** } + 2970 .loc 1 2402 0 + 2971 000a 51F82000 ldr r0, [r1, r0, lsl #2] + 2972 000e 7047 bx lr + 2973 .L305: + 2974 .align 2 + 2975 .L304: + 2976 0010 08ED00E0 .word -536810232 + 2977 .cfi_endproc + 2978 .LFE60: + 2979 .size CyIntGetVector, .-CyIntGetVector + 2980 .section .text.CyIntSetPriority,"ax",%progbits + 2981 .align 1 + 2982 .global CyIntSetPriority + 2983 .thumb + 2984 .thumb_func + 2985 .type CyIntSetPriority, %function + 2986 CyIntSetPriority: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 99 + + + 2987 .LFB61: +2403:.\Generated_Source\PSoC5/CyLib.c **** +2404:.\Generated_Source\PSoC5/CyLib.c **** +2405:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2406:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntSetPriority +2407:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2408:.\Generated_Source\PSoC5/CyLib.c **** * +2409:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2410:.\Generated_Source\PSoC5/CyLib.c **** * Sets the Priority of the Interrupt. +2411:.\Generated_Source\PSoC5/CyLib.c **** * +2412:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2413:.\Generated_Source\PSoC5/CyLib.c **** * priority: Priority of the interrupt. 0 - 7, 0 being the highest. +2414:.\Generated_Source\PSoC5/CyLib.c **** * number: The number of the interrupt, 0 - 31. +2415:.\Generated_Source\PSoC5/CyLib.c **** * +2416:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2417:.\Generated_Source\PSoC5/CyLib.c **** * None +2418:.\Generated_Source\PSoC5/CyLib.c **** * +2419:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2420:.\Generated_Source\PSoC5/CyLib.c **** void CyIntSetPriority(uint8 number, uint8 priority) +2421:.\Generated_Source\PSoC5/CyLib.c **** { + 2988 .loc 1 2421 0 + 2989 .cfi_startproc + 2990 @ args = 0, pretend = 0, frame = 0 + 2991 @ frame_needed = 0, uses_anonymous_args = 0 + 2992 @ link register save eliminated. + 2993 .LVL177: +2422:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(priority <= CY_INT_PRIORITY_MAX); +2423:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_NUMBER_MAX); +2424:.\Generated_Source\PSoC5/CyLib.c **** CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = (priority & CY_INT_PRIORITY_MASK)<< 5; + 2994 .loc 1 2424 0 + 2995 0000 00F01F00 and r0, r0, #31 + 2996 .LVL178: + 2997 0004 00F16043 add r3, r0, #-536870912 + 2998 0008 4901 lsls r1, r1, #5 + 2999 .LVL179: + 3000 000a 03F56442 add r2, r3, #58368 + 3001 000e C8B2 uxtb r0, r1 + 3002 0010 1070 strb r0, [r2, #0] + 3003 0012 7047 bx lr + 3004 .cfi_endproc + 3005 .LFE61: + 3006 .size CyIntSetPriority, .-CyIntSetPriority + 3007 .section .text.CyIntGetPriority,"ax",%progbits + 3008 .align 1 + 3009 .global CyIntGetPriority + 3010 .thumb + 3011 .thumb_func + 3012 .type CyIntGetPriority, %function + 3013 CyIntGetPriority: + 3014 .LFB62: +2425:.\Generated_Source\PSoC5/CyLib.c **** } +2426:.\Generated_Source\PSoC5/CyLib.c **** +2427:.\Generated_Source\PSoC5/CyLib.c **** +2428:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2429:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntGetPriority +2430:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2431:.\Generated_Source\PSoC5/CyLib.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 100 + + +2432:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2433:.\Generated_Source\PSoC5/CyLib.c **** * Gets the Priority of the Interrupt. +2434:.\Generated_Source\PSoC5/CyLib.c **** * +2435:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: +2436:.\Generated_Source\PSoC5/CyLib.c **** * number: The number of the interrupt, 0 - 31. +2437:.\Generated_Source\PSoC5/CyLib.c **** * +2438:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2439:.\Generated_Source\PSoC5/CyLib.c **** * Priority of the interrupt. 0 - 7, 0 being the highest. +2440:.\Generated_Source\PSoC5/CyLib.c **** * +2441:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2442:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyIntGetPriority(uint8 number) +2443:.\Generated_Source\PSoC5/CyLib.c **** { + 3015 .loc 1 2443 0 + 3016 .cfi_startproc + 3017 @ args = 0, pretend = 0, frame = 0 + 3018 @ frame_needed = 0, uses_anonymous_args = 0 + 3019 @ link register save eliminated. + 3020 .LVL180: +2444:.\Generated_Source\PSoC5/CyLib.c **** uint8 priority; +2445:.\Generated_Source\PSoC5/CyLib.c **** +2446:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_NUMBER_MAX); +2447:.\Generated_Source\PSoC5/CyLib.c **** +2448:.\Generated_Source\PSoC5/CyLib.c **** priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + 3021 .loc 1 2448 0 + 3022 0000 00F01F00 and r0, r0, #31 + 3023 .LVL181: + 3024 0004 00F16043 add r3, r0, #-536870912 + 3025 0008 03F56441 add r1, r3, #58368 + 3026 000c 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 3027 .LVL182: +2449:.\Generated_Source\PSoC5/CyLib.c **** +2450:.\Generated_Source\PSoC5/CyLib.c **** return (priority); +2451:.\Generated_Source\PSoC5/CyLib.c **** } + 3028 .loc 1 2451 0 + 3029 000e 5009 lsrs r0, r2, #5 + 3030 0010 7047 bx lr + 3031 .cfi_endproc + 3032 .LFE62: + 3033 .size CyIntGetPriority, .-CyIntGetPriority + 3034 .section .text.CyIntGetState,"ax",%progbits + 3035 .align 1 + 3036 .global CyIntGetState + 3037 .thumb + 3038 .thumb_func + 3039 .type CyIntGetState, %function + 3040 CyIntGetState: + 3041 .LFB63: +2452:.\Generated_Source\PSoC5/CyLib.c **** +2453:.\Generated_Source\PSoC5/CyLib.c **** +2454:.\Generated_Source\PSoC5/CyLib.c **** /******************************************************************************* +2455:.\Generated_Source\PSoC5/CyLib.c **** * Function Name: CyIntGetState +2456:.\Generated_Source\PSoC5/CyLib.c **** ******************************************************************************** +2457:.\Generated_Source\PSoC5/CyLib.c **** * +2458:.\Generated_Source\PSoC5/CyLib.c **** * Summary: +2459:.\Generated_Source\PSoC5/CyLib.c **** * Gets the enable state of the specified interrupt number. +2460:.\Generated_Source\PSoC5/CyLib.c **** * +2461:.\Generated_Source\PSoC5/CyLib.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 101 + + +2462:.\Generated_Source\PSoC5/CyLib.c **** * number: Valid range [0-31]. Interrupt number. +2463:.\Generated_Source\PSoC5/CyLib.c **** * +2464:.\Generated_Source\PSoC5/CyLib.c **** * Return: +2465:.\Generated_Source\PSoC5/CyLib.c **** * Enable status: 1 if enabled, 0 if disabled +2466:.\Generated_Source\PSoC5/CyLib.c **** * +2467:.\Generated_Source\PSoC5/CyLib.c **** *******************************************************************************/ +2468:.\Generated_Source\PSoC5/CyLib.c **** uint8 CyIntGetState(uint8 number) +2469:.\Generated_Source\PSoC5/CyLib.c **** { + 3042 .loc 1 2469 0 + 3043 .cfi_startproc + 3044 @ args = 0, pretend = 0, frame = 0 + 3045 @ frame_needed = 0, uses_anonymous_args = 0 + 3046 @ link register save eliminated. + 3047 .LVL183: +2470:.\Generated_Source\PSoC5/CyLib.c **** reg32 * stateReg; +2471:.\Generated_Source\PSoC5/CyLib.c **** +2472:.\Generated_Source\PSoC5/CyLib.c **** CYASSERT(number <= CY_INT_NUMBER_MAX); +2473:.\Generated_Source\PSoC5/CyLib.c **** +2474:.\Generated_Source\PSoC5/CyLib.c **** /* Get a pointer to the Interrupt enable register. */ +2475:.\Generated_Source\PSoC5/CyLib.c **** stateReg = CY_INT_ENABLE_PTR; +2476:.\Generated_Source\PSoC5/CyLib.c **** +2477:.\Generated_Source\PSoC5/CyLib.c **** /* Get the state of the interrupt. */ +2478:.\Generated_Source\PSoC5/CyLib.c **** return (0u != (*stateReg & (((uint32) 1u) << (0x1Fu & number)))) ? ((uint8)(1u)) : ((uint8) + 3048 .loc 1 2478 0 + 3049 0000 044B ldr r3, .L309 + 3050 0002 00F01F00 and r0, r0, #31 + 3051 .LVL184: + 3052 0006 1968 ldr r1, [r3, #0] + 3053 0008 21FA00F2 lsr r2, r1, r0 +2479:.\Generated_Source\PSoC5/CyLib.c **** } + 3054 .loc 1 2479 0 + 3055 000c 02F00100 and r0, r2, #1 + 3056 0010 7047 bx lr + 3057 .L310: + 3058 0012 00BF .align 2 + 3059 .L309: + 3060 0014 00E100E0 .word -536813312 + 3061 .cfi_endproc + 3062 .LFE63: + 3063 .size CyIntGetState, .-CyIntGetState + 3064 .global cydelay_32k_ms + 3065 .global cydelay_freq_mhz + 3066 .global cydelay_freq_khz + 3067 .global cydelay_freq_hz + 3068 .global CyResetStatus + 3069 .data + 3070 .align 2 + 3071 .set .LANCHOR0,. + 0 + 3072 .type cydelay_32k_ms, %object + 3073 .size cydelay_32k_ms, 4 + 3074 cydelay_32k_ms: + 3075 0000 0000007D .word 2097152000 + 3076 .type cydelay_freq_khz, %object + 3077 .size cydelay_freq_khz, 4 + 3078 cydelay_freq_khz: + 3079 0004 00FA0000 .word 64000 + 3080 .type cydelay_freq_mhz, %object + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 102 + + + 3081 .size cydelay_freq_mhz, 1 + 3082 cydelay_freq_mhz: + 3083 0008 40 .byte 64 + 3084 0009 000000 .space 3 + 3085 .type cydelay_freq_hz, %object + 3086 .size cydelay_freq_hz, 4 + 3087 cydelay_freq_hz: + 3088 000c 0090D003 .word 64000000 + 3089 .section .noinit,"aw",%progbits + 3090 .type CyResetStatus, %object + 3091 .size CyResetStatus, 1 + 3092 CyResetStatus: + 3093 0000 00 .space 1 + 3094 .text + 3095 .Letext0: + 3096 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 3097 .file 3 ".\\Generated_Source\\PSoC5\\CyLib.h" + 3098 .file 4 ".\\Generated_Source\\PSoC5\\cyPm.h" + 3099 .section .debug_info,"",%progbits + 3100 .Ldebug_info0: + 3101 0000 BC0F0000 .4byte 0xfbc + 3102 0004 0200 .2byte 0x2 + 3103 0006 00000000 .4byte .Ldebug_abbrev0 + 3104 000a 04 .byte 0x4 + 3105 000b 01 .uleb128 0x1 + 3106 000c 41050000 .4byte .LASF134 + 3107 0010 01 .byte 0x1 + 3108 0011 71040000 .4byte .LASF135 + 3109 0015 F1010000 .4byte .LASF136 + 3110 0019 00000000 .4byte .Ldebug_ranges0+0 + 3111 001d 00000000 .4byte 0 + 3112 0021 00000000 .4byte 0 + 3113 0025 00000000 .4byte .Ldebug_line0 + 3114 0029 02 .uleb128 0x2 + 3115 002a 01 .byte 0x1 + 3116 002b 06 .byte 0x6 + 3117 002c 25010000 .4byte .LASF0 + 3118 0030 02 .uleb128 0x2 + 3119 0031 01 .byte 0x1 + 3120 0032 08 .byte 0x8 + 3121 0033 B4050000 .4byte .LASF1 + 3122 0037 02 .uleb128 0x2 + 3123 0038 02 .byte 0x2 + 3124 0039 05 .byte 0x5 + 3125 003a D0050000 .4byte .LASF2 + 3126 003e 02 .uleb128 0x2 + 3127 003f 02 .byte 0x2 + 3128 0040 07 .byte 0x7 + 3129 0041 E7020000 .4byte .LASF3 + 3130 0045 03 .uleb128 0x3 + 3131 0046 04 .byte 0x4 + 3132 0047 05 .byte 0x5 + 3133 0048 696E7400 .ascii "int\000" + 3134 004c 02 .uleb128 0x2 + 3135 004d 04 .byte 0x4 + 3136 004e 07 .byte 0x7 + 3137 004f 3F020000 .4byte .LASF4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 103 + + + 3138 0053 02 .uleb128 0x2 + 3139 0054 08 .byte 0x8 + 3140 0055 05 .byte 0x5 + 3141 0056 17010000 .4byte .LASF5 + 3142 005a 02 .uleb128 0x2 + 3143 005b 08 .byte 0x8 + 3144 005c 07 .byte 0x7 + 3145 005d A4000000 .4byte .LASF6 + 3146 0061 02 .uleb128 0x2 + 3147 0062 04 .byte 0x4 + 3148 0063 05 .byte 0x5 + 3149 0064 6A010000 .4byte .LASF7 + 3150 0068 02 .uleb128 0x2 + 3151 0069 04 .byte 0x4 + 3152 006a 07 .byte 0x7 + 3153 006b FD030000 .4byte .LASF8 + 3154 006f 02 .uleb128 0x2 + 3155 0070 04 .byte 0x4 + 3156 0071 07 .byte 0x7 + 3157 0072 79020000 .4byte .LASF9 + 3158 0076 04 .uleb128 0x4 + 3159 0077 01 .byte 0x1 + 3160 0078 05 .uleb128 0x5 + 3161 0079 04 .byte 0x4 + 3162 007a 76000000 .4byte 0x76 + 3163 007e 02 .uleb128 0x2 + 3164 007f 01 .byte 0x1 + 3165 0080 08 .byte 0x8 + 3166 0081 74060000 .4byte .LASF10 + 3167 0085 06 .uleb128 0x6 + 3168 0086 8D010000 .4byte .LASF11 + 3169 008a 02 .byte 0x2 + 3170 008b 5B .byte 0x5b + 3171 008c 30000000 .4byte 0x30 + 3172 0090 06 .uleb128 0x6 + 3173 0091 15000000 .4byte .LASF12 + 3174 0095 02 .byte 0x2 + 3175 0096 5C .byte 0x5c + 3176 0097 3E000000 .4byte 0x3e + 3177 009b 06 .uleb128 0x6 + 3178 009c DC010000 .4byte .LASF13 + 3179 00a0 02 .byte 0x2 + 3180 00a1 5D .byte 0x5d + 3181 00a2 6F000000 .4byte 0x6f + 3182 00a6 02 .uleb128 0x2 + 3183 00a7 04 .byte 0x4 + 3184 00a8 04 .byte 0x4 + 3185 00a9 B1040000 .4byte .LASF14 + 3186 00ad 02 .uleb128 0x2 + 3187 00ae 08 .byte 0x8 + 3188 00af 04 .byte 0x4 + 3189 00b0 AD010000 .4byte .LASF15 + 3190 00b4 06 .uleb128 0x6 + 3191 00b5 95070000 .4byte .LASF16 + 3192 00b9 02 .byte 0x2 + 3193 00ba E8 .byte 0xe8 + 3194 00bb 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 104 + + + 3195 00bf 06 .uleb128 0x6 + 3196 00c0 8A050000 .4byte .LASF17 + 3197 00c4 02 .byte 0x2 + 3198 00c5 F0 .byte 0xf0 + 3199 00c6 CA000000 .4byte 0xca + 3200 00ca 07 .uleb128 0x7 + 3201 00cb 85000000 .4byte 0x85 + 3202 00cf 06 .uleb128 0x6 + 3203 00d0 87010000 .4byte .LASF18 + 3204 00d4 02 .byte 0x2 + 3205 00d5 F1 .byte 0xf1 + 3206 00d6 DA000000 .4byte 0xda + 3207 00da 07 .uleb128 0x7 + 3208 00db 90000000 .4byte 0x90 + 3209 00df 06 .uleb128 0x6 + 3210 00e0 CD030000 .4byte .LASF19 + 3211 00e4 02 .byte 0x2 + 3212 00e5 F2 .byte 0xf2 + 3213 00e6 EA000000 .4byte 0xea + 3214 00ea 07 .uleb128 0x7 + 3215 00eb 9B000000 .4byte 0x9b + 3216 00ef 08 .uleb128 0x8 + 3217 00f0 7E060000 .4byte .LASF20 + 3218 00f4 02 .byte 0x2 + 3219 00f5 0201 .2byte 0x102 + 3220 00f7 78000000 .4byte 0x78 + 3221 00fb 09 .uleb128 0x9 + 3222 00fc 01 .byte 0x1 + 3223 00fd 92040000 .4byte .LASF131 + 3224 0101 01 .byte 0x1 + 3225 0102 0B03 .2byte 0x30b + 3226 0104 01 .byte 0x1 + 3227 0105 01 .byte 0x1 + 3228 0106 17010000 .4byte 0x117 + 3229 010a 0A .uleb128 0xa + 3230 010b 8D070000 .4byte .LASF24 + 3231 010f 01 .byte 0x1 + 3232 0110 0B03 .2byte 0x30b + 3233 0112 85000000 .4byte 0x85 + 3234 0116 00 .byte 0 + 3235 0117 0B .uleb128 0xb + 3236 0118 01 .byte 0x1 + 3237 0119 69070000 .4byte .LASF129 + 3238 011d 01 .byte 0x1 + 3239 011e E004 .2byte 0x4e0 + 3240 0120 01 .byte 0x1 + 3241 0121 85000000 .4byte 0x85 + 3242 0125 01 .byte 0x1 + 3243 0126 0C .uleb128 0xc + 3244 0127 66020000 .4byte .LASF137 + 3245 012b 01 .byte 0x1 + 3246 012c 6E01 .2byte 0x16e + 3247 012e 01 .byte 0x1 + 3248 012f 85000000 .4byte 0x85 + 3249 0133 01 .byte 0x1 + 3250 0134 45010000 .4byte 0x145 + 3251 0138 0D .uleb128 0xd + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 105 + + + 3252 0139 16060000 .4byte .LASF138 + 3253 013d 01 .byte 0x1 + 3254 013e 7001 .2byte 0x170 + 3255 0140 85000000 .4byte 0x85 + 3256 0144 00 .byte 0 + 3257 0145 0E .uleb128 0xe + 3258 0146 D9000000 .4byte .LASF21 + 3259 014a 01 .byte 0x1 + 3260 014b 8D01 .2byte 0x18d + 3261 014d 01 .byte 0x1 + 3262 014e 00000000 .4byte .LFB7 + 3263 0152 B8000000 .4byte .LFE7 + 3264 0156 02 .byte 0x2 + 3265 0157 7D .byte 0x7d + 3266 0158 00 .sleb128 0 + 3267 0159 01 .byte 0x1 + 3268 015a A1010000 .4byte 0x1a1 + 3269 015e 0F .uleb128 0xf + 3270 015f 31010000 .4byte .LASF23 + 3271 0163 01 .byte 0x1 + 3272 0164 8D01 .2byte 0x18d + 3273 0166 85000000 .4byte 0x85 + 3274 016a 00000000 .4byte .LLST0 + 3275 016e 10 .uleb128 0x10 + 3276 016f 58040000 .4byte .LASF37 + 3277 0173 01 .byte 0x1 + 3278 0174 8F01 .2byte 0x18f + 3279 0176 85000000 .4byte 0x85 + 3280 017a 01 .byte 0x1 + 3281 017b 53 .byte 0x53 + 3282 017c 11 .uleb128 0x11 + 3283 017d 26010000 .4byte 0x126 + 3284 0181 00000000 .4byte .LBB10 + 3285 0185 2A000000 .4byte .LBE10 + 3286 0189 01 .byte 0x1 + 3287 018a 8F01 .2byte 0x18f + 3288 018c 12 .uleb128 0x12 + 3289 018d 00000000 .4byte .LBB11 + 3290 0191 2A000000 .4byte .LBE11 + 3291 0195 13 .uleb128 0x13 + 3292 0196 38010000 .4byte 0x138 + 3293 019a 3A000000 .4byte .LLST1 + 3294 019e 00 .byte 0 + 3295 019f 00 .byte 0 + 3296 01a0 00 .byte 0 + 3297 01a1 0E .uleb128 0xe + 3298 01a2 91030000 .4byte .LASF22 + 3299 01a6 01 .byte 0x1 + 3300 01a7 2103 .2byte 0x321 + 3301 01a9 01 .byte 0x1 + 3302 01aa 00000000 .4byte .LFB14 + 3303 01ae 3C000000 .4byte .LFE14 + 3304 01b2 02 .byte 0x2 + 3305 01b3 7D .byte 0x7d + 3306 01b4 00 .sleb128 0 + 3307 01b5 01 .byte 0x1 + 3308 01b6 CB010000 .4byte 0x1cb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 106 + + + 3309 01ba 0F .uleb128 0xf + 3310 01bb 8D070000 .4byte .LASF24 + 3311 01bf 01 .byte 0x1 + 3312 01c0 2103 .2byte 0x321 + 3313 01c2 90000000 .4byte 0x90 + 3314 01c6 5A000000 .4byte .LLST2 + 3315 01ca 00 .byte 0 + 3316 01cb 14 .uleb128 0x14 + 3317 01cc 01 .byte 0x1 + 3318 01cd 26040000 .4byte .LASF31 + 3319 01d1 01 .byte 0x1 + 3320 01d2 99 .byte 0x99 + 3321 01d3 01 .byte 0x1 + 3322 01d4 00000000 .4byte .LFB1 + 3323 01d8 10000000 .4byte .LFE1 + 3324 01dc 02 .byte 0x2 + 3325 01dd 7D .byte 0x7d + 3326 01de 00 .sleb128 0 + 3327 01df 01 .byte 0x1 + 3328 01e0 15 .uleb128 0x15 + 3329 01e1 01 .byte 0x1 + 3330 01e2 B4010000 .4byte .LASF28 + 3331 01e6 01 .byte 0x1 + 3332 01e7 BF .byte 0xbf + 3333 01e8 01 .byte 0x1 + 3334 01e9 00000000 .4byte .LFB2 + 3335 01ed 34000000 .4byte .LFE2 + 3336 01f1 02 .byte 0x2 + 3337 01f2 7D .byte 0x7d + 3338 01f3 00 .sleb128 0 + 3339 01f4 01 .byte 0x1 + 3340 01f5 27020000 .4byte 0x227 + 3341 01f9 16 .uleb128 0x16 + 3342 01fa 56070000 .4byte .LASF25 + 3343 01fe 01 .byte 0x1 + 3344 01ff BF .byte 0xbf + 3345 0200 85000000 .4byte 0x85 + 3346 0204 7B000000 .4byte .LLST3 + 3347 0208 16 .uleb128 0x16 + 3348 0209 C7060000 .4byte .LASF26 + 3349 020d 01 .byte 0x1 + 3350 020e BF .byte 0xbf + 3351 020f 85000000 .4byte 0x85 + 3352 0213 A8000000 .4byte .LLST4 + 3353 0217 16 .uleb128 0x16 + 3354 0218 A9040000 .4byte .LASF27 + 3355 021c 01 .byte 0x1 + 3356 021d BF .byte 0xbf + 3357 021e 85000000 .4byte 0x85 + 3358 0222 C9000000 .4byte .LLST5 + 3359 0226 00 .byte 0 + 3360 0227 15 .uleb128 0x15 + 3361 0228 01 .byte 0x1 + 3362 0229 73010000 .4byte .LASF29 + 3363 022d 01 .byte 0x1 + 3364 022e F6 .byte 0xf6 + 3365 022f 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 107 + + + 3366 0230 00000000 .4byte .LFB3 + 3367 0234 14000000 .4byte .LFE3 + 3368 0238 02 .byte 0x2 + 3369 0239 7D .byte 0x7d + 3370 023a 00 .sleb128 0 + 3371 023b 01 .byte 0x1 + 3372 023c 50020000 .4byte 0x250 + 3373 0240 16 .uleb128 0x16 + 3374 0241 F6030000 .4byte .LASF30 + 3375 0245 01 .byte 0x1 + 3376 0246 F6 .byte 0xf6 + 3377 0247 85000000 .4byte 0x85 + 3378 024b EA000000 .4byte .LLST6 + 3379 024f 00 .byte 0 + 3380 0250 17 .uleb128 0x17 + 3381 0251 01 .byte 0x1 + 3382 0252 DC020000 .4byte .LASF32 + 3383 0256 01 .byte 0x1 + 3384 0257 5901 .2byte 0x159 + 3385 0259 01 .byte 0x1 + 3386 025a 00000000 .4byte .LFB5 + 3387 025e 18000000 .4byte .LFE5 + 3388 0262 02 .byte 0x2 + 3389 0263 7D .byte 0x7d + 3390 0264 00 .sleb128 0 + 3391 0265 01 .byte 0x1 + 3392 0266 18 .uleb128 0x18 + 3393 0267 01 .byte 0x1 + 3394 0268 4C020000 .4byte .LASF33 + 3395 026c 01 .byte 0x1 + 3396 026d 8702 .2byte 0x287 + 3397 026f 01 .byte 0x1 + 3398 0270 00000000 .4byte .LFB9 + 3399 0274 40000000 .4byte .LFE9 + 3400 0278 02 .byte 0x2 + 3401 0279 7D .byte 0x7d + 3402 027a 00 .sleb128 0 + 3403 027b 01 .byte 0x1 + 3404 027c 91020000 .4byte 0x291 + 3405 0280 0F .uleb128 0xf + 3406 0281 F6030000 .4byte .LASF30 + 3407 0285 01 .byte 0x1 + 3408 0286 8702 .2byte 0x287 + 3409 0288 85000000 .4byte 0x85 + 3410 028c 0B010000 .4byte .LLST7 + 3411 0290 00 .byte 0 + 3412 0291 17 .uleb128 0x17 + 3413 0292 01 .byte 0x1 + 3414 0293 6F000000 .4byte .LASF34 + 3415 0297 01 .byte 0x1 + 3416 0298 B002 .2byte 0x2b0 + 3417 029a 01 .byte 0x1 + 3418 029b 00000000 .4byte .LFB10 + 3419 029f 10000000 .4byte .LFE10 + 3420 02a3 02 .byte 0x2 + 3421 02a4 7D .byte 0x7d + 3422 02a5 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 108 + + + 3423 02a6 01 .byte 0x1 + 3424 02a7 17 .uleb128 0x17 + 3425 02a8 01 .byte 0x1 + 3426 02a9 8B060000 .4byte .LASF35 + 3427 02ad 01 .byte 0x1 + 3428 02ae C502 .2byte 0x2c5 + 3429 02b0 01 .byte 0x1 + 3430 02b1 00000000 .4byte .LFB11 + 3431 02b5 10000000 .4byte .LFE11 + 3432 02b9 02 .byte 0x2 + 3433 02ba 7D .byte 0x7d + 3434 02bb 00 .sleb128 0 + 3435 02bc 01 .byte 0x1 + 3436 02bd 19 .uleb128 0x19 + 3437 02be 01 .byte 0x1 + 3438 02bf 5B070000 .4byte .LASF36 + 3439 02c3 01 .byte 0x1 + 3440 02c4 EC01 .2byte 0x1ec + 3441 02c6 01 .byte 0x1 + 3442 02c7 00000000 .4byte .LFB8 + 3443 02cb D8000000 .4byte .LFE8 + 3444 02cf 5E010000 .4byte .LLST8 + 3445 02d3 01 .byte 0x1 + 3446 02d4 3E030000 .4byte 0x33e + 3447 02d8 0F .uleb128 0xf + 3448 02d9 31010000 .4byte .LASF23 + 3449 02dd 01 .byte 0x1 + 3450 02de EC01 .2byte 0x1ec + 3451 02e0 85000000 .4byte 0x85 + 3452 02e4 7E010000 .4byte .LLST9 + 3453 02e8 1A .uleb128 0x1a + 3454 02e9 FB060000 .4byte .LASF38 + 3455 02ed 01 .byte 0x1 + 3456 02ee EE01 .2byte 0x1ee + 3457 02f0 85000000 .4byte 0x85 + 3458 02f4 9F010000 .4byte .LLST10 + 3459 02f8 10 .uleb128 0x10 + 3460 02f9 64060000 .4byte .LASF39 + 3461 02fd 01 .byte 0x1 + 3462 02fe EF01 .2byte 0x1ef + 3463 0300 85000000 .4byte 0x85 + 3464 0304 01 .byte 0x1 + 3465 0305 56 .byte 0x56 + 3466 0306 1B .uleb128 0x1b + 3467 0307 34000000 .4byte .LVL28 + 3468 030b 45010000 .4byte 0x145 + 3469 030f 1A030000 .4byte 0x31a + 3470 0313 1C .uleb128 0x1c + 3471 0314 01 .byte 0x1 + 3472 0315 50 .byte 0x50 + 3473 0316 02 .byte 0x2 + 3474 0317 74 .byte 0x74 + 3475 0318 00 .sleb128 0 + 3476 0319 00 .byte 0 + 3477 031a 1D .uleb128 0x1d + 3478 031b BE000000 .4byte .LVL29 + 3479 031f 91020000 .4byte 0x291 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 109 + + + 3480 0323 1D .uleb128 0x1d + 3481 0324 C4000000 .4byte .LVL30 + 3482 0328 A7020000 .4byte 0x2a7 + 3483 032c 1E .uleb128 0x1e + 3484 032d D2000000 .4byte .LVL31 + 3485 0331 01 .byte 0x1 + 3486 0332 45010000 .4byte 0x145 + 3487 0336 1C .uleb128 0x1c + 3488 0337 01 .byte 0x1 + 3489 0338 50 .byte 0x50 + 3490 0339 02 .byte 0x2 + 3491 033a 74 .byte 0x74 + 3492 033b 00 .sleb128 0 + 3493 033c 00 .byte 0 + 3494 033d 00 .byte 0 + 3495 033e 18 .uleb128 0x18 + 3496 033f 01 .byte 0x1 + 3497 0340 28030000 .4byte .LASF40 + 3498 0344 01 .byte 0x1 + 3499 0345 E802 .2byte 0x2e8 + 3500 0347 01 .byte 0x1 + 3501 0348 00000000 .4byte .LFB12 + 3502 034c 18000000 .4byte .LFE12 + 3503 0350 02 .byte 0x2 + 3504 0351 7D .byte 0x7d + 3505 0352 00 .sleb128 0 + 3506 0353 01 .byte 0x1 + 3507 0354 69030000 .4byte 0x369 + 3508 0358 0F .uleb128 0xf + 3509 0359 F6030000 .4byte .LASF30 + 3510 035d 01 .byte 0x1 + 3511 035e E802 .2byte 0x2e8 + 3512 0360 85000000 .4byte 0x85 + 3513 0364 C9010000 .4byte .LLST11 + 3514 0368 00 .byte 0 + 3515 0369 1F .uleb128 0x1f + 3516 036a FB000000 .4byte 0xfb + 3517 036e 00000000 .4byte .LFB13 + 3518 0372 0C000000 .4byte .LFE13 + 3519 0376 02 .byte 0x2 + 3520 0377 7D .byte 0x7d + 3521 0378 00 .sleb128 0 + 3522 0379 01 .byte 0x1 + 3523 037a 86030000 .4byte 0x386 + 3524 037e 20 .uleb128 0x20 + 3525 037f 0A010000 .4byte 0x10a + 3526 0383 01 .byte 0x1 + 3527 0384 50 .byte 0x50 + 3528 0385 00 .byte 0 + 3529 0386 19 .uleb128 0x19 + 3530 0387 01 .byte 0x1 + 3531 0388 0F070000 .4byte .LASF41 + 3532 038c 01 .byte 0x1 + 3533 038d 5003 .2byte 0x350 + 3534 038f 01 .byte 0x1 + 3535 0390 00000000 .4byte .LFB15 + 3536 0394 6C000000 .4byte .LFE15 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 110 + + + 3537 0398 EA010000 .4byte .LLST12 + 3538 039c 01 .byte 0x1 + 3539 039d 74040000 .4byte 0x474 + 3540 03a1 0F .uleb128 0xf + 3541 03a2 8D070000 .4byte .LASF24 + 3542 03a6 01 .byte 0x1 + 3543 03a7 5003 .2byte 0x350 + 3544 03a9 90000000 .4byte 0x90 + 3545 03ad 0A020000 .4byte .LLST13 + 3546 03b1 1A .uleb128 0x1a + 3547 03b2 34050000 .4byte .LASF42 + 3548 03b6 01 .byte 0x1 + 3549 03b7 5203 .2byte 0x352 + 3550 03b9 85000000 .4byte 0x85 + 3551 03bd 2B020000 .4byte .LLST14 + 3552 03c1 1A .uleb128 0x1a + 3553 03c2 5C020000 .4byte .LASF43 + 3554 03c6 01 .byte 0x1 + 3555 03c7 5303 .2byte 0x353 + 3556 03c9 90000000 .4byte 0x90 + 3557 03cd 49020000 .4byte .LLST15 + 3558 03d1 1A .uleb128 0x1a + 3559 03d2 42010000 .4byte .LASF44 + 3560 03d6 01 .byte 0x1 + 3561 03d7 5403 .2byte 0x354 + 3562 03d9 85000000 .4byte 0x85 + 3563 03dd 93020000 .4byte .LLST16 + 3564 03e1 21 .uleb128 0x21 + 3565 03e2 FB000000 .4byte 0xfb + 3566 03e6 24000000 .4byte .LBB12 + 3567 03ea 2A000000 .4byte .LBE12 + 3568 03ee 01 .byte 0x1 + 3569 03ef 6403 .2byte 0x364 + 3570 03f1 FF030000 .4byte 0x3ff + 3571 03f5 22 .uleb128 0x22 + 3572 03f6 0A010000 .4byte 0x10a + 3573 03fa B1020000 .4byte .LLST17 + 3574 03fe 00 .byte 0 + 3575 03ff 21 .uleb128 0x21 + 3576 0400 FB000000 .4byte 0xfb + 3577 0404 4A000000 .4byte .LBB14 + 3578 0408 50000000 .4byte .LBE14 + 3579 040c 01 .byte 0x1 + 3580 040d 7403 .2byte 0x374 + 3581 040f 1D040000 .4byte 0x41d + 3582 0413 22 .uleb128 0x22 + 3583 0414 0A010000 .4byte 0x10a + 3584 0418 C5020000 .4byte .LLST18 + 3585 041c 00 .byte 0 + 3586 041d 1D .uleb128 0x1d + 3587 041e 08000000 .4byte .LVL36 + 3588 0422 610F0000 .4byte 0xf61 + 3589 0426 1B .uleb128 0x1b + 3590 0427 3A000000 .4byte .LVL46 + 3591 042b A1010000 .4byte 0x1a1 + 3592 042f 3A040000 .4byte 0x43a + 3593 0433 1C .uleb128 0x1c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 111 + + + 3594 0434 01 .byte 0x1 + 3595 0435 50 .byte 0x50 + 3596 0436 02 .byte 0x2 + 3597 0437 74 .byte 0x74 + 3598 0438 00 .sleb128 0 + 3599 0439 00 .byte 0 + 3600 043a 1B .uleb128 0x1b + 3601 043b 42000000 .4byte .LVL48 + 3602 043f A1010000 .4byte 0x1a1 + 3603 0443 4E040000 .4byte 0x44e + 3604 0447 1C .uleb128 0x1c + 3605 0448 01 .byte 0x1 + 3606 0449 50 .byte 0x50 + 3607 044a 02 .byte 0x2 + 3608 044b 74 .byte 0x74 + 3609 044c 00 .sleb128 0 + 3610 044d 00 .byte 0 + 3611 044e 1B .uleb128 0x1b + 3612 044f 56000000 .4byte .LVL51 + 3613 0453 A1010000 .4byte 0x1a1 + 3614 0457 62040000 .4byte 0x462 + 3615 045b 1C .uleb128 0x1c + 3616 045c 01 .byte 0x1 + 3617 045d 50 .byte 0x50 + 3618 045e 02 .byte 0x2 + 3619 045f 74 .byte 0x74 + 3620 0460 00 .sleb128 0 + 3621 0461 00 .byte 0 + 3622 0462 1E .uleb128 0x1e + 3623 0463 60000000 .4byte .LVL52 + 3624 0467 01 .byte 0x1 + 3625 0468 6F0F0000 .4byte 0xf6f + 3626 046c 1C .uleb128 0x1c + 3627 046d 01 .byte 0x1 + 3628 046e 50 .byte 0x50 + 3629 046f 02 .byte 0x2 + 3630 0470 76 .byte 0x76 + 3631 0471 00 .sleb128 0 + 3632 0472 00 .byte 0 + 3633 0473 00 .byte 0 + 3634 0474 18 .uleb128 0x18 + 3635 0475 01 .byte 0x1 + 3636 0476 D9060000 .4byte .LASF45 + 3637 047a 01 .byte 0x1 + 3638 047b B403 .2byte 0x3b4 + 3639 047d 01 .byte 0x1 + 3640 047e 00000000 .4byte .LFB16 + 3641 0482 18000000 .4byte .LFE16 + 3642 0486 02 .byte 0x2 + 3643 0487 7D .byte 0x7d + 3644 0488 00 .sleb128 0 + 3645 0489 01 .byte 0x1 + 3646 048a 9F040000 .4byte 0x49f + 3647 048e 0F .uleb128 0xf + 3648 048f F6030000 .4byte .LASF30 + 3649 0493 01 .byte 0x1 + 3650 0494 B403 .2byte 0x3b4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 112 + + + 3651 0496 85000000 .4byte 0x85 + 3652 049a D8020000 .4byte .LLST19 + 3653 049e 00 .byte 0 + 3654 049f 17 .uleb128 0x17 + 3655 04a0 01 .byte 0x1 + 3656 04a1 51010000 .4byte .LASF46 + 3657 04a5 01 .byte 0x1 + 3658 04a6 CD03 .2byte 0x3cd + 3659 04a8 01 .byte 0x1 + 3660 04a9 00000000 .4byte .LFB17 + 3661 04ad 10000000 .4byte .LFE17 + 3662 04b1 02 .byte 0x2 + 3663 04b2 7D .byte 0x7d + 3664 04b3 00 .sleb128 0 + 3665 04b4 01 .byte 0x1 + 3666 04b5 17 .uleb128 0x17 + 3667 04b6 01 .byte 0x1 + 3668 04b7 C4010000 .4byte .LASF47 + 3669 04bb 01 .byte 0x1 + 3670 04bc E903 .2byte 0x3e9 + 3671 04be 01 .byte 0x1 + 3672 04bf 00000000 .4byte .LFB18 + 3673 04c3 10000000 .4byte .LFE18 + 3674 04c7 02 .byte 0x2 + 3675 04c8 7D .byte 0x7d + 3676 04c9 00 .sleb128 0 + 3677 04ca 01 .byte 0x1 + 3678 04cb 17 .uleb128 0x17 + 3679 04cc 01 .byte 0x1 + 3680 04cd 48040000 .4byte .LASF48 + 3681 04d1 01 .byte 0x1 + 3682 04d2 FE03 .2byte 0x3fe + 3683 04d4 01 .byte 0x1 + 3684 04d5 00000000 .4byte .LFB19 + 3685 04d9 10000000 .4byte .LFE19 + 3686 04dd 02 .byte 0x2 + 3687 04de 7D .byte 0x7d + 3688 04df 00 .sleb128 0 + 3689 04e0 01 .byte 0x1 + 3690 04e1 17 .uleb128 0x17 + 3691 04e2 01 .byte 0x1 + 3692 04e3 59000000 .4byte .LASF49 + 3693 04e7 01 .byte 0x1 + 3694 04e8 1204 .2byte 0x412 + 3695 04ea 01 .byte 0x1 + 3696 04eb 00000000 .4byte .LFB20 + 3697 04ef 10000000 .4byte .LFE20 + 3698 04f3 02 .byte 0x2 + 3699 04f4 7D .byte 0x7d + 3700 04f5 00 .sleb128 0 + 3701 04f6 01 .byte 0x1 + 3702 04f7 19 .uleb128 0x19 + 3703 04f8 01 .byte 0x1 + 3704 04f9 4D000000 .4byte .LASF50 + 3705 04fd 01 .byte 0x1 + 3706 04fe 2801 .2byte 0x128 + 3707 0500 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 113 + + + 3708 0501 00000000 .4byte .LFB4 + 3709 0505 50000000 .4byte .LFE4 + 3710 0509 F9020000 .4byte .LLST20 + 3711 050d 01 .byte 0x1 + 3712 050e 82050000 .4byte 0x582 + 3713 0512 0F .uleb128 0xf + 3714 0513 5F010000 .4byte .LASF51 + 3715 0517 01 .byte 0x1 + 3716 0518 2801 .2byte 0x128 + 3717 051a 85000000 .4byte 0x85 + 3718 051e 19030000 .4byte .LLST21 + 3719 0522 1A .uleb128 0x1a + 3720 0523 57060000 .4byte .LASF52 + 3721 0527 01 .byte 0x1 + 3722 0528 2A01 .2byte 0x12a + 3723 052a 85000000 .4byte 0x85 + 3724 052e 3A030000 .4byte .LLST22 + 3725 0532 1A .uleb128 0x1a + 3726 0533 A6070000 .4byte .LASF53 + 3727 0537 01 .byte 0x1 + 3728 0538 2B01 .2byte 0x12b + 3729 053a 85000000 .4byte 0x85 + 3730 053e 4D030000 .4byte .LLST23 + 3731 0542 1A .uleb128 0x1a + 3732 0543 25000000 .4byte .LASF54 + 3733 0547 01 .byte 0x1 + 3734 0548 2C01 .2byte 0x12c + 3735 054a 85000000 .4byte 0x85 + 3736 054e 60030000 .4byte .LLST24 + 3737 0552 1B .uleb128 0x1b + 3738 0553 30000000 .4byte .LVL60 + 3739 0557 830F0000 .4byte 0xf83 + 3740 055b 65050000 .4byte 0x565 + 3741 055f 1C .uleb128 0x1c + 3742 0560 01 .byte 0x1 + 3743 0561 50 .byte 0x50 + 3744 0562 01 .byte 0x1 + 3745 0563 30 .byte 0x30 + 3746 0564 00 .byte 0 + 3747 0565 1B .uleb128 0x1b + 3748 0566 36000000 .4byte .LVL61 + 3749 056a 970F0000 .4byte 0xf97 + 3750 056e 78050000 .4byte 0x578 + 3751 0572 1C .uleb128 0x1c + 3752 0573 01 .byte 0x1 + 3753 0574 50 .byte 0x50 + 3754 0575 01 .byte 0x1 + 3755 0576 31 .byte 0x31 + 3756 0577 00 .byte 0 + 3757 0578 1D .uleb128 0x1d + 3758 0579 40000000 .4byte .LVL62 + 3759 057d E1040000 .4byte 0x4e1 + 3760 0581 00 .byte 0 + 3761 0582 23 .uleb128 0x23 + 3762 0583 01 .byte 0x1 + 3763 0584 93010000 .4byte .LASF62 + 3764 0588 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 114 + + + 3765 0589 59 .byte 0x59 + 3766 058a 01 .byte 0x1 + 3767 058b B4000000 .4byte 0xb4 + 3768 058f 00000000 .4byte .LFB0 + 3769 0593 68000000 .4byte .LFE0 + 3770 0597 7E030000 .4byte .LLST25 + 3771 059b 01 .byte 0x1 + 3772 059c 1B060000 .4byte 0x61b + 3773 05a0 16 .uleb128 0x16 + 3774 05a1 5F010000 .4byte .LASF51 + 3775 05a5 01 .byte 0x1 + 3776 05a6 59 .byte 0x59 + 3777 05a7 85000000 .4byte 0x85 + 3778 05ab 9E030000 .4byte .LLST26 + 3779 05af 24 .uleb128 0x24 + 3780 05b0 3F070000 .4byte .LASF55 + 3781 05b4 01 .byte 0x1 + 3782 05b5 5B .byte 0x5b + 3783 05b6 B4000000 .4byte 0xb4 + 3784 05ba D8030000 .4byte .LLST27 + 3785 05be 24 .uleb128 0x24 + 3786 05bf DA050000 .4byte .LASF56 + 3787 05c3 01 .byte 0x1 + 3788 05c4 5D .byte 0x5d + 3789 05c5 85000000 .4byte 0x85 + 3790 05c9 1A040000 .4byte .LLST28 + 3791 05cd 24 .uleb128 0x24 + 3792 05ce BB000000 .4byte .LASF57 + 3793 05d2 01 .byte 0x1 + 3794 05d3 5E .byte 0x5e + 3795 05d4 85000000 .4byte 0x85 + 3796 05d8 38040000 .4byte .LLST29 + 3797 05dc 24 .uleb128 0x24 + 3798 05dd C2050000 .4byte .LASF58 + 3799 05e1 01 .byte 0x1 + 3800 05e2 5F .byte 0x5f + 3801 05e3 85000000 .4byte 0x85 + 3802 05e7 4B040000 .4byte .LLST30 + 3803 05eb 1B .uleb128 0x1b + 3804 05ec 26000000 .4byte .LVL69 + 3805 05f0 830F0000 .4byte 0xf83 + 3806 05f4 FE050000 .4byte 0x5fe + 3807 05f8 1C .uleb128 0x1c + 3808 05f9 01 .byte 0x1 + 3809 05fa 50 .byte 0x50 + 3810 05fb 01 .byte 0x1 + 3811 05fc 48 .byte 0x48 + 3812 05fd 00 .byte 0 + 3813 05fe 1B .uleb128 0x1b + 3814 05ff 2C000000 .4byte .LVL70 + 3815 0603 970F0000 .4byte 0xf97 + 3816 0607 11060000 .4byte 0x611 + 3817 060b 1C .uleb128 0x1c + 3818 060c 01 .byte 0x1 + 3819 060d 50 .byte 0x50 + 3820 060e 01 .byte 0x1 + 3821 060f 31 .byte 0x31 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 115 + + + 3822 0610 00 .byte 0 + 3823 0611 1D .uleb128 0x1d + 3824 0612 4C000000 .4byte .LVL72 + 3825 0616 E1040000 .4byte 0x4e1 + 3826 061a 00 .byte 0 + 3827 061b 17 .uleb128 0x17 + 3828 061c 01 .byte 0x1 + 3829 061d E7040000 .4byte .LASF59 + 3830 0621 01 .byte 0x1 + 3831 0622 2904 .2byte 0x429 + 3832 0624 01 .byte 0x1 + 3833 0625 00000000 .4byte .LFB21 + 3834 0629 10000000 .4byte .LFE21 + 3835 062d 02 .byte 0x2 + 3836 062e 7D .byte 0x7d + 3837 062f 00 .sleb128 0 + 3838 0630 01 .byte 0x1 + 3839 0631 17 .uleb128 0x17 + 3840 0632 01 .byte 0x1 + 3841 0633 13050000 .4byte .LASF60 + 3842 0637 01 .byte 0x1 + 3843 0638 4104 .2byte 0x441 + 3844 063a 01 .byte 0x1 + 3845 063b 00000000 .4byte .LFB22 + 3846 063f 10000000 .4byte .LFE22 + 3847 0643 02 .byte 0x2 + 3848 0644 7D .byte 0x7d + 3849 0645 00 .sleb128 0 + 3850 0646 01 .byte 0x1 + 3851 0647 18 .uleb128 0x18 + 3852 0648 01 .byte 0x1 + 3853 0649 46070000 .4byte .LASF61 + 3854 064d 01 .byte 0x1 + 3855 064e 5904 .2byte 0x459 + 3856 0650 01 .byte 0x1 + 3857 0651 00000000 .4byte .LFB23 + 3858 0655 18000000 .4byte .LFE23 + 3859 0659 02 .byte 0x2 + 3860 065a 7D .byte 0x7d + 3861 065b 00 .sleb128 0 + 3862 065c 01 .byte 0x1 + 3863 065d 72060000 .4byte 0x672 + 3864 0661 0F .uleb128 0xf + 3865 0662 F6030000 .4byte .LASF30 + 3866 0666 01 .byte 0x1 + 3867 0667 5904 .2byte 0x459 + 3868 0669 85000000 .4byte 0x85 + 3869 066d 5E040000 .4byte .LLST31 + 3870 0671 00 .byte 0 + 3871 0672 25 .uleb128 0x25 + 3872 0673 01 .byte 0x1 + 3873 0674 C9020000 .4byte .LASF63 + 3874 0678 01 .byte 0x1 + 3875 0679 7104 .2byte 0x471 + 3876 067b 01 .byte 0x1 + 3877 067c 85000000 .4byte 0x85 + 3878 0680 00000000 .4byte .LFB24 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 116 + + + 3879 0684 1C000000 .4byte .LFE24 + 3880 0688 02 .byte 0x2 + 3881 0689 7D .byte 0x7d + 3882 068a 00 .sleb128 0 + 3883 068b 01 .byte 0x1 + 3884 068c AF060000 .4byte 0x6af + 3885 0690 0F .uleb128 0xf + 3886 0691 79060000 .4byte .LASF64 + 3887 0695 01 .byte 0x1 + 3888 0696 7104 .2byte 0x471 + 3889 0698 85000000 .4byte 0x85 + 3890 069c 7F040000 .4byte .LLST32 + 3891 06a0 10 .uleb128 0x10 + 3892 06a1 64010000 .4byte .LASF65 + 3893 06a5 01 .byte 0x1 + 3894 06a6 7304 .2byte 0x473 + 3895 06a8 85000000 .4byte 0x85 + 3896 06ac 01 .byte 0x1 + 3897 06ad 53 .byte 0x53 + 3898 06ae 00 .byte 0 + 3899 06af 17 .uleb128 0x17 + 3900 06b0 01 .byte 0x1 + 3901 06b1 B7020000 .4byte .LASF66 + 3902 06b5 01 .byte 0x1 + 3903 06b6 C104 .2byte 0x4c1 + 3904 06b8 01 .byte 0x1 + 3905 06b9 00000000 .4byte .LFB26 + 3906 06bd 34000000 .4byte .LFE26 + 3907 06c1 02 .byte 0x2 + 3908 06c2 7D .byte 0x7d + 3909 06c3 00 .sleb128 0 + 3910 06c4 01 .byte 0x1 + 3911 06c5 26 .uleb128 0x26 + 3912 06c6 17010000 .4byte 0x117 + 3913 06ca 00000000 .4byte .LFB27 + 3914 06ce 10000000 .4byte .LFE27 + 3915 06d2 02 .byte 0x2 + 3916 06d3 7D .byte 0x7d + 3917 06d4 00 .sleb128 0 + 3918 06d5 01 .byte 0x1 + 3919 06d6 27 .uleb128 0x27 + 3920 06d7 01 .byte 0x1 + 3921 06d8 C0030000 .4byte .LASF67 + 3922 06dc 01 .byte 0x1 + 3923 06dd 3A05 .2byte 0x53a + 3924 06df 01 .byte 0x1 + 3925 06e0 B4000000 .4byte 0xb4 + 3926 06e4 00000000 .4byte .LFB29 + 3927 06e8 88000000 .4byte .LFE29 + 3928 06ec A0040000 .4byte .LLST33 + 3929 06f0 01 .byte 0x1 + 3930 06f1 95070000 .4byte 0x795 + 3931 06f5 0F .uleb128 0xf + 3932 06f6 5F010000 .4byte .LASF51 + 3933 06fa 01 .byte 0x1 + 3934 06fb 3A05 .2byte 0x53a + 3935 06fd 85000000 .4byte 0x85 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 117 + + + 3936 0701 C0040000 .4byte .LLST34 + 3937 0705 1A .uleb128 0x1a + 3938 0706 3F070000 .4byte .LASF55 + 3939 070a 01 .byte 0x1 + 3940 070b 3C05 .2byte 0x53c + 3941 070d B4000000 .4byte 0xb4 + 3942 0711 F6040000 .4byte .LLST35 + 3943 0715 1A .uleb128 0x1a + 3944 0716 8B020000 .4byte .LASF68 + 3945 071a 01 .byte 0x1 + 3946 071b 3D05 .2byte 0x53d + 3947 071d CA000000 .4byte 0xca + 3948 0721 38050000 .4byte .LLST36 + 3949 0725 1A .uleb128 0x1a + 3950 0726 0F000000 .4byte .LASF69 + 3951 072a 01 .byte 0x1 + 3952 072b 3E05 .2byte 0x53e + 3953 072d CA000000 .4byte 0xca + 3954 0731 A6050000 .4byte .LLST37 + 3955 0735 1A .uleb128 0x1a + 3956 0736 DA050000 .4byte .LASF56 + 3957 073a 01 .byte 0x1 + 3958 073b 3F05 .2byte 0x53f + 3959 073d 85000000 .4byte 0x85 + 3960 0741 CF050000 .4byte .LLST38 + 3961 0745 1A .uleb128 0x1a + 3962 0746 0B010000 .4byte .LASF70 + 3963 074a 01 .byte 0x1 + 3964 074b 4005 .2byte 0x540 + 3965 074d 85000000 .4byte 0x85 + 3966 0751 E2050000 .4byte .LLST39 + 3967 0755 1A .uleb128 0x1a + 3968 0756 81070000 .4byte .LASF71 + 3969 075a 01 .byte 0x1 + 3970 075b 4105 .2byte 0x541 + 3971 075d 85000000 .4byte 0x85 + 3972 0761 F5050000 .4byte .LLST40 + 3973 0765 1B .uleb128 0x1b + 3974 0766 24000000 .4byte .LVL86 + 3975 076a 830F0000 .4byte 0xf83 + 3976 076e 78070000 .4byte 0x778 + 3977 0772 1C .uleb128 0x1c + 3978 0773 01 .byte 0x1 + 3979 0774 50 .byte 0x50 + 3980 0775 01 .byte 0x1 + 3981 0776 48 .byte 0x48 + 3982 0777 00 .byte 0 + 3983 0778 1B .uleb128 0x1b + 3984 0779 40000000 .4byte .LVL92 + 3985 077d 970F0000 .4byte 0xf97 + 3986 0781 8B070000 .4byte 0x78b + 3987 0785 1C .uleb128 0x1c + 3988 0786 01 .byte 0x1 + 3989 0787 50 .byte 0x50 + 3990 0788 01 .byte 0x1 + 3991 0789 31 .byte 0x31 + 3992 078a 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 118 + + + 3993 078b 1D .uleb128 0x1d + 3994 078c 72000000 .4byte .LVL100 + 3995 0790 E1040000 .4byte 0x4e1 + 3996 0794 00 .byte 0 + 3997 0795 17 .uleb128 0x17 + 3998 0796 01 .byte 0x1 + 3999 0797 E5070000 .4byte .LASF72 + 4000 079b 01 .byte 0x1 + 4001 079c 8A05 .2byte 0x58a + 4002 079e 01 .byte 0x1 + 4003 079f 00000000 .4byte .LFB30 + 4004 07a3 10000000 .4byte .LFE30 + 4005 07a7 02 .byte 0x2 + 4006 07a8 7D .byte 0x7d + 4007 07a9 00 .sleb128 0 + 4008 07aa 01 .byte 0x1 + 4009 07ab 17 .uleb128 0x17 + 4010 07ac 01 .byte 0x1 + 4011 07ad E9050000 .4byte .LASF73 + 4012 07b1 01 .byte 0x1 + 4013 07b2 A005 .2byte 0x5a0 + 4014 07b4 01 .byte 0x1 + 4015 07b5 00000000 .4byte .LFB31 + 4016 07b9 10000000 .4byte .LFE31 + 4017 07bd 02 .byte 0x2 + 4018 07be 7D .byte 0x7d + 4019 07bf 00 .sleb128 0 + 4020 07c0 01 .byte 0x1 + 4021 07c1 17 .uleb128 0x17 + 4022 07c2 01 .byte 0x1 + 4023 07c3 35000000 .4byte .LASF74 + 4024 07c7 01 .byte 0x1 + 4025 07c8 B605 .2byte 0x5b6 + 4026 07ca 01 .byte 0x1 + 4027 07cb 00000000 .4byte .LFB32 + 4028 07cf 10000000 .4byte .LFE32 + 4029 07d3 02 .byte 0x2 + 4030 07d4 7D .byte 0x7d + 4031 07d5 00 .sleb128 0 + 4032 07d6 01 .byte 0x1 + 4033 07d7 28 .uleb128 0x28 + 4034 07d8 01 .byte 0x1 + 4035 07d9 D3030000 .4byte .LASF139 + 4036 07dd 01 .byte 0x1 + 4037 07de CE05 .2byte 0x5ce + 4038 07e0 01 .byte 0x1 + 4039 07e1 85000000 .4byte 0x85 + 4040 07e5 00000000 .4byte .LFB33 + 4041 07e9 0C000000 .4byte .LFE33 + 4042 07ed 02 .byte 0x2 + 4043 07ee 7D .byte 0x7d + 4044 07ef 00 .sleb128 0 + 4045 07f0 01 .byte 0x1 + 4046 07f1 17 .uleb128 0x17 + 4047 07f2 01 .byte 0x1 + 4048 07f3 65030000 .4byte .LASF75 + 4049 07f7 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 119 + + + 4050 07f8 E905 .2byte 0x5e9 + 4051 07fa 01 .byte 0x1 + 4052 07fb 00000000 .4byte .LFB34 + 4053 07ff 10000000 .4byte .LFE34 + 4054 0803 02 .byte 0x2 + 4055 0804 7D .byte 0x7d + 4056 0805 00 .sleb128 0 + 4057 0806 01 .byte 0x1 + 4058 0807 17 .uleb128 0x17 + 4059 0808 01 .byte 0x1 + 4060 0809 20060000 .4byte .LASF76 + 4061 080d 01 .byte 0x1 + 4062 080e FF05 .2byte 0x5ff + 4063 0810 01 .byte 0x1 + 4064 0811 00000000 .4byte .LFB35 + 4065 0815 10000000 .4byte .LFE35 + 4066 0819 02 .byte 0x2 + 4067 081a 7D .byte 0x7d + 4068 081b 00 .sleb128 0 + 4069 081c 01 .byte 0x1 + 4070 081d 18 .uleb128 0x18 + 4071 081e 01 .byte 0x1 + 4072 081f 06040000 .4byte .LASF77 + 4073 0823 01 .byte 0x1 + 4074 0824 1906 .2byte 0x619 + 4075 0826 01 .byte 0x1 + 4076 0827 00000000 .4byte .LFB36 + 4077 082b 18000000 .4byte .LFE36 + 4078 082f 02 .byte 0x2 + 4079 0830 7D .byte 0x7d + 4080 0831 00 .sleb128 0 + 4081 0832 01 .byte 0x1 + 4082 0833 48080000 .4byte 0x848 + 4083 0837 0F .uleb128 0xf + 4084 0838 9E070000 .4byte .LASF78 + 4085 083c 01 .byte 0x1 + 4086 083d 1906 .2byte 0x619 + 4087 083f 85000000 .4byte 0x85 + 4088 0843 08060000 .4byte .LLST41 + 4089 0847 00 .byte 0 + 4090 0848 18 .uleb128 0x18 + 4091 0849 01 .byte 0x1 + 4092 084a 43060000 .4byte .LASF79 + 4093 084e 01 .byte 0x1 + 4094 084f 3106 .2byte 0x631 + 4095 0851 01 .byte 0x1 + 4096 0852 00000000 .4byte .LFB37 + 4097 0856 18000000 .4byte .LFE37 + 4098 085a 02 .byte 0x2 + 4099 085b 7D .byte 0x7d + 4100 085c 00 .sleb128 0 + 4101 085d 01 .byte 0x1 + 4102 085e 73080000 .4byte 0x873 + 4103 0862 0F .uleb128 0xf + 4104 0863 9E070000 .4byte .LASF78 + 4105 0867 01 .byte 0x1 + 4106 0868 3106 .2byte 0x631 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 120 + + + 4107 086a 85000000 .4byte 0x85 + 4108 086e 29060000 .4byte .LLST42 + 4109 0872 00 .byte 0 + 4110 0873 18 .uleb128 0x18 + 4111 0874 01 .byte 0x1 + 4112 0875 A0050000 .4byte .LASF80 + 4113 0879 01 .byte 0x1 + 4114 087a 4806 .2byte 0x648 + 4115 087c 01 .byte 0x1 + 4116 087d 00000000 .4byte .LFB38 + 4117 0881 1C000000 .4byte .LFE38 + 4118 0885 02 .byte 0x2 + 4119 0886 7D .byte 0x7d + 4120 0887 00 .sleb128 0 + 4121 0888 01 .byte 0x1 + 4122 0889 9E080000 .4byte 0x89e + 4123 088d 0F .uleb128 0xf + 4124 088e 9E070000 .4byte .LASF78 + 4125 0892 01 .byte 0x1 + 4126 0893 4806 .2byte 0x648 + 4127 0895 85000000 .4byte 0x85 + 4128 0899 4A060000 .4byte .LLST43 + 4129 089d 00 .byte 0 + 4130 089e 18 .uleb128 0x18 + 4131 089f 01 .byte 0x1 + 4132 08a0 99050000 .4byte .LASF81 + 4133 08a4 01 .byte 0x1 + 4134 08a5 5D06 .2byte 0x65d + 4135 08a7 01 .byte 0x1 + 4136 08a8 00000000 .4byte .LFB39 + 4137 08ac 04000000 .4byte .LFE39 + 4138 08b0 02 .byte 0x2 + 4139 08b1 7D .byte 0x7d + 4140 08b2 00 .sleb128 0 + 4141 08b3 01 .byte 0x1 + 4142 08b4 C7080000 .4byte 0x8c7 + 4143 08b8 29 .uleb128 0x29 + 4144 08b9 3C060000 .4byte .LASF82 + 4145 08bd 01 .byte 0x1 + 4146 08be 5D06 .2byte 0x65d + 4147 08c0 85000000 .4byte 0x85 + 4148 08c4 01 .byte 0x1 + 4149 08c5 50 .byte 0x50 + 4150 08c6 00 .byte 0 + 4151 08c7 17 .uleb128 0x17 + 4152 08c8 01 .byte 0x1 + 4153 08c9 C9000000 .4byte .LASF83 + 4154 08cd 01 .byte 0x1 + 4155 08ce 7C06 .2byte 0x67c + 4156 08d0 01 .byte 0x1 + 4157 08d1 00000000 .4byte .LFB40 + 4158 08d5 10000000 .4byte .LFE40 + 4159 08d9 02 .byte 0x2 + 4160 08da 7D .byte 0x7d + 4161 08db 00 .sleb128 0 + 4162 08dc 01 .byte 0x1 + 4163 08dd 19 .uleb128 0x19 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 121 + + + 4164 08de 01 .byte 0x1 + 4165 08df 07070000 .4byte .LASF84 + 4166 08e3 01 .byte 0x1 + 4167 08e4 9606 .2byte 0x696 + 4168 08e6 01 .byte 0x1 + 4169 08e7 00000000 .4byte .LFB41 + 4170 08eb 28000000 .4byte .LFE41 + 4171 08ef 6B060000 .4byte .LLST44 + 4172 08f3 01 .byte 0x1 + 4173 08f4 1C090000 .4byte 0x91c + 4174 08f8 0F .uleb128 0xf + 4175 08f9 06050000 .4byte .LASF85 + 4176 08fd 01 .byte 0x1 + 4177 08fe 9606 .2byte 0x696 + 4178 0900 9B000000 .4byte 0x9b + 4179 0904 8B060000 .4byte .LLST45 + 4180 0908 1D .uleb128 0x1d + 4181 0909 12000000 .4byte .LVL113 + 4182 090d AF0F0000 .4byte 0xfaf + 4183 0911 2A .uleb128 0x2a + 4184 0912 24000000 .4byte .LVL115 + 4185 0916 01 .byte 0x1 + 4186 0917 AF0F0000 .4byte 0xfaf + 4187 091b 00 .byte 0 + 4188 091c 18 .uleb128 0x18 + 4189 091d 01 .byte 0x1 + 4190 091e A3010000 .4byte .LASF86 + 4191 0922 01 .byte 0x1 + 4192 0923 C706 .2byte 0x6c7 + 4193 0925 01 .byte 0x1 + 4194 0926 00000000 .4byte .LFB42 + 4195 092a 10000000 .4byte .LFE42 + 4196 092e 02 .byte 0x2 + 4197 092f 7D .byte 0x7d + 4198 0930 00 .sleb128 0 + 4199 0931 01 .byte 0x1 + 4200 0932 51090000 .4byte 0x951 + 4201 0936 0F .uleb128 0xf + 4202 0937 B3070000 .4byte .LASF87 + 4203 093b 01 .byte 0x1 + 4204 093c C706 .2byte 0x6c7 + 4205 093e 90000000 .4byte 0x90 + 4206 0942 A9060000 .4byte .LLST46 + 4207 0946 2A .uleb128 0x2a + 4208 0947 0A000000 .4byte .LVL118 + 4209 094b 01 .byte 0x1 + 4210 094c AF0F0000 .4byte 0xfaf + 4211 0950 00 .byte 0 + 4212 0951 27 .uleb128 0x27 + 4213 0952 01 .byte 0x1 + 4214 0953 B7040000 .4byte .LASF88 + 4215 0957 01 .byte 0x1 + 4216 0958 F804 .2byte 0x4f8 + 4217 095a 01 .byte 0x1 + 4218 095b 85000000 .4byte 0x85 + 4219 095f 00000000 .4byte .LFB28 + 4220 0963 6C000000 .4byte .LFE28 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 122 + + + 4221 0967 CA060000 .4byte .LLST47 + 4222 096b 01 .byte 0x1 + 4223 096c C4090000 .4byte 0x9c4 + 4224 0970 0F .uleb128 0xf + 4225 0971 79060000 .4byte .LASF64 + 4226 0975 01 .byte 0x1 + 4227 0976 F804 .2byte 0x4f8 + 4228 0978 85000000 .4byte 0x85 + 4229 097c EA060000 .4byte .LLST48 + 4230 0980 10 .uleb128 0x10 + 4231 0981 64010000 .4byte .LASF65 + 4232 0985 01 .byte 0x1 + 4233 0986 FA04 .2byte 0x4fa + 4234 0988 85000000 .4byte 0x85 + 4235 098c 01 .byte 0x1 + 4236 098d 56 .byte 0x56 + 4237 098e 1B .uleb128 0x1b + 4238 098f 20000000 .4byte .LVL122 + 4239 0993 1C090000 .4byte 0x91c + 4240 0997 A1090000 .4byte 0x9a1 + 4241 099b 1C .uleb128 0x1c + 4242 099c 01 .byte 0x1 + 4243 099d 50 .byte 0x50 + 4244 099e 01 .byte 0x1 + 4245 099f 3A .byte 0x3a + 4246 09a0 00 .byte 0 + 4247 09a1 1B .uleb128 0x1b + 4248 09a2 32000000 .4byte .LVL123 + 4249 09a6 1C090000 .4byte 0x91c + 4250 09aa B4090000 .4byte 0x9b4 + 4251 09ae 1C .uleb128 0x1c + 4252 09af 01 .byte 0x1 + 4253 09b0 50 .byte 0x50 + 4254 09b1 01 .byte 0x1 + 4255 09b2 44 .byte 0x44 + 4256 09b3 00 .byte 0 + 4257 09b4 2B .uleb128 0x2b + 4258 09b5 44000000 .4byte .LVL126 + 4259 09b9 1C090000 .4byte 0x91c + 4260 09bd 1C .uleb128 0x1c + 4261 09be 01 .byte 0x1 + 4262 09bf 50 .byte 0x50 + 4263 09c0 01 .byte 0x1 + 4264 09c1 3A .byte 0x3a + 4265 09c2 00 .byte 0 + 4266 09c3 00 .byte 0 + 4267 09c4 19 .uleb128 0x19 + 4268 09c5 01 .byte 0x1 + 4269 09c6 91000000 .4byte .LASF89 + 4270 09ca 01 .byte 0x1 + 4271 09cb 9504 .2byte 0x495 + 4272 09cd 01 .byte 0x1 + 4273 09ce 00000000 .4byte .LFB25 + 4274 09d2 70000000 .4byte .LFE25 + 4275 09d6 30070000 .4byte .LLST49 + 4276 09da 01 .byte 0x1 + 4277 09db 200A0000 .4byte 0xa20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 123 + + + 4278 09df 2C .uleb128 0x2c + 4279 09e0 6900 .ascii "i\000" + 4280 09e2 01 .byte 0x1 + 4281 09e3 9704 .2byte 0x497 + 4282 09e5 DA000000 .4byte 0xda + 4283 09e9 50070000 .4byte .LLST50 + 4284 09ed 2D .uleb128 0x2d + 4285 09ee 17010000 .4byte 0x117 + 4286 09f2 38000000 .4byte .LBB16 + 4287 09f6 3C000000 .4byte .LBE16 + 4288 09fa 01 .byte 0x1 + 4289 09fb A704 .2byte 0x4a7 + 4290 09fd 1B .uleb128 0x1b + 4291 09fe 4A000000 .4byte .LVL129 + 4292 0a02 51090000 .4byte 0x951 + 4293 0a06 100A0000 .4byte 0xa10 + 4294 0a0a 1C .uleb128 0x1c + 4295 0a0b 01 .byte 0x1 + 4296 0a0c 50 .byte 0x50 + 4297 0a0d 01 .byte 0x1 + 4298 0a0e 30 .byte 0x30 + 4299 0a0f 00 .byte 0 + 4300 0a10 2B .uleb128 0x2b + 4301 0a11 52000000 .4byte .LVL130 + 4302 0a15 1C090000 .4byte 0x91c + 4303 0a19 1C .uleb128 0x1c + 4304 0a1a 01 .byte 0x1 + 4305 0a1b 50 .byte 0x50 + 4306 0a1c 01 .byte 0x1 + 4307 0a1d 31 .byte 0x31 + 4308 0a1e 00 .byte 0 + 4309 0a1f 00 .byte 0 + 4310 0a20 18 .uleb128 0x18 + 4311 0a21 01 .byte 0x1 + 4312 0a22 36010000 .4byte .LASF90 + 4313 0a26 01 .byte 0x1 + 4314 0a27 DD06 .2byte 0x6dd + 4315 0a29 01 .byte 0x1 + 4316 0a2a 00000000 .4byte .LFB43 + 4317 0a2e 40000000 .4byte .LFE43 + 4318 0a32 02 .byte 0x2 + 4319 0a33 7D .byte 0x7d + 4320 0a34 00 .sleb128 0 + 4321 0a35 01 .byte 0x1 + 4322 0a36 4B0A0000 .4byte 0xa4b + 4323 0a3a 0F .uleb128 0xf + 4324 0a3b 31010000 .4byte .LASF23 + 4325 0a3f 01 .byte 0x1 + 4326 0a40 DD06 .2byte 0x6dd + 4327 0a42 9B000000 .4byte 0x9b + 4328 0a46 86070000 .4byte .LLST51 + 4329 0a4a 00 .byte 0 + 4330 0a4b 18 .uleb128 0x18 + 4331 0a4c 01 .byte 0x1 + 4332 0a4d FA020000 .4byte .LASF91 + 4333 0a51 01 .byte 0x1 + 4334 0a52 1C07 .2byte 0x71c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 124 + + + 4335 0a54 01 .byte 0x1 + 4336 0a55 00000000 .4byte .LFB44 + 4337 0a59 40000000 .4byte .LFE44 + 4338 0a5d 02 .byte 0x2 + 4339 0a5e 7D .byte 0x7d + 4340 0a5f 00 .sleb128 0 + 4341 0a60 01 .byte 0x1 + 4342 0a61 860A0000 .4byte 0xa86 + 4343 0a65 0F .uleb128 0xf + 4344 0a66 18040000 .4byte .LASF92 + 4345 0a6a 01 .byte 0x1 + 4346 0a6b 1C07 .2byte 0x71c + 4347 0a6d 85000000 .4byte 0x85 + 4348 0a71 A7070000 .4byte .LLST52 + 4349 0a75 0F .uleb128 0xf + 4350 0a76 6D060000 .4byte .LASF93 + 4351 0a7a 01 .byte 0x1 + 4352 0a7b 1C07 .2byte 0x71c + 4353 0a7d 85000000 .4byte 0x85 + 4354 0a81 C8070000 .4byte .LLST53 + 4355 0a85 00 .byte 0 + 4356 0a86 17 .uleb128 0x17 + 4357 0a87 01 .byte 0x1 + 4358 0a88 D1010000 .4byte .LASF94 + 4359 0a8c 01 .byte 0x1 + 4360 0a8d 3C07 .2byte 0x73c + 4361 0a8f 01 .byte 0x1 + 4362 0a90 00000000 .4byte .LFB45 + 4363 0a94 0C000000 .4byte .LFE45 + 4364 0a98 02 .byte 0x2 + 4365 0a99 7D .byte 0x7d + 4366 0a9a 00 .sleb128 0 + 4367 0a9b 01 .byte 0x1 + 4368 0a9c 19 .uleb128 0x19 + 4369 0a9d 01 .byte 0x1 + 4370 0a9e D3070000 .4byte .LASF95 + 4371 0aa2 01 .byte 0x1 + 4372 0aa3 5807 .2byte 0x758 + 4373 0aa5 01 .byte 0x1 + 4374 0aa6 00000000 .4byte .LFB46 + 4375 0aaa 68000000 .4byte .LFE46 + 4376 0aae E9070000 .4byte .LLST54 + 4377 0ab2 01 .byte 0x1 + 4378 0ab3 E70A0000 .4byte 0xae7 + 4379 0ab7 0F .uleb128 0xf + 4380 0ab8 16020000 .4byte .LASF96 + 4381 0abc 01 .byte 0x1 + 4382 0abd 5807 .2byte 0x758 + 4383 0abf 85000000 .4byte 0x85 + 4384 0ac3 09080000 .4byte .LLST55 + 4385 0ac7 0F .uleb128 0xf + 4386 0ac8 8F050000 .4byte .LASF97 + 4387 0acc 01 .byte 0x1 + 4388 0acd 5807 .2byte 0x758 + 4389 0acf 85000000 .4byte 0x85 + 4390 0ad3 2A080000 .4byte .LLST56 + 4391 0ad7 2B .uleb128 0x2b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 125 + + + 4392 0ad8 30000000 .4byte .LVL142 + 4393 0adc 1C090000 .4byte 0x91c + 4394 0ae0 1C .uleb128 0x1c + 4395 0ae1 01 .byte 0x1 + 4396 0ae2 50 .byte 0x50 + 4397 0ae3 01 .byte 0x1 + 4398 0ae4 31 .byte 0x31 + 4399 0ae5 00 .byte 0 + 4400 0ae6 00 .byte 0 + 4401 0ae7 19 .uleb128 0x19 + 4402 0ae8 01 .byte 0x1 + 4403 0ae9 93020000 .4byte .LASF98 + 4404 0aed 01 .byte 0x1 + 4405 0aee 8A07 .2byte 0x78a + 4406 0af0 01 .byte 0x1 + 4407 0af1 00000000 .4byte .LFB47 + 4408 0af5 68000000 .4byte .LFE47 + 4409 0af9 4B080000 .4byte .LLST57 + 4410 0afd 01 .byte 0x1 + 4411 0afe 320B0000 .4byte 0xb32 + 4412 0b02 0F .uleb128 0xf + 4413 0b03 16020000 .4byte .LASF96 + 4414 0b07 01 .byte 0x1 + 4415 0b08 8A07 .2byte 0x78a + 4416 0b0a 85000000 .4byte 0x85 + 4417 0b0e 6B080000 .4byte .LLST58 + 4418 0b12 0F .uleb128 0xf + 4419 0b13 8F050000 .4byte .LASF97 + 4420 0b17 01 .byte 0x1 + 4421 0b18 8A07 .2byte 0x78a + 4422 0b1a 85000000 .4byte 0x85 + 4423 0b1e 8C080000 .4byte .LLST59 + 4424 0b22 2B .uleb128 0x2b + 4425 0b23 32000000 .4byte .LVL146 + 4426 0b27 1C090000 .4byte 0x91c + 4427 0b2b 1C .uleb128 0x1c + 4428 0b2c 01 .byte 0x1 + 4429 0b2d 50 .byte 0x50 + 4430 0b2e 01 .byte 0x1 + 4431 0b2f 31 .byte 0x31 + 4432 0b30 00 .byte 0 + 4433 0b31 00 .byte 0 + 4434 0b32 17 .uleb128 0x17 + 4435 0b33 01 .byte 0x1 + 4436 0b34 A0060000 .4byte .LASF99 + 4437 0b38 01 .byte 0x1 + 4438 0b39 B507 .2byte 0x7b5 + 4439 0b3b 01 .byte 0x1 + 4440 0b3c 00000000 .4byte .LFB48 + 4441 0b40 24000000 .4byte .LFE48 + 4442 0b44 02 .byte 0x2 + 4443 0b45 7D .byte 0x7d + 4444 0b46 00 .sleb128 0 + 4445 0b47 01 .byte 0x1 + 4446 0b48 17 .uleb128 0x17 + 4447 0b49 01 .byte 0x1 + 4448 0b4a B3060000 .4byte .LASF100 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 126 + + + 4449 0b4e 01 .byte 0x1 + 4450 0b4f D107 .2byte 0x7d1 + 4451 0b51 01 .byte 0x1 + 4452 0b52 00000000 .4byte .LFB49 + 4453 0b56 24000000 .4byte .LFE49 + 4454 0b5a 02 .byte 0x2 + 4455 0b5b 7D .byte 0x7d + 4456 0b5c 00 .sleb128 0 + 4457 0b5d 01 .byte 0x1 + 4458 0b5e 19 .uleb128 0x19 + 4459 0b5f 01 .byte 0x1 + 4460 0b60 C0070000 .4byte .LASF101 + 4461 0b64 01 .byte 0x1 + 4462 0b65 ED07 .2byte 0x7ed + 4463 0b67 01 .byte 0x1 + 4464 0b68 00000000 .4byte .LFB50 + 4465 0b6c 44000000 .4byte .LFE50 + 4466 0b70 AD080000 .4byte .LLST60 + 4467 0b74 01 .byte 0x1 + 4468 0b75 8A0B0000 .4byte 0xb8a + 4469 0b79 2B .uleb128 0x2b + 4470 0b7a 24000000 .4byte .LVL147 + 4471 0b7e 1C090000 .4byte 0x91c + 4472 0b82 1C .uleb128 0x1c + 4473 0b83 01 .byte 0x1 + 4474 0b84 50 .byte 0x50 + 4475 0b85 02 .byte 0x2 + 4476 0b86 74 .byte 0x74 + 4477 0b87 00 .sleb128 0 + 4478 0b88 00 .byte 0 + 4479 0b89 00 .byte 0 + 4480 0b8a 17 .uleb128 0x17 + 4481 0b8b 01 .byte 0x1 + 4482 0b8c 14030000 .4byte .LASF102 + 4483 0b90 01 .byte 0x1 + 4484 0b91 0E08 .2byte 0x80e + 4485 0b93 01 .byte 0x1 + 4486 0b94 00000000 .4byte .LFB51 + 4487 0b98 10000000 .4byte .LFE51 + 4488 0b9c 02 .byte 0x2 + 4489 0b9d 7D .byte 0x7d + 4490 0b9e 00 .sleb128 0 + 4491 0b9f 01 .byte 0x1 + 4492 0ba0 25 .uleb128 0x25 + 4493 0ba1 01 .byte 0x1 + 4494 0ba2 A6020000 .4byte .LASF103 + 4495 0ba6 01 .byte 0x1 + 4496 0ba7 2808 .2byte 0x828 + 4497 0ba9 01 .byte 0x1 + 4498 0baa 85000000 .4byte 0x85 + 4499 0bae 00000000 .4byte .LFB52 + 4500 0bb2 14000000 .4byte .LFE52 + 4501 0bb6 02 .byte 0x2 + 4502 0bb7 7D .byte 0x7d + 4503 0bb8 00 .sleb128 0 + 4504 0bb9 01 .byte 0x1 + 4505 0bba DD0B0000 .4byte 0xbdd + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 127 + + + 4506 0bbe 0F .uleb128 0xf + 4507 0bbf 11020000 .4byte .LASF104 + 4508 0bc3 01 .byte 0x1 + 4509 0bc4 2808 .2byte 0x828 + 4510 0bc6 85000000 .4byte 0x85 + 4511 0bca CD080000 .4byte .LLST61 + 4512 0bce 10 .uleb128 0x10 + 4513 0bcf 3F070000 .4byte .LASF55 + 4514 0bd3 01 .byte 0x1 + 4515 0bd4 2A08 .2byte 0x82a + 4516 0bd6 85000000 .4byte 0x85 + 4517 0bda 01 .byte 0x1 + 4518 0bdb 52 .byte 0x52 + 4519 0bdc 00 .byte 0 + 4520 0bdd 27 .uleb128 0x27 + 4521 0bde 01 .byte 0x1 + 4522 0bdf 35040000 .4byte .LASF105 + 4523 0be3 01 .byte 0x1 + 4524 0be4 4508 .2byte 0x845 + 4525 0be6 01 .byte 0x1 + 4526 0be7 85000000 .4byte 0x85 + 4527 0beb 00000000 .4byte .LFB53 + 4528 0bef 18000000 .4byte .LFE53 + 4529 0bf3 EE080000 .4byte .LLST62 + 4530 0bf7 01 .byte 0x1 + 4531 0bf8 2D0C0000 .4byte 0xc2d + 4532 0bfc 1A .uleb128 0x1a + 4533 0bfd 42010000 .4byte .LASF44 + 4534 0c01 01 .byte 0x1 + 4535 0c02 4708 .2byte 0x847 + 4536 0c04 85000000 .4byte 0x85 + 4537 0c08 0E090000 .4byte .LLST63 + 4538 0c0c 10 .uleb128 0x10 + 4539 0c0d 32070000 .4byte .LASF106 + 4540 0c11 01 .byte 0x1 + 4541 0c12 4808 .2byte 0x848 + 4542 0c14 85000000 .4byte 0x85 + 4543 0c18 01 .byte 0x1 + 4544 0c19 54 .byte 0x54 + 4545 0c1a 1D .uleb128 0x1d + 4546 0c1b 06000000 .4byte .LVL151 + 4547 0c1f 610F0000 .4byte 0xf61 + 4548 0c23 1D .uleb128 0x1d + 4549 0c24 0E000000 .4byte .LVL153 + 4550 0c28 6F0F0000 .4byte 0xf6f + 4551 0c2c 00 .byte 0 + 4552 0c2d 27 .uleb128 0x27 + 4553 0c2e 01 .byte 0x1 + 4554 0c2f 83000000 .4byte .LASF107 + 4555 0c33 01 .byte 0x1 + 4556 0c34 6008 .2byte 0x860 + 4557 0c36 01 .byte 0x1 + 4558 0c37 9B000000 .4byte 0x9b + 4559 0c3b 00000000 .4byte .LFB54 + 4560 0c3f 1C000000 .4byte .LFE54 + 4561 0c43 21090000 .4byte .LLST64 + 4562 0c47 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 128 + + + 4563 0c48 7D0C0000 .4byte 0xc7d + 4564 0c4c 10 .uleb128 0x10 + 4565 0c4d 1C000000 .4byte .LASF108 + 4566 0c51 01 .byte 0x1 + 4567 0c52 6208 .2byte 0x862 + 4568 0c54 9B000000 .4byte 0x9b + 4569 0c58 01 .byte 0x1 + 4570 0c59 54 .byte 0x54 + 4571 0c5a 1A .uleb128 0x1a + 4572 0c5b 42010000 .4byte .LASF44 + 4573 0c5f 01 .byte 0x1 + 4574 0c60 6308 .2byte 0x863 + 4575 0c62 85000000 .4byte 0x85 + 4576 0c66 41090000 .4byte .LLST65 + 4577 0c6a 1D .uleb128 0x1d + 4578 0c6b 06000000 .4byte .LVL154 + 4579 0c6f 610F0000 .4byte 0xf61 + 4580 0c73 1D .uleb128 0x1d + 4581 0c74 14000000 .4byte .LVL156 + 4582 0c78 6F0F0000 .4byte 0xf6f + 4583 0c7c 00 .byte 0 + 4584 0c7d 19 .uleb128 0x19 + 4585 0c7e 01 .byte 0x1 + 4586 0c7f CC060000 .4byte .LASF109 + 4587 0c83 01 .byte 0x1 + 4588 0c84 9408 .2byte 0x894 + 4589 0c86 01 .byte 0x1 + 4590 0c87 00000000 .4byte .LFB55 + 4591 0c8b 18000000 .4byte .LFE55 + 4592 0c8f 54090000 .4byte .LLST66 + 4593 0c93 01 .byte 0x1 + 4594 0c94 CC0C0000 .4byte 0xccc + 4595 0c98 0F .uleb128 0xf + 4596 0c99 11020000 .4byte .LASF104 + 4597 0c9d 01 .byte 0x1 + 4598 0c9e 9408 .2byte 0x894 + 4599 0ca0 9B000000 .4byte 0x9b + 4600 0ca4 74090000 .4byte .LLST67 + 4601 0ca8 1A .uleb128 0x1a + 4602 0ca9 42010000 .4byte .LASF44 + 4603 0cad 01 .byte 0x1 + 4604 0cae 9708 .2byte 0x897 + 4605 0cb0 85000000 .4byte 0x85 + 4606 0cb4 92090000 .4byte .LLST68 + 4607 0cb8 1D .uleb128 0x1d + 4608 0cb9 08000000 .4byte .LVL158 + 4609 0cbd 610F0000 .4byte 0xf61 + 4610 0cc1 2A .uleb128 0x2a + 4611 0cc2 14000000 .4byte .LVL159 + 4612 0cc6 01 .byte 0x1 + 4613 0cc7 6F0F0000 .4byte 0xf6f + 4614 0ccb 00 .byte 0 + 4615 0ccc 19 .uleb128 0x19 + 4616 0ccd 01 .byte 0x1 + 4617 0cce 00060000 .4byte .LASF110 + 4618 0cd2 01 .byte 0x1 + 4619 0cd3 BC08 .2byte 0x8bc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 129 + + + 4620 0cd5 01 .byte 0x1 + 4621 0cd6 00000000 .4byte .LFB56 + 4622 0cda 60000000 .4byte .LFE56 + 4623 0cde A5090000 .4byte .LLST69 + 4624 0ce2 01 .byte 0x1 + 4625 0ce3 0B0D0000 .4byte 0xd0b + 4626 0ce7 1A .uleb128 0x1a + 4627 0ce8 42010000 .4byte .LASF44 + 4628 0cec 01 .byte 0x1 + 4629 0ced BE08 .2byte 0x8be + 4630 0cef 85000000 .4byte 0x85 + 4631 0cf3 C5090000 .4byte .LLST70 + 4632 0cf7 1D .uleb128 0x1d + 4633 0cf8 06000000 .4byte .LVL160 + 4634 0cfc 610F0000 .4byte 0xf61 + 4635 0d00 2A .uleb128 0x2a + 4636 0d01 5A000000 .4byte .LVL161 + 4637 0d05 01 .byte 0x1 + 4638 0d06 6F0F0000 .4byte 0xf6f + 4639 0d0a 00 .byte 0 + 4640 0d0b 25 .uleb128 0x25 + 4641 0d0c 01 .byte 0x1 + 4642 0d0d AE030000 .4byte .LASF111 + 4643 0d11 01 .byte 0x1 + 4644 0d12 0409 .2byte 0x904 + 4645 0d14 01 .byte 0x1 + 4646 0d15 EF000000 .4byte 0xef + 4647 0d19 00000000 .4byte .LFB57 + 4648 0d1d 18000000 .4byte .LFE57 + 4649 0d21 02 .byte 0x2 + 4650 0d22 7D .byte 0x7d + 4651 0d23 00 .sleb128 0 + 4652 0d24 01 .byte 0x1 + 4653 0d25 660D0000 .4byte 0xd66 + 4654 0d29 0F .uleb128 0xf + 4655 0d2a 3E030000 .4byte .LASF112 + 4656 0d2e 01 .byte 0x1 + 4657 0d2f 0409 .2byte 0x904 + 4658 0d31 85000000 .4byte 0x85 + 4659 0d35 D8090000 .4byte .LLST71 + 4660 0d39 29 .uleb128 0x29 + 4661 0d3a 1E040000 .4byte .LASF113 + 4662 0d3e 01 .byte 0x1 + 4663 0d3f 0409 .2byte 0x904 + 4664 0d41 EF000000 .4byte 0xef + 4665 0d45 01 .byte 0x1 + 4666 0d46 51 .byte 0x51 + 4667 0d47 10 .uleb128 0x10 + 4668 0d48 68000000 .4byte .LASF114 + 4669 0d4c 01 .byte 0x1 + 4670 0d4d 0609 .2byte 0x906 + 4671 0d4f EF000000 .4byte 0xef + 4672 0d53 01 .byte 0x1 + 4673 0d54 50 .byte 0x50 + 4674 0d55 1A .uleb128 0x1a + 4675 0d56 00000000 .4byte .LASF115 + 4676 0d5a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 130 + + + 4677 0d5b 0709 .2byte 0x907 + 4678 0d5d 660D0000 .4byte 0xd66 + 4679 0d61 F9090000 .4byte .LLST72 + 4680 0d65 00 .byte 0 + 4681 0d66 05 .uleb128 0x5 + 4682 0d67 04 .byte 0x4 + 4683 0d68 EF000000 .4byte 0xef + 4684 0d6c 25 .uleb128 0x25 + 4685 0d6d 01 .byte 0x1 + 4686 0d6e 2D020000 .4byte .LASF116 + 4687 0d72 01 .byte 0x1 + 4688 0d73 2509 .2byte 0x925 + 4689 0d75 01 .byte 0x1 + 4690 0d76 EF000000 .4byte 0xef + 4691 0d7a 00000000 .4byte .LFB58 + 4692 0d7e 14000000 .4byte .LFE58 + 4693 0d82 02 .byte 0x2 + 4694 0d83 7D .byte 0x7d + 4695 0d84 00 .sleb128 0 + 4696 0d85 01 .byte 0x1 + 4697 0d86 AB0D0000 .4byte 0xdab + 4698 0d8a 0F .uleb128 0xf + 4699 0d8b 3E030000 .4byte .LASF112 + 4700 0d8f 01 .byte 0x1 + 4701 0d90 2509 .2byte 0x925 + 4702 0d92 85000000 .4byte 0x85 + 4703 0d96 280A0000 .4byte .LLST73 + 4704 0d9a 1A .uleb128 0x1a + 4705 0d9b 00000000 .4byte .LASF115 + 4706 0d9f 01 .byte 0x1 + 4707 0da0 2709 .2byte 0x927 + 4708 0da2 660D0000 .4byte 0xd66 + 4709 0da6 490A0000 .4byte .LLST74 + 4710 0daa 00 .byte 0 + 4711 0dab 25 .uleb128 0x25 + 4712 0dac 01 .byte 0x1 + 4713 0dad 05030000 .4byte .LASF117 + 4714 0db1 01 .byte 0x1 + 4715 0db2 3D09 .2byte 0x93d + 4716 0db4 01 .byte 0x1 + 4717 0db5 EF000000 .4byte 0xef + 4718 0db9 00000000 .4byte .LFB59 + 4719 0dbd 1C000000 .4byte .LFE59 + 4720 0dc1 02 .byte 0x2 + 4721 0dc2 7D .byte 0x7d + 4722 0dc3 00 .sleb128 0 + 4723 0dc4 01 .byte 0x1 + 4724 0dc5 060E0000 .4byte 0xe06 + 4725 0dc9 0F .uleb128 0xf + 4726 0dca 3E030000 .4byte .LASF112 + 4727 0dce 01 .byte 0x1 + 4728 0dcf 3D09 .2byte 0x93d + 4729 0dd1 85000000 .4byte 0x85 + 4730 0dd5 6D0A0000 .4byte .LLST75 + 4731 0dd9 29 .uleb128 0x29 + 4732 0dda 1E040000 .4byte .LASF113 + 4733 0dde 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 131 + + + 4734 0ddf 3D09 .2byte 0x93d + 4735 0de1 EF000000 .4byte 0xef + 4736 0de5 01 .byte 0x1 + 4737 0de6 51 .byte 0x51 + 4738 0de7 10 .uleb128 0x10 + 4739 0de8 68000000 .4byte .LASF114 + 4740 0dec 01 .byte 0x1 + 4741 0ded 3F09 .2byte 0x93f + 4742 0def EF000000 .4byte 0xef + 4743 0df3 01 .byte 0x1 + 4744 0df4 50 .byte 0x50 + 4745 0df5 1A .uleb128 0x1a + 4746 0df6 00000000 .4byte .LASF115 + 4747 0dfa 01 .byte 0x1 + 4748 0dfb 4009 .2byte 0x940 + 4749 0dfd 660D0000 .4byte 0xd66 + 4750 0e01 8E0A0000 .4byte .LLST76 + 4751 0e05 00 .byte 0 + 4752 0e06 25 .uleb128 0x25 + 4753 0e07 01 .byte 0x1 + 4754 0e08 F7040000 .4byte .LASF118 + 4755 0e0c 01 .byte 0x1 + 4756 0e0d 5C09 .2byte 0x95c + 4757 0e0f 01 .byte 0x1 + 4758 0e10 EF000000 .4byte 0xef + 4759 0e14 00000000 .4byte .LFB60 + 4760 0e18 14000000 .4byte .LFE60 + 4761 0e1c 02 .byte 0x2 + 4762 0e1d 7D .byte 0x7d + 4763 0e1e 00 .sleb128 0 + 4764 0e1f 01 .byte 0x1 + 4765 0e20 450E0000 .4byte 0xe45 + 4766 0e24 0F .uleb128 0xf + 4767 0e25 3E030000 .4byte .LASF112 + 4768 0e29 01 .byte 0x1 + 4769 0e2a 5C09 .2byte 0x95c + 4770 0e2c 85000000 .4byte 0x85 + 4771 0e30 BD0A0000 .4byte .LLST77 + 4772 0e34 1A .uleb128 0x1a + 4773 0e35 00000000 .4byte .LASF115 + 4774 0e39 01 .byte 0x1 + 4775 0e3a 5E09 .2byte 0x95e + 4776 0e3c 660D0000 .4byte 0xd66 + 4777 0e40 DE0A0000 .4byte .LLST78 + 4778 0e44 00 .byte 0 + 4779 0e45 18 .uleb128 0x18 + 4780 0e46 01 .byte 0x1 + 4781 0e47 80030000 .4byte .LASF119 + 4782 0e4b 01 .byte 0x1 + 4783 0e4c 7409 .2byte 0x974 + 4784 0e4e 01 .byte 0x1 + 4785 0e4f 00000000 .4byte .LFB61 + 4786 0e53 14000000 .4byte .LFE61 + 4787 0e57 02 .byte 0x2 + 4788 0e58 7D .byte 0x7d + 4789 0e59 00 .sleb128 0 + 4790 0e5a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 132 + + + 4791 0e5b 800E0000 .4byte 0xe80 + 4792 0e5f 0F .uleb128 0xf + 4793 0e60 3E030000 .4byte .LASF112 + 4794 0e64 01 .byte 0x1 + 4795 0e65 7409 .2byte 0x974 + 4796 0e67 85000000 .4byte 0x85 + 4797 0e6b 020B0000 .4byte .LLST79 + 4798 0e6f 0F .uleb128 0xf + 4799 0e70 5C030000 .4byte .LASF120 + 4800 0e74 01 .byte 0x1 + 4801 0e75 7409 .2byte 0x974 + 4802 0e77 85000000 .4byte 0x85 + 4803 0e7b 230B0000 .4byte .LLST80 + 4804 0e7f 00 .byte 0 + 4805 0e80 25 .uleb128 0x25 + 4806 0e81 01 .byte 0x1 + 4807 0e82 1C020000 .4byte .LASF121 + 4808 0e86 01 .byte 0x1 + 4809 0e87 8A09 .2byte 0x98a + 4810 0e89 01 .byte 0x1 + 4811 0e8a 85000000 .4byte 0x85 + 4812 0e8e 00000000 .4byte .LFB62 + 4813 0e92 12000000 .4byte .LFE62 + 4814 0e96 02 .byte 0x2 + 4815 0e97 7D .byte 0x7d + 4816 0e98 00 .sleb128 0 + 4817 0e99 01 .byte 0x1 + 4818 0e9a C10E0000 .4byte 0xec1 + 4819 0e9e 0F .uleb128 0xf + 4820 0e9f 3E030000 .4byte .LASF112 + 4821 0ea3 01 .byte 0x1 + 4822 0ea4 8A09 .2byte 0x98a + 4823 0ea6 85000000 .4byte 0x85 + 4824 0eaa 440B0000 .4byte .LLST81 + 4825 0eae 10 .uleb128 0x10 + 4826 0eaf 5C030000 .4byte .LASF120 + 4827 0eb3 01 .byte 0x1 + 4828 0eb4 8C09 .2byte 0x98c + 4829 0eb6 85000000 .4byte 0x85 + 4830 0eba 05 .byte 0x5 + 4831 0ebb 72 .byte 0x72 + 4832 0ebc 00 .sleb128 0 + 4833 0ebd 35 .byte 0x35 + 4834 0ebe 25 .byte 0x25 + 4835 0ebf 9F .byte 0x9f + 4836 0ec0 00 .byte 0 + 4837 0ec1 25 .uleb128 0x25 + 4838 0ec2 01 .byte 0x1 + 4839 0ec3 FD000000 .4byte .LASF122 + 4840 0ec7 01 .byte 0x1 + 4841 0ec8 A409 .2byte 0x9a4 + 4842 0eca 01 .byte 0x1 + 4843 0ecb 85000000 .4byte 0x85 + 4844 0ecf 00000000 .4byte .LFB63 + 4845 0ed3 18000000 .4byte .LFE63 + 4846 0ed7 02 .byte 0x2 + 4847 0ed8 7D .byte 0x7d + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 133 + + + 4848 0ed9 00 .sleb128 0 + 4849 0eda 01 .byte 0x1 + 4850 0edb 010F0000 .4byte 0xf01 + 4851 0edf 0F .uleb128 0xf + 4852 0ee0 3E030000 .4byte .LASF112 + 4853 0ee4 01 .byte 0x1 + 4854 0ee5 A409 .2byte 0x9a4 + 4855 0ee7 85000000 .4byte 0x85 + 4856 0eeb 650B0000 .4byte .LLST82 + 4857 0eef 2E .uleb128 0x2e + 4858 0ef0 0D060000 .4byte .LASF123 + 4859 0ef4 01 .byte 0x1 + 4860 0ef5 A609 .2byte 0x9a6 + 4861 0ef7 010F0000 .4byte 0xf01 + 4862 0efb 80C28380 .sleb128 -536813312 + 4862 7E + 4863 0f00 00 .byte 0 + 4864 0f01 05 .uleb128 0x5 + 4865 0f02 04 .byte 0x4 + 4866 0f03 DF000000 .4byte 0xdf + 4867 0f07 2F .uleb128 0x2f + 4868 0f08 63040000 .4byte .LASF124 + 4869 0f0c 01 .byte 0x1 + 4870 0f0d 1D .byte 0x1d + 4871 0f0e 85000000 .4byte 0x85 + 4872 0f12 01 .byte 0x1 + 4873 0f13 05 .byte 0x5 + 4874 0f14 03 .byte 0x3 + 4875 0f15 00000000 .4byte CyResetStatus + 4876 0f19 2F .uleb128 0x2f + 4877 0f1a 24050000 .4byte .LASF125 + 4878 0f1e 01 .byte 0x1 + 4879 0f1f 29 .byte 0x29 + 4880 0f20 9B000000 .4byte 0x9b + 4881 0f24 01 .byte 0x1 + 4882 0f25 05 .byte 0x5 + 4883 0f26 03 .byte 0x3 + 4884 0f27 00000000 .4byte cydelay_freq_hz + 4885 0f2b 2F .uleb128 0x2f + 4886 0f2c E5030000 .4byte .LASF126 + 4887 0f30 01 .byte 0x1 + 4888 0f31 2A .byte 0x2a + 4889 0f32 9B000000 .4byte 0x9b + 4890 0f36 01 .byte 0x1 + 4891 0f37 05 .byte 0x5 + 4892 0f38 03 .byte 0x3 + 4893 0f39 00000000 .4byte cydelay_freq_khz + 4894 0f3d 2F .uleb128 0x2f + 4895 0f3e EC000000 .4byte .LASF127 + 4896 0f42 01 .byte 0x1 + 4897 0f43 2B .byte 0x2b + 4898 0f44 85000000 .4byte 0x85 + 4899 0f48 01 .byte 0x1 + 4900 0f49 05 .byte 0x5 + 4901 0f4a 03 .byte 0x3 + 4902 0f4b 00000000 .4byte cydelay_freq_mhz + 4903 0f4f 2F .uleb128 0x2f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 134 + + + 4904 0f50 23070000 .4byte .LASF128 + 4905 0f54 01 .byte 0x1 + 4906 0f55 2C .byte 0x2c + 4907 0f56 9B000000 .4byte 0x9b + 4908 0f5a 01 .byte 0x1 + 4909 0f5b 05 .byte 0x5 + 4910 0f5c 03 .byte 0x3 + 4911 0f5d 00000000 .4byte cydelay_32k_ms + 4912 0f61 30 .uleb128 0x30 + 4913 0f62 01 .byte 0x1 + 4914 0f63 45030000 .4byte .LASF130 + 4915 0f67 03 .byte 0x3 + 4916 0f68 7E .byte 0x7e + 4917 0f69 01 .byte 0x1 + 4918 0f6a 85000000 .4byte 0x85 + 4919 0f6e 01 .byte 0x1 + 4920 0f6f 31 .uleb128 0x31 + 4921 0f70 01 .byte 0x1 + 4922 0f71 D1040000 .4byte .LASF132 + 4923 0f75 03 .byte 0x3 + 4924 0f76 7F .byte 0x7f + 4925 0f77 01 .byte 0x1 + 4926 0f78 01 .byte 0x1 + 4927 0f79 830F0000 .4byte 0xf83 + 4928 0f7d 32 .uleb128 0x32 + 4929 0f7e 85000000 .4byte 0x85 + 4930 0f82 00 .byte 0 + 4931 0f83 31 .uleb128 0x31 + 4932 0f84 01 .byte 0x1 + 4933 0f85 F1070000 .4byte .LASF133 + 4934 0f89 04 .byte 0x4 + 4935 0f8a 2A .byte 0x2a + 4936 0f8b 01 .byte 0x1 + 4937 0f8c 01 .byte 0x1 + 4938 0f8d 970F0000 .4byte 0xf97 + 4939 0f91 32 .uleb128 0x32 + 4940 0f92 85000000 .4byte 0x85 + 4941 0f96 00 .byte 0 + 4942 0f97 33 .uleb128 0x33 + 4943 0f98 01 .byte 0x1 + 4944 0f99 EC060000 .4byte .LASF140 + 4945 0f9d 04 .byte 0x4 + 4946 0f9e 26 .byte 0x26 + 4947 0f9f 01 .byte 0x1 + 4948 0fa0 85000000 .4byte 0x85 + 4949 0fa4 01 .byte 0x1 + 4950 0fa5 AF0F0000 .4byte 0xfaf + 4951 0fa9 32 .uleb128 0x32 + 4952 0faa 85000000 .4byte 0x85 + 4953 0fae 00 .byte 0 + 4954 0faf 34 .uleb128 0x34 + 4955 0fb0 01 .byte 0x1 + 4956 0fb1 E3010000 .4byte .LASF141 + 4957 0fb5 03 .byte 0x3 + 4958 0fb6 7A .byte 0x7a + 4959 0fb7 01 .byte 0x1 + 4960 0fb8 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 135 + + + 4961 0fb9 32 .uleb128 0x32 + 4962 0fba 9B000000 .4byte 0x9b + 4963 0fbe 00 .byte 0 + 4964 0fbf 00 .byte 0 + 4965 .section .debug_abbrev,"",%progbits + 4966 .Ldebug_abbrev0: + 4967 0000 01 .uleb128 0x1 + 4968 0001 11 .uleb128 0x11 + 4969 0002 01 .byte 0x1 + 4970 0003 25 .uleb128 0x25 + 4971 0004 0E .uleb128 0xe + 4972 0005 13 .uleb128 0x13 + 4973 0006 0B .uleb128 0xb + 4974 0007 03 .uleb128 0x3 + 4975 0008 0E .uleb128 0xe + 4976 0009 1B .uleb128 0x1b + 4977 000a 0E .uleb128 0xe + 4978 000b 55 .uleb128 0x55 + 4979 000c 06 .uleb128 0x6 + 4980 000d 11 .uleb128 0x11 + 4981 000e 01 .uleb128 0x1 + 4982 000f 52 .uleb128 0x52 + 4983 0010 01 .uleb128 0x1 + 4984 0011 10 .uleb128 0x10 + 4985 0012 06 .uleb128 0x6 + 4986 0013 00 .byte 0 + 4987 0014 00 .byte 0 + 4988 0015 02 .uleb128 0x2 + 4989 0016 24 .uleb128 0x24 + 4990 0017 00 .byte 0 + 4991 0018 0B .uleb128 0xb + 4992 0019 0B .uleb128 0xb + 4993 001a 3E .uleb128 0x3e + 4994 001b 0B .uleb128 0xb + 4995 001c 03 .uleb128 0x3 + 4996 001d 0E .uleb128 0xe + 4997 001e 00 .byte 0 + 4998 001f 00 .byte 0 + 4999 0020 03 .uleb128 0x3 + 5000 0021 24 .uleb128 0x24 + 5001 0022 00 .byte 0 + 5002 0023 0B .uleb128 0xb + 5003 0024 0B .uleb128 0xb + 5004 0025 3E .uleb128 0x3e + 5005 0026 0B .uleb128 0xb + 5006 0027 03 .uleb128 0x3 + 5007 0028 08 .uleb128 0x8 + 5008 0029 00 .byte 0 + 5009 002a 00 .byte 0 + 5010 002b 04 .uleb128 0x4 + 5011 002c 15 .uleb128 0x15 + 5012 002d 00 .byte 0 + 5013 002e 27 .uleb128 0x27 + 5014 002f 0C .uleb128 0xc + 5015 0030 00 .byte 0 + 5016 0031 00 .byte 0 + 5017 0032 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 136 + + + 5018 0033 0F .uleb128 0xf + 5019 0034 00 .byte 0 + 5020 0035 0B .uleb128 0xb + 5021 0036 0B .uleb128 0xb + 5022 0037 49 .uleb128 0x49 + 5023 0038 13 .uleb128 0x13 + 5024 0039 00 .byte 0 + 5025 003a 00 .byte 0 + 5026 003b 06 .uleb128 0x6 + 5027 003c 16 .uleb128 0x16 + 5028 003d 00 .byte 0 + 5029 003e 03 .uleb128 0x3 + 5030 003f 0E .uleb128 0xe + 5031 0040 3A .uleb128 0x3a + 5032 0041 0B .uleb128 0xb + 5033 0042 3B .uleb128 0x3b + 5034 0043 0B .uleb128 0xb + 5035 0044 49 .uleb128 0x49 + 5036 0045 13 .uleb128 0x13 + 5037 0046 00 .byte 0 + 5038 0047 00 .byte 0 + 5039 0048 07 .uleb128 0x7 + 5040 0049 35 .uleb128 0x35 + 5041 004a 00 .byte 0 + 5042 004b 49 .uleb128 0x49 + 5043 004c 13 .uleb128 0x13 + 5044 004d 00 .byte 0 + 5045 004e 00 .byte 0 + 5046 004f 08 .uleb128 0x8 + 5047 0050 16 .uleb128 0x16 + 5048 0051 00 .byte 0 + 5049 0052 03 .uleb128 0x3 + 5050 0053 0E .uleb128 0xe + 5051 0054 3A .uleb128 0x3a + 5052 0055 0B .uleb128 0xb + 5053 0056 3B .uleb128 0x3b + 5054 0057 05 .uleb128 0x5 + 5055 0058 49 .uleb128 0x49 + 5056 0059 13 .uleb128 0x13 + 5057 005a 00 .byte 0 + 5058 005b 00 .byte 0 + 5059 005c 09 .uleb128 0x9 + 5060 005d 2E .uleb128 0x2e + 5061 005e 01 .byte 0x1 + 5062 005f 3F .uleb128 0x3f + 5063 0060 0C .uleb128 0xc + 5064 0061 03 .uleb128 0x3 + 5065 0062 0E .uleb128 0xe + 5066 0063 3A .uleb128 0x3a + 5067 0064 0B .uleb128 0xb + 5068 0065 3B .uleb128 0x3b + 5069 0066 05 .uleb128 0x5 + 5070 0067 27 .uleb128 0x27 + 5071 0068 0C .uleb128 0xc + 5072 0069 20 .uleb128 0x20 + 5073 006a 0B .uleb128 0xb + 5074 006b 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 137 + + + 5075 006c 13 .uleb128 0x13 + 5076 006d 00 .byte 0 + 5077 006e 00 .byte 0 + 5078 006f 0A .uleb128 0xa + 5079 0070 05 .uleb128 0x5 + 5080 0071 00 .byte 0 + 5081 0072 03 .uleb128 0x3 + 5082 0073 0E .uleb128 0xe + 5083 0074 3A .uleb128 0x3a + 5084 0075 0B .uleb128 0xb + 5085 0076 3B .uleb128 0x3b + 5086 0077 05 .uleb128 0x5 + 5087 0078 49 .uleb128 0x49 + 5088 0079 13 .uleb128 0x13 + 5089 007a 00 .byte 0 + 5090 007b 00 .byte 0 + 5091 007c 0B .uleb128 0xb + 5092 007d 2E .uleb128 0x2e + 5093 007e 00 .byte 0 + 5094 007f 3F .uleb128 0x3f + 5095 0080 0C .uleb128 0xc + 5096 0081 03 .uleb128 0x3 + 5097 0082 0E .uleb128 0xe + 5098 0083 3A .uleb128 0x3a + 5099 0084 0B .uleb128 0xb + 5100 0085 3B .uleb128 0x3b + 5101 0086 05 .uleb128 0x5 + 5102 0087 27 .uleb128 0x27 + 5103 0088 0C .uleb128 0xc + 5104 0089 49 .uleb128 0x49 + 5105 008a 13 .uleb128 0x13 + 5106 008b 20 .uleb128 0x20 + 5107 008c 0B .uleb128 0xb + 5108 008d 00 .byte 0 + 5109 008e 00 .byte 0 + 5110 008f 0C .uleb128 0xc + 5111 0090 2E .uleb128 0x2e + 5112 0091 01 .byte 0x1 + 5113 0092 03 .uleb128 0x3 + 5114 0093 0E .uleb128 0xe + 5115 0094 3A .uleb128 0x3a + 5116 0095 0B .uleb128 0xb + 5117 0096 3B .uleb128 0x3b + 5118 0097 05 .uleb128 0x5 + 5119 0098 27 .uleb128 0x27 + 5120 0099 0C .uleb128 0xc + 5121 009a 49 .uleb128 0x49 + 5122 009b 13 .uleb128 0x13 + 5123 009c 20 .uleb128 0x20 + 5124 009d 0B .uleb128 0xb + 5125 009e 01 .uleb128 0x1 + 5126 009f 13 .uleb128 0x13 + 5127 00a0 00 .byte 0 + 5128 00a1 00 .byte 0 + 5129 00a2 0D .uleb128 0xd + 5130 00a3 34 .uleb128 0x34 + 5131 00a4 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 138 + + + 5132 00a5 03 .uleb128 0x3 + 5133 00a6 0E .uleb128 0xe + 5134 00a7 3A .uleb128 0x3a + 5135 00a8 0B .uleb128 0xb + 5136 00a9 3B .uleb128 0x3b + 5137 00aa 05 .uleb128 0x5 + 5138 00ab 49 .uleb128 0x49 + 5139 00ac 13 .uleb128 0x13 + 5140 00ad 00 .byte 0 + 5141 00ae 00 .byte 0 + 5142 00af 0E .uleb128 0xe + 5143 00b0 2E .uleb128 0x2e + 5144 00b1 01 .byte 0x1 + 5145 00b2 03 .uleb128 0x3 + 5146 00b3 0E .uleb128 0xe + 5147 00b4 3A .uleb128 0x3a + 5148 00b5 0B .uleb128 0xb + 5149 00b6 3B .uleb128 0x3b + 5150 00b7 05 .uleb128 0x5 + 5151 00b8 27 .uleb128 0x27 + 5152 00b9 0C .uleb128 0xc + 5153 00ba 11 .uleb128 0x11 + 5154 00bb 01 .uleb128 0x1 + 5155 00bc 12 .uleb128 0x12 + 5156 00bd 01 .uleb128 0x1 + 5157 00be 40 .uleb128 0x40 + 5158 00bf 0A .uleb128 0xa + 5159 00c0 9742 .uleb128 0x2117 + 5160 00c2 0C .uleb128 0xc + 5161 00c3 01 .uleb128 0x1 + 5162 00c4 13 .uleb128 0x13 + 5163 00c5 00 .byte 0 + 5164 00c6 00 .byte 0 + 5165 00c7 0F .uleb128 0xf + 5166 00c8 05 .uleb128 0x5 + 5167 00c9 00 .byte 0 + 5168 00ca 03 .uleb128 0x3 + 5169 00cb 0E .uleb128 0xe + 5170 00cc 3A .uleb128 0x3a + 5171 00cd 0B .uleb128 0xb + 5172 00ce 3B .uleb128 0x3b + 5173 00cf 05 .uleb128 0x5 + 5174 00d0 49 .uleb128 0x49 + 5175 00d1 13 .uleb128 0x13 + 5176 00d2 02 .uleb128 0x2 + 5177 00d3 06 .uleb128 0x6 + 5178 00d4 00 .byte 0 + 5179 00d5 00 .byte 0 + 5180 00d6 10 .uleb128 0x10 + 5181 00d7 34 .uleb128 0x34 + 5182 00d8 00 .byte 0 + 5183 00d9 03 .uleb128 0x3 + 5184 00da 0E .uleb128 0xe + 5185 00db 3A .uleb128 0x3a + 5186 00dc 0B .uleb128 0xb + 5187 00dd 3B .uleb128 0x3b + 5188 00de 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 139 + + + 5189 00df 49 .uleb128 0x49 + 5190 00e0 13 .uleb128 0x13 + 5191 00e1 02 .uleb128 0x2 + 5192 00e2 0A .uleb128 0xa + 5193 00e3 00 .byte 0 + 5194 00e4 00 .byte 0 + 5195 00e5 11 .uleb128 0x11 + 5196 00e6 1D .uleb128 0x1d + 5197 00e7 01 .byte 0x1 + 5198 00e8 31 .uleb128 0x31 + 5199 00e9 13 .uleb128 0x13 + 5200 00ea 11 .uleb128 0x11 + 5201 00eb 01 .uleb128 0x1 + 5202 00ec 12 .uleb128 0x12 + 5203 00ed 01 .uleb128 0x1 + 5204 00ee 58 .uleb128 0x58 + 5205 00ef 0B .uleb128 0xb + 5206 00f0 59 .uleb128 0x59 + 5207 00f1 05 .uleb128 0x5 + 5208 00f2 00 .byte 0 + 5209 00f3 00 .byte 0 + 5210 00f4 12 .uleb128 0x12 + 5211 00f5 0B .uleb128 0xb + 5212 00f6 01 .byte 0x1 + 5213 00f7 11 .uleb128 0x11 + 5214 00f8 01 .uleb128 0x1 + 5215 00f9 12 .uleb128 0x12 + 5216 00fa 01 .uleb128 0x1 + 5217 00fb 00 .byte 0 + 5218 00fc 00 .byte 0 + 5219 00fd 13 .uleb128 0x13 + 5220 00fe 34 .uleb128 0x34 + 5221 00ff 00 .byte 0 + 5222 0100 31 .uleb128 0x31 + 5223 0101 13 .uleb128 0x13 + 5224 0102 02 .uleb128 0x2 + 5225 0103 06 .uleb128 0x6 + 5226 0104 00 .byte 0 + 5227 0105 00 .byte 0 + 5228 0106 14 .uleb128 0x14 + 5229 0107 2E .uleb128 0x2e + 5230 0108 00 .byte 0 + 5231 0109 3F .uleb128 0x3f + 5232 010a 0C .uleb128 0xc + 5233 010b 03 .uleb128 0x3 + 5234 010c 0E .uleb128 0xe + 5235 010d 3A .uleb128 0x3a + 5236 010e 0B .uleb128 0xb + 5237 010f 3B .uleb128 0x3b + 5238 0110 0B .uleb128 0xb + 5239 0111 27 .uleb128 0x27 + 5240 0112 0C .uleb128 0xc + 5241 0113 11 .uleb128 0x11 + 5242 0114 01 .uleb128 0x1 + 5243 0115 12 .uleb128 0x12 + 5244 0116 01 .uleb128 0x1 + 5245 0117 40 .uleb128 0x40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 140 + + + 5246 0118 0A .uleb128 0xa + 5247 0119 9742 .uleb128 0x2117 + 5248 011b 0C .uleb128 0xc + 5249 011c 00 .byte 0 + 5250 011d 00 .byte 0 + 5251 011e 15 .uleb128 0x15 + 5252 011f 2E .uleb128 0x2e + 5253 0120 01 .byte 0x1 + 5254 0121 3F .uleb128 0x3f + 5255 0122 0C .uleb128 0xc + 5256 0123 03 .uleb128 0x3 + 5257 0124 0E .uleb128 0xe + 5258 0125 3A .uleb128 0x3a + 5259 0126 0B .uleb128 0xb + 5260 0127 3B .uleb128 0x3b + 5261 0128 0B .uleb128 0xb + 5262 0129 27 .uleb128 0x27 + 5263 012a 0C .uleb128 0xc + 5264 012b 11 .uleb128 0x11 + 5265 012c 01 .uleb128 0x1 + 5266 012d 12 .uleb128 0x12 + 5267 012e 01 .uleb128 0x1 + 5268 012f 40 .uleb128 0x40 + 5269 0130 0A .uleb128 0xa + 5270 0131 9742 .uleb128 0x2117 + 5271 0133 0C .uleb128 0xc + 5272 0134 01 .uleb128 0x1 + 5273 0135 13 .uleb128 0x13 + 5274 0136 00 .byte 0 + 5275 0137 00 .byte 0 + 5276 0138 16 .uleb128 0x16 + 5277 0139 05 .uleb128 0x5 + 5278 013a 00 .byte 0 + 5279 013b 03 .uleb128 0x3 + 5280 013c 0E .uleb128 0xe + 5281 013d 3A .uleb128 0x3a + 5282 013e 0B .uleb128 0xb + 5283 013f 3B .uleb128 0x3b + 5284 0140 0B .uleb128 0xb + 5285 0141 49 .uleb128 0x49 + 5286 0142 13 .uleb128 0x13 + 5287 0143 02 .uleb128 0x2 + 5288 0144 06 .uleb128 0x6 + 5289 0145 00 .byte 0 + 5290 0146 00 .byte 0 + 5291 0147 17 .uleb128 0x17 + 5292 0148 2E .uleb128 0x2e + 5293 0149 00 .byte 0 + 5294 014a 3F .uleb128 0x3f + 5295 014b 0C .uleb128 0xc + 5296 014c 03 .uleb128 0x3 + 5297 014d 0E .uleb128 0xe + 5298 014e 3A .uleb128 0x3a + 5299 014f 0B .uleb128 0xb + 5300 0150 3B .uleb128 0x3b + 5301 0151 05 .uleb128 0x5 + 5302 0152 27 .uleb128 0x27 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 141 + + + 5303 0153 0C .uleb128 0xc + 5304 0154 11 .uleb128 0x11 + 5305 0155 01 .uleb128 0x1 + 5306 0156 12 .uleb128 0x12 + 5307 0157 01 .uleb128 0x1 + 5308 0158 40 .uleb128 0x40 + 5309 0159 0A .uleb128 0xa + 5310 015a 9742 .uleb128 0x2117 + 5311 015c 0C .uleb128 0xc + 5312 015d 00 .byte 0 + 5313 015e 00 .byte 0 + 5314 015f 18 .uleb128 0x18 + 5315 0160 2E .uleb128 0x2e + 5316 0161 01 .byte 0x1 + 5317 0162 3F .uleb128 0x3f + 5318 0163 0C .uleb128 0xc + 5319 0164 03 .uleb128 0x3 + 5320 0165 0E .uleb128 0xe + 5321 0166 3A .uleb128 0x3a + 5322 0167 0B .uleb128 0xb + 5323 0168 3B .uleb128 0x3b + 5324 0169 05 .uleb128 0x5 + 5325 016a 27 .uleb128 0x27 + 5326 016b 0C .uleb128 0xc + 5327 016c 11 .uleb128 0x11 + 5328 016d 01 .uleb128 0x1 + 5329 016e 12 .uleb128 0x12 + 5330 016f 01 .uleb128 0x1 + 5331 0170 40 .uleb128 0x40 + 5332 0171 0A .uleb128 0xa + 5333 0172 9742 .uleb128 0x2117 + 5334 0174 0C .uleb128 0xc + 5335 0175 01 .uleb128 0x1 + 5336 0176 13 .uleb128 0x13 + 5337 0177 00 .byte 0 + 5338 0178 00 .byte 0 + 5339 0179 19 .uleb128 0x19 + 5340 017a 2E .uleb128 0x2e + 5341 017b 01 .byte 0x1 + 5342 017c 3F .uleb128 0x3f + 5343 017d 0C .uleb128 0xc + 5344 017e 03 .uleb128 0x3 + 5345 017f 0E .uleb128 0xe + 5346 0180 3A .uleb128 0x3a + 5347 0181 0B .uleb128 0xb + 5348 0182 3B .uleb128 0x3b + 5349 0183 05 .uleb128 0x5 + 5350 0184 27 .uleb128 0x27 + 5351 0185 0C .uleb128 0xc + 5352 0186 11 .uleb128 0x11 + 5353 0187 01 .uleb128 0x1 + 5354 0188 12 .uleb128 0x12 + 5355 0189 01 .uleb128 0x1 + 5356 018a 40 .uleb128 0x40 + 5357 018b 06 .uleb128 0x6 + 5358 018c 9742 .uleb128 0x2117 + 5359 018e 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 142 + + + 5360 018f 01 .uleb128 0x1 + 5361 0190 13 .uleb128 0x13 + 5362 0191 00 .byte 0 + 5363 0192 00 .byte 0 + 5364 0193 1A .uleb128 0x1a + 5365 0194 34 .uleb128 0x34 + 5366 0195 00 .byte 0 + 5367 0196 03 .uleb128 0x3 + 5368 0197 0E .uleb128 0xe + 5369 0198 3A .uleb128 0x3a + 5370 0199 0B .uleb128 0xb + 5371 019a 3B .uleb128 0x3b + 5372 019b 05 .uleb128 0x5 + 5373 019c 49 .uleb128 0x49 + 5374 019d 13 .uleb128 0x13 + 5375 019e 02 .uleb128 0x2 + 5376 019f 06 .uleb128 0x6 + 5377 01a0 00 .byte 0 + 5378 01a1 00 .byte 0 + 5379 01a2 1B .uleb128 0x1b + 5380 01a3 898201 .uleb128 0x4109 + 5381 01a6 01 .byte 0x1 + 5382 01a7 11 .uleb128 0x11 + 5383 01a8 01 .uleb128 0x1 + 5384 01a9 31 .uleb128 0x31 + 5385 01aa 13 .uleb128 0x13 + 5386 01ab 01 .uleb128 0x1 + 5387 01ac 13 .uleb128 0x13 + 5388 01ad 00 .byte 0 + 5389 01ae 00 .byte 0 + 5390 01af 1C .uleb128 0x1c + 5391 01b0 8A8201 .uleb128 0x410a + 5392 01b3 00 .byte 0 + 5393 01b4 02 .uleb128 0x2 + 5394 01b5 0A .uleb128 0xa + 5395 01b6 9142 .uleb128 0x2111 + 5396 01b8 0A .uleb128 0xa + 5397 01b9 00 .byte 0 + 5398 01ba 00 .byte 0 + 5399 01bb 1D .uleb128 0x1d + 5400 01bc 898201 .uleb128 0x4109 + 5401 01bf 00 .byte 0 + 5402 01c0 11 .uleb128 0x11 + 5403 01c1 01 .uleb128 0x1 + 5404 01c2 31 .uleb128 0x31 + 5405 01c3 13 .uleb128 0x13 + 5406 01c4 00 .byte 0 + 5407 01c5 00 .byte 0 + 5408 01c6 1E .uleb128 0x1e + 5409 01c7 898201 .uleb128 0x4109 + 5410 01ca 01 .byte 0x1 + 5411 01cb 11 .uleb128 0x11 + 5412 01cc 01 .uleb128 0x1 + 5413 01cd 9542 .uleb128 0x2115 + 5414 01cf 0C .uleb128 0xc + 5415 01d0 31 .uleb128 0x31 + 5416 01d1 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 143 + + + 5417 01d2 00 .byte 0 + 5418 01d3 00 .byte 0 + 5419 01d4 1F .uleb128 0x1f + 5420 01d5 2E .uleb128 0x2e + 5421 01d6 01 .byte 0x1 + 5422 01d7 31 .uleb128 0x31 + 5423 01d8 13 .uleb128 0x13 + 5424 01d9 11 .uleb128 0x11 + 5425 01da 01 .uleb128 0x1 + 5426 01db 12 .uleb128 0x12 + 5427 01dc 01 .uleb128 0x1 + 5428 01dd 40 .uleb128 0x40 + 5429 01de 0A .uleb128 0xa + 5430 01df 9742 .uleb128 0x2117 + 5431 01e1 0C .uleb128 0xc + 5432 01e2 01 .uleb128 0x1 + 5433 01e3 13 .uleb128 0x13 + 5434 01e4 00 .byte 0 + 5435 01e5 00 .byte 0 + 5436 01e6 20 .uleb128 0x20 + 5437 01e7 05 .uleb128 0x5 + 5438 01e8 00 .byte 0 + 5439 01e9 31 .uleb128 0x31 + 5440 01ea 13 .uleb128 0x13 + 5441 01eb 02 .uleb128 0x2 + 5442 01ec 0A .uleb128 0xa + 5443 01ed 00 .byte 0 + 5444 01ee 00 .byte 0 + 5445 01ef 21 .uleb128 0x21 + 5446 01f0 1D .uleb128 0x1d + 5447 01f1 01 .byte 0x1 + 5448 01f2 31 .uleb128 0x31 + 5449 01f3 13 .uleb128 0x13 + 5450 01f4 11 .uleb128 0x11 + 5451 01f5 01 .uleb128 0x1 + 5452 01f6 12 .uleb128 0x12 + 5453 01f7 01 .uleb128 0x1 + 5454 01f8 58 .uleb128 0x58 + 5455 01f9 0B .uleb128 0xb + 5456 01fa 59 .uleb128 0x59 + 5457 01fb 05 .uleb128 0x5 + 5458 01fc 01 .uleb128 0x1 + 5459 01fd 13 .uleb128 0x13 + 5460 01fe 00 .byte 0 + 5461 01ff 00 .byte 0 + 5462 0200 22 .uleb128 0x22 + 5463 0201 05 .uleb128 0x5 + 5464 0202 00 .byte 0 + 5465 0203 31 .uleb128 0x31 + 5466 0204 13 .uleb128 0x13 + 5467 0205 02 .uleb128 0x2 + 5468 0206 06 .uleb128 0x6 + 5469 0207 00 .byte 0 + 5470 0208 00 .byte 0 + 5471 0209 23 .uleb128 0x23 + 5472 020a 2E .uleb128 0x2e + 5473 020b 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 144 + + + 5474 020c 3F .uleb128 0x3f + 5475 020d 0C .uleb128 0xc + 5476 020e 03 .uleb128 0x3 + 5477 020f 0E .uleb128 0xe + 5478 0210 3A .uleb128 0x3a + 5479 0211 0B .uleb128 0xb + 5480 0212 3B .uleb128 0x3b + 5481 0213 0B .uleb128 0xb + 5482 0214 27 .uleb128 0x27 + 5483 0215 0C .uleb128 0xc + 5484 0216 49 .uleb128 0x49 + 5485 0217 13 .uleb128 0x13 + 5486 0218 11 .uleb128 0x11 + 5487 0219 01 .uleb128 0x1 + 5488 021a 12 .uleb128 0x12 + 5489 021b 01 .uleb128 0x1 + 5490 021c 40 .uleb128 0x40 + 5491 021d 06 .uleb128 0x6 + 5492 021e 9742 .uleb128 0x2117 + 5493 0220 0C .uleb128 0xc + 5494 0221 01 .uleb128 0x1 + 5495 0222 13 .uleb128 0x13 + 5496 0223 00 .byte 0 + 5497 0224 00 .byte 0 + 5498 0225 24 .uleb128 0x24 + 5499 0226 34 .uleb128 0x34 + 5500 0227 00 .byte 0 + 5501 0228 03 .uleb128 0x3 + 5502 0229 0E .uleb128 0xe + 5503 022a 3A .uleb128 0x3a + 5504 022b 0B .uleb128 0xb + 5505 022c 3B .uleb128 0x3b + 5506 022d 0B .uleb128 0xb + 5507 022e 49 .uleb128 0x49 + 5508 022f 13 .uleb128 0x13 + 5509 0230 02 .uleb128 0x2 + 5510 0231 06 .uleb128 0x6 + 5511 0232 00 .byte 0 + 5512 0233 00 .byte 0 + 5513 0234 25 .uleb128 0x25 + 5514 0235 2E .uleb128 0x2e + 5515 0236 01 .byte 0x1 + 5516 0237 3F .uleb128 0x3f + 5517 0238 0C .uleb128 0xc + 5518 0239 03 .uleb128 0x3 + 5519 023a 0E .uleb128 0xe + 5520 023b 3A .uleb128 0x3a + 5521 023c 0B .uleb128 0xb + 5522 023d 3B .uleb128 0x3b + 5523 023e 05 .uleb128 0x5 + 5524 023f 27 .uleb128 0x27 + 5525 0240 0C .uleb128 0xc + 5526 0241 49 .uleb128 0x49 + 5527 0242 13 .uleb128 0x13 + 5528 0243 11 .uleb128 0x11 + 5529 0244 01 .uleb128 0x1 + 5530 0245 12 .uleb128 0x12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 145 + + + 5531 0246 01 .uleb128 0x1 + 5532 0247 40 .uleb128 0x40 + 5533 0248 0A .uleb128 0xa + 5534 0249 9742 .uleb128 0x2117 + 5535 024b 0C .uleb128 0xc + 5536 024c 01 .uleb128 0x1 + 5537 024d 13 .uleb128 0x13 + 5538 024e 00 .byte 0 + 5539 024f 00 .byte 0 + 5540 0250 26 .uleb128 0x26 + 5541 0251 2E .uleb128 0x2e + 5542 0252 00 .byte 0 + 5543 0253 31 .uleb128 0x31 + 5544 0254 13 .uleb128 0x13 + 5545 0255 11 .uleb128 0x11 + 5546 0256 01 .uleb128 0x1 + 5547 0257 12 .uleb128 0x12 + 5548 0258 01 .uleb128 0x1 + 5549 0259 40 .uleb128 0x40 + 5550 025a 0A .uleb128 0xa + 5551 025b 9742 .uleb128 0x2117 + 5552 025d 0C .uleb128 0xc + 5553 025e 00 .byte 0 + 5554 025f 00 .byte 0 + 5555 0260 27 .uleb128 0x27 + 5556 0261 2E .uleb128 0x2e + 5557 0262 01 .byte 0x1 + 5558 0263 3F .uleb128 0x3f + 5559 0264 0C .uleb128 0xc + 5560 0265 03 .uleb128 0x3 + 5561 0266 0E .uleb128 0xe + 5562 0267 3A .uleb128 0x3a + 5563 0268 0B .uleb128 0xb + 5564 0269 3B .uleb128 0x3b + 5565 026a 05 .uleb128 0x5 + 5566 026b 27 .uleb128 0x27 + 5567 026c 0C .uleb128 0xc + 5568 026d 49 .uleb128 0x49 + 5569 026e 13 .uleb128 0x13 + 5570 026f 11 .uleb128 0x11 + 5571 0270 01 .uleb128 0x1 + 5572 0271 12 .uleb128 0x12 + 5573 0272 01 .uleb128 0x1 + 5574 0273 40 .uleb128 0x40 + 5575 0274 06 .uleb128 0x6 + 5576 0275 9742 .uleb128 0x2117 + 5577 0277 0C .uleb128 0xc + 5578 0278 01 .uleb128 0x1 + 5579 0279 13 .uleb128 0x13 + 5580 027a 00 .byte 0 + 5581 027b 00 .byte 0 + 5582 027c 28 .uleb128 0x28 + 5583 027d 2E .uleb128 0x2e + 5584 027e 00 .byte 0 + 5585 027f 3F .uleb128 0x3f + 5586 0280 0C .uleb128 0xc + 5587 0281 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 146 + + + 5588 0282 0E .uleb128 0xe + 5589 0283 3A .uleb128 0x3a + 5590 0284 0B .uleb128 0xb + 5591 0285 3B .uleb128 0x3b + 5592 0286 05 .uleb128 0x5 + 5593 0287 27 .uleb128 0x27 + 5594 0288 0C .uleb128 0xc + 5595 0289 49 .uleb128 0x49 + 5596 028a 13 .uleb128 0x13 + 5597 028b 11 .uleb128 0x11 + 5598 028c 01 .uleb128 0x1 + 5599 028d 12 .uleb128 0x12 + 5600 028e 01 .uleb128 0x1 + 5601 028f 40 .uleb128 0x40 + 5602 0290 0A .uleb128 0xa + 5603 0291 9742 .uleb128 0x2117 + 5604 0293 0C .uleb128 0xc + 5605 0294 00 .byte 0 + 5606 0295 00 .byte 0 + 5607 0296 29 .uleb128 0x29 + 5608 0297 05 .uleb128 0x5 + 5609 0298 00 .byte 0 + 5610 0299 03 .uleb128 0x3 + 5611 029a 0E .uleb128 0xe + 5612 029b 3A .uleb128 0x3a + 5613 029c 0B .uleb128 0xb + 5614 029d 3B .uleb128 0x3b + 5615 029e 05 .uleb128 0x5 + 5616 029f 49 .uleb128 0x49 + 5617 02a0 13 .uleb128 0x13 + 5618 02a1 02 .uleb128 0x2 + 5619 02a2 0A .uleb128 0xa + 5620 02a3 00 .byte 0 + 5621 02a4 00 .byte 0 + 5622 02a5 2A .uleb128 0x2a + 5623 02a6 898201 .uleb128 0x4109 + 5624 02a9 00 .byte 0 + 5625 02aa 11 .uleb128 0x11 + 5626 02ab 01 .uleb128 0x1 + 5627 02ac 9542 .uleb128 0x2115 + 5628 02ae 0C .uleb128 0xc + 5629 02af 31 .uleb128 0x31 + 5630 02b0 13 .uleb128 0x13 + 5631 02b1 00 .byte 0 + 5632 02b2 00 .byte 0 + 5633 02b3 2B .uleb128 0x2b + 5634 02b4 898201 .uleb128 0x4109 + 5635 02b7 01 .byte 0x1 + 5636 02b8 11 .uleb128 0x11 + 5637 02b9 01 .uleb128 0x1 + 5638 02ba 31 .uleb128 0x31 + 5639 02bb 13 .uleb128 0x13 + 5640 02bc 00 .byte 0 + 5641 02bd 00 .byte 0 + 5642 02be 2C .uleb128 0x2c + 5643 02bf 34 .uleb128 0x34 + 5644 02c0 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 147 + + + 5645 02c1 03 .uleb128 0x3 + 5646 02c2 08 .uleb128 0x8 + 5647 02c3 3A .uleb128 0x3a + 5648 02c4 0B .uleb128 0xb + 5649 02c5 3B .uleb128 0x3b + 5650 02c6 05 .uleb128 0x5 + 5651 02c7 49 .uleb128 0x49 + 5652 02c8 13 .uleb128 0x13 + 5653 02c9 02 .uleb128 0x2 + 5654 02ca 06 .uleb128 0x6 + 5655 02cb 00 .byte 0 + 5656 02cc 00 .byte 0 + 5657 02cd 2D .uleb128 0x2d + 5658 02ce 1D .uleb128 0x1d + 5659 02cf 00 .byte 0 + 5660 02d0 31 .uleb128 0x31 + 5661 02d1 13 .uleb128 0x13 + 5662 02d2 11 .uleb128 0x11 + 5663 02d3 01 .uleb128 0x1 + 5664 02d4 12 .uleb128 0x12 + 5665 02d5 01 .uleb128 0x1 + 5666 02d6 58 .uleb128 0x58 + 5667 02d7 0B .uleb128 0xb + 5668 02d8 59 .uleb128 0x59 + 5669 02d9 05 .uleb128 0x5 + 5670 02da 00 .byte 0 + 5671 02db 00 .byte 0 + 5672 02dc 2E .uleb128 0x2e + 5673 02dd 34 .uleb128 0x34 + 5674 02de 00 .byte 0 + 5675 02df 03 .uleb128 0x3 + 5676 02e0 0E .uleb128 0xe + 5677 02e1 3A .uleb128 0x3a + 5678 02e2 0B .uleb128 0xb + 5679 02e3 3B .uleb128 0x3b + 5680 02e4 05 .uleb128 0x5 + 5681 02e5 49 .uleb128 0x49 + 5682 02e6 13 .uleb128 0x13 + 5683 02e7 1C .uleb128 0x1c + 5684 02e8 0D .uleb128 0xd + 5685 02e9 00 .byte 0 + 5686 02ea 00 .byte 0 + 5687 02eb 2F .uleb128 0x2f + 5688 02ec 34 .uleb128 0x34 + 5689 02ed 00 .byte 0 + 5690 02ee 03 .uleb128 0x3 + 5691 02ef 0E .uleb128 0xe + 5692 02f0 3A .uleb128 0x3a + 5693 02f1 0B .uleb128 0xb + 5694 02f2 3B .uleb128 0x3b + 5695 02f3 0B .uleb128 0xb + 5696 02f4 49 .uleb128 0x49 + 5697 02f5 13 .uleb128 0x13 + 5698 02f6 3F .uleb128 0x3f + 5699 02f7 0C .uleb128 0xc + 5700 02f8 02 .uleb128 0x2 + 5701 02f9 0A .uleb128 0xa + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 148 + + + 5702 02fa 00 .byte 0 + 5703 02fb 00 .byte 0 + 5704 02fc 30 .uleb128 0x30 + 5705 02fd 2E .uleb128 0x2e + 5706 02fe 00 .byte 0 + 5707 02ff 3F .uleb128 0x3f + 5708 0300 0C .uleb128 0xc + 5709 0301 03 .uleb128 0x3 + 5710 0302 0E .uleb128 0xe + 5711 0303 3A .uleb128 0x3a + 5712 0304 0B .uleb128 0xb + 5713 0305 3B .uleb128 0x3b + 5714 0306 0B .uleb128 0xb + 5715 0307 27 .uleb128 0x27 + 5716 0308 0C .uleb128 0xc + 5717 0309 49 .uleb128 0x49 + 5718 030a 13 .uleb128 0x13 + 5719 030b 3C .uleb128 0x3c + 5720 030c 0C .uleb128 0xc + 5721 030d 00 .byte 0 + 5722 030e 00 .byte 0 + 5723 030f 31 .uleb128 0x31 + 5724 0310 2E .uleb128 0x2e + 5725 0311 01 .byte 0x1 + 5726 0312 3F .uleb128 0x3f + 5727 0313 0C .uleb128 0xc + 5728 0314 03 .uleb128 0x3 + 5729 0315 0E .uleb128 0xe + 5730 0316 3A .uleb128 0x3a + 5731 0317 0B .uleb128 0xb + 5732 0318 3B .uleb128 0x3b + 5733 0319 0B .uleb128 0xb + 5734 031a 27 .uleb128 0x27 + 5735 031b 0C .uleb128 0xc + 5736 031c 3C .uleb128 0x3c + 5737 031d 0C .uleb128 0xc + 5738 031e 01 .uleb128 0x1 + 5739 031f 13 .uleb128 0x13 + 5740 0320 00 .byte 0 + 5741 0321 00 .byte 0 + 5742 0322 32 .uleb128 0x32 + 5743 0323 05 .uleb128 0x5 + 5744 0324 00 .byte 0 + 5745 0325 49 .uleb128 0x49 + 5746 0326 13 .uleb128 0x13 + 5747 0327 00 .byte 0 + 5748 0328 00 .byte 0 + 5749 0329 33 .uleb128 0x33 + 5750 032a 2E .uleb128 0x2e + 5751 032b 01 .byte 0x1 + 5752 032c 3F .uleb128 0x3f + 5753 032d 0C .uleb128 0xc + 5754 032e 03 .uleb128 0x3 + 5755 032f 0E .uleb128 0xe + 5756 0330 3A .uleb128 0x3a + 5757 0331 0B .uleb128 0xb + 5758 0332 3B .uleb128 0x3b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 149 + + + 5759 0333 0B .uleb128 0xb + 5760 0334 27 .uleb128 0x27 + 5761 0335 0C .uleb128 0xc + 5762 0336 49 .uleb128 0x49 + 5763 0337 13 .uleb128 0x13 + 5764 0338 3C .uleb128 0x3c + 5765 0339 0C .uleb128 0xc + 5766 033a 01 .uleb128 0x1 + 5767 033b 13 .uleb128 0x13 + 5768 033c 00 .byte 0 + 5769 033d 00 .byte 0 + 5770 033e 34 .uleb128 0x34 + 5771 033f 2E .uleb128 0x2e + 5772 0340 01 .byte 0x1 + 5773 0341 3F .uleb128 0x3f + 5774 0342 0C .uleb128 0xc + 5775 0343 03 .uleb128 0x3 + 5776 0344 0E .uleb128 0xe + 5777 0345 3A .uleb128 0x3a + 5778 0346 0B .uleb128 0xb + 5779 0347 3B .uleb128 0x3b + 5780 0348 0B .uleb128 0xb + 5781 0349 27 .uleb128 0x27 + 5782 034a 0C .uleb128 0xc + 5783 034b 3C .uleb128 0x3c + 5784 034c 0C .uleb128 0xc + 5785 034d 00 .byte 0 + 5786 034e 00 .byte 0 + 5787 034f 00 .byte 0 + 5788 .section .debug_loc,"",%progbits + 5789 .Ldebug_loc0: + 5790 .LLST0: + 5791 0000 00000000 .4byte .LVL0 + 5792 0004 5E000000 .4byte .LVL2 + 5793 0008 0100 .2byte 0x1 + 5794 000a 50 .byte 0x50 + 5795 000b 5E000000 .4byte .LVL2 + 5796 000f 72000000 .4byte .LVL3 + 5797 0013 0400 .2byte 0x4 + 5798 0015 F3 .byte 0xf3 + 5799 0016 01 .uleb128 0x1 + 5800 0017 50 .byte 0x50 + 5801 0018 9F .byte 0x9f + 5802 0019 72000000 .4byte .LVL3 + 5803 001d 80000000 .4byte .LVL4 + 5804 0021 0100 .2byte 0x1 + 5805 0023 50 .byte 0x50 + 5806 0024 80000000 .4byte .LVL4 + 5807 0028 B8000000 .4byte .LFE7 + 5808 002c 0400 .2byte 0x4 + 5809 002e F3 .byte 0xf3 + 5810 002f 01 .uleb128 0x1 + 5811 0030 50 .byte 0x50 + 5812 0031 9F .byte 0x9f + 5813 0032 00000000 .4byte 0 + 5814 0036 00000000 .4byte 0 + 5815 .LLST1: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 150 + + + 5816 003a 00000000 .4byte .LVL0 + 5817 003e 2A000000 .4byte .LVL1 + 5818 0042 0200 .2byte 0x2 + 5819 0044 30 .byte 0x30 + 5820 0045 9F .byte 0x9f + 5821 0046 72000000 .4byte .LVL3 + 5822 004a 80000000 .4byte .LVL4 + 5823 004e 0200 .2byte 0x2 + 5824 0050 31 .byte 0x31 + 5825 0051 9F .byte 0x9f + 5826 0052 00000000 .4byte 0 + 5827 0056 00000000 .4byte 0 + 5828 .LLST2: + 5829 005a 00000000 .4byte .LVL5 + 5830 005e 1C000000 .4byte .LVL6 + 5831 0062 0100 .2byte 0x1 + 5832 0064 50 .byte 0x50 + 5833 0065 1C000000 .4byte .LVL6 + 5834 0069 3C000000 .4byte .LFE14 + 5835 006d 0400 .2byte 0x4 + 5836 006f F3 .byte 0xf3 + 5837 0070 01 .uleb128 0x1 + 5838 0071 50 .byte 0x50 + 5839 0072 9F .byte 0x9f + 5840 0073 00000000 .4byte 0 + 5841 0077 00000000 .4byte 0 + 5842 .LLST3: + 5843 007b 00000000 .4byte .LVL7 + 5844 007f 18000000 .4byte .LVL9 + 5845 0083 0100 .2byte 0x1 + 5846 0085 50 .byte 0x50 + 5847 0086 18000000 .4byte .LVL9 + 5848 008a 2E000000 .4byte .LVL11 + 5849 008e 0200 .2byte 0x2 + 5850 0090 73 .byte 0x73 + 5851 0091 00 .sleb128 0 + 5852 0092 2E000000 .4byte .LVL11 + 5853 0096 34000000 .4byte .LFE2 + 5854 009a 0400 .2byte 0x4 + 5855 009c F3 .byte 0xf3 + 5856 009d 01 .uleb128 0x1 + 5857 009e 50 .byte 0x50 + 5858 009f 9F .byte 0x9f + 5859 00a0 00000000 .4byte 0 + 5860 00a4 00000000 .4byte 0 + 5861 .LLST4: + 5862 00a8 00000000 .4byte .LVL7 + 5863 00ac 14000000 .4byte .LVL8 + 5864 00b0 0100 .2byte 0x1 + 5865 00b2 51 .byte 0x51 + 5866 00b3 14000000 .4byte .LVL8 + 5867 00b7 34000000 .4byte .LFE2 + 5868 00bb 0400 .2byte 0x4 + 5869 00bd F3 .byte 0xf3 + 5870 00be 01 .uleb128 0x1 + 5871 00bf 51 .byte 0x51 + 5872 00c0 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 151 + + + 5873 00c1 00000000 .4byte 0 + 5874 00c5 00000000 .4byte 0 + 5875 .LLST5: + 5876 00c9 00000000 .4byte .LVL7 + 5877 00cd 20000000 .4byte .LVL10 + 5878 00d1 0100 .2byte 0x1 + 5879 00d3 52 .byte 0x52 + 5880 00d4 20000000 .4byte .LVL10 + 5881 00d8 34000000 .4byte .LFE2 + 5882 00dc 0400 .2byte 0x4 + 5883 00de F3 .byte 0xf3 + 5884 00df 01 .uleb128 0x1 + 5885 00e0 52 .byte 0x52 + 5886 00e1 9F .byte 0x9f + 5887 00e2 00000000 .4byte 0 + 5888 00e6 00000000 .4byte 0 + 5889 .LLST6: + 5890 00ea 00000000 .4byte .LVL12 + 5891 00ee 10000000 .4byte .LVL13 + 5892 00f2 0100 .2byte 0x1 + 5893 00f4 50 .byte 0x50 + 5894 00f5 10000000 .4byte .LVL13 + 5895 00f9 14000000 .4byte .LFE3 + 5896 00fd 0400 .2byte 0x4 + 5897 00ff F3 .byte 0xf3 + 5898 0100 01 .uleb128 0x1 + 5899 0101 50 .byte 0x50 + 5900 0102 9F .byte 0x9f + 5901 0103 00000000 .4byte 0 + 5902 0107 00000000 .4byte 0 + 5903 .LLST7: + 5904 010b 00000000 .4byte .LVL14 + 5905 010f 14000000 .4byte .LVL15 + 5906 0113 0100 .2byte 0x1 + 5907 0115 50 .byte 0x50 + 5908 0116 14000000 .4byte .LVL15 + 5909 011a 16000000 .4byte .LVL16 + 5910 011e 0400 .2byte 0x4 + 5911 0120 F3 .byte 0xf3 + 5912 0121 01 .uleb128 0x1 + 5913 0122 50 .byte 0x50 + 5914 0123 9F .byte 0x9f + 5915 0124 16000000 .4byte .LVL16 + 5916 0128 20000000 .4byte .LVL17 + 5917 012c 0100 .2byte 0x1 + 5918 012e 50 .byte 0x50 + 5919 012f 20000000 .4byte .LVL17 + 5920 0133 30000000 .4byte .LVL18 + 5921 0137 0400 .2byte 0x4 + 5922 0139 F3 .byte 0xf3 + 5923 013a 01 .uleb128 0x1 + 5924 013b 50 .byte 0x50 + 5925 013c 9F .byte 0x9f + 5926 013d 30000000 .4byte .LVL18 + 5927 0141 34000000 .4byte .LVL19 + 5928 0145 0100 .2byte 0x1 + 5929 0147 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 152 + + + 5930 0148 34000000 .4byte .LVL19 + 5931 014c 40000000 .4byte .LFE9 + 5932 0150 0400 .2byte 0x4 + 5933 0152 F3 .byte 0xf3 + 5934 0153 01 .uleb128 0x1 + 5935 0154 50 .byte 0x50 + 5936 0155 9F .byte 0x9f + 5937 0156 00000000 .4byte 0 + 5938 015a 00000000 .4byte 0 + 5939 .LLST8: + 5940 015e 00000000 .4byte .LFB8 + 5941 0162 02000000 .4byte .LCFI0 + 5942 0166 0200 .2byte 0x2 + 5943 0168 7D .byte 0x7d + 5944 0169 00 .sleb128 0 + 5945 016a 02000000 .4byte .LCFI0 + 5946 016e D8000000 .4byte .LFE8 + 5947 0172 0200 .2byte 0x2 + 5948 0174 7D .byte 0x7d + 5949 0175 10 .sleb128 16 + 5950 0176 00000000 .4byte 0 + 5951 017a 00000000 .4byte 0 + 5952 .LLST9: + 5953 017e 00000000 .4byte .LVL20 + 5954 0182 30000000 .4byte .LVL27 + 5955 0186 0100 .2byte 0x1 + 5956 0188 50 .byte 0x50 + 5957 0189 30000000 .4byte .LVL27 + 5958 018d D8000000 .4byte .LFE8 + 5959 0191 0400 .2byte 0x4 + 5960 0193 F3 .byte 0xf3 + 5961 0194 01 .uleb128 0x1 + 5962 0195 50 .byte 0x50 + 5963 0196 9F .byte 0x9f + 5964 0197 00000000 .4byte 0 + 5965 019b 00000000 .4byte 0 + 5966 .LLST10: + 5967 019f 14000000 .4byte .LVL21 + 5968 01a3 22000000 .4byte .LVL22 + 5969 01a7 0100 .2byte 0x1 + 5970 01a9 55 .byte 0x55 + 5971 01aa 24000000 .4byte .LVL23 + 5972 01ae 26000000 .4byte .LVL24 + 5973 01b2 0200 .2byte 0x2 + 5974 01b4 33 .byte 0x33 + 5975 01b5 9F .byte 0x9f + 5976 01b6 2C000000 .4byte .LVL25 + 5977 01ba 2E000000 .4byte .LVL26 + 5978 01be 0100 .2byte 0x1 + 5979 01c0 55 .byte 0x55 + 5980 01c1 00000000 .4byte 0 + 5981 01c5 00000000 .4byte 0 + 5982 .LLST11: + 5983 01c9 00000000 .4byte .LVL32 + 5984 01cd 06000000 .4byte .LVL33 + 5985 01d1 0100 .2byte 0x1 + 5986 01d3 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 153 + + + 5987 01d4 06000000 .4byte .LVL33 + 5988 01d8 18000000 .4byte .LFE12 + 5989 01dc 0400 .2byte 0x4 + 5990 01de F3 .byte 0xf3 + 5991 01df 01 .uleb128 0x1 + 5992 01e0 50 .byte 0x50 + 5993 01e1 9F .byte 0x9f + 5994 01e2 00000000 .4byte 0 + 5995 01e6 00000000 .4byte 0 + 5996 .LLST12: + 5997 01ea 00000000 .4byte .LFB15 + 5998 01ee 02000000 .4byte .LCFI1 + 5999 01f2 0200 .2byte 0x2 + 6000 01f4 7D .byte 0x7d + 6001 01f5 00 .sleb128 0 + 6002 01f6 02000000 .4byte .LCFI1 + 6003 01fa 6C000000 .4byte .LFE15 + 6004 01fe 0200 .2byte 0x2 + 6005 0200 7D .byte 0x7d + 6006 0201 18 .sleb128 24 + 6007 0202 00000000 .4byte 0 + 6008 0206 00000000 .4byte 0 + 6009 .LLST13: + 6010 020a 00000000 .4byte .LVL35 + 6011 020e 07000000 .4byte .LVL36-1 + 6012 0212 0100 .2byte 0x1 + 6013 0214 50 .byte 0x50 + 6014 0215 07000000 .4byte .LVL36-1 + 6015 0219 6C000000 .4byte .LFE15 + 6016 021d 0400 .2byte 0x4 + 6017 021f F3 .byte 0xf3 + 6018 0220 01 .uleb128 0x1 + 6019 0221 50 .byte 0x50 + 6020 0222 9F .byte 0x9f + 6021 0223 00000000 .4byte 0 + 6022 0227 00000000 .4byte 0 + 6023 .LLST14: + 6024 022b 22000000 .4byte .LVL41 + 6025 022f 2E000000 .4byte .LVL45 + 6026 0233 0100 .2byte 0x1 + 6027 0235 53 .byte 0x53 + 6028 0236 3C000000 .4byte .LVL47 + 6029 023a 41000000 .4byte .LVL48-1 + 6030 023e 0100 .2byte 0x1 + 6031 0240 53 .byte 0x53 + 6032 0241 00000000 .4byte 0 + 6033 0245 00000000 .4byte 0 + 6034 .LLST15: + 6035 0249 10000000 .4byte .LVL39 + 6036 024d 12000000 .4byte .LVL40 + 6037 0251 0800 .2byte 0x8 + 6038 0253 72 .byte 0x72 + 6039 0254 00 .sleb128 0 + 6040 0255 08 .byte 0x8 + 6041 0256 FF .byte 0xff + 6042 0257 1A .byte 0x1a + 6043 0258 38 .byte 0x38 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 154 + + + 6044 0259 24 .byte 0x24 + 6045 025a 9F .byte 0x9f + 6046 025b 12000000 .4byte .LVL40 + 6047 025f 26000000 .4byte .LVL43 + 6048 0263 0E00 .2byte 0xe + 6049 0265 72 .byte 0x72 + 6050 0266 00 .sleb128 0 + 6051 0267 08 .byte 0x8 + 6052 0268 FF .byte 0xff + 6053 0269 1A .byte 0x1a + 6054 026a 38 .byte 0x38 + 6055 026b 24 .byte 0x24 + 6056 026c 71 .byte 0x71 + 6057 026d 00 .sleb128 0 + 6058 026e 08 .byte 0x8 + 6059 026f FF .byte 0xff + 6060 0270 1A .byte 0x1a + 6061 0271 21 .byte 0x21 + 6062 0272 9F .byte 0x9f + 6063 0273 50000000 .4byte .LVL50 + 6064 0277 55000000 .4byte .LVL51-1 + 6065 027b 0E00 .2byte 0xe + 6066 027d 72 .byte 0x72 + 6067 027e 00 .sleb128 0 + 6068 027f 08 .byte 0x8 + 6069 0280 FF .byte 0xff + 6070 0281 1A .byte 0x1a + 6071 0282 38 .byte 0x38 + 6072 0283 24 .byte 0x24 + 6073 0284 71 .byte 0x71 + 6074 0285 00 .sleb128 0 + 6075 0286 08 .byte 0x8 + 6076 0287 FF .byte 0xff + 6077 0288 1A .byte 0x1a + 6078 0289 21 .byte 0x21 + 6079 028a 9F .byte 0x9f + 6080 028b 00000000 .4byte 0 + 6081 028f 00000000 .4byte 0 + 6082 .LLST16: + 6083 0293 0C000000 .4byte .LVL37 + 6084 0297 0E000000 .4byte .LVL38 + 6085 029b 0100 .2byte 0x1 + 6086 029d 50 .byte 0x50 + 6087 029e 0E000000 .4byte .LVL38 + 6088 02a2 6C000000 .4byte .LFE15 + 6089 02a6 0100 .2byte 0x1 + 6090 02a8 56 .byte 0x56 + 6091 02a9 00000000 .4byte 0 + 6092 02ad 00000000 .4byte 0 + 6093 .LLST17: + 6094 02b1 24000000 .4byte .LVL42 + 6095 02b5 28000000 .4byte .LVL44 + 6096 02b9 0200 .2byte 0x2 + 6097 02bb 37 .byte 0x37 + 6098 02bc 9F .byte 0x9f + 6099 02bd 00000000 .4byte 0 + 6100 02c1 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 155 + + + 6101 .LLST18: + 6102 02c5 4A000000 .4byte .LVL49 + 6103 02c9 50000000 .4byte .LVL50 + 6104 02cd 0100 .2byte 0x1 + 6105 02cf 57 .byte 0x57 + 6106 02d0 00000000 .4byte 0 + 6107 02d4 00000000 .4byte 0 + 6108 .LLST19: + 6109 02d8 00000000 .4byte .LVL53 + 6110 02dc 06000000 .4byte .LVL54 + 6111 02e0 0100 .2byte 0x1 + 6112 02e2 50 .byte 0x50 + 6113 02e3 06000000 .4byte .LVL54 + 6114 02e7 18000000 .4byte .LFE16 + 6115 02eb 0400 .2byte 0x4 + 6116 02ed F3 .byte 0xf3 + 6117 02ee 01 .uleb128 0x1 + 6118 02ef 50 .byte 0x50 + 6119 02f0 9F .byte 0x9f + 6120 02f1 00000000 .4byte 0 + 6121 02f5 00000000 .4byte 0 + 6122 .LLST20: + 6123 02f9 00000000 .4byte .LFB4 + 6124 02fd 02000000 .4byte .LCFI2 + 6125 0301 0200 .2byte 0x2 + 6126 0303 7D .byte 0x7d + 6127 0304 00 .sleb128 0 + 6128 0305 02000000 .4byte .LCFI2 + 6129 0309 50000000 .4byte .LFE4 + 6130 030d 0200 .2byte 0x2 + 6131 030f 7D .byte 0x7d + 6132 0310 10 .sleb128 16 + 6133 0311 00000000 .4byte 0 + 6134 0315 00000000 .4byte 0 + 6135 .LLST21: + 6136 0319 00000000 .4byte .LVL55 + 6137 031d 24000000 .4byte .LVL56 + 6138 0321 0100 .2byte 0x1 + 6139 0323 50 .byte 0x50 + 6140 0324 24000000 .4byte .LVL56 + 6141 0328 50000000 .4byte .LFE4 + 6142 032c 0400 .2byte 0x4 + 6143 032e F3 .byte 0xf3 + 6144 032f 01 .uleb128 0x1 + 6145 0330 50 .byte 0x50 + 6146 0331 9F .byte 0x9f + 6147 0332 00000000 .4byte 0 + 6148 0336 00000000 .4byte 0 + 6149 .LLST22: + 6150 033a 2C000000 .4byte .LVL59 + 6151 033e 46000000 .4byte .LVL63 + 6152 0342 0100 .2byte 0x1 + 6153 0344 54 .byte 0x54 + 6154 0345 00000000 .4byte 0 + 6155 0349 00000000 .4byte 0 + 6156 .LLST23: + 6157 034d 26000000 .4byte .LVL57 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 156 + + + 6158 0351 46000000 .4byte .LVL63 + 6159 0355 0100 .2byte 0x1 + 6160 0357 55 .byte 0x55 + 6161 0358 00000000 .4byte 0 + 6162 035c 00000000 .4byte 0 + 6163 .LLST24: + 6164 0360 26000000 .4byte .LVL57 + 6165 0364 28000000 .4byte .LVL58 + 6166 0368 0100 .2byte 0x1 + 6167 036a 50 .byte 0x50 + 6168 036b 28000000 .4byte .LVL58 + 6169 036f 46000000 .4byte .LVL63 + 6170 0373 0100 .2byte 0x1 + 6171 0375 56 .byte 0x56 + 6172 0376 00000000 .4byte 0 + 6173 037a 00000000 .4byte 0 + 6174 .LLST25: + 6175 037e 00000000 .4byte .LFB0 + 6176 0382 02000000 .4byte .LCFI3 + 6177 0386 0200 .2byte 0x2 + 6178 0388 7D .byte 0x7d + 6179 0389 00 .sleb128 0 + 6180 038a 02000000 .4byte .LCFI3 + 6181 038e 68000000 .4byte .LFE0 + 6182 0392 0200 .2byte 0x2 + 6183 0394 7D .byte 0x7d + 6184 0395 18 .sleb128 24 + 6185 0396 00000000 .4byte 0 + 6186 039a 00000000 .4byte 0 + 6187 .LLST26: + 6188 039e 00000000 .4byte .LVL64 + 6189 03a2 1A000000 .4byte .LVL65 + 6190 03a6 0100 .2byte 0x1 + 6191 03a8 50 .byte 0x50 + 6192 03a9 1A000000 .4byte .LVL65 + 6193 03ad 54000000 .4byte .LVL73 + 6194 03b1 0400 .2byte 0x4 + 6195 03b3 F3 .byte 0xf3 + 6196 03b4 01 .uleb128 0x1 + 6197 03b5 50 .byte 0x50 + 6198 03b6 9F .byte 0x9f + 6199 03b7 54000000 .4byte .LVL73 + 6200 03bb 56000000 .4byte .LVL74 + 6201 03bf 0100 .2byte 0x1 + 6202 03c1 50 .byte 0x50 + 6203 03c2 56000000 .4byte .LVL74 + 6204 03c6 68000000 .4byte .LFE0 + 6205 03ca 0400 .2byte 0x4 + 6206 03cc F3 .byte 0xf3 + 6207 03cd 01 .uleb128 0x1 + 6208 03ce 50 .byte 0x50 + 6209 03cf 9F .byte 0x9f + 6210 03d0 00000000 .4byte 0 + 6211 03d4 00000000 .4byte 0 + 6212 .LLST27: + 6213 03d8 00000000 .4byte .LVL64 + 6214 03dc 26000000 .4byte .LVL69 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 157 + + + 6215 03e0 0200 .2byte 0x2 + 6216 03e2 30 .byte 0x30 + 6217 03e3 9F .byte 0x9f + 6218 03e4 26000000 .4byte .LVL69 + 6219 03e8 46000000 .4byte .LVL71 + 6220 03ec 0200 .2byte 0x2 + 6221 03ee 40 .byte 0x40 + 6222 03ef 9F .byte 0x9f + 6223 03f0 46000000 .4byte .LVL71 + 6224 03f4 54000000 .4byte .LVL73 + 6225 03f8 0100 .2byte 0x1 + 6226 03fa 54 .byte 0x54 + 6227 03fb 54000000 .4byte .LVL73 + 6228 03ff 56000000 .4byte .LVL74 + 6229 0403 0200 .2byte 0x2 + 6230 0405 30 .byte 0x30 + 6231 0406 9F .byte 0x9f + 6232 0407 56000000 .4byte .LVL74 + 6233 040b 68000000 .4byte .LFE0 + 6234 040f 0100 .2byte 0x1 + 6235 0411 54 .byte 0x54 + 6236 0412 00000000 .4byte 0 + 6237 0416 00000000 .4byte 0 + 6238 .LLST28: + 6239 041a 1C000000 .4byte .LVL66 + 6240 041e 1E000000 .4byte .LVL67 + 6241 0422 0100 .2byte 0x1 + 6242 0424 50 .byte 0x50 + 6243 0425 1E000000 .4byte .LVL67 + 6244 0429 54000000 .4byte .LVL73 + 6245 042d 0100 .2byte 0x1 + 6246 042f 57 .byte 0x57 + 6247 0430 00000000 .4byte 0 + 6248 0434 00000000 .4byte 0 + 6249 .LLST29: + 6250 0438 1C000000 .4byte .LVL66 + 6251 043c 54000000 .4byte .LVL73 + 6252 0440 0100 .2byte 0x1 + 6253 0442 56 .byte 0x56 + 6254 0443 00000000 .4byte 0 + 6255 0447 00000000 .4byte 0 + 6256 .LLST30: + 6257 044b 22000000 .4byte .LVL68 + 6258 044f 54000000 .4byte .LVL73 + 6259 0453 0100 .2byte 0x1 + 6260 0455 55 .byte 0x55 + 6261 0456 00000000 .4byte 0 + 6262 045a 00000000 .4byte 0 + 6263 .LLST31: + 6264 045e 00000000 .4byte .LVL75 + 6265 0462 08000000 .4byte .LVL76 + 6266 0466 0100 .2byte 0x1 + 6267 0468 50 .byte 0x50 + 6268 0469 08000000 .4byte .LVL76 + 6269 046d 18000000 .4byte .LFE23 + 6270 0471 0400 .2byte 0x4 + 6271 0473 F3 .byte 0xf3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 158 + + + 6272 0474 01 .uleb128 0x1 + 6273 0475 50 .byte 0x50 + 6274 0476 9F .byte 0x9f + 6275 0477 00000000 .4byte 0 + 6276 047b 00000000 .4byte 0 + 6277 .LLST32: + 6278 047f 00000000 .4byte .LVL77 + 6279 0483 16000000 .4byte .LVL79 + 6280 0487 0100 .2byte 0x1 + 6281 0489 50 .byte 0x50 + 6282 048a 16000000 .4byte .LVL79 + 6283 048e 1C000000 .4byte .LFE24 + 6284 0492 0400 .2byte 0x4 + 6285 0494 F3 .byte 0xf3 + 6286 0495 01 .uleb128 0x1 + 6287 0496 50 .byte 0x50 + 6288 0497 9F .byte 0x9f + 6289 0498 00000000 .4byte 0 + 6290 049c 00000000 .4byte 0 + 6291 .LLST33: + 6292 04a0 00000000 .4byte .LFB29 + 6293 04a4 02000000 .4byte .LCFI4 + 6294 04a8 0200 .2byte 0x2 + 6295 04aa 7D .byte 0x7d + 6296 04ab 00 .sleb128 0 + 6297 04ac 02000000 .4byte .LCFI4 + 6298 04b0 88000000 .4byte .LFE29 + 6299 04b4 0200 .2byte 0x2 + 6300 04b6 7D .byte 0x7d + 6301 04b7 20 .sleb128 32 + 6302 04b8 00000000 .4byte 0 + 6303 04bc 00000000 .4byte 0 + 6304 .LLST34: + 6305 04c0 00000000 .4byte .LVL80 + 6306 04c4 14000000 .4byte .LVL82 + 6307 04c8 0100 .2byte 0x1 + 6308 04ca 50 .byte 0x50 + 6309 04cb 14000000 .4byte .LVL82 + 6310 04cf 7A000000 .4byte .LVL101 + 6311 04d3 0200 .2byte 0x2 + 6312 04d5 91 .byte 0x91 + 6313 04d6 66 .sleb128 -26 + 6314 04d7 7A000000 .4byte .LVL101 + 6315 04db 7C000000 .4byte .LVL102 + 6316 04df 0100 .2byte 0x1 + 6317 04e1 50 .byte 0x50 + 6318 04e2 7C000000 .4byte .LVL102 + 6319 04e6 88000000 .4byte .LFE29 + 6320 04ea 0200 .2byte 0x2 + 6321 04ec 91 .byte 0x91 + 6322 04ed 66 .sleb128 -26 + 6323 04ee 00000000 .4byte 0 + 6324 04f2 00000000 .4byte 0 + 6325 .LLST35: + 6326 04f6 00000000 .4byte .LVL80 + 6327 04fa 24000000 .4byte .LVL86 + 6328 04fe 0200 .2byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 159 + + + 6329 0500 30 .byte 0x30 + 6330 0501 9F .byte 0x9f + 6331 0502 24000000 .4byte .LVL86 + 6332 0506 66000000 .4byte .LVL98 + 6333 050a 0200 .2byte 0x2 + 6334 050c 40 .byte 0x40 + 6335 050d 9F .byte 0x9f + 6336 050e 66000000 .4byte .LVL98 + 6337 0512 7A000000 .4byte .LVL101 + 6338 0516 0100 .2byte 0x1 + 6339 0518 54 .byte 0x54 + 6340 0519 7A000000 .4byte .LVL101 + 6341 051d 7C000000 .4byte .LVL102 + 6342 0521 0200 .2byte 0x2 + 6343 0523 30 .byte 0x30 + 6344 0524 9F .byte 0x9f + 6345 0525 7C000000 .4byte .LVL102 + 6346 0529 88000000 .4byte .LFE29 + 6347 052d 0100 .2byte 0x1 + 6348 052f 54 .byte 0x54 + 6349 0530 00000000 .4byte 0 + 6350 0534 00000000 .4byte 0 + 6351 .LLST36: + 6352 0538 08000000 .4byte .LVL81 + 6353 053c 28000000 .4byte .LVL87 + 6354 0540 0200 .2byte 0x2 + 6355 0542 91 .byte 0x91 + 6356 0543 66 .sleb128 -26 + 6357 0544 28000000 .4byte .LVL87 + 6358 0548 2E000000 .4byte .LVL88 + 6359 054c 0100 .2byte 0x1 + 6360 054e 50 .byte 0x50 + 6361 054f 5A000000 .4byte .LVL95 + 6362 0553 5E000000 .4byte .LVL96 + 6363 0557 0100 .2byte 0x1 + 6364 0559 51 .byte 0x51 + 6365 055a 5E000000 .4byte .LVL96 + 6366 055e 64000000 .4byte .LVL97 + 6367 0562 0100 .2byte 0x1 + 6368 0564 54 .byte 0x54 + 6369 0565 64000000 .4byte .LVL97 + 6370 0569 71000000 .4byte .LVL100-1 + 6371 056d 0100 .2byte 0x1 + 6372 056f 50 .byte 0x50 + 6373 0570 72000000 .4byte .LVL100 + 6374 0574 7A000000 .4byte .LVL101 + 6375 0578 0100 .2byte 0x1 + 6376 057a 50 .byte 0x50 + 6377 057b 7A000000 .4byte .LVL101 + 6378 057f 7C000000 .4byte .LVL102 + 6379 0583 0200 .2byte 0x2 + 6380 0585 91 .byte 0x91 + 6381 0586 66 .sleb128 -26 + 6382 0587 7C000000 .4byte .LVL102 + 6383 058b 7E000000 .4byte .LVL103 + 6384 058f 0100 .2byte 0x1 + 6385 0591 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 160 + + + 6386 0592 7E000000 .4byte .LVL103 + 6387 0596 88000000 .4byte .LFE29 + 6388 059a 0200 .2byte 0x2 + 6389 059c 91 .byte 0x91 + 6390 059d 66 .sleb128 -26 + 6391 059e 00000000 .4byte 0 + 6392 05a2 00000000 .4byte 0 + 6393 .LLST37: + 6394 05a6 30000000 .4byte .LVL89 + 6395 05aa 38000000 .4byte .LVL90 + 6396 05ae 0100 .2byte 0x1 + 6397 05b0 50 .byte 0x50 + 6398 05b1 38000000 .4byte .LVL90 + 6399 05b5 3A000000 .4byte .LVL91 + 6400 05b9 0100 .2byte 0x1 + 6401 05bb 54 .byte 0x54 + 6402 05bc 48000000 .4byte .LVL93 + 6403 05c0 4C000000 .4byte .LVL94 + 6404 05c4 0100 .2byte 0x1 + 6405 05c6 52 .byte 0x52 + 6406 05c7 00000000 .4byte 0 + 6407 05cb 00000000 .4byte 0 + 6408 .LLST38: + 6409 05cf 18000000 .4byte .LVL83 + 6410 05d3 6A000000 .4byte .LVL99 + 6411 05d7 0100 .2byte 0x1 + 6412 05d9 57 .byte 0x57 + 6413 05da 00000000 .4byte 0 + 6414 05de 00000000 .4byte 0 + 6415 .LLST39: + 6416 05e2 1C000000 .4byte .LVL84 + 6417 05e6 7A000000 .4byte .LVL101 + 6418 05ea 0100 .2byte 0x1 + 6419 05ec 56 .byte 0x56 + 6420 05ed 00000000 .4byte 0 + 6421 05f1 00000000 .4byte 0 + 6422 .LLST40: + 6423 05f5 20000000 .4byte .LVL85 + 6424 05f9 7A000000 .4byte .LVL101 + 6425 05fd 0100 .2byte 0x1 + 6426 05ff 55 .byte 0x55 + 6427 0600 00000000 .4byte 0 + 6428 0604 00000000 .4byte 0 + 6429 .LLST41: + 6430 0608 00000000 .4byte .LVL104 + 6431 060c 06000000 .4byte .LVL105 + 6432 0610 0100 .2byte 0x1 + 6433 0612 50 .byte 0x50 + 6434 0613 06000000 .4byte .LVL105 + 6435 0617 18000000 .4byte .LFE36 + 6436 061b 0400 .2byte 0x4 + 6437 061d F3 .byte 0xf3 + 6438 061e 01 .uleb128 0x1 + 6439 061f 50 .byte 0x50 + 6440 0620 9F .byte 0x9f + 6441 0621 00000000 .4byte 0 + 6442 0625 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 161 + + + 6443 .LLST42: + 6444 0629 00000000 .4byte .LVL106 + 6445 062d 06000000 .4byte .LVL107 + 6446 0631 0100 .2byte 0x1 + 6447 0633 50 .byte 0x50 + 6448 0634 06000000 .4byte .LVL107 + 6449 0638 18000000 .4byte .LFE37 + 6450 063c 0400 .2byte 0x4 + 6451 063e F3 .byte 0xf3 + 6452 063f 01 .uleb128 0x1 + 6453 0640 50 .byte 0x50 + 6454 0641 9F .byte 0x9f + 6455 0642 00000000 .4byte 0 + 6456 0646 00000000 .4byte 0 + 6457 .LLST43: + 6458 064a 00000000 .4byte .LVL108 + 6459 064e 04000000 .4byte .LVL109 + 6460 0652 0100 .2byte 0x1 + 6461 0654 50 .byte 0x50 + 6462 0655 04000000 .4byte .LVL109 + 6463 0659 1C000000 .4byte .LFE38 + 6464 065d 0400 .2byte 0x4 + 6465 065f F3 .byte 0xf3 + 6466 0660 01 .uleb128 0x1 + 6467 0661 50 .byte 0x50 + 6468 0662 9F .byte 0x9f + 6469 0663 00000000 .4byte 0 + 6470 0667 00000000 .4byte 0 + 6471 .LLST44: + 6472 066b 00000000 .4byte .LFB41 + 6473 066f 02000000 .4byte .LCFI5 + 6474 0673 0200 .2byte 0x2 + 6475 0675 7D .byte 0x7d + 6476 0676 00 .sleb128 0 + 6477 0677 02000000 .4byte .LCFI5 + 6478 067b 28000000 .4byte .LFE41 + 6479 067f 0200 .2byte 0x2 + 6480 0681 7D .byte 0x7d + 6481 0682 08 .sleb128 8 + 6482 0683 00000000 .4byte 0 + 6483 0687 00000000 .4byte 0 + 6484 .LLST45: + 6485 068b 00000000 .4byte .LVL111 + 6486 068f 04000000 .4byte .LVL112 + 6487 0693 0100 .2byte 0x1 + 6488 0695 50 .byte 0x50 + 6489 0696 04000000 .4byte .LVL112 + 6490 069a 28000000 .4byte .LFE41 + 6491 069e 0100 .2byte 0x1 + 6492 06a0 54 .byte 0x54 + 6493 06a1 00000000 .4byte 0 + 6494 06a5 00000000 .4byte 0 + 6495 .LLST46: + 6496 06a9 00000000 .4byte .LVL116 + 6497 06ad 06000000 .4byte .LVL117 + 6498 06b1 0100 .2byte 0x1 + 6499 06b3 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 162 + + + 6500 06b4 06000000 .4byte .LVL117 + 6501 06b8 10000000 .4byte .LFE42 + 6502 06bc 0400 .2byte 0x4 + 6503 06be F3 .byte 0xf3 + 6504 06bf 01 .uleb128 0x1 + 6505 06c0 50 .byte 0x50 + 6506 06c1 9F .byte 0x9f + 6507 06c2 00000000 .4byte 0 + 6508 06c6 00000000 .4byte 0 + 6509 .LLST47: + 6510 06ca 00000000 .4byte .LFB28 + 6511 06ce 02000000 .4byte .LCFI6 + 6512 06d2 0200 .2byte 0x2 + 6513 06d4 7D .byte 0x7d + 6514 06d5 00 .sleb128 0 + 6515 06d6 02000000 .4byte .LCFI6 + 6516 06da 6C000000 .4byte .LFE28 + 6517 06de 0200 .2byte 0x2 + 6518 06e0 7D .byte 0x7d + 6519 06e1 10 .sleb128 16 + 6520 06e2 00000000 .4byte 0 + 6521 06e6 00000000 .4byte 0 + 6522 .LLST48: + 6523 06ea 00000000 .4byte .LVL119 + 6524 06ee 1C000000 .4byte .LVL121 + 6525 06f2 0100 .2byte 0x1 + 6526 06f4 50 .byte 0x50 + 6527 06f5 1C000000 .4byte .LVL121 + 6528 06f9 1F000000 .4byte .LVL122-1 + 6529 06fd 0200 .2byte 0x2 + 6530 06ff 71 .byte 0x71 + 6531 0700 00 .sleb128 0 + 6532 0701 1F000000 .4byte .LVL122-1 + 6533 0705 3A000000 .4byte .LVL124 + 6534 0709 0400 .2byte 0x4 + 6535 070b F3 .byte 0xf3 + 6536 070c 01 .uleb128 0x1 + 6537 070d 50 .byte 0x50 + 6538 070e 9F .byte 0x9f + 6539 070f 3A000000 .4byte .LVL124 + 6540 0713 40000000 .4byte .LVL125 + 6541 0717 0100 .2byte 0x1 + 6542 0719 50 .byte 0x50 + 6543 071a 40000000 .4byte .LVL125 + 6544 071e 6C000000 .4byte .LFE28 + 6545 0722 0400 .2byte 0x4 + 6546 0724 F3 .byte 0xf3 + 6547 0725 01 .uleb128 0x1 + 6548 0726 50 .byte 0x50 + 6549 0727 9F .byte 0x9f + 6550 0728 00000000 .4byte 0 + 6551 072c 00000000 .4byte 0 + 6552 .LLST49: + 6553 0730 00000000 .4byte .LFB25 + 6554 0734 02000000 .4byte .LCFI7 + 6555 0738 0200 .2byte 0x2 + 6556 073a 7D .byte 0x7d + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 163 + + + 6557 073b 00 .sleb128 0 + 6558 073c 02000000 .4byte .LCFI7 + 6559 0740 70000000 .4byte .LFE25 + 6560 0744 0200 .2byte 0x2 + 6561 0746 7D .byte 0x7d + 6562 0747 10 .sleb128 16 + 6563 0748 00000000 .4byte 0 + 6564 074c 00000000 .4byte 0 + 6565 .LLST50: + 6566 0750 2C000000 .4byte .LVL127 + 6567 0754 34000000 .4byte .LVL128 + 6568 0758 0100 .2byte 0x1 + 6569 075a 53 .byte 0x53 + 6570 075b 34000000 .4byte .LVL128 + 6571 075f 56000000 .4byte .LVL131 + 6572 0763 0200 .2byte 0x2 + 6573 0765 91 .byte 0x91 + 6574 0766 76 .sleb128 -10 + 6575 0767 56000000 .4byte .LVL131 + 6576 076b 5A000000 .4byte .LVL132 + 6577 076f 0100 .2byte 0x1 + 6578 0771 52 .byte 0x52 + 6579 0772 5C000000 .4byte .LVL133 + 6580 0776 70000000 .4byte .LFE25 + 6581 077a 0200 .2byte 0x2 + 6582 077c 91 .byte 0x91 + 6583 077d 76 .sleb128 -10 + 6584 077e 00000000 .4byte 0 + 6585 0782 00000000 .4byte 0 + 6586 .LLST51: + 6587 0786 00000000 .4byte .LVL134 + 6588 078a 12000000 .4byte .LVL135 + 6589 078e 0100 .2byte 0x1 + 6590 0790 50 .byte 0x50 + 6591 0791 12000000 .4byte .LVL135 + 6592 0795 40000000 .4byte .LFE43 + 6593 0799 0400 .2byte 0x4 + 6594 079b F3 .byte 0xf3 + 6595 079c 01 .uleb128 0x1 + 6596 079d 50 .byte 0x50 + 6597 079e 9F .byte 0x9f + 6598 079f 00000000 .4byte 0 + 6599 07a3 00000000 .4byte 0 + 6600 .LLST52: + 6601 07a7 00000000 .4byte .LVL136 + 6602 07ab 06000000 .4byte .LVL137 + 6603 07af 0100 .2byte 0x1 + 6604 07b1 50 .byte 0x50 + 6605 07b2 06000000 .4byte .LVL137 + 6606 07b6 40000000 .4byte .LFE44 + 6607 07ba 0400 .2byte 0x4 + 6608 07bc F3 .byte 0xf3 + 6609 07bd 01 .uleb128 0x1 + 6610 07be 50 .byte 0x50 + 6611 07bf 9F .byte 0x9f + 6612 07c0 00000000 .4byte 0 + 6613 07c4 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 164 + + + 6614 .LLST53: + 6615 07c8 00000000 .4byte .LVL136 + 6616 07cc 0A000000 .4byte .LVL138 + 6617 07d0 0100 .2byte 0x1 + 6618 07d2 51 .byte 0x51 + 6619 07d3 0A000000 .4byte .LVL138 + 6620 07d7 40000000 .4byte .LFE44 + 6621 07db 0400 .2byte 0x4 + 6622 07dd F3 .byte 0xf3 + 6623 07de 01 .uleb128 0x1 + 6624 07df 51 .byte 0x51 + 6625 07e0 9F .byte 0x9f + 6626 07e1 00000000 .4byte 0 + 6627 07e5 00000000 .4byte 0 + 6628 .LLST54: + 6629 07e9 00000000 .4byte .LFB46 + 6630 07ed 02000000 .4byte .LCFI8 + 6631 07f1 0200 .2byte 0x2 + 6632 07f3 7D .byte 0x7d + 6633 07f4 00 .sleb128 0 + 6634 07f5 02000000 .4byte .LCFI8 + 6635 07f9 68000000 .4byte .LFE46 + 6636 07fd 0200 .2byte 0x2 + 6637 07ff 7D .byte 0x7d + 6638 0800 10 .sleb128 16 + 6639 0801 00000000 .4byte 0 + 6640 0805 00000000 .4byte 0 + 6641 .LLST55: + 6642 0809 00000000 .4byte .LVL139 + 6643 080d 0E000000 .4byte .LVL140 + 6644 0811 0100 .2byte 0x1 + 6645 0813 50 .byte 0x50 + 6646 0814 0E000000 .4byte .LVL140 + 6647 0818 68000000 .4byte .LFE46 + 6648 081c 0400 .2byte 0x4 + 6649 081e F3 .byte 0xf3 + 6650 081f 01 .uleb128 0x1 + 6651 0820 50 .byte 0x50 + 6652 0821 9F .byte 0x9f + 6653 0822 00000000 .4byte 0 + 6654 0826 00000000 .4byte 0 + 6655 .LLST56: + 6656 082a 00000000 .4byte .LVL139 + 6657 082e 12000000 .4byte .LVL141 + 6658 0832 0100 .2byte 0x1 + 6659 0834 51 .byte 0x51 + 6660 0835 12000000 .4byte .LVL141 + 6661 0839 68000000 .4byte .LFE46 + 6662 083d 0400 .2byte 0x4 + 6663 083f F3 .byte 0xf3 + 6664 0840 01 .uleb128 0x1 + 6665 0841 51 .byte 0x51 + 6666 0842 9F .byte 0x9f + 6667 0843 00000000 .4byte 0 + 6668 0847 00000000 .4byte 0 + 6669 .LLST57: + 6670 084b 00000000 .4byte .LFB47 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 165 + + + 6671 084f 02000000 .4byte .LCFI9 + 6672 0853 0200 .2byte 0x2 + 6673 0855 7D .byte 0x7d + 6674 0856 00 .sleb128 0 + 6675 0857 02000000 .4byte .LCFI9 + 6676 085b 68000000 .4byte .LFE47 + 6677 085f 0200 .2byte 0x2 + 6678 0861 7D .byte 0x7d + 6679 0862 10 .sleb128 16 + 6680 0863 00000000 .4byte 0 + 6681 0867 00000000 .4byte 0 + 6682 .LLST58: + 6683 086b 00000000 .4byte .LVL143 + 6684 086f 0E000000 .4byte .LVL144 + 6685 0873 0100 .2byte 0x1 + 6686 0875 50 .byte 0x50 + 6687 0876 0E000000 .4byte .LVL144 + 6688 087a 68000000 .4byte .LFE47 + 6689 087e 0400 .2byte 0x4 + 6690 0880 F3 .byte 0xf3 + 6691 0881 01 .uleb128 0x1 + 6692 0882 50 .byte 0x50 + 6693 0883 9F .byte 0x9f + 6694 0884 00000000 .4byte 0 + 6695 0888 00000000 .4byte 0 + 6696 .LLST59: + 6697 088c 00000000 .4byte .LVL143 + 6698 0890 20000000 .4byte .LVL145 + 6699 0894 0100 .2byte 0x1 + 6700 0896 51 .byte 0x51 + 6701 0897 20000000 .4byte .LVL145 + 6702 089b 68000000 .4byte .LFE47 + 6703 089f 0400 .2byte 0x4 + 6704 08a1 F3 .byte 0xf3 + 6705 08a2 01 .uleb128 0x1 + 6706 08a3 51 .byte 0x51 + 6707 08a4 9F .byte 0x9f + 6708 08a5 00000000 .4byte 0 + 6709 08a9 00000000 .4byte 0 + 6710 .LLST60: + 6711 08ad 00000000 .4byte .LFB50 + 6712 08b1 02000000 .4byte .LCFI10 + 6713 08b5 0200 .2byte 0x2 + 6714 08b7 7D .byte 0x7d + 6715 08b8 00 .sleb128 0 + 6716 08b9 02000000 .4byte .LCFI10 + 6717 08bd 44000000 .4byte .LFE50 + 6718 08c1 0200 .2byte 0x2 + 6719 08c3 7D .byte 0x7d + 6720 08c4 08 .sleb128 8 + 6721 08c5 00000000 .4byte 0 + 6722 08c9 00000000 .4byte 0 + 6723 .LLST61: + 6724 08cd 00000000 .4byte .LVL148 + 6725 08d1 0A000000 .4byte .LVL150 + 6726 08d5 0100 .2byte 0x1 + 6727 08d7 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 166 + + + 6728 08d8 0A000000 .4byte .LVL150 + 6729 08dc 14000000 .4byte .LFE52 + 6730 08e0 0400 .2byte 0x4 + 6731 08e2 F3 .byte 0xf3 + 6732 08e3 01 .uleb128 0x1 + 6733 08e4 50 .byte 0x50 + 6734 08e5 9F .byte 0x9f + 6735 08e6 00000000 .4byte 0 + 6736 08ea 00000000 .4byte 0 + 6737 .LLST62: + 6738 08ee 00000000 .4byte .LFB53 + 6739 08f2 02000000 .4byte .LCFI11 + 6740 08f6 0200 .2byte 0x2 + 6741 08f8 7D .byte 0x7d + 6742 08f9 00 .sleb128 0 + 6743 08fa 02000000 .4byte .LCFI11 + 6744 08fe 18000000 .4byte .LFE53 + 6745 0902 0200 .2byte 0x2 + 6746 0904 7D .byte 0x7d + 6747 0905 08 .sleb128 8 + 6748 0906 00000000 .4byte 0 + 6749 090a 00000000 .4byte 0 + 6750 .LLST63: + 6751 090e 06000000 .4byte .LVL151 + 6752 0912 0D000000 .4byte .LVL153-1 + 6753 0916 0100 .2byte 0x1 + 6754 0918 50 .byte 0x50 + 6755 0919 00000000 .4byte 0 + 6756 091d 00000000 .4byte 0 + 6757 .LLST64: + 6758 0921 00000000 .4byte .LFB54 + 6759 0925 02000000 .4byte .LCFI12 + 6760 0929 0200 .2byte 0x2 + 6761 092b 7D .byte 0x7d + 6762 092c 00 .sleb128 0 + 6763 092d 02000000 .4byte .LCFI12 + 6764 0931 1C000000 .4byte .LFE54 + 6765 0935 0200 .2byte 0x2 + 6766 0937 7D .byte 0x7d + 6767 0938 08 .sleb128 8 + 6768 0939 00000000 .4byte 0 + 6769 093d 00000000 .4byte 0 + 6770 .LLST65: + 6771 0941 06000000 .4byte .LVL154 + 6772 0945 13000000 .4byte .LVL156-1 + 6773 0949 0100 .2byte 0x1 + 6774 094b 50 .byte 0x50 + 6775 094c 00000000 .4byte 0 + 6776 0950 00000000 .4byte 0 + 6777 .LLST66: + 6778 0954 00000000 .4byte .LFB55 + 6779 0958 02000000 .4byte .LCFI13 + 6780 095c 0200 .2byte 0x2 + 6781 095e 7D .byte 0x7d + 6782 095f 00 .sleb128 0 + 6783 0960 02000000 .4byte .LCFI13 + 6784 0964 18000000 .4byte .LFE55 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 167 + + + 6785 0968 0200 .2byte 0x2 + 6786 096a 7D .byte 0x7d + 6787 096b 08 .sleb128 8 + 6788 096c 00000000 .4byte 0 + 6789 0970 00000000 .4byte 0 + 6790 .LLST67: + 6791 0974 00000000 .4byte .LVL157 + 6792 0978 07000000 .4byte .LVL158-1 + 6793 097c 0100 .2byte 0x1 + 6794 097e 50 .byte 0x50 + 6795 097f 07000000 .4byte .LVL158-1 + 6796 0983 18000000 .4byte .LFE55 + 6797 0987 0100 .2byte 0x1 + 6798 0989 54 .byte 0x54 + 6799 098a 00000000 .4byte 0 + 6800 098e 00000000 .4byte 0 + 6801 .LLST68: + 6802 0992 08000000 .4byte .LVL158 + 6803 0996 13000000 .4byte .LVL159-1 + 6804 099a 0100 .2byte 0x1 + 6805 099c 50 .byte 0x50 + 6806 099d 00000000 .4byte 0 + 6807 09a1 00000000 .4byte 0 + 6808 .LLST69: + 6809 09a5 00000000 .4byte .LFB56 + 6810 09a9 02000000 .4byte .LCFI14 + 6811 09ad 0200 .2byte 0x2 + 6812 09af 7D .byte 0x7d + 6813 09b0 00 .sleb128 0 + 6814 09b1 02000000 .4byte .LCFI14 + 6815 09b5 60000000 .4byte .LFE56 + 6816 09b9 0200 .2byte 0x2 + 6817 09bb 7D .byte 0x7d + 6818 09bc 08 .sleb128 8 + 6819 09bd 00000000 .4byte 0 + 6820 09c1 00000000 .4byte 0 + 6821 .LLST70: + 6822 09c5 06000000 .4byte .LVL160 + 6823 09c9 59000000 .4byte .LVL161-1 + 6824 09cd 0100 .2byte 0x1 + 6825 09cf 50 .byte 0x50 + 6826 09d0 00000000 .4byte 0 + 6827 09d4 00000000 .4byte 0 + 6828 .LLST71: + 6829 09d8 00000000 .4byte .LVL162 + 6830 09dc 0C000000 .4byte .LVL165 + 6831 09e0 0100 .2byte 0x1 + 6832 09e2 50 .byte 0x50 + 6833 09e3 0C000000 .4byte .LVL165 + 6834 09e7 18000000 .4byte .LFE57 + 6835 09eb 0400 .2byte 0x4 + 6836 09ed F3 .byte 0xf3 + 6837 09ee 01 .uleb128 0x1 + 6838 09ef 50 .byte 0x50 + 6839 09f0 9F .byte 0x9f + 6840 09f1 00000000 .4byte 0 + 6841 09f5 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 168 + + + 6842 .LLST72: + 6843 09f9 00000000 .4byte .LVL162 + 6844 09fd 02000000 .4byte .LVL163 + 6845 0a01 0600 .2byte 0x6 + 6846 0a03 11 .byte 0x11 + 6847 0a04 88DA8380 .sleb128 -536810232 + 6847 7E + 6848 0a09 02000000 .4byte .LVL163 + 6849 0a0d 08000000 .4byte .LVL164 + 6850 0a11 0200 .2byte 0x2 + 6851 0a13 73 .byte 0x73 + 6852 0a14 00 .sleb128 0 + 6853 0a15 08000000 .4byte .LVL164 + 6854 0a19 18000000 .4byte .LFE57 + 6855 0a1d 0100 .2byte 0x1 + 6856 0a1f 53 .byte 0x53 + 6857 0a20 00000000 .4byte 0 + 6858 0a24 00000000 .4byte 0 + 6859 .LLST73: + 6860 0a28 00000000 .4byte .LVL166 + 6861 0a2c 06000000 .4byte .LVL168 + 6862 0a30 0100 .2byte 0x1 + 6863 0a32 50 .byte 0x50 + 6864 0a33 06000000 .4byte .LVL168 + 6865 0a37 14000000 .4byte .LFE58 + 6866 0a3b 0400 .2byte 0x4 + 6867 0a3d F3 .byte 0xf3 + 6868 0a3e 01 .uleb128 0x1 + 6869 0a3f 50 .byte 0x50 + 6870 0a40 9F .byte 0x9f + 6871 0a41 00000000 .4byte 0 + 6872 0a45 00000000 .4byte 0 + 6873 .LLST74: + 6874 0a49 00000000 .4byte .LVL166 + 6875 0a4d 02000000 .4byte .LVL167 + 6876 0a51 0600 .2byte 0x6 + 6877 0a53 11 .byte 0x11 + 6878 0a54 88DA8380 .sleb128 -536810232 + 6878 7E + 6879 0a59 02000000 .4byte .LVL167 + 6880 0a5d 14000000 .4byte .LFE58 + 6881 0a61 0200 .2byte 0x2 + 6882 0a63 73 .byte 0x73 + 6883 0a64 00 .sleb128 0 + 6884 0a65 00000000 .4byte 0 + 6885 0a69 00000000 .4byte 0 + 6886 .LLST75: + 6887 0a6d 00000000 .4byte .LVL169 + 6888 0a71 06000000 .4byte .LVL171 + 6889 0a75 0100 .2byte 0x1 + 6890 0a77 50 .byte 0x50 + 6891 0a78 06000000 .4byte .LVL171 + 6892 0a7c 1C000000 .4byte .LFE59 + 6893 0a80 0400 .2byte 0x4 + 6894 0a82 F3 .byte 0xf3 + 6895 0a83 01 .uleb128 0x1 + 6896 0a84 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 169 + + + 6897 0a85 9F .byte 0x9f + 6898 0a86 00000000 .4byte 0 + 6899 0a8a 00000000 .4byte 0 + 6900 .LLST76: + 6901 0a8e 00000000 .4byte .LVL169 + 6902 0a92 02000000 .4byte .LVL170 + 6903 0a96 0600 .2byte 0x6 + 6904 0a98 11 .byte 0x11 + 6905 0a99 88DA8380 .sleb128 -536810232 + 6905 7E + 6906 0a9e 02000000 .4byte .LVL170 + 6907 0aa2 08000000 .4byte .LVL172 + 6908 0aa6 0200 .2byte 0x2 + 6909 0aa8 73 .byte 0x73 + 6910 0aa9 00 .sleb128 0 + 6911 0aaa 08000000 .4byte .LVL172 + 6912 0aae 1C000000 .4byte .LFE59 + 6913 0ab2 0100 .2byte 0x1 + 6914 0ab4 53 .byte 0x53 + 6915 0ab5 00000000 .4byte 0 + 6916 0ab9 00000000 .4byte 0 + 6917 .LLST77: + 6918 0abd 00000000 .4byte .LVL174 + 6919 0ac1 06000000 .4byte .LVL176 + 6920 0ac5 0100 .2byte 0x1 + 6921 0ac7 50 .byte 0x50 + 6922 0ac8 06000000 .4byte .LVL176 + 6923 0acc 14000000 .4byte .LFE60 + 6924 0ad0 0400 .2byte 0x4 + 6925 0ad2 F3 .byte 0xf3 + 6926 0ad3 01 .uleb128 0x1 + 6927 0ad4 50 .byte 0x50 + 6928 0ad5 9F .byte 0x9f + 6929 0ad6 00000000 .4byte 0 + 6930 0ada 00000000 .4byte 0 + 6931 .LLST78: + 6932 0ade 00000000 .4byte .LVL174 + 6933 0ae2 02000000 .4byte .LVL175 + 6934 0ae6 0600 .2byte 0x6 + 6935 0ae8 11 .byte 0x11 + 6936 0ae9 88DA8380 .sleb128 -536810232 + 6936 7E + 6937 0aee 02000000 .4byte .LVL175 + 6938 0af2 14000000 .4byte .LFE60 + 6939 0af6 0200 .2byte 0x2 + 6940 0af8 73 .byte 0x73 + 6941 0af9 00 .sleb128 0 + 6942 0afa 00000000 .4byte 0 + 6943 0afe 00000000 .4byte 0 + 6944 .LLST79: + 6945 0b02 00000000 .4byte .LVL177 + 6946 0b06 04000000 .4byte .LVL178 + 6947 0b0a 0100 .2byte 0x1 + 6948 0b0c 50 .byte 0x50 + 6949 0b0d 04000000 .4byte .LVL178 + 6950 0b11 14000000 .4byte .LFE61 + 6951 0b15 0400 .2byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 170 + + + 6952 0b17 F3 .byte 0xf3 + 6953 0b18 01 .uleb128 0x1 + 6954 0b19 50 .byte 0x50 + 6955 0b1a 9F .byte 0x9f + 6956 0b1b 00000000 .4byte 0 + 6957 0b1f 00000000 .4byte 0 + 6958 .LLST80: + 6959 0b23 00000000 .4byte .LVL177 + 6960 0b27 0A000000 .4byte .LVL179 + 6961 0b2b 0100 .2byte 0x1 + 6962 0b2d 51 .byte 0x51 + 6963 0b2e 0A000000 .4byte .LVL179 + 6964 0b32 14000000 .4byte .LFE61 + 6965 0b36 0400 .2byte 0x4 + 6966 0b38 F3 .byte 0xf3 + 6967 0b39 01 .uleb128 0x1 + 6968 0b3a 51 .byte 0x51 + 6969 0b3b 9F .byte 0x9f + 6970 0b3c 00000000 .4byte 0 + 6971 0b40 00000000 .4byte 0 + 6972 .LLST81: + 6973 0b44 00000000 .4byte .LVL180 + 6974 0b48 04000000 .4byte .LVL181 + 6975 0b4c 0100 .2byte 0x1 + 6976 0b4e 50 .byte 0x50 + 6977 0b4f 04000000 .4byte .LVL181 + 6978 0b53 12000000 .4byte .LFE62 + 6979 0b57 0400 .2byte 0x4 + 6980 0b59 F3 .byte 0xf3 + 6981 0b5a 01 .uleb128 0x1 + 6982 0b5b 50 .byte 0x50 + 6983 0b5c 9F .byte 0x9f + 6984 0b5d 00000000 .4byte 0 + 6985 0b61 00000000 .4byte 0 + 6986 .LLST82: + 6987 0b65 00000000 .4byte .LVL183 + 6988 0b69 06000000 .4byte .LVL184 + 6989 0b6d 0100 .2byte 0x1 + 6990 0b6f 50 .byte 0x50 + 6991 0b70 06000000 .4byte .LVL184 + 6992 0b74 18000000 .4byte .LFE63 + 6993 0b78 0400 .2byte 0x4 + 6994 0b7a F3 .byte 0xf3 + 6995 0b7b 01 .uleb128 0x1 + 6996 0b7c 50 .byte 0x50 + 6997 0b7d 9F .byte 0x9f + 6998 0b7e 00000000 .4byte 0 + 6999 0b82 00000000 .4byte 0 + 7000 .section .debug_aranges,"",%progbits + 7001 0000 0C020000 .4byte 0x20c + 7002 0004 0200 .2byte 0x2 + 7003 0006 00000000 .4byte .Ldebug_info0 + 7004 000a 04 .byte 0x4 + 7005 000b 00 .byte 0 + 7006 000c 0000 .2byte 0 + 7007 000e 0000 .2byte 0 + 7008 0010 00000000 .4byte .LFB7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 171 + + + 7009 0014 B8000000 .4byte .LFE7-.LFB7 + 7010 0018 00000000 .4byte .LFB14 + 7011 001c 3C000000 .4byte .LFE14-.LFB14 + 7012 0020 00000000 .4byte .LFB1 + 7013 0024 10000000 .4byte .LFE1-.LFB1 + 7014 0028 00000000 .4byte .LFB2 + 7015 002c 34000000 .4byte .LFE2-.LFB2 + 7016 0030 00000000 .4byte .LFB3 + 7017 0034 14000000 .4byte .LFE3-.LFB3 + 7018 0038 00000000 .4byte .LFB5 + 7019 003c 18000000 .4byte .LFE5-.LFB5 + 7020 0040 00000000 .4byte .LFB9 + 7021 0044 40000000 .4byte .LFE9-.LFB9 + 7022 0048 00000000 .4byte .LFB10 + 7023 004c 10000000 .4byte .LFE10-.LFB10 + 7024 0050 00000000 .4byte .LFB11 + 7025 0054 10000000 .4byte .LFE11-.LFB11 + 7026 0058 00000000 .4byte .LFB8 + 7027 005c D8000000 .4byte .LFE8-.LFB8 + 7028 0060 00000000 .4byte .LFB12 + 7029 0064 18000000 .4byte .LFE12-.LFB12 + 7030 0068 00000000 .4byte .LFB13 + 7031 006c 0C000000 .4byte .LFE13-.LFB13 + 7032 0070 00000000 .4byte .LFB15 + 7033 0074 6C000000 .4byte .LFE15-.LFB15 + 7034 0078 00000000 .4byte .LFB16 + 7035 007c 18000000 .4byte .LFE16-.LFB16 + 7036 0080 00000000 .4byte .LFB17 + 7037 0084 10000000 .4byte .LFE17-.LFB17 + 7038 0088 00000000 .4byte .LFB18 + 7039 008c 10000000 .4byte .LFE18-.LFB18 + 7040 0090 00000000 .4byte .LFB19 + 7041 0094 10000000 .4byte .LFE19-.LFB19 + 7042 0098 00000000 .4byte .LFB20 + 7043 009c 10000000 .4byte .LFE20-.LFB20 + 7044 00a0 00000000 .4byte .LFB4 + 7045 00a4 50000000 .4byte .LFE4-.LFB4 + 7046 00a8 00000000 .4byte .LFB0 + 7047 00ac 68000000 .4byte .LFE0-.LFB0 + 7048 00b0 00000000 .4byte .LFB21 + 7049 00b4 10000000 .4byte .LFE21-.LFB21 + 7050 00b8 00000000 .4byte .LFB22 + 7051 00bc 10000000 .4byte .LFE22-.LFB22 + 7052 00c0 00000000 .4byte .LFB23 + 7053 00c4 18000000 .4byte .LFE23-.LFB23 + 7054 00c8 00000000 .4byte .LFB24 + 7055 00cc 1C000000 .4byte .LFE24-.LFB24 + 7056 00d0 00000000 .4byte .LFB26 + 7057 00d4 34000000 .4byte .LFE26-.LFB26 + 7058 00d8 00000000 .4byte .LFB27 + 7059 00dc 10000000 .4byte .LFE27-.LFB27 + 7060 00e0 00000000 .4byte .LFB29 + 7061 00e4 88000000 .4byte .LFE29-.LFB29 + 7062 00e8 00000000 .4byte .LFB30 + 7063 00ec 10000000 .4byte .LFE30-.LFB30 + 7064 00f0 00000000 .4byte .LFB31 + 7065 00f4 10000000 .4byte .LFE31-.LFB31 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 172 + + + 7066 00f8 00000000 .4byte .LFB32 + 7067 00fc 10000000 .4byte .LFE32-.LFB32 + 7068 0100 00000000 .4byte .LFB33 + 7069 0104 0C000000 .4byte .LFE33-.LFB33 + 7070 0108 00000000 .4byte .LFB34 + 7071 010c 10000000 .4byte .LFE34-.LFB34 + 7072 0110 00000000 .4byte .LFB35 + 7073 0114 10000000 .4byte .LFE35-.LFB35 + 7074 0118 00000000 .4byte .LFB36 + 7075 011c 18000000 .4byte .LFE36-.LFB36 + 7076 0120 00000000 .4byte .LFB37 + 7077 0124 18000000 .4byte .LFE37-.LFB37 + 7078 0128 00000000 .4byte .LFB38 + 7079 012c 1C000000 .4byte .LFE38-.LFB38 + 7080 0130 00000000 .4byte .LFB39 + 7081 0134 04000000 .4byte .LFE39-.LFB39 + 7082 0138 00000000 .4byte .LFB40 + 7083 013c 10000000 .4byte .LFE40-.LFB40 + 7084 0140 00000000 .4byte .LFB41 + 7085 0144 28000000 .4byte .LFE41-.LFB41 + 7086 0148 00000000 .4byte .LFB42 + 7087 014c 10000000 .4byte .LFE42-.LFB42 + 7088 0150 00000000 .4byte .LFB28 + 7089 0154 6C000000 .4byte .LFE28-.LFB28 + 7090 0158 00000000 .4byte .LFB25 + 7091 015c 70000000 .4byte .LFE25-.LFB25 + 7092 0160 00000000 .4byte .LFB43 + 7093 0164 40000000 .4byte .LFE43-.LFB43 + 7094 0168 00000000 .4byte .LFB44 + 7095 016c 40000000 .4byte .LFE44-.LFB44 + 7096 0170 00000000 .4byte .LFB45 + 7097 0174 0C000000 .4byte .LFE45-.LFB45 + 7098 0178 00000000 .4byte .LFB46 + 7099 017c 68000000 .4byte .LFE46-.LFB46 + 7100 0180 00000000 .4byte .LFB47 + 7101 0184 68000000 .4byte .LFE47-.LFB47 + 7102 0188 00000000 .4byte .LFB48 + 7103 018c 24000000 .4byte .LFE48-.LFB48 + 7104 0190 00000000 .4byte .LFB49 + 7105 0194 24000000 .4byte .LFE49-.LFB49 + 7106 0198 00000000 .4byte .LFB50 + 7107 019c 44000000 .4byte .LFE50-.LFB50 + 7108 01a0 00000000 .4byte .LFB51 + 7109 01a4 10000000 .4byte .LFE51-.LFB51 + 7110 01a8 00000000 .4byte .LFB52 + 7111 01ac 14000000 .4byte .LFE52-.LFB52 + 7112 01b0 00000000 .4byte .LFB53 + 7113 01b4 18000000 .4byte .LFE53-.LFB53 + 7114 01b8 00000000 .4byte .LFB54 + 7115 01bc 1C000000 .4byte .LFE54-.LFB54 + 7116 01c0 00000000 .4byte .LFB55 + 7117 01c4 18000000 .4byte .LFE55-.LFB55 + 7118 01c8 00000000 .4byte .LFB56 + 7119 01cc 60000000 .4byte .LFE56-.LFB56 + 7120 01d0 00000000 .4byte .LFB57 + 7121 01d4 18000000 .4byte .LFE57-.LFB57 + 7122 01d8 00000000 .4byte .LFB58 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 173 + + + 7123 01dc 14000000 .4byte .LFE58-.LFB58 + 7124 01e0 00000000 .4byte .LFB59 + 7125 01e4 1C000000 .4byte .LFE59-.LFB59 + 7126 01e8 00000000 .4byte .LFB60 + 7127 01ec 14000000 .4byte .LFE60-.LFB60 + 7128 01f0 00000000 .4byte .LFB61 + 7129 01f4 14000000 .4byte .LFE61-.LFB61 + 7130 01f8 00000000 .4byte .LFB62 + 7131 01fc 12000000 .4byte .LFE62-.LFB62 + 7132 0200 00000000 .4byte .LFB63 + 7133 0204 18000000 .4byte .LFE63-.LFB63 + 7134 0208 00000000 .4byte 0 + 7135 020c 00000000 .4byte 0 + 7136 .section .debug_ranges,"",%progbits + 7137 .Ldebug_ranges0: + 7138 0000 00000000 .4byte .LFB7 + 7139 0004 B8000000 .4byte .LFE7 + 7140 0008 00000000 .4byte .LFB14 + 7141 000c 3C000000 .4byte .LFE14 + 7142 0010 00000000 .4byte .LFB1 + 7143 0014 10000000 .4byte .LFE1 + 7144 0018 00000000 .4byte .LFB2 + 7145 001c 34000000 .4byte .LFE2 + 7146 0020 00000000 .4byte .LFB3 + 7147 0024 14000000 .4byte .LFE3 + 7148 0028 00000000 .4byte .LFB5 + 7149 002c 18000000 .4byte .LFE5 + 7150 0030 00000000 .4byte .LFB9 + 7151 0034 40000000 .4byte .LFE9 + 7152 0038 00000000 .4byte .LFB10 + 7153 003c 10000000 .4byte .LFE10 + 7154 0040 00000000 .4byte .LFB11 + 7155 0044 10000000 .4byte .LFE11 + 7156 0048 00000000 .4byte .LFB8 + 7157 004c D8000000 .4byte .LFE8 + 7158 0050 00000000 .4byte .LFB12 + 7159 0054 18000000 .4byte .LFE12 + 7160 0058 00000000 .4byte .LFB13 + 7161 005c 0C000000 .4byte .LFE13 + 7162 0060 00000000 .4byte .LFB15 + 7163 0064 6C000000 .4byte .LFE15 + 7164 0068 00000000 .4byte .LFB16 + 7165 006c 18000000 .4byte .LFE16 + 7166 0070 00000000 .4byte .LFB17 + 7167 0074 10000000 .4byte .LFE17 + 7168 0078 00000000 .4byte .LFB18 + 7169 007c 10000000 .4byte .LFE18 + 7170 0080 00000000 .4byte .LFB19 + 7171 0084 10000000 .4byte .LFE19 + 7172 0088 00000000 .4byte .LFB20 + 7173 008c 10000000 .4byte .LFE20 + 7174 0090 00000000 .4byte .LFB4 + 7175 0094 50000000 .4byte .LFE4 + 7176 0098 00000000 .4byte .LFB0 + 7177 009c 68000000 .4byte .LFE0 + 7178 00a0 00000000 .4byte .LFB21 + 7179 00a4 10000000 .4byte .LFE21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 174 + + + 7180 00a8 00000000 .4byte .LFB22 + 7181 00ac 10000000 .4byte .LFE22 + 7182 00b0 00000000 .4byte .LFB23 + 7183 00b4 18000000 .4byte .LFE23 + 7184 00b8 00000000 .4byte .LFB24 + 7185 00bc 1C000000 .4byte .LFE24 + 7186 00c0 00000000 .4byte .LFB26 + 7187 00c4 34000000 .4byte .LFE26 + 7188 00c8 00000000 .4byte .LFB27 + 7189 00cc 10000000 .4byte .LFE27 + 7190 00d0 00000000 .4byte .LFB29 + 7191 00d4 88000000 .4byte .LFE29 + 7192 00d8 00000000 .4byte .LFB30 + 7193 00dc 10000000 .4byte .LFE30 + 7194 00e0 00000000 .4byte .LFB31 + 7195 00e4 10000000 .4byte .LFE31 + 7196 00e8 00000000 .4byte .LFB32 + 7197 00ec 10000000 .4byte .LFE32 + 7198 00f0 00000000 .4byte .LFB33 + 7199 00f4 0C000000 .4byte .LFE33 + 7200 00f8 00000000 .4byte .LFB34 + 7201 00fc 10000000 .4byte .LFE34 + 7202 0100 00000000 .4byte .LFB35 + 7203 0104 10000000 .4byte .LFE35 + 7204 0108 00000000 .4byte .LFB36 + 7205 010c 18000000 .4byte .LFE36 + 7206 0110 00000000 .4byte .LFB37 + 7207 0114 18000000 .4byte .LFE37 + 7208 0118 00000000 .4byte .LFB38 + 7209 011c 1C000000 .4byte .LFE38 + 7210 0120 00000000 .4byte .LFB39 + 7211 0124 04000000 .4byte .LFE39 + 7212 0128 00000000 .4byte .LFB40 + 7213 012c 10000000 .4byte .LFE40 + 7214 0130 00000000 .4byte .LFB41 + 7215 0134 28000000 .4byte .LFE41 + 7216 0138 00000000 .4byte .LFB42 + 7217 013c 10000000 .4byte .LFE42 + 7218 0140 00000000 .4byte .LFB28 + 7219 0144 6C000000 .4byte .LFE28 + 7220 0148 00000000 .4byte .LFB25 + 7221 014c 70000000 .4byte .LFE25 + 7222 0150 00000000 .4byte .LFB43 + 7223 0154 40000000 .4byte .LFE43 + 7224 0158 00000000 .4byte .LFB44 + 7225 015c 40000000 .4byte .LFE44 + 7226 0160 00000000 .4byte .LFB45 + 7227 0164 0C000000 .4byte .LFE45 + 7228 0168 00000000 .4byte .LFB46 + 7229 016c 68000000 .4byte .LFE46 + 7230 0170 00000000 .4byte .LFB47 + 7231 0174 68000000 .4byte .LFE47 + 7232 0178 00000000 .4byte .LFB48 + 7233 017c 24000000 .4byte .LFE48 + 7234 0180 00000000 .4byte .LFB49 + 7235 0184 24000000 .4byte .LFE49 + 7236 0188 00000000 .4byte .LFB50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 175 + + + 7237 018c 44000000 .4byte .LFE50 + 7238 0190 00000000 .4byte .LFB51 + 7239 0194 10000000 .4byte .LFE51 + 7240 0198 00000000 .4byte .LFB52 + 7241 019c 14000000 .4byte .LFE52 + 7242 01a0 00000000 .4byte .LFB53 + 7243 01a4 18000000 .4byte .LFE53 + 7244 01a8 00000000 .4byte .LFB54 + 7245 01ac 1C000000 .4byte .LFE54 + 7246 01b0 00000000 .4byte .LFB55 + 7247 01b4 18000000 .4byte .LFE55 + 7248 01b8 00000000 .4byte .LFB56 + 7249 01bc 60000000 .4byte .LFE56 + 7250 01c0 00000000 .4byte .LFB57 + 7251 01c4 18000000 .4byte .LFE57 + 7252 01c8 00000000 .4byte .LFB58 + 7253 01cc 14000000 .4byte .LFE58 + 7254 01d0 00000000 .4byte .LFB59 + 7255 01d4 1C000000 .4byte .LFE59 + 7256 01d8 00000000 .4byte .LFB60 + 7257 01dc 14000000 .4byte .LFE60 + 7258 01e0 00000000 .4byte .LFB61 + 7259 01e4 14000000 .4byte .LFE61 + 7260 01e8 00000000 .4byte .LFB62 + 7261 01ec 12000000 .4byte .LFE62 + 7262 01f0 00000000 .4byte .LFB63 + 7263 01f4 18000000 .4byte .LFE63 + 7264 01f8 00000000 .4byte 0 + 7265 01fc 00000000 .4byte 0 + 7266 .section .debug_line,"",%progbits + 7267 .Ldebug_line0: + 7268 0000 64060000 .section .debug_str,"MS",%progbits,1 + 7268 02005900 + 7268 00000201 + 7268 FB0E0D00 + 7268 01010101 + 7269 .LASF115: + 7270 0000 72616D56 .ascii "ramVectorTable\000" + 7270 6563746F + 7270 72546162 + 7270 6C6500 + 7271 .LASF69: + 7272 000f 636F756E .ascii "count\000" + 7272 7400 + 7273 .LASF12: + 7274 0015 75696E74 .ascii "uint16\000" + 7274 313600 + 7275 .LASF108: + 7276 001c 696E7453 .ascii "intState\000" + 7276 74617465 + 7276 00 + 7277 .LASF54: + 7278 0025 696C6F31 .ascii "ilo100KhzEnable\000" + 7278 30304B68 + 7278 7A456E61 + 7278 626C6500 + 7279 .LASF74: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 176 + + + 7280 0035 43795854 .ascii "CyXTAL_DisableErrStatus\000" + 7280 414C5F44 + 7280 69736162 + 7280 6C654572 + 7280 72537461 + 7281 .LASF50: + 7282 004d 4379494D .ascii "CyIMO_Start\000" + 7282 4F5F5374 + 7282 61727400 + 7283 .LASF49: + 7284 0059 4379494C .ascii "CyILO_Stop100K\000" + 7284 4F5F5374 + 7284 6F703130 + 7284 304B00 + 7285 .LASF114: + 7286 0068 6F6C6449 .ascii "oldIsr\000" + 7286 737200 + 7287 .LASF34: + 7288 006f 4379494D .ascii "CyIMO_EnableDoubler\000" + 7288 4F5F456E + 7288 61626C65 + 7288 446F7562 + 7288 6C657200 + 7289 .LASF107: + 7290 0083 43794469 .ascii "CyDisableInts\000" + 7290 7361626C + 7290 65496E74 + 7290 7300 + 7291 .LASF89: + 7292 0091 43795854 .ascii "CyXTAL_32KHZ_Start\000" + 7292 414C5F33 + 7292 324B485A + 7292 5F537461 + 7292 727400 + 7293 .LASF6: + 7294 00a4 6C6F6E67 .ascii "long long unsigned int\000" + 7294 206C6F6E + 7294 6720756E + 7294 7369676E + 7294 65642069 + 7295 .LASF57: + 7296 00bb 706D5477 .ascii "pmTwCfg0State\000" + 7296 43666730 + 7296 53746174 + 7296 6500 + 7297 .LASF83: + 7298 00c9 4379536F .ascii "CySoftwareReset\000" + 7298 66747761 + 7298 72655265 + 7298 73657400 + 7299 .LASF21: + 7300 00d9 4379494D .ascii "CyIMO_SetTrimValue\000" + 7300 4F5F5365 + 7300 74547269 + 7300 6D56616C + 7300 756500 + 7301 .LASF127: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 177 + + + 7302 00ec 63796465 .ascii "cydelay_freq_mhz\000" + 7302 6C61795F + 7302 66726571 + 7302 5F6D687A + 7302 00 + 7303 .LASF122: + 7304 00fd 4379496E .ascii "CyIntGetState\000" + 7304 74476574 + 7304 53746174 + 7304 6500 + 7305 .LASF70: + 7306 010b 706D5477 .ascii "pmTwCfg0Tmp\000" + 7306 43666730 + 7306 546D7000 + 7307 .LASF5: + 7308 0117 6C6F6E67 .ascii "long long int\000" + 7308 206C6F6E + 7308 6720696E + 7308 7400 + 7309 .LASF0: + 7310 0125 7369676E .ascii "signed char\000" + 7310 65642063 + 7310 68617200 + 7311 .LASF23: + 7312 0131 66726571 .ascii "freq\000" + 7312 00 + 7313 .LASF90: + 7314 0136 43794465 .ascii "CyDelayFreq\000" + 7314 6C617946 + 7314 72657100 + 7315 .LASF44: + 7316 0142 696E7465 .ascii "interruptState\000" + 7316 72727570 + 7316 74537461 + 7316 746500 + 7317 .LASF46: + 7318 0151 4379494C .ascii "CyILO_Start1K\000" + 7318 4F5F5374 + 7318 61727431 + 7318 4B00 + 7319 .LASF51: + 7320 015f 77616974 .ascii "wait\000" + 7320 00 + 7321 .LASF65: + 7322 0164 73746174 .ascii "state\000" + 7322 6500 + 7323 .LASF7: + 7324 016a 6C6F6E67 .ascii "long int\000" + 7324 20696E74 + 7324 00 + 7325 .LASF29: + 7326 0173 4379504C .ascii "CyPLL_OUT_SetSource\000" + 7326 4C5F4F55 + 7326 545F5365 + 7326 74536F75 + 7326 72636500 + 7327 .LASF18: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 178 + + + 7328 0187 72656731 .ascii "reg16\000" + 7328 3600 + 7329 .LASF11: + 7330 018d 75696E74 .ascii "uint8\000" + 7330 3800 + 7331 .LASF62: + 7332 0193 4379504C .ascii "CyPLL_OUT_Start\000" + 7332 4C5F4F55 + 7332 545F5374 + 7332 61727400 + 7333 .LASF86: + 7334 01a3 43794465 .ascii "CyDelayUs\000" + 7334 6C617955 + 7334 7300 + 7335 .LASF15: + 7336 01ad 646F7562 .ascii "double\000" + 7336 6C6500 + 7337 .LASF28: + 7338 01b4 4379504C .ascii "CyPLL_OUT_SetPQ\000" + 7338 4C5F4F55 + 7338 545F5365 + 7338 74505100 + 7339 .LASF47: + 7340 01c4 4379494C .ascii "CyILO_Stop1K\000" + 7340 4F5F5374 + 7340 6F70314B + 7340 00 + 7341 .LASF94: + 7342 01d1 43795764 .ascii "CyWdtClear\000" + 7342 74436C65 + 7342 617200 + 7343 .LASF13: + 7344 01dc 75696E74 .ascii "uint32\000" + 7344 333200 + 7345 .LASF141: + 7346 01e3 43794465 .ascii "CyDelayCycles\000" + 7346 6C617943 + 7346 79636C65 + 7346 7300 + 7347 .LASF136: + 7348 01f1 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 7348 43534932 + 7348 53445C55 + 7348 53425F42 + 7348 6F6F746C + 7349 .LASF104: + 7350 0211 6D61736B .ascii "mask\000" + 7350 00 + 7351 .LASF96: + 7352 0216 72657365 .ascii "reset\000" + 7352 7400 + 7353 .LASF121: + 7354 021c 4379496E .ascii "CyIntGetPriority\000" + 7354 74476574 + 7354 5072696F + 7354 72697479 + 7354 00 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 179 + + + 7355 .LASF116: + 7356 022d 4379496E .ascii "CyIntGetSysVector\000" + 7356 74476574 + 7356 53797356 + 7356 6563746F + 7356 7200 + 7357 .LASF4: + 7358 023f 756E7369 .ascii "unsigned int\000" + 7358 676E6564 + 7358 20696E74 + 7358 00 + 7359 .LASF33: + 7360 024c 4379494D .ascii "CyIMO_SetSource\000" + 7360 4F5F5365 + 7360 74536F75 + 7360 72636500 + 7361 .LASF43: + 7362 025c 62757343 .ascii "busClkDiv\000" + 7362 6C6B4469 + 7362 7600 + 7363 .LASF137: + 7364 0266 43795553 .ascii "CyUSB_PowerOnCheck\000" + 7364 425F506F + 7364 7765724F + 7364 6E436865 + 7364 636B00 + 7365 .LASF9: + 7366 0279 6C6F6E67 .ascii "long unsigned int\000" + 7366 20756E73 + 7366 69676E65 + 7366 6420696E + 7366 7400 + 7367 .LASF68: + 7368 028b 74696D65 .ascii "timeout\000" + 7368 6F757400 + 7369 .LASF98: + 7370 0293 43795664 .ascii "CyVdLvAnalogEnable\000" + 7370 4C76416E + 7370 616C6F67 + 7370 456E6162 + 7370 6C6500 + 7371 .LASF103: + 7372 02a6 43795664 .ascii "CyVdStickyStatus\000" + 7372 53746963 + 7372 6B795374 + 7372 61747573 + 7372 00 + 7373 .LASF66: + 7374 02b7 43795854 .ascii "CyXTAL_32KHZ_Stop\000" + 7374 414C5F33 + 7374 324B485A + 7374 5F53746F + 7374 7000 + 7375 .LASF63: + 7376 02c9 4379494C .ascii "CyILO_SetPowerMode\000" + 7376 4F5F5365 + 7376 74506F77 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 180 + + + 7376 65724D6F + 7376 646500 + 7377 .LASF32: + 7378 02dc 4379494D .ascii "CyIMO_Stop\000" + 7378 4F5F5374 + 7378 6F7000 + 7379 .LASF3: + 7380 02e7 73686F72 .ascii "short unsigned int\000" + 7380 7420756E + 7380 7369676E + 7380 65642069 + 7380 6E7400 + 7381 .LASF91: + 7382 02fa 43795764 .ascii "CyWdtStart\000" + 7382 74537461 + 7382 727400 + 7383 .LASF117: + 7384 0305 4379496E .ascii "CyIntSetVector\000" + 7384 74536574 + 7384 56656374 + 7384 6F7200 + 7385 .LASF102: + 7386 0314 43795664 .ascii "CyVdHvAnalogDisable\000" + 7386 4876416E + 7386 616C6F67 + 7386 44697361 + 7386 626C6500 + 7387 .LASF40: + 7388 0328 43794D61 .ascii "CyMasterClk_SetSource\000" + 7388 73746572 + 7388 436C6B5F + 7388 53657453 + 7388 6F757263 + 7389 .LASF112: + 7390 033e 6E756D62 .ascii "number\000" + 7390 657200 + 7391 .LASF130: + 7392 0345 4379456E .ascii "CyEnterCriticalSection\000" + 7392 74657243 + 7392 72697469 + 7392 63616C53 + 7392 65637469 + 7393 .LASF120: + 7394 035c 7072696F .ascii "priority\000" + 7394 72697479 + 7394 00 + 7395 .LASF75: + 7396 0365 43795854 .ascii "CyXTAL_EnableFaultRecovery\000" + 7396 414C5F45 + 7396 6E61626C + 7396 65466175 + 7396 6C745265 + 7397 .LASF119: + 7398 0380 4379496E .ascii "CyIntSetPriority\000" + 7398 74536574 + 7398 5072696F + 7398 72697479 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 181 + + + 7398 00 + 7399 .LASF22: + 7400 0391 43794275 .ascii "CyBusClk_Internal_SetDivider\000" + 7400 73436C6B + 7400 5F496E74 + 7400 65726E61 + 7400 6C5F5365 + 7401 .LASF111: + 7402 03ae 4379496E .ascii "CyIntSetSysVector\000" + 7402 74536574 + 7402 53797356 + 7402 6563746F + 7402 7200 + 7403 .LASF67: + 7404 03c0 43795854 .ascii "CyXTAL_Start\000" + 7404 414C5F53 + 7404 74617274 + 7404 00 + 7405 .LASF19: + 7406 03cd 72656733 .ascii "reg32\000" + 7406 3200 + 7407 .LASF139: + 7408 03d3 43795854 .ascii "CyXTAL_ReadStatus\000" + 7408 414C5F52 + 7408 65616453 + 7408 74617475 + 7408 7300 + 7409 .LASF126: + 7410 03e5 63796465 .ascii "cydelay_freq_khz\000" + 7410 6C61795F + 7410 66726571 + 7410 5F6B687A + 7410 00 + 7411 .LASF30: + 7412 03f6 736F7572 .ascii "source\000" + 7412 636500 + 7413 .LASF8: + 7414 03fd 73697A65 .ascii "sizetype\000" + 7414 74797065 + 7414 00 + 7415 .LASF77: + 7416 0406 43795854 .ascii "CyXTAL_SetStartup\000" + 7416 414C5F53 + 7416 65745374 + 7416 61727475 + 7416 7000 + 7417 .LASF92: + 7418 0418 7469636B .ascii "ticks\000" + 7418 7300 + 7419 .LASF113: + 7420 041e 61646472 .ascii "address\000" + 7420 65737300 + 7421 .LASF31: + 7422 0426 4379504C .ascii "CyPLL_OUT_Stop\000" + 7422 4C5F4F55 + 7422 545F5374 + 7422 6F7000 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 182 + + + 7423 .LASF105: + 7424 0435 43795664 .ascii "CyVdRealTimeStatus\000" + 7424 5265616C + 7424 54696D65 + 7424 53746174 + 7424 757300 + 7425 .LASF48: + 7426 0448 4379494C .ascii "CyILO_Start100K\000" + 7426 4F5F5374 + 7426 61727431 + 7426 30304B00 + 7427 .LASF37: + 7428 0458 75736250 .ascii "usbPowerOn\000" + 7428 6F776572 + 7428 4F6E00 + 7429 .LASF124: + 7430 0463 43795265 .ascii "CyResetStatus\000" + 7430 73657453 + 7430 74617475 + 7430 7300 + 7431 .LASF135: + 7432 0471 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\CyLib.c\000" + 7432 6E657261 + 7432 7465645F + 7432 536F7572 + 7432 63655C50 + 7433 .LASF131: + 7434 0492 43794D61 .ascii "CyMasterClk_SetDivider\000" + 7434 73746572 + 7434 436C6B5F + 7434 53657444 + 7434 69766964 + 7435 .LASF27: + 7436 04a9 63757272 .ascii "current\000" + 7436 656E7400 + 7437 .LASF14: + 7438 04b1 666C6F61 .ascii "float\000" + 7438 7400 + 7439 .LASF88: + 7440 04b7 43795854 .ascii "CyXTAL_32KHZ_SetPowerMode\000" + 7440 414C5F33 + 7440 324B485A + 7440 5F536574 + 7440 506F7765 + 7441 .LASF132: + 7442 04d1 43794578 .ascii "CyExitCriticalSection\000" + 7442 69744372 + 7442 69746963 + 7442 616C5365 + 7442 6374696F + 7443 .LASF59: + 7444 04e7 4379494C .ascii "CyILO_Enable33K\000" + 7444 4F5F456E + 7444 61626C65 + 7444 33334B00 + 7445 .LASF118: + 7446 04f7 4379496E .ascii "CyIntGetVector\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 183 + + + 7446 74476574 + 7446 56656374 + 7446 6F7200 + 7447 .LASF85: + 7448 0506 6D696C6C .ascii "milliseconds\000" + 7448 69736563 + 7448 6F6E6473 + 7448 00 + 7449 .LASF60: + 7450 0513 4379494C .ascii "CyILO_Disable33K\000" + 7450 4F5F4469 + 7450 7361626C + 7450 6533334B + 7450 00 + 7451 .LASF125: + 7452 0524 63796465 .ascii "cydelay_freq_hz\000" + 7452 6C61795F + 7452 66726571 + 7452 5F687A00 + 7453 .LASF42: + 7454 0534 6D617374 .ascii "masterClkDiv\000" + 7454 6572436C + 7454 6B446976 + 7454 00 + 7455 .LASF134: + 7456 0541 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 7456 4320342E + 7456 372E3320 + 7456 32303133 + 7456 30333132 + 7457 0574 616E6368 .ascii "anch revision 196615]\000" + 7457 20726576 + 7457 6973696F + 7457 6E203139 + 7457 36363135 + 7458 .LASF17: + 7459 058a 72656738 .ascii "reg8\000" + 7459 00 + 7460 .LASF97: + 7461 058f 74687265 .ascii "threshold\000" + 7461 73686F6C + 7461 6400 + 7462 .LASF81: + 7463 0599 43794861 .ascii "CyHalt\000" + 7463 6C7400 + 7464 .LASF80: + 7465 05a0 43795854 .ascii "CyXTAL_SetWdVoltage\000" + 7465 414C5F53 + 7465 65745764 + 7465 566F6C74 + 7465 61676500 + 7466 .LASF1: + 7467 05b4 756E7369 .ascii "unsigned char\000" + 7467 676E6564 + 7467 20636861 + 7467 7200 + 7468 .LASF58: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 184 + + + 7469 05c2 706D5477 .ascii "pmTwCfg2State\000" + 7469 43666732 + 7469 53746174 + 7469 6500 + 7470 .LASF2: + 7471 05d0 73686F72 .ascii "short int\000" + 7471 7420696E + 7471 7400 + 7472 .LASF56: + 7473 05da 696C6F45 .ascii "iloEnableState\000" + 7473 6E61626C + 7473 65537461 + 7473 746500 + 7474 .LASF73: + 7475 05e9 43795854 .ascii "CyXTAL_EnableErrStatus\000" + 7475 414C5F45 + 7475 6E61626C + 7475 65457272 + 7475 53746174 + 7476 .LASF110: + 7477 0600 4379466C .ascii "CyFlushCache\000" + 7477 75736843 + 7477 61636865 + 7477 00 + 7478 .LASF123: + 7479 060d 73746174 .ascii "stateReg\000" + 7479 65526567 + 7479 00 + 7480 .LASF138: + 7481 0616 706F7765 .ascii "poweredOn\000" + 7481 7265644F + 7481 6E00 + 7482 .LASF76: + 7483 0620 43795854 .ascii "CyXTAL_DisableFaultRecovery\000" + 7483 414C5F44 + 7483 69736162 + 7483 6C654661 + 7483 756C7452 + 7484 .LASF82: + 7485 063c 72656173 .ascii "reason\000" + 7485 6F6E00 + 7486 .LASF79: + 7487 0643 43795854 .ascii "CyXTAL_SetFbVoltage\000" + 7487 414C5F53 + 7487 65744662 + 7487 566F6C74 + 7487 61676500 + 7488 .LASF52: + 7489 0657 706D4674 .ascii "pmFtwCfg2Reg\000" + 7489 77436667 + 7489 32526567 + 7489 00 + 7490 .LASF39: + 7491 0664 6E657874 .ascii "nextFreq\000" + 7491 46726571 + 7491 00 + 7492 .LASF93: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 185 + + + 7493 066d 6C704D6F .ascii "lpMode\000" + 7493 646500 + 7494 .LASF10: + 7495 0674 63686172 .ascii "char\000" + 7495 00 + 7496 .LASF64: + 7497 0679 6D6F6465 .ascii "mode\000" + 7497 00 + 7498 .LASF20: + 7499 067e 63796973 .ascii "cyisraddress\000" + 7499 72616464 + 7499 72657373 + 7499 00 + 7500 .LASF35: + 7501 068b 4379494D .ascii "CyIMO_DisableDoubler\000" + 7501 4F5F4469 + 7501 7361626C + 7501 65446F75 + 7501 626C6572 + 7502 .LASF99: + 7503 06a0 43795664 .ascii "CyVdLvDigitDisable\000" + 7503 4C764469 + 7503 67697444 + 7503 69736162 + 7503 6C6500 + 7504 .LASF100: + 7505 06b3 43795664 .ascii "CyVdLvAnalogDisable\000" + 7505 4C76416E + 7505 616C6F67 + 7505 44697361 + 7505 626C6500 + 7506 .LASF26: + 7507 06c7 71446976 .ascii "qDiv\000" + 7507 00 + 7508 .LASF109: + 7509 06cc 4379456E .ascii "CyEnableInts\000" + 7509 61626C65 + 7509 496E7473 + 7509 00 + 7510 .LASF45: + 7511 06d9 43795573 .ascii "CyUsbClk_SetSource\000" + 7511 62436C6B + 7511 5F536574 + 7511 536F7572 + 7511 636500 + 7512 .LASF140: + 7513 06ec 4379506D .ascii "CyPmReadStatus\000" + 7513 52656164 + 7513 53746174 + 7513 757300 + 7514 .LASF38: + 7515 06fb 63757272 .ascii "currentFreq\000" + 7515 656E7446 + 7515 72657100 + 7516 .LASF84: + 7517 0707 43794465 .ascii "CyDelay\000" + 7517 6C617900 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 186 + + + 7518 .LASF41: + 7519 070f 43794275 .ascii "CyBusClk_SetDivider\000" + 7519 73436C6B + 7519 5F536574 + 7519 44697669 + 7519 64657200 + 7520 .LASF128: + 7521 0723 63796465 .ascii "cydelay_32k_ms\000" + 7521 6C61795F + 7521 33326B5F + 7521 6D7300 + 7522 .LASF106: + 7523 0732 7664466C .ascii "vdFlagsState\000" + 7523 61677353 + 7523 74617465 + 7523 00 + 7524 .LASF55: + 7525 073f 73746174 .ascii "status\000" + 7525 757300 + 7526 .LASF61: + 7527 0746 4379494C .ascii "CyILO_SetSource\000" + 7527 4F5F5365 + 7527 74536F75 + 7527 72636500 + 7528 .LASF25: + 7529 0756 70446976 .ascii "pDiv\000" + 7529 00 + 7530 .LASF36: + 7531 075b 4379494D .ascii "CyIMO_SetFreq\000" + 7531 4F5F5365 + 7531 74467265 + 7531 7100 + 7532 .LASF129: + 7533 0769 43795854 .ascii "CyXTAL_32KHZ_ReadStatus\000" + 7533 414C5F33 + 7533 324B485A + 7533 5F526561 + 7533 64537461 + 7534 .LASF71: + 7535 0781 706D5477 .ascii "pmTwCfg2Tmp\000" + 7535 43666732 + 7535 546D7000 + 7536 .LASF24: + 7537 078d 64697669 .ascii "divider\000" + 7537 64657200 + 7538 .LASF16: + 7539 0795 63797374 .ascii "cystatus\000" + 7539 61747573 + 7539 00 + 7540 .LASF78: + 7541 079e 73657474 .ascii "setting\000" + 7541 696E6700 + 7542 .LASF53: + 7543 07a6 706D4674 .ascii "pmFtwCfg0Reg\000" + 7543 77436667 + 7543 30526567 + 7543 00 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAOLfnb.s page 187 + + + 7544 .LASF87: + 7545 07b3 6D696372 .ascii "microseconds\000" + 7545 6F736563 + 7545 6F6E6473 + 7545 00 + 7546 .LASF101: + 7547 07c0 43795664 .ascii "CyVdHvAnalogEnable\000" + 7547 4876416E + 7547 616C6F67 + 7547 456E6162 + 7547 6C6500 + 7548 .LASF95: + 7549 07d3 43795664 .ascii "CyVdLvDigitEnable\000" + 7549 4C764469 + 7549 67697445 + 7549 6E61626C + 7549 6500 + 7550 .LASF72: + 7551 07e5 43795854 .ascii "CyXTAL_Stop\000" + 7551 414C5F53 + 7551 746F7000 + 7552 .LASF133: + 7553 07f1 4379506D .ascii "CyPmFtwSetInterval\000" + 7553 46747753 + 7553 6574496E + 7553 74657276 + 7553 616C00 + 7554 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.o new file mode 100755 index 0000000000000000000000000000000000000000..c821c2123af8ec928d72a1c5b4ac926b32911ed0 GIT binary patch literal 38320 zcmdsgdwdnux%QepJ68g^0ttk$a{&T`kN_$o$PR%J2uQeys3-|ZASof)CP9Psf{IE} zE2#C-+JaVFZEFh@TG}Ipwra6e3)P-RkF7b@Q_xzCT0QapJ^(CCd(QX!{`y9T zXWn-`>s@QT>s@PRO=k97J8w~yWm(GEELE&xl2S9PbxPbc4aKTiHSy?b^^sCx9_=`0 zhiY=V7be)pM=#9m{*Q#R7jhRQcL(|sY-?iN@sT*r=(FrG$5pQ?Oe`+$dF7<)i%Uov zG&nVV+)$OZFu6BtVIuZ;>_O}S>kg%85kgr=div z@6*7Dh*kK)iO76)_|8fdei-`!?1`3Ikc2%M`ylLJ!JdMBF!l$kR5%rwRHwp&Yet}+ zeU>W7iP-(YlFa^;+={*}fnV-Z$##EAiQS)(Yxk$8WcFv4%;~c%yFW9RWo3m`IH2=> zrm|6+&>R&`D9(B@ZG1-0SN2}NH(|n%o`f3f?Ci+VeXAmw{Z_6u!|J=C^=QGLM9>>XBZ zciVjj19SR?udk@<1xKdVN!{BRJ*{Re`&Jwt2at5)TW=(f7E_AY%RP&ndv z#^Qr;;m4|(K3-^Wooavshp1qm< zds;L5%T~uD?^F9!D6_wGk@i@K|Nb)JqdyM$8IJ-rqayc5B8M1jTd?;Z7^dx6u$T7Z zJNtVPUv>2fu=1OI*`Yi8*Dj4&NyFGkJU(bqVfRZ3@Bgu&`-Oye|Cmyxdee$hwe7g- z_{fbB)f=n|RrMcIhePO>G1ys-X?G~v4%6;eqOytbElTyJSb@Ix0u^r%s97QXJojkpKI@=$hO5;}JO=`8kn$f6P|*!3&Z4JNr9y>%WQg-n~N?1w)11 zxAiBeZ}umI7wxsQXOFwj?e4p`B zuqH3^P4UuahtgdB3G|tHhC1UP)9;S##06!qG1 zmNPaoM4zhleNlZT^l>%H8UZgw&=a>udqN#%n)M6LIoey={s-`D8phTB_tld4nz$FU zn;9<;y|3oJe_~a0UFD&ZX?kq%6cVV(xw5(v>4()}%8>)Z77eQz+x=d?Rh$!f>b-D$ z)`G0XX~z>I$=bIQyR$-e-+P#45@j5QcxF(8d*cg|g~Ji~9%h~(Mr8pa;8PWflvPCz zv2We|o^W6|qGdRu#SRS{AX?D-I$D)jLO`#$b_ z7v)4obnL~^ar>gWoI1uMeZuo>cuuMsBJ;-r^uZ^AG@LW&^DXc>ZYT;TmDn^{x|ympV&Xb zzU5Wb>n%t1U5hf(bs4ERCtB%8_oYRac2}SWPc3PSF6mke=TlEf1CYoB&rYAEb04vN^bLrIwzH7a7q)WFE*<^2V!vcG^c?cv0K;F{!%YMIc4x<6qMF&kqa(69eG^NaZmD8O6k$N|PajC}!+O8f? z#U!FfNzkf@Q_8w52!rm+Se*!x6+Z^*fR(r(v_K&4n1+NC$ZZGXTH3l)Aeb;4QXnyI zIGKqF4ec7+@MUT*X{RC> z+>3ez5`&LH7yq>c9G_%D{C3u&@3%NixRLVB%=6Amly^{mmW95H*ii}Jz(0xCptwN5 z>c(-Bbq8!(gKW!UeUop4RY)|W23akXdV>~4D`g!jZM9iOng{maVK8Jxv_cJek)+$q zTHqj#16M2S0kBfb@edNTP3Y5BvBcYrndRwYk;-iXc4#x4`sUkg=0#*?Sz~GaKFv%M=1^-tWb3e2awWp-Wz}YIV}g2ii;)6f{#yGas;eAJ7b)tcF`J(^VH5Ut|k2+ge2J%>kW1 zEZUvWrRw}Q2WS8b4jN#;T6+xDX~uBt7S``cuQtcJn2mVT6xM@$m$H`UqDsc|Z)#6p z1LcU1ng1;!fbi)5xJle~f>)rC_J`ZUQTWbr$@na;#J~q}KFuD;RGup$na0 zy17<&b22J1Vp<$hmgz8^NO?8>v6k!f1(fG7=L(&EC**vVTZCN)S)=_qiY;KVdy!~T z7vi86yVYfnLWw%{Nv4iU#6R_zAx1J78PGG3E{z{KM|9`8bSp)-Hm1v~gU)J)6=vk9 z&$eEq^Y@$9I$qm_EXHg5b>(|lgW=XvHs@JGjYY!~)V}W5R>Oq#nO}P$%g+cR2f7_Q zou6YZrncBb4jca=inFeVZ&TOMcCn6(95`#l1+44@Ezh9*9d^}3Eia}#hL%dSTnBk% z8RQ$#+$I=9ldUo}@=U1ifvQ_nDpX) zBXr+_u4pz3znIh>iZ8*SbqP~Pz*f<};lR3-;&h6?V(J=-H4sOR#Q`h!8Pu#;wHjFh z6)TpGqNqZ}7D1&eHrzUaT-JE6=Z0IEv|8cSW?NOP*o8*xbZG~ynl8OC$XZ+qduojJ zG+lj}nOdPxx!Bn$KO%iI{y`$?*MgqbNau8F*;x?q+j%?l4_EBaVd}fpUcQ>dZL0^#jVcu#j1CzlA&!k0%i8VNo`G!EPrTY<>v=lhxi}q`AVjB~;Z_q=KeA5{w970SdSw2gyd+Zan?`iv;J6kb2|Sm%YA}5 zb$s{hczy#)6W<@1_+B|O1TL%h0Con-3^j6!sP>C$u9`SkREB}G$4)4$ubDv+!EqU@ z7eb{o`l9X|kqB zDLK~jNVHZNZ8Nm5Q$9h9!&uZRZ83?Fb341!$P*w>ECbusj2w&SLTh`>R1H|xltGTE z?b_6as3{}gN>fe;h)0H7yIK1K#uTH49vN=^nA+oBZH{$>HU5XuvfCbGvD;DXMCOzl z@^S+{$l|~pf?KfIoDd#keTNkYZIAhtuWRbw6zLQV)obqZ7;`qhEBW7G?{f02N!HuY zadT$8b+C}it4bu&wLP#fK98;qCXs6`O=~8^8>w~#1Z5>8G2e&as<g<1E_H1hlYu1Io9AI;@Zi!~?(pdux*wuzeFBU>Z zqjL4YOp3-gCt}9;FQeJF>+EwuPt)0N)pA^iI%CW(82A(!tcPL-oL;jKvc@Y9=?qOU zP&$|q@|`56ZTZy=TdqOZyn_6b8`%C)dfd><&=kc%GhWBCX#R_JpWxQK$)YE^a}{z3 zmAE)%);wghuhH$Z%B|xl$i|HkUJguQPE~HbgG|8*mc{Do6kQyJq*7~kil)SkO9>6Kph}K2d35@qQWZ;IkQsu1Q%Wr- z0~O3Nc$zEAkXU-Um0^XG@0pWAQfka@01Zfs`WB%M(;A5g~|hVlPY@C54-QE{!=d7Zc>3aX@^zDEBDl+=)rD%N4y`G1 zIeM)GKr$yKo}M!@F;{G2?SnhnVdXgK}aBkv7c%Z(>>aCHFlgx%`wZ5ZZrY|1SWe8up$MT zaDEYBEcSq!x~l|8e_85${2Sd6QZz-NN4=)Va@VC*%U6>a-7qiH0D;L~1FVNBbU8b- z0=uP_<6ph8O+1w)B8Cz_A2GdX7bRABh@-iT3HiHfp<}iEdZ_fqu*6KxiV#>4ORdDe zdZQbPu?N&y(XL$xnN}@z0sf6{|0{PeKe~y{h;A$kwIDFr>y8p=sx)B*{?!{>YgEy0 zp^DXo8G=cTHU=6`pEPUP+b6s(LT&;JGL_&FtssHGeBRnYA<=6C zTt_qmqxK>kn(1^Yp%FVW5iZk*wVYN>3AM2jcCKRy*BA!DU*9t5rkiBK41Ktw8n8$o zF2lciqldqQ-8B+&A(|4SJr#sv|F}k@7FxlmB zQe5S8oPM!+&Kv##%}OCs2%~lKWg6*EV~j^(>>v?nCIlIpXnh z;ze4$Y&F2-+Icw+qZ_A76iWzWKebp_>jx&_5&;&L5*&i}{OBG?&0x7J4$(d9GWpah zm^v;cIE4SlC4^uaZ6N&X66S)1HY5U~n{h%dffK^1ZE!n*!^LfYF22 zB^c4vDtdZ{#y$K_?^?G#vS`n}xuTe^{NGiIIb7EqwCl3>e_turv@@^~*U)kZ-oSTR z;OIEDS+07X+8HVEK0AOJo$a6;%oI!$oF>S6aHBPDVf`=Gl0NJa+2Ju9xV0LH=J)6G z%eBI97WAh(_Sr|=oO(LEZAN`c{hV~CUXJXbW7k2)zT+u}SM0Ozv@at1un(Q`oc1_a zBs>S_itLo*_q$Ucr+#(9cVvg}@EqAeh6^``ZzQwg;_*yTIOR_h*~#zZ3;TEuzen^A z&uO>gA4l)-PlvT)$MKV&=h$)D>D1HVZ97f_V@=;7^&v$8yjCqeTl-bC95g$n1}n?k^;sD>S1 zs{butW{(KjUz%y>gu-`j-h9dC%~xJtWG7!=7A`L@C<(;53O`A!DK5n=@leFO6vyJN z(3tX3MWgczq@}aV@(S!9TpO}qQZozlN6!k+vcGxlLi_J(=Is1Qo+|E7@L7L0!PlzS z{ff_+#qH`l5`BtC0@m0IFDjfoDV$fv8kJpAP(ImH$jdvgFn?A--bIs_OrF(zo@bbS zEy*f0UTBlD+rfQo=}+Y>GAEUhP3F0#V&?>s2xbP zGB2Vd7M{{f2yd1Z@Q!g=MB@;wdvYMRf*kEB^cColH+_Q^D>2yx~qsuwyt ztF&-pVNtn^DzZ~br+KBWKI)YjRu zzOAV-#D^~<8&_OWxo&-#sbl5l)Fx@Ia&zsXMQfIvzk;pzp->19N#gn?Q^E*|e_L!T{ z)K$9_a@5r|5H4u!s%&jSRcUVO6liq*%FPX}O`Yn38LO99E?+QZ`P|j#FQ2n!PJ4S- zYkPfTQ%5P>)7hpr)^~0|b;O0*J+&Pz?Hw&$n^}`qnR*oaWzpp1qr1~kN#jWFN30zD>0i2E6^dc1GyTr1rT zwjPl}Jl)mnYUkqB=V_LzE`L{;>X{ChI+dfuM6&ew z#+KIBmQM6ZTce)E5J}SUBzxQk?_r3TNMLBsKkxidWoTOIS*24$Q_9MxmQ5|65-RR! z!U*ha8Xvm2V%g%!O^A}l#-_$e)7G3dX>CV+TSIfGqv`UNPDDwl{OmK&EI;ECP65+Z zS2O(GjL8x;tgdg3HWWQ`LF3By)~@>XO|e0zCnTA+rqI7l66h^(6eexcUXvgmGb;C# zUDdj&v$?Xqp&6NJVp-FA72z0aYFyIhI9j~njol735n&z+o~pG@6(bv~x;Qycp|!TA z?k+u5wnp^0)Yaa|5!$f1rL!XzWE`$iQtafw$zX2F`W6fVeY!z;vH8K#U1nw((>io5 zd-43vwcgQ+DBkEE6(*u|&18;5E*X8ULFaV;)F~U*Z0uB*H&(UQukRFhn*k=#ADzx4 zraCemN(rurb=AV@KAv?NWtcZ?W>4UR+S%0A)zXIk5O%~9X zWl@b8-e&C9(iqwYH#K!!UXQx~^D8T7go+u-E85#zJ45T*J3@%t&^(FTP;Ezh1LlGD zj?VG_FG9Uy3YN0tX?q=<0>&bH+4^1JXOUfCoE11WCXLUq1JFa8;>oIu=0Wh zmVTP^b8NUOzG_IyU@ar7-VWkl=jH(wykX*&c_YCs^Tvc*=8Y7$%o`1EIqZ}D_9#0y zC2*+!id!)xExa`tzR?cf6bOIS)SqeG{PtOvbF+!*t=KDuWQT8v4{wbN-xv(vWQT9o zR=oA{+Zm`{?sg26?yh&OF4tRccfEMy=YLYKfy!~$!(VUK!JY2!AKGJ_I2&&yRSXG* zwCF4T$?tEAU-q|+H?92knaA1K&Wa(4;jIJoH|y%|uU5_V z_Ln<7BI#R#;p^=1^{2#P80qf1_+_TK?V0{h3*Qh7Z?(fWYJ2}G-EE(-tEWrd1F`o zT2NV^T5dd7FMo^l1@ zB$)MkzhSnk%u`a-XOolD|Vh+G%DD`Gqcx!)5-C z@~@X1E3OL_uHU29U3%9AjJpMLnc=Qz?8?Jq-qbyI9qLJxCY&bKUAA~b7h+6$FHr|F z`vnX-iAxwPfIK-FZ_#U8e@g7a+)2?_?vCKSE#dZ-UY2|M+F9tkSuU@m8nM;w={WkH zfjdzf^$Zu>A<)f?HZpq4z@0C8;cXo2sc7s9+)0$4h-PxG%pEtxazs;HgZh+<*jP6I zN<5b0xg+4MIby`UI&~*X@p_Tq)|-Z$qINBhoRYy|phVZ;E>- zb1%TlJ&oEdL`vJ*TiS51Nw=u6Y3-)rBfEN}H!Qs7tW4FDN5C&?;TRvX@X?XavhR0qaD&E5aWpm2qn-zSK#M!djl?p*v zhn)}TkjH+__u1=(y@A?0%g5^n&wah+l&jyThFvHAf(V>e$j;{QF7nlHI_g&p66=?a z-Kk%uBl^x5ln*&6|}V=oi^32L<8wjg03|Bd(YcASP6 z_VIRu=k7No>usfvcMv>Y`#T#%y|w#zFCbx{aelLpmx$Lt25QHnK3+C>+nhpyPPq?* z=kAXkF8>t>kK^cZpFO^@I#9XK`FMLy!~46BcjPp@B*c-s-}oaN1C7gkAMfSU*qh_y zy?Gj5qmTCqc<%mn{CAa)mxYO8Al}_RUI};u#lapQuNpk3EOsBW@G9 zc&owVJmhSSZN44tj)O;BaWENV@)!rN`|NE!O}TtE%x&)o?6H39u$N_uQN>=+Xs zMg9(i+g?BH(O+!uS=d=FH&EPP?&Ey|UNg#ZHkQk+0|)N<(J4;- z&OthPl=Uyb0MQM4YlLzjdtde0OLWF%$_wjxJ-azf0C_GGqdV2yq+IKeR z_$=W$`X|9VlIlpYJX67Q_s1&q$1z+uuLG6ud^r74>9cnuE~;vsN`U8-d%lnN$5Be% zg!f;a&EYNem0OIrS@wX(a#vw@%GJL{T=l$KF@`_9kJTgwENVvTpR*J9@iPT>H@8rPv*N zUlV&}V&Hk4)PkI#WTQRqyM4SrVe;miL(b;#_#+&sHhR@;)iagidD7X)<90~w842%1 zf%i{VN;c>4XW+Tx<;c#MUmC!5+QGGrD?C)B!u-~UW6vp<=yJch3Z1_jnb$-AJa*bk z!_G3@_Hw_Z)F)1*kmlIKp=WdJgX*2n-HEm@m+h$u%X61VIp}FSYsYgj%Q%QV0GV$K z@z0iKGxAnP)UAmMuovgE7bov1>?O5rGrZ8ly9^71o%^~sEpab)96>E&l>e#}d;mN28OhWhwJ zef*(5`@?+vVLtvaAAh)yKitP3?&D|s_}Q9Y9c5)lk9dIJZ1(X-Xg=q2v++(rY_oBN z;Pp?guRggkGLCFD%Euq&$RWfN~OKgzVy-BUaVCd?Xk^PAs;`a`NdI6 zD0;*L8+S*&<>&kAoA2Wn`1l2yza`2lh#v94#udKTev!|9k&i#d#~+AsInFZc1M`uI~dKQ^A-S30zRhL3-S=GR57a>XCpY^>P5^*KxPr$s4T(Z@Dh zo$ceFt@*L@+u1QPj%>W2?X^Ec^JDQi!^c0z$3I8&S43G{!N)dR&GPYQ`S@I+$2MEd z_VH(Her%ST9V6q&R$(7M?Bj=h{0bkxLi1z(tnl$Gef&zzkDZ??ef+sT{#?zEomJ=h z`15@Hd72*^fAf6&`9A)9&5w;IuCQa9trqzB3w-t$#K<_ZRgI5dqxrFTuJQ2~`}m7} z_80s3=lS^OX@1N<=lS?ceEcPvA8YRtAHUYeul3ol_3@Yb_)C51%Y5m}eCf-5>C1iX zH*zeKd!<2tuh9HhdTb<7XM2A%1#Qr>lemA2G$RZ01G?EWCqp? ztTAPdi43dLrmm#xroe z{Eo=2T25EycQ_$nV;Q-uoNSb*K&Hyr%hbPJOxe^YOD&glBM((|9(kCGc;w;gT9IpE zBLh}%f$VIw@hzXspW&ve8G8nXs{5nP)UD; zl=Js1V=rIvmyVgfP4f%XbdgPZk(%p~$Eb@v@>ta=vhnvgb)zS}Snc-6I0F3x_*`l10wU9B?F^nzef%$ z{(Y16=b0q~KXvpUBAfbFs<%Zp;|DA_f_&qzc`8$6`gy*=t_=0 z$0ntihuWn;dBn{mnJ0Rj#|w>4p8oMF@yv}pqd(Atgr|8SCE6V1b{;FN+&timKu{0( zPRc3I7ov{QM+WsvDq7Od6uOi6;GiSR`*o&u=BX~e=iqz9-}mZ+%boIy8Ot^P;)8?6 zxcDljKuco|_CG!7eI>{AitE`m*He4W3nNl=>dXt7a=aD;CPDdsF)7CuC-!Y%>sXMgSyt1Pos^i$; z>!KpKo~uK&`JSlL8qc$I4k!AG9rzp(Ra=ur39C4lVVyH*K7v?+) z{pP6qowhQ}gUJa>{hZjN)Dhx~N<9b6gPv1m@?VrXlZZ+5TtQy-QeF+rd&NA1mi#w! zpE>!2xmTS0n)&@d>Ul1uzM2SqhzR{m(YJ|y2=Np1ch~^VQz6KCkU4)-ju$x>nEa{v z=`vzi`wH z-s~65J_x zzu=>Sdjt;%9uYhy*elp42-j)*{IPtNgP&{GI8N|v!79P?h;d3S6Xcf=m`{IB<|+Jy zw8+;<`i+9O3Gx{-=Kneo{0E81|2<-|Qa=>@H_<;u1drFQDe^an2<}~id{&C(^8+A((MlZxm zGQXg~^aP9z<{v3oB*<%8>cd3vs)=LqlYN30ik{CRvAj0ocs$D?xJ}Y`5Ha}f667;~ z5`OhN%jR?DM2!15`AOY;v%KC3hosB z{lurxPLcNt@^8!mOwRn9G?Cwk2;e06oL~<7S5@Zd zMII({zQ|)mE*JSskt;;55_ze}{6G}#)r-82hP2}RG22olBA-pfmy*sExrR6lKY=UqCB&hYY7qG{!5and z6Wl9^WshEm;POi&hKEKZYt-vGT&s){xk#{BP_O5Zey+$>f(r#NA|{*X>xfMvw+P~t zpw}zc3f?5RUGNS;Oeva&p`h^xfmFctTLG0}-tJ8;D+Ka2*Ai@3s*6QAOe?LA^eNTr4s_vdDCPaEZ8B zaH(LOpk5~;eS^sDg8X(Oum7(X+%Cw!c~H;qC=&I05%{Rcdff>5ry~EGpk6mZ|CGr5 z@FMfSEclw>NkKk8%k+N=ek{l@Z&IItiGVmrkY8@3tk;vkF(Qu_oGv(1utrd?Gr_-< z@yjG;w+r%bBGm6D;=2AJ!5;|j5j-NucNWO|o#5{U|0MVyg0Bkx zO^{zyWWJ9Cc~6EizraTvBA6kVBREQMjNo{|>4Gx_=L%K}E)mr0QP{so5^81Qh z$D{~m3i4Tc>h(HyBfg$P88}UFx*)%#$aTwkf_fbb`9hKTO+>CI+6DRSIOQ)3^7Dw4 zZx_5<@auwn_L}RC#{~Ba9u(B;+G}K83;cKLfiDU2Gl-Pm5`16qLqUt{J*3A8@>98# zGX+No^4>D_WyI^?2f>+wVZmC#6@vVRF!^f)*9&eC>=3+M@FwC`rM3(16x3g(hMr$I zgE^2>)@XDkuCK=5Kgegu)}?ShvJUMa|rA~Jo4;5~xh5PXbyAMP&->h&+=Ln1#* z#5zN-gMmFFzbwe_6LOvKuHZ+4p9%6)g-qA$Utm7vhcRyoP7*8^tPq?h$ZrhtdY|t; z5$gmS1^Kx_>bnK66}&<44#B$x`H?}c6CM@ZE2!7U(DQqPOn+LCUk~KE;AO$T3Z4+; z7Xz98sbEsFkp~M770eOjJ40L_ln720JWG%t4`jMtHv{#08F-24`L#f<6ZEt)Cfimcbmko9^Q$WH`vz3@vxyxOv2 z!Gh_6dYz2)aUz!rP7&mX0=a&u7F;5@T#(-Z-q(&$#&s2@R(&0{ zg^2sU+lXgid=X*%Ug9}8PZQ5o3Zbd57xob6$~zhJ&EMI09dML-oY#*LmzduZ;C;nj z$~do_B(B1`h9t)w4b;g?I&Ws+Ctoc_7id6V+Rq7l6#4mKXwyw-{KJ> z=8Zi>jQ@i~jQ=AuYFoJeO zF`iZn))6tT8U>q)7+^9O=^hzN@Pf(MBhua65JA!6JolsMr4(e+is#&cOUioQ~^wBF33s*Po02q+FrY zZN#})w-PaqeniCh`4ti4=1)Y7m$!)+Cl=S47#}l<7#Di|jPY-8?;`0tbv$Da@pzr%t+#I0VZUW0NdUx&Y!5VtC|lZd$d zJ`wTAXX+S-|4D?iZTOt=Hj;>Vn@U8S>Axo;zSdAiTy+p1RO%+;!?-^~MEv}Oh`4!! zhTSh=>cl9zs0Kql`GXfQZgTm#V-q_@9WpMIsjylVyHJI=V*3!(2(P zCStx{Au?R7UWd1|>M=6h$`3`aq zWyIBM!aqqwe5EkHke)_FoaKtF;}r2$B61lKaW_-sFmbxfFUVg@8F9E;((8zb$E!r% zLadPS4Za@Vb7ee3*5es*s>d&6J$@0ddb~o`;}vnM$0uYxJ`ulqJVNfLeZ;YTo&qv| z6N&MxpO=8FpO;vL>kaB5^Vwg{_q8IgAR^A|L~bP3<9b76{k%jY<~fnK5)uD9M81oN zaj;wDhlm&tdqmz(jNp1h#axHNy`a|S8BF0s#$PpsO*Ht2KA!3}}FY<2U4vasMj}S5Lo)P&N5##S=k$Z_4 zhy5adLd1B~&p)8PDM82>m-;yf$hnjsM86Or>-$v?qd!DmK}1luiX0(g+-?*3RwBml ty&~UF#5jILWPLwsKjKPcJ&#~q9~Jo-BF1-*$S)Hy&QFT`CK2QP{{XFIzeE54 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.lst new file mode 100755 index 0000000..cf3922f --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.lst @@ -0,0 +1,3234 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "CySpc.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.CySpcStart,"ax",%progbits + 19 .align 1 + 20 .global CySpcStart + 21 .thumb + 22 .thumb_func + 23 .type CySpcStart, %function + 24 CySpcStart: + 25 .LFB0: + 26 .file 1 ".\\Generated_Source\\PSoC5\\CySpc.c" + 1:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/CySpc.c **** * File Name: CySpc.c + 3:.\Generated_Source\PSoC5/CySpc.c **** * Version 4.0 + 4:.\Generated_Source\PSoC5/CySpc.c **** * + 5:.\Generated_Source\PSoC5/CySpc.c **** * Description: + 6:.\Generated_Source\PSoC5/CySpc.c **** * Provides an API for the System Performance Component. + 7:.\Generated_Source\PSoC5/CySpc.c **** * The SPC functions are not meant to be called directly by the user + 8:.\Generated_Source\PSoC5/CySpc.c **** * application. + 9:.\Generated_Source\PSoC5/CySpc.c **** * + 10:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/CySpc.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/CySpc.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/CySpc.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/CySpc.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/CySpc.c **** + 17:.\Generated_Source\PSoC5/CySpc.c **** #include "CySpc.h" + 18:.\Generated_Source\PSoC5/CySpc.c **** + 19:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_KEY_ONE (0xB6u) + 20:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_KEY_TWO(x) ((uint8) (((uint16) 0xD3u) + ((uint16) (x)))) + 21:.\Generated_Source\PSoC5/CySpc.c **** + 22:.\Generated_Source\PSoC5/CySpc.c **** /* Command Codes */ + 23:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_LD_BYTE (0x00u) + 24:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_LD_MULTI_BYTE (0x01u) + 25:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_LD_ROW (0x02u) + 26:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_RD_BYTE (0x03u) + 27:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_RD_MULTI_BYTE (0x04u) + 28:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_WR_ROW (0x05u) + 29:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_WR_USER_NVL (0x06u) + 30:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_PRG_ROW (0x07u) + 31:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_ER_SECTOR (0x08u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 2 + + + 32:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_ER_ALL (0x09u) + 33:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_RD_HIDDEN (0x0Au) + 34:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_PRG_PROTECT (0x0Bu) + 35:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_CHECKSUM (0x0Cu) + 36:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_DWNLD_ALGORITHM (0x0Du) + 37:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_GET_TEMP (0x0Eu) + 38:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_GET_ADC (0x0Fu) + 39:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_RD_NVL_VOLATILE (0x10u) + 40:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_SETUP_TS (0x11u) + 41:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_DISABLE_TS (0x12u) + 42:.\Generated_Source\PSoC5/CySpc.c **** #define CY_SPC_CMD_ER_ROW (0x13u) + 43:.\Generated_Source\PSoC5/CySpc.c **** + 44:.\Generated_Source\PSoC5/CySpc.c **** /* Enable bit in Active and Alternate Active mode templates */ + 45:.\Generated_Source\PSoC5/CySpc.c **** #define PM_SPC_PM_EN (0x08u) + 46:.\Generated_Source\PSoC5/CySpc.c **** + 47:.\Generated_Source\PSoC5/CySpc.c **** /* Gate calls to the SPC. */ + 48:.\Generated_Source\PSoC5/CySpc.c **** uint8 SpcLockState = CY_SPC_UNLOCKED; + 49:.\Generated_Source\PSoC5/CySpc.c **** + 50:.\Generated_Source\PSoC5/CySpc.c **** + 51:.\Generated_Source\PSoC5/CySpc.c **** #if(CY_PSOC5) + 52:.\Generated_Source\PSoC5/CySpc.c **** + 53:.\Generated_Source\PSoC5/CySpc.c **** /*************************************************************************** + 54:.\Generated_Source\PSoC5/CySpc.c **** * The wait-state pipeline must be enabled prior to accessing the SPC + 55:.\Generated_Source\PSoC5/CySpc.c **** * register interface regardless of CPU frequency. The CySpcLock() saves + 56:.\Generated_Source\PSoC5/CySpc.c **** * current wait-state pipeline state and enables it. The CySpcUnlock() + 57:.\Generated_Source\PSoC5/CySpc.c **** * function, which must be called after SPC transaction, restores original + 58:.\Generated_Source\PSoC5/CySpc.c **** * state. + 59:.\Generated_Source\PSoC5/CySpc.c **** ***************************************************************************/ + 60:.\Generated_Source\PSoC5/CySpc.c **** static uint32 spcWaitPipeBypass = 0u; + 61:.\Generated_Source\PSoC5/CySpc.c **** + 62:.\Generated_Source\PSoC5/CySpc.c **** #endif /* (CY_PSOC5) */ + 63:.\Generated_Source\PSoC5/CySpc.c **** + 64:.\Generated_Source\PSoC5/CySpc.c **** + 65:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 66:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcStart + 67:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 68:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 69:.\Generated_Source\PSoC5/CySpc.c **** * Starts the SPC. + 70:.\Generated_Source\PSoC5/CySpc.c **** * + 71:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 72:.\Generated_Source\PSoC5/CySpc.c **** * None + 73:.\Generated_Source\PSoC5/CySpc.c **** * + 74:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 75:.\Generated_Source\PSoC5/CySpc.c **** * None + 76:.\Generated_Source\PSoC5/CySpc.c **** * + 77:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 78:.\Generated_Source\PSoC5/CySpc.c **** void CySpcStart(void) + 79:.\Generated_Source\PSoC5/CySpc.c **** { + 27 .loc 1 79 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 0000 08B5 push {r3, lr} + 32 .LCFI0: + 33 .cfi_def_cfa_offset 8 + 34 .cfi_offset 3, -8 + 35 .cfi_offset 14, -4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 3 + + + 80:.\Generated_Source\PSoC5/CySpc.c **** /* Save current global interrupt enable and disable it */ + 81:.\Generated_Source\PSoC5/CySpc.c **** uint8 interruptState = CyEnterCriticalSection(); + 36 .loc 1 81 0 + 37 0002 FFF7FEFF bl CyEnterCriticalSection + 38 .LVL0: + 82:.\Generated_Source\PSoC5/CySpc.c **** + 83:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_PM_ACT_REG |= PM_SPC_PM_EN; + 39 .loc 1 83 0 + 40 0006 064B ldr r3, .L2 + 41 0008 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 42 000a 42F00801 orr r1, r2, #8 + 43 000e 1970 strb r1, [r3, #0] + 84:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_PM_STBY_REG |= PM_SPC_PM_EN; + 44 .loc 1 84 0 + 45 0010 1A7C ldrb r2, [r3, #16] @ zero_extendqisi2 + 46 0012 42F00801 orr r1, r2, #8 + 47 0016 1974 strb r1, [r3, #16] + 85:.\Generated_Source\PSoC5/CySpc.c **** + 86:.\Generated_Source\PSoC5/CySpc.c **** /* Restore global interrupt enable state */ + 87:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 88:.\Generated_Source\PSoC5/CySpc.c **** } + 48 .loc 1 88 0 + 49 0018 BDE80840 pop {r3, lr} + 87:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 50 .loc 1 87 0 + 51 001c FFF7FEBF b CyExitCriticalSection + 52 .LVL1: + 53 .L3: + 54 .align 2 + 55 .L2: + 56 0020 A0430040 .word 1073759136 + 57 .cfi_endproc + 58 .LFE0: + 59 .size CySpcStart, .-CySpcStart + 60 .section .text.CySpcStop,"ax",%progbits + 61 .align 1 + 62 .global CySpcStop + 63 .thumb + 64 .thumb_func + 65 .type CySpcStop, %function + 66 CySpcStop: + 67 .LFB1: + 89:.\Generated_Source\PSoC5/CySpc.c **** + 90:.\Generated_Source\PSoC5/CySpc.c **** + 91:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 92:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcStop + 93:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 94:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 95:.\Generated_Source\PSoC5/CySpc.c **** * Stops the SPC. + 96:.\Generated_Source\PSoC5/CySpc.c **** * + 97:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 98:.\Generated_Source\PSoC5/CySpc.c **** * None + 99:.\Generated_Source\PSoC5/CySpc.c **** * + 100:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 101:.\Generated_Source\PSoC5/CySpc.c **** * None + 102:.\Generated_Source\PSoC5/CySpc.c **** * + 103:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 4 + + + 104:.\Generated_Source\PSoC5/CySpc.c **** void CySpcStop(void) + 105:.\Generated_Source\PSoC5/CySpc.c **** { + 68 .loc 1 105 0 + 69 .cfi_startproc + 70 @ args = 0, pretend = 0, frame = 0 + 71 @ frame_needed = 0, uses_anonymous_args = 0 + 72 0000 08B5 push {r3, lr} + 73 .LCFI1: + 74 .cfi_def_cfa_offset 8 + 75 .cfi_offset 3, -8 + 76 .cfi_offset 14, -4 + 106:.\Generated_Source\PSoC5/CySpc.c **** /* Save current global interrupt enable and disable it */ + 107:.\Generated_Source\PSoC5/CySpc.c **** uint8 interruptState = CyEnterCriticalSection(); + 77 .loc 1 107 0 + 78 0002 FFF7FEFF bl CyEnterCriticalSection + 79 .LVL2: + 108:.\Generated_Source\PSoC5/CySpc.c **** + 109:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_PM_ACT_REG &= ((uint8)(~PM_SPC_PM_EN)); + 80 .loc 1 109 0 + 81 0006 064B ldr r3, .L5 + 82 0008 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 83 000a 02F0F701 and r1, r2, #247 + 84 000e 1970 strb r1, [r3, #0] + 110:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_PM_STBY_REG &= ((uint8)(~PM_SPC_PM_EN)); + 85 .loc 1 110 0 + 86 0010 1A7C ldrb r2, [r3, #16] @ zero_extendqisi2 + 87 0012 02F0F701 and r1, r2, #247 + 88 0016 1974 strb r1, [r3, #16] + 111:.\Generated_Source\PSoC5/CySpc.c **** + 112:.\Generated_Source\PSoC5/CySpc.c **** /* Restore global interrupt enable state */ + 113:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 114:.\Generated_Source\PSoC5/CySpc.c **** } + 89 .loc 1 114 0 + 90 0018 BDE80840 pop {r3, lr} + 113:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 91 .loc 1 113 0 + 92 001c FFF7FEBF b CyExitCriticalSection + 93 .LVL3: + 94 .L6: + 95 .align 2 + 96 .L5: + 97 0020 A0430040 .word 1073759136 + 98 .cfi_endproc + 99 .LFE1: + 100 .size CySpcStop, .-CySpcStop + 101 .section .text.CySpcReadData,"ax",%progbits + 102 .align 1 + 103 .global CySpcReadData + 104 .thumb + 105 .thumb_func + 106 .type CySpcReadData, %function + 107 CySpcReadData: + 108 .LFB2: + 115:.\Generated_Source\PSoC5/CySpc.c **** + 116:.\Generated_Source\PSoC5/CySpc.c **** + 117:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 118:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcReadData + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 5 + + + 119:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 120:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 121:.\Generated_Source\PSoC5/CySpc.c **** * Reads data from the SPC. + 122:.\Generated_Source\PSoC5/CySpc.c **** * + 123:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 124:.\Generated_Source\PSoC5/CySpc.c **** * uint8 buffer: + 125:.\Generated_Source\PSoC5/CySpc.c **** * Address to store data read. + 126:.\Generated_Source\PSoC5/CySpc.c **** * + 127:.\Generated_Source\PSoC5/CySpc.c **** * uint8 size: + 128:.\Generated_Source\PSoC5/CySpc.c **** * Number of bytes to read from the SPC. + 129:.\Generated_Source\PSoC5/CySpc.c **** * + 130:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 131:.\Generated_Source\PSoC5/CySpc.c **** * uint8: + 132:.\Generated_Source\PSoC5/CySpc.c **** * The number of bytes read from the SPC. + 133:.\Generated_Source\PSoC5/CySpc.c **** * + 134:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 135:.\Generated_Source\PSoC5/CySpc.c **** uint8 CySpcReadData(uint8 buffer[], uint8 size) + 136:.\Generated_Source\PSoC5/CySpc.c **** { + 109 .loc 1 136 0 + 110 .cfi_startproc + 111 @ args = 0, pretend = 0, frame = 0 + 112 @ frame_needed = 0, uses_anonymous_args = 0 + 113 .LVL4: + 114 0000 70B5 push {r4, r5, r6, lr} + 115 .LCFI2: + 116 .cfi_def_cfa_offset 16 + 117 .cfi_offset 4, -16 + 118 .cfi_offset 5, -12 + 119 .cfi_offset 6, -8 + 120 .cfi_offset 14, -4 + 121 .loc 1 136 0 + 122 0002 0646 mov r6, r0 + 123 0004 0D46 mov r5, r1 + 137:.\Generated_Source\PSoC5/CySpc.c **** uint8 i; + 138:.\Generated_Source\PSoC5/CySpc.c **** + 139:.\Generated_Source\PSoC5/CySpc.c **** for(i = 0u; i < size; i++) + 124 .loc 1 139 0 + 125 0006 0024 movs r4, #0 + 126 .LVL5: + 127 .L8: + 128 .loc 1 139 0 is_stmt 0 discriminator 1 + 129 0008 E3B2 uxtb r3, r4 + 130 000a AB42 cmp r3, r5 + 131 000c 0CD2 bcs .L13 + 132 .L11: + 140:.\Generated_Source\PSoC5/CySpc.c **** { + 141:.\Generated_Source\PSoC5/CySpc.c **** while(!CY_SPC_DATA_READY) + 133 .loc 1 141 0 is_stmt 1 discriminator 1 + 134 000e 0748 ldr r0, .L15 + 135 0010 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 136 0012 CB07 lsls r3, r1, #31 + 137 0014 03D4 bmi .L14 + 138 .L9: + 142:.\Generated_Source\PSoC5/CySpc.c **** { + 143:.\Generated_Source\PSoC5/CySpc.c **** CyDelayUs(1u); + 139 .loc 1 143 0 + 140 0016 0120 movs r0, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 6 + + + 141 0018 FFF7FEFF bl CyDelayUs + 142 .LVL6: + 143 001c F7E7 b .L11 + 144 .L14: + 144:.\Generated_Source\PSoC5/CySpc.c **** } + 145:.\Generated_Source\PSoC5/CySpc.c **** buffer[i] = CY_SPC_CPU_DATA_REG; + 145 .loc 1 145 0 + 146 001e 044A ldr r2, .L15+4 + 147 0020 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 148 0022 3355 strb r3, [r6, r4] + 149 0024 0134 adds r4, r4, #1 + 150 0026 EFE7 b .L8 + 151 .L13: + 146:.\Generated_Source\PSoC5/CySpc.c **** } + 147:.\Generated_Source\PSoC5/CySpc.c **** + 148:.\Generated_Source\PSoC5/CySpc.c **** return(i); + 149:.\Generated_Source\PSoC5/CySpc.c **** } + 152 .loc 1 149 0 + 153 0028 2846 mov r0, r5 + 154 002a 70BD pop {r4, r5, r6, pc} + 155 .L16: + 156 .align 2 + 157 .L15: + 158 002c 22470040 .word 1073760034 + 159 0030 20470040 .word 1073760032 + 160 .cfi_endproc + 161 .LFE2: + 162 .size CySpcReadData, .-CySpcReadData + 163 .section .text.CySpcLoadMultiByte,"ax",%progbits + 164 .align 1 + 165 .global CySpcLoadMultiByte + 166 .thumb + 167 .thumb_func + 168 .type CySpcLoadMultiByte, %function + 169 CySpcLoadMultiByte: + 170 .LFB3: + 150:.\Generated_Source\PSoC5/CySpc.c **** + 151:.\Generated_Source\PSoC5/CySpc.c **** + 152:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 153:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcLoadMultiByte + 154:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 155:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 156:.\Generated_Source\PSoC5/CySpc.c **** * Loads 1 to 32 bytes of data into the row latch of a Flash/EEPROM array. + 157:.\Generated_Source\PSoC5/CySpc.c **** * + 158:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 159:.\Generated_Source\PSoC5/CySpc.c **** * uint8 array: + 160:.\Generated_Source\PSoC5/CySpc.c **** * Id of the array. + 161:.\Generated_Source\PSoC5/CySpc.c **** * + 162:.\Generated_Source\PSoC5/CySpc.c **** * uint16 address: + 163:.\Generated_Source\PSoC5/CySpc.c **** * Flash/eeprom addrress + 164:.\Generated_Source\PSoC5/CySpc.c **** * + 165:.\Generated_Source\PSoC5/CySpc.c **** * uint8* buffer: + 166:.\Generated_Source\PSoC5/CySpc.c **** * Data to load to the row latch + 167:.\Generated_Source\PSoC5/CySpc.c **** * + 168:.\Generated_Source\PSoC5/CySpc.c **** * uint16 number: + 169:.\Generated_Source\PSoC5/CySpc.c **** * Number bytes to load. + 170:.\Generated_Source\PSoC5/CySpc.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 7 + + + 171:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 172:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_STARTED + 173:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_CANCELED + 174:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_LOCKED + 175:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_BAD_PARAM + 176:.\Generated_Source\PSoC5/CySpc.c **** * + 177:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 178:.\Generated_Source\PSoC5/CySpc.c **** cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size)\ + 179:.\Generated_Source\PSoC5/CySpc.c **** + 180:.\Generated_Source\PSoC5/CySpc.c **** { + 171 .loc 1 180 0 + 172 .cfi_startproc + 173 @ args = 0, pretend = 0, frame = 0 + 174 @ frame_needed = 0, uses_anonymous_args = 0 + 175 .LVL7: + 181:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 182:.\Generated_Source\PSoC5/CySpc.c **** uint8 i; + 183:.\Generated_Source\PSoC5/CySpc.c **** + 184:.\Generated_Source\PSoC5/CySpc.c **** /*************************************************************************** + 185:.\Generated_Source\PSoC5/CySpc.c **** * Check if number is correct for array. Number must be less than + 186:.\Generated_Source\PSoC5/CySpc.c **** * 32 for Flash or less than 16 for EEPROM. + 187:.\Generated_Source\PSoC5/CySpc.c **** ***************************************************************************/ + 188:.\Generated_Source\PSoC5/CySpc.c **** if(((array < CY_SPC_LAST_FLASH_ARRAYID) && (size < 32u)) || + 176 .loc 1 188 0 + 177 0000 3E28 cmp r0, #62 + 180:.\Generated_Source\PSoC5/CySpc.c **** { + 178 .loc 1 180 0 + 179 0002 70B5 push {r4, r5, r6, lr} + 180 .LCFI3: + 181 .cfi_def_cfa_offset 16 + 182 .cfi_offset 4, -16 + 183 .cfi_offset 5, -12 + 184 .cfi_offset 6, -8 + 185 .cfi_offset 14, -4 + 186 .loc 1 188 0 + 187 0004 01D8 bhi .L18 + 188 .loc 1 188 0 is_stmt 0 discriminator 1 + 189 0006 1F2B cmp r3, #31 + 190 0008 02E0 b .L28 + 191 .L18: + 192 .loc 1 188 0 discriminator 2 + 193 000a 3F28 cmp r0, #63 + 194 000c 27D0 beq .L24 + 189:.\Generated_Source\PSoC5/CySpc.c **** ((array > CY_SPC_LAST_FLASH_ARRAYID) && (size < 16u))) + 195 .loc 1 189 0 is_stmt 1 + 196 000e 0F2B cmp r3, #15 + 197 .L28: + 198 0010 25D8 bhi .L24 + 190:.\Generated_Source\PSoC5/CySpc.c **** { + 191:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_IDLE) + 199 .loc 1 191 0 + 200 0012 164D ldr r5, .L30 + 201 0014 2C78 ldrb r4, [r5, #0] @ zero_extendqisi2 + 202 0016 04F00204 and r4, r4, #2 + 203 001a E4B2 uxtb r4, r4 + 204 001c 0CB3 cbz r4, .L25 + 192:.\Generated_Source\PSoC5/CySpc.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 8 + + + 193:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + 205 .loc 1 193 0 + 206 001e 144C ldr r4, .L30+4 + 207 0020 B626 movs r6, #182 + 208 0022 2670 strb r6, [r4, #0] + 194:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_MULTI_BYTE); + 209 .loc 1 194 0 + 210 0024 D426 movs r6, #212 + 211 0026 2670 strb r6, [r4, #0] + 195:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_MULTI_BYTE; + 212 .loc 1 195 0 + 213 0028 0126 movs r6, #1 + 214 002a 2670 strb r6, [r4, #0] + 196:.\Generated_Source\PSoC5/CySpc.c **** + 197:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_BUSY) + 215 .loc 1 197 0 + 216 002c 2D78 ldrb r5, [r5, #0] @ zero_extendqisi2 + 217 002e 05F00205 and r5, r5, #2 + 218 0032 EDB2 uxtb r5, r5 + 219 0034 BDB9 cbnz r5, .L26 + 198:.\Generated_Source\PSoC5/CySpc.c **** { + 199:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = array; + 220 .loc 1 199 0 + 221 0036 2070 strb r0, [r4, #0] + 200:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = 1u & HI8(address); + 222 .loc 1 200 0 + 223 0038 C1F30020 ubfx r0, r1, #8, #1 + 224 .LVL8: + 225 003c 2070 strb r0, [r4, #0] + 201:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = LO8(address); + 226 .loc 1 201 0 + 227 003e C9B2 uxtb r1, r1 + 228 .LVL9: + 202:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = ((uint8)(size - 1u)); + 229 .loc 1 202 0 + 230 0040 581E subs r0, r3, #1 + 201:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = LO8(address); + 231 .loc 1 201 0 + 232 0042 2170 strb r1, [r4, #0] + 233 .loc 1 202 0 + 234 0044 C1B2 uxtb r1, r0 + 235 0046 2170 strb r1, [r4, #0] + 236 .LVL10: + 203:.\Generated_Source\PSoC5/CySpc.c **** + 204:.\Generated_Source\PSoC5/CySpc.c **** for(i = 0u; i < size; i++) + 237 .loc 1 204 0 + 238 0048 2946 mov r1, r5 + 239 .LVL11: + 240 .L21: + 241 .loc 1 204 0 is_stmt 0 discriminator 1 + 242 004a C8B2 uxtb r0, r1 + 243 004c 9842 cmp r0, r3 + 244 004e 04D2 bcs .L29 + 245 .L22: + 205:.\Generated_Source\PSoC5/CySpc.c **** { + 206:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = buffer[i]; + 246 .loc 1 206 0 is_stmt 1 discriminator 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 9 + + + 247 0050 545C ldrb r4, [r2, r1] @ zero_extendqisi2 + 248 0052 0748 ldr r0, .L30+4 + 249 .LVL12: + 250 0054 0131 adds r1, r1, #1 + 251 0056 0470 strb r4, [r0, #0] + 252 0058 F7E7 b .L21 + 253 .LVL13: + 254 .L29: + 181:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 255 .loc 1 181 0 + 256 005a 0720 movs r0, #7 + 257 005c 70BD pop {r4, r5, r6, pc} + 258 .LVL14: + 259 .L24: + 207:.\Generated_Source\PSoC5/CySpc.c **** } + 208:.\Generated_Source\PSoC5/CySpc.c **** } + 209:.\Generated_Source\PSoC5/CySpc.c **** else + 210:.\Generated_Source\PSoC5/CySpc.c **** { + 211:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 212:.\Generated_Source\PSoC5/CySpc.c **** } + 213:.\Generated_Source\PSoC5/CySpc.c **** } + 214:.\Generated_Source\PSoC5/CySpc.c **** else + 215:.\Generated_Source\PSoC5/CySpc.c **** { + 216:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_LOCKED; + 217:.\Generated_Source\PSoC5/CySpc.c **** } + 218:.\Generated_Source\PSoC5/CySpc.c **** } + 219:.\Generated_Source\PSoC5/CySpc.c **** else + 220:.\Generated_Source\PSoC5/CySpc.c **** { + 221:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_BAD_PARAM; + 260 .loc 1 221 0 + 261 005e 0120 movs r0, #1 + 262 .LVL15: + 263 0060 70BD pop {r4, r5, r6, pc} + 264 .LVL16: + 265 .L25: + 216:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_LOCKED; + 266 .loc 1 216 0 + 267 0062 0420 movs r0, #4 + 268 .LVL17: + 269 0064 70BD pop {r4, r5, r6, pc} + 270 .LVL18: + 271 .L26: + 211:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 272 .loc 1 211 0 + 273 0066 0920 movs r0, #9 + 274 .LVL19: + 222:.\Generated_Source\PSoC5/CySpc.c **** } + 223:.\Generated_Source\PSoC5/CySpc.c **** + 224:.\Generated_Source\PSoC5/CySpc.c **** return(status); + 225:.\Generated_Source\PSoC5/CySpc.c **** } + 275 .loc 1 225 0 + 276 0068 70BD pop {r4, r5, r6, pc} + 277 .L31: + 278 006a 00BF .align 2 + 279 .L30: + 280 006c 22470040 .word 1073760034 + 281 0070 20470040 .word 1073760032 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 10 + + + 282 .cfi_endproc + 283 .LFE3: + 284 .size CySpcLoadMultiByte, .-CySpcLoadMultiByte + 285 .section .text.CySpcLoadRow,"ax",%progbits + 286 .align 1 + 287 .global CySpcLoadRow + 288 .thumb + 289 .thumb_func + 290 .type CySpcLoadRow, %function + 291 CySpcLoadRow: + 292 .LFB4: + 226:.\Generated_Source\PSoC5/CySpc.c **** + 227:.\Generated_Source\PSoC5/CySpc.c **** + 228:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 229:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcLoadRow + 230:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 231:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 232:.\Generated_Source\PSoC5/CySpc.c **** * Loads a row of data into the row latch of a Flash/EEPROM array. + 233:.\Generated_Source\PSoC5/CySpc.c **** * + 234:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 235:.\Generated_Source\PSoC5/CySpc.c **** * uint8 array: + 236:.\Generated_Source\PSoC5/CySpc.c **** * Id of the array. + 237:.\Generated_Source\PSoC5/CySpc.c **** * + 238:.\Generated_Source\PSoC5/CySpc.c **** * uint8* buffer: + 239:.\Generated_Source\PSoC5/CySpc.c **** * Data to be loaded to the row latch + 240:.\Generated_Source\PSoC5/CySpc.c **** * + 241:.\Generated_Source\PSoC5/CySpc.c **** * uint8 size: + 242:.\Generated_Source\PSoC5/CySpc.c **** * The number of data bytes that the SPC expects to be written. Depends on the + 243:.\Generated_Source\PSoC5/CySpc.c **** * type of the array and, if the array is Flash, whether ECC is being enabled + 244:.\Generated_Source\PSoC5/CySpc.c **** * or not. There are following values: flash row latch size with ECC enabled, + 245:.\Generated_Source\PSoC5/CySpc.c **** * flash row latch size with ECC disabled and EEPROM row latch size. + 246:.\Generated_Source\PSoC5/CySpc.c **** * + 247:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 248:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_STARTED + 249:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_CANCELED + 250:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_LOCKED + 251:.\Generated_Source\PSoC5/CySpc.c **** * + 252:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 253:.\Generated_Source\PSoC5/CySpc.c **** cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size) + 254:.\Generated_Source\PSoC5/CySpc.c **** { + 293 .loc 1 254 0 + 294 .cfi_startproc + 295 @ args = 0, pretend = 0, frame = 0 + 296 @ frame_needed = 0, uses_anonymous_args = 0 + 297 .LVL20: + 298 0000 30B5 push {r4, r5, lr} + 299 .LCFI4: + 300 .cfi_def_cfa_offset 12 + 301 .cfi_offset 4, -12 + 302 .cfi_offset 5, -8 + 303 .cfi_offset 14, -4 + 255:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 256:.\Generated_Source\PSoC5/CySpc.c **** uint16 i; + 257:.\Generated_Source\PSoC5/CySpc.c **** + 258:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the SPC is ready to accept command */ + 259:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_IDLE) + 304 .loc 1 259 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 11 + + + 305 0002 104B ldr r3, .L39 + 306 0004 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 307 0006 04F00204 and r4, r4, #2 + 308 000a E4B2 uxtb r4, r4 + 309 000c ACB1 cbz r4, .L36 + 260:.\Generated_Source\PSoC5/CySpc.c **** { + 261:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + 310 .loc 1 261 0 + 311 000e 0E4C ldr r4, .L39+4 + 312 0010 B625 movs r5, #182 + 313 0012 2570 strb r5, [r4, #0] + 262:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_ROW); + 314 .loc 1 262 0 + 315 0014 D525 movs r5, #213 + 316 0016 2570 strb r5, [r4, #0] + 263:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_ROW; + 317 .loc 1 263 0 + 318 0018 0225 movs r5, #2 + 319 001a 2570 strb r5, [r4, #0] + 264:.\Generated_Source\PSoC5/CySpc.c **** + 265:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the command was accepted */ + 266:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_BUSY) + 320 .loc 1 266 0 + 321 001c 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 322 001e 2B40 ands r3, r3, r5 + 323 0020 DBB2 uxtb r3, r3 + 324 0022 63B9 cbnz r3, .L37 + 267:.\Generated_Source\PSoC5/CySpc.c **** { + 268:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = array; + 325 .loc 1 268 0 + 326 0024 2070 strb r0, [r4, #0] + 327 .LVL21: + 328 .L34: + 269:.\Generated_Source\PSoC5/CySpc.c **** + 270:.\Generated_Source\PSoC5/CySpc.c **** for(i = 0u; i < size; i++) + 329 .loc 1 270 0 discriminator 1 + 330 0026 98B2 uxth r0, r3 + 331 0028 9042 cmp r0, r2 + 332 002a 04D2 bcs .L38 + 333 .L35: + 271:.\Generated_Source\PSoC5/CySpc.c **** { + 272:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = buffer[i]; + 334 .loc 1 272 0 discriminator 2 + 335 002c CC5C ldrb r4, [r1, r3] @ zero_extendqisi2 + 336 002e 0648 ldr r0, .L39+4 + 337 .LVL22: + 338 0030 0133 adds r3, r3, #1 + 339 0032 0470 strb r4, [r0, #0] + 340 0034 F7E7 b .L34 + 341 .LVL23: + 342 .L38: + 255:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 343 .loc 1 255 0 + 344 0036 0720 movs r0, #7 + 345 0038 30BD pop {r4, r5, pc} + 346 .LVL24: + 347 .L36: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 12 + + + 273:.\Generated_Source\PSoC5/CySpc.c **** } + 274:.\Generated_Source\PSoC5/CySpc.c **** } + 275:.\Generated_Source\PSoC5/CySpc.c **** else + 276:.\Generated_Source\PSoC5/CySpc.c **** { + 277:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 278:.\Generated_Source\PSoC5/CySpc.c **** } + 279:.\Generated_Source\PSoC5/CySpc.c **** } + 280:.\Generated_Source\PSoC5/CySpc.c **** else + 281:.\Generated_Source\PSoC5/CySpc.c **** { + 282:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_LOCKED; + 348 .loc 1 282 0 + 349 003a 0420 movs r0, #4 + 350 .LVL25: + 351 003c 30BD pop {r4, r5, pc} + 352 .LVL26: + 353 .L37: + 277:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 354 .loc 1 277 0 + 355 003e 0920 movs r0, #9 + 356 .LVL27: + 283:.\Generated_Source\PSoC5/CySpc.c **** } + 284:.\Generated_Source\PSoC5/CySpc.c **** + 285:.\Generated_Source\PSoC5/CySpc.c **** return(status); + 286:.\Generated_Source\PSoC5/CySpc.c **** } + 357 .loc 1 286 0 + 358 0040 30BD pop {r4, r5, pc} + 359 .L40: + 360 0042 00BF .align 2 + 361 .L39: + 362 0044 22470040 .word 1073760034 + 363 0048 20470040 .word 1073760032 + 364 .cfi_endproc + 365 .LFE4: + 366 .size CySpcLoadRow, .-CySpcLoadRow + 367 .section .text.CySpcWriteRow,"ax",%progbits + 368 .align 1 + 369 .global CySpcWriteRow + 370 .thumb + 371 .thumb_func + 372 .type CySpcWriteRow, %function + 373 CySpcWriteRow: + 374 .LFB5: + 287:.\Generated_Source\PSoC5/CySpc.c **** + 288:.\Generated_Source\PSoC5/CySpc.c **** + 289:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 290:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcWriteRow + 291:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 292:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 293:.\Generated_Source\PSoC5/CySpc.c **** * Erases then programs a row in Flash/EEPROM with data in row latch. + 294:.\Generated_Source\PSoC5/CySpc.c **** * + 295:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 296:.\Generated_Source\PSoC5/CySpc.c **** * uint8 array: + 297:.\Generated_Source\PSoC5/CySpc.c **** * Id of the array. + 298:.\Generated_Source\PSoC5/CySpc.c **** * + 299:.\Generated_Source\PSoC5/CySpc.c **** * uint16 address: + 300:.\Generated_Source\PSoC5/CySpc.c **** * flash/eeprom addrress + 301:.\Generated_Source\PSoC5/CySpc.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 13 + + + 302:.\Generated_Source\PSoC5/CySpc.c **** * uint8 tempPolarity: + 303:.\Generated_Source\PSoC5/CySpc.c **** * temperature polarity. + 304:.\Generated_Source\PSoC5/CySpc.c **** * 1: the Temp Magnitude is interpreted as a positive value + 305:.\Generated_Source\PSoC5/CySpc.c **** * 0: the Temp Magnitude is interpreted as a negative value + 306:.\Generated_Source\PSoC5/CySpc.c **** * + 307:.\Generated_Source\PSoC5/CySpc.c **** * uint8 tempMagnitude: + 308:.\Generated_Source\PSoC5/CySpc.c **** * temperature magnitude. + 309:.\Generated_Source\PSoC5/CySpc.c **** * + 310:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 311:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_STARTED + 312:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_CANCELED + 313:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_LOCKED + 314:.\Generated_Source\PSoC5/CySpc.c **** * + 315:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 316:.\Generated_Source\PSoC5/CySpc.c **** cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude)\ + 317:.\Generated_Source\PSoC5/CySpc.c **** + 318:.\Generated_Source\PSoC5/CySpc.c **** { + 375 .loc 1 318 0 + 376 .cfi_startproc + 377 @ args = 0, pretend = 0, frame = 0 + 378 @ frame_needed = 0, uses_anonymous_args = 0 + 379 .LVL28: + 380 0000 70B5 push {r4, r5, r6, lr} + 381 .LCFI5: + 382 .cfi_def_cfa_offset 16 + 383 .cfi_offset 4, -16 + 384 .cfi_offset 5, -12 + 385 .cfi_offset 6, -8 + 386 .cfi_offset 14, -4 + 319:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 320:.\Generated_Source\PSoC5/CySpc.c **** + 321:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the SPC is ready to accept command */ + 322:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_IDLE) + 387 .loc 1 322 0 + 388 0002 0F4D ldr r5, .L45 + 389 0004 2C78 ldrb r4, [r5, #0] @ zero_extendqisi2 + 390 0006 04F00204 and r4, r4, #2 + 391 000a E4B2 uxtb r4, r4 + 392 000c A4B1 cbz r4, .L43 + 323:.\Generated_Source\PSoC5/CySpc.c **** { + 324:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + 393 .loc 1 324 0 + 394 000e 0D4C ldr r4, .L45+4 + 395 0010 B626 movs r6, #182 + 396 0012 2670 strb r6, [r4, #0] + 325:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_WR_ROW); + 397 .loc 1 325 0 + 398 0014 D826 movs r6, #216 + 399 0016 2670 strb r6, [r4, #0] + 326:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_CMD_WR_ROW; + 400 .loc 1 326 0 + 401 0018 0526 movs r6, #5 + 402 001a 2670 strb r6, [r4, #0] + 327:.\Generated_Source\PSoC5/CySpc.c **** + 328:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the command was accepted */ + 329:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_BUSY) + 403 .loc 1 329 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 14 + + + 404 001c 2D78 ldrb r5, [r5, #0] @ zero_extendqisi2 + 405 001e 05F00205 and r5, r5, #2 + 406 0022 EDB2 uxtb r5, r5 + 407 0024 55B9 cbnz r5, .L44 + 330:.\Generated_Source\PSoC5/CySpc.c **** { + 331:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = array; + 408 .loc 1 331 0 + 409 0026 2070 strb r0, [r4, #0] + 332:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = HI8(address); + 410 .loc 1 332 0 + 411 0028 080A lsrs r0, r1, #8 + 412 .LVL29: + 333:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = LO8(address); + 413 .loc 1 333 0 + 414 002a C9B2 uxtb r1, r1 + 415 .LVL30: + 332:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = HI8(address); + 416 .loc 1 332 0 + 417 002c 2070 strb r0, [r4, #0] + 418 .loc 1 333 0 + 419 002e 2170 strb r1, [r4, #0] + 319:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 420 .loc 1 319 0 + 421 0030 0720 movs r0, #7 + 334:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = tempPolarity; + 422 .loc 1 334 0 + 423 0032 2270 strb r2, [r4, #0] + 335:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = tempMagnitude; + 424 .loc 1 335 0 + 425 0034 2370 strb r3, [r4, #0] + 426 0036 70BD pop {r4, r5, r6, pc} + 427 .LVL31: + 428 .L43: + 336:.\Generated_Source\PSoC5/CySpc.c **** } + 337:.\Generated_Source\PSoC5/CySpc.c **** else + 338:.\Generated_Source\PSoC5/CySpc.c **** { + 339:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 340:.\Generated_Source\PSoC5/CySpc.c **** } + 341:.\Generated_Source\PSoC5/CySpc.c **** } + 342:.\Generated_Source\PSoC5/CySpc.c **** else + 343:.\Generated_Source\PSoC5/CySpc.c **** { + 344:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_LOCKED; + 429 .loc 1 344 0 + 430 0038 0420 movs r0, #4 + 431 .LVL32: + 432 003a 70BD pop {r4, r5, r6, pc} + 433 .LVL33: + 434 .L44: + 339:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 435 .loc 1 339 0 + 436 003c 0920 movs r0, #9 + 437 .LVL34: + 345:.\Generated_Source\PSoC5/CySpc.c **** } + 346:.\Generated_Source\PSoC5/CySpc.c **** + 347:.\Generated_Source\PSoC5/CySpc.c **** return(status); + 348:.\Generated_Source\PSoC5/CySpc.c **** } + 438 .loc 1 348 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 15 + + + 439 003e 70BD pop {r4, r5, r6, pc} + 440 .L46: + 441 .align 2 + 442 .L45: + 443 0040 22470040 .word 1073760034 + 444 0044 20470040 .word 1073760032 + 445 .cfi_endproc + 446 .LFE5: + 447 .size CySpcWriteRow, .-CySpcWriteRow + 448 .section .text.CySpcEraseSector,"ax",%progbits + 449 .align 1 + 450 .global CySpcEraseSector + 451 .thumb + 452 .thumb_func + 453 .type CySpcEraseSector, %function + 454 CySpcEraseSector: + 455 .LFB6: + 349:.\Generated_Source\PSoC5/CySpc.c **** + 350:.\Generated_Source\PSoC5/CySpc.c **** + 351:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 352:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcEraseSector + 353:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 354:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 355:.\Generated_Source\PSoC5/CySpc.c **** * Erases all data in the addressed sector (block of 64 rows). + 356:.\Generated_Source\PSoC5/CySpc.c **** * + 357:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 358:.\Generated_Source\PSoC5/CySpc.c **** * uint8 array: + 359:.\Generated_Source\PSoC5/CySpc.c **** * Id of the array. + 360:.\Generated_Source\PSoC5/CySpc.c **** * + 361:.\Generated_Source\PSoC5/CySpc.c **** * uint8 sectorNumber: + 362:.\Generated_Source\PSoC5/CySpc.c **** * Zero based sector number within Flash/EEPROM array + 363:.\Generated_Source\PSoC5/CySpc.c **** * + 364:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 365:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_STARTED + 366:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_CANCELED + 367:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_LOCKED + 368:.\Generated_Source\PSoC5/CySpc.c **** * + 369:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 370:.\Generated_Source\PSoC5/CySpc.c **** cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber) + 371:.\Generated_Source\PSoC5/CySpc.c **** { + 456 .loc 1 371 0 + 457 .cfi_startproc + 458 @ args = 0, pretend = 0, frame = 0 + 459 @ frame_needed = 0, uses_anonymous_args = 0 + 460 .LVL35: + 461 0000 10B5 push {r4, lr} + 462 .LCFI6: + 463 .cfi_def_cfa_offset 8 + 464 .cfi_offset 4, -8 + 465 .cfi_offset 14, -4 + 372:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 373:.\Generated_Source\PSoC5/CySpc.c **** + 374:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the SPC is ready to accept command */ + 375:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_IDLE) + 466 .loc 1 375 0 + 467 0002 0D4A ldr r2, .L51 + 468 0004 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 16 + + + 469 0006 03F00203 and r3, r3, #2 + 470 000a DBB2 uxtb r3, r3 + 471 000c 7BB1 cbz r3, .L49 + 376:.\Generated_Source\PSoC5/CySpc.c **** { + 377:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + 472 .loc 1 377 0 + 473 000e 0B4B ldr r3, .L51+4 + 474 0010 B624 movs r4, #182 + 475 0012 1C70 strb r4, [r3, #0] + 378:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_ER_SECTOR); + 476 .loc 1 378 0 + 477 0014 DB24 movs r4, #219 + 478 0016 1C70 strb r4, [r3, #0] + 379:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_CMD_ER_SECTOR; + 479 .loc 1 379 0 + 480 0018 0824 movs r4, #8 + 481 001a 1C70 strb r4, [r3, #0] + 380:.\Generated_Source\PSoC5/CySpc.c **** + 381:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the command was accepted */ + 382:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_BUSY) + 482 .loc 1 382 0 + 483 001c 1278 ldrb r2, [r2, #0] @ zero_extendqisi2 + 484 001e 02F00202 and r2, r2, #2 + 485 0022 D2B2 uxtb r2, r2 + 486 0024 2AB9 cbnz r2, .L50 + 383:.\Generated_Source\PSoC5/CySpc.c **** { + 384:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = array; + 487 .loc 1 384 0 + 488 0026 1870 strb r0, [r3, #0] + 385:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = sectorNumber; + 489 .loc 1 385 0 + 490 0028 1970 strb r1, [r3, #0] + 372:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 491 .loc 1 372 0 + 492 002a 0720 movs r0, #7 + 493 .LVL36: + 494 002c 10BD pop {r4, pc} + 495 .LVL37: + 496 .L49: + 386:.\Generated_Source\PSoC5/CySpc.c **** } + 387:.\Generated_Source\PSoC5/CySpc.c **** else + 388:.\Generated_Source\PSoC5/CySpc.c **** { + 389:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 390:.\Generated_Source\PSoC5/CySpc.c **** } + 391:.\Generated_Source\PSoC5/CySpc.c **** } + 392:.\Generated_Source\PSoC5/CySpc.c **** else + 393:.\Generated_Source\PSoC5/CySpc.c **** { + 394:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_LOCKED; + 497 .loc 1 394 0 + 498 002e 0420 movs r0, #4 + 499 .LVL38: + 500 0030 10BD pop {r4, pc} + 501 .LVL39: + 502 .L50: + 389:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 503 .loc 1 389 0 + 504 0032 0920 movs r0, #9 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 17 + + + 505 .LVL40: + 395:.\Generated_Source\PSoC5/CySpc.c **** } + 396:.\Generated_Source\PSoC5/CySpc.c **** + 397:.\Generated_Source\PSoC5/CySpc.c **** return(status); + 398:.\Generated_Source\PSoC5/CySpc.c **** } + 506 .loc 1 398 0 + 507 0034 10BD pop {r4, pc} + 508 .L52: + 509 0036 00BF .align 2 + 510 .L51: + 511 0038 22470040 .word 1073760034 + 512 003c 20470040 .word 1073760032 + 513 .cfi_endproc + 514 .LFE6: + 515 .size CySpcEraseSector, .-CySpcEraseSector + 516 .section .text.CySpcGetTemp,"ax",%progbits + 517 .align 1 + 518 .global CySpcGetTemp + 519 .thumb + 520 .thumb_func + 521 .type CySpcGetTemp, %function + 522 CySpcGetTemp: + 523 .LFB7: + 399:.\Generated_Source\PSoC5/CySpc.c **** + 400:.\Generated_Source\PSoC5/CySpc.c **** + 401:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 402:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcGetTemp + 403:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 404:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 405:.\Generated_Source\PSoC5/CySpc.c **** * Returns the internal die temperature + 406:.\Generated_Source\PSoC5/CySpc.c **** * + 407:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 408:.\Generated_Source\PSoC5/CySpc.c **** * uint8 numSamples: + 409:.\Generated_Source\PSoC5/CySpc.c **** * Number of samples. Valid values are 1-5, resulting in 2 - 32 samples + 410:.\Generated_Source\PSoC5/CySpc.c **** * respectively. + 411:.\Generated_Source\PSoC5/CySpc.c **** * + 412:.\Generated_Source\PSoC5/CySpc.c **** * uint16 timerPeriod: + 413:.\Generated_Source\PSoC5/CySpc.c **** * Number of ADC ACLK cycles. A valid 14 bit value is accepted, higher 2 bits + 414:.\Generated_Source\PSoC5/CySpc.c **** * of 16 bit values are ignored. + 415:.\Generated_Source\PSoC5/CySpc.c **** * + 416:.\Generated_Source\PSoC5/CySpc.c **** * uint8 clkDivSelect: + 417:.\Generated_Source\PSoC5/CySpc.c **** * ADC ACLK clock divide value. Valid values are 2 - 225. + 418:.\Generated_Source\PSoC5/CySpc.c **** * + 419:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 420:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_STARTED + 421:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_CANCELED + 422:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_LOCKED + 423:.\Generated_Source\PSoC5/CySpc.c **** * + 424:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 425:.\Generated_Source\PSoC5/CySpc.c **** cystatus CySpcGetTemp(uint8 numSamples) + 426:.\Generated_Source\PSoC5/CySpc.c **** { + 524 .loc 1 426 0 + 525 .cfi_startproc + 526 @ args = 0, pretend = 0, frame = 0 + 527 @ frame_needed = 0, uses_anonymous_args = 0 + 528 @ link register save eliminated. + 529 .LVL41: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 18 + + + 427:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 428:.\Generated_Source\PSoC5/CySpc.c **** + 429:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the SPC is ready to accept command */ + 430:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_IDLE) + 530 .loc 1 430 0 + 531 0000 0C4A ldr r2, .L57 + 532 0002 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 533 0004 03F00201 and r1, r3, #2 + 534 0008 CBB2 uxtb r3, r1 + 535 000a 73B1 cbz r3, .L55 + 431:.\Generated_Source\PSoC5/CySpc.c **** { + 432:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + 536 .loc 1 432 0 + 537 000c 0A4B ldr r3, .L57+4 + 538 000e B621 movs r1, #182 + 539 0010 1970 strb r1, [r3, #0] + 433:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_GET_TEMP); + 540 .loc 1 433 0 + 541 0012 E121 movs r1, #225 + 542 0014 1970 strb r1, [r3, #0] + 434:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = CY_SPC_CMD_GET_TEMP; + 543 .loc 1 434 0 + 544 0016 0E21 movs r1, #14 + 545 0018 1970 strb r1, [r3, #0] + 435:.\Generated_Source\PSoC5/CySpc.c **** + 436:.\Generated_Source\PSoC5/CySpc.c **** /* Make sure the command was accepted */ + 437:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_BUSY) + 546 .loc 1 437 0 + 547 001a 1278 ldrb r2, [r2, #0] @ zero_extendqisi2 + 548 001c 02F00201 and r1, r2, #2 + 549 0020 CAB2 uxtb r2, r1 + 550 0022 22B9 cbnz r2, .L56 + 438:.\Generated_Source\PSoC5/CySpc.c **** { + 439:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_DATA_REG = numSamples; + 551 .loc 1 439 0 + 552 0024 1870 strb r0, [r3, #0] + 427:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_STARTED; + 553 .loc 1 427 0 + 554 0026 0720 movs r0, #7 + 555 .LVL42: + 556 0028 7047 bx lr + 557 .LVL43: + 558 .L55: + 440:.\Generated_Source\PSoC5/CySpc.c **** } + 441:.\Generated_Source\PSoC5/CySpc.c **** else + 442:.\Generated_Source\PSoC5/CySpc.c **** { + 443:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 444:.\Generated_Source\PSoC5/CySpc.c **** } + 445:.\Generated_Source\PSoC5/CySpc.c **** } + 446:.\Generated_Source\PSoC5/CySpc.c **** else + 447:.\Generated_Source\PSoC5/CySpc.c **** { + 448:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_LOCKED; + 559 .loc 1 448 0 + 560 002a 0420 movs r0, #4 + 561 .LVL44: + 562 002c 7047 bx lr + 563 .LVL45: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 19 + + + 564 .L56: + 443:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_CANCELED; + 565 .loc 1 443 0 + 566 002e 0920 movs r0, #9 + 567 .LVL46: + 449:.\Generated_Source\PSoC5/CySpc.c **** } + 450:.\Generated_Source\PSoC5/CySpc.c **** + 451:.\Generated_Source\PSoC5/CySpc.c **** return(status); + 452:.\Generated_Source\PSoC5/CySpc.c **** } + 568 .loc 1 452 0 + 569 0030 7047 bx lr + 570 .L58: + 571 0032 00BF .align 2 + 572 .L57: + 573 0034 22470040 .word 1073760034 + 574 0038 20470040 .word 1073760032 + 575 .cfi_endproc + 576 .LFE7: + 577 .size CySpcGetTemp, .-CySpcGetTemp + 578 .section .text.CySpcLock,"ax",%progbits + 579 .align 1 + 580 .global CySpcLock + 581 .thumb + 582 .thumb_func + 583 .type CySpcLock, %function + 584 CySpcLock: + 585 .LFB8: + 453:.\Generated_Source\PSoC5/CySpc.c **** + 454:.\Generated_Source\PSoC5/CySpc.c **** + 455:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 456:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcLock + 457:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + 458:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 459:.\Generated_Source\PSoC5/CySpc.c **** * Locks the SPC so it can not be used by someone else: + 460:.\Generated_Source\PSoC5/CySpc.c **** * - Saves wait-pipeline enable state and enable pipeline (PSoC5) + 461:.\Generated_Source\PSoC5/CySpc.c **** * + 462:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 463:.\Generated_Source\PSoC5/CySpc.c **** * Note + 464:.\Generated_Source\PSoC5/CySpc.c **** * + 465:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 466:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_SUCCESS - if the resource was free. + 467:.\Generated_Source\PSoC5/CySpc.c **** * CYRET_LOCKED - if the SPC is in use. + 468:.\Generated_Source\PSoC5/CySpc.c **** * + 469:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 470:.\Generated_Source\PSoC5/CySpc.c **** cystatus CySpcLock(void) + 471:.\Generated_Source\PSoC5/CySpc.c **** { + 586 .loc 1 471 0 + 587 .cfi_startproc + 588 @ args = 0, pretend = 0, frame = 0 + 589 @ frame_needed = 0, uses_anonymous_args = 0 + 590 .LVL47: + 591 0000 38B5 push {r3, r4, r5, lr} + 592 .LCFI7: + 593 .cfi_def_cfa_offset 16 + 594 .cfi_offset 3, -16 + 595 .cfi_offset 4, -12 + 596 .cfi_offset 5, -8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 20 + + + 597 .cfi_offset 14, -4 + 472:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_LOCKED; + 473:.\Generated_Source\PSoC5/CySpc.c **** uint8 interruptState; + 474:.\Generated_Source\PSoC5/CySpc.c **** + 475:.\Generated_Source\PSoC5/CySpc.c **** /* Enter critical section */ + 476:.\Generated_Source\PSoC5/CySpc.c **** interruptState = CyEnterCriticalSection(); + 598 .loc 1 476 0 + 599 0002 FFF7FEFF bl CyEnterCriticalSection + 600 .LVL48: + 477:.\Generated_Source\PSoC5/CySpc.c **** + 478:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_UNLOCKED == SpcLockState) + 601 .loc 1 478 0 + 602 0006 0C4B ldr r3, .L63 + 603 0008 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 604 000a 79B9 cbnz r1, .L61 + 479:.\Generated_Source\PSoC5/CySpc.c **** { + 480:.\Generated_Source\PSoC5/CySpc.c **** SpcLockState = CY_SPC_LOCKED; + 605 .loc 1 480 0 + 606 000c 0125 movs r5, #1 + 481:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_SUCCESS; + 482:.\Generated_Source\PSoC5/CySpc.c **** + 483:.\Generated_Source\PSoC5/CySpc.c **** #if(CY_PSOC5) + 484:.\Generated_Source\PSoC5/CySpc.c **** + 485:.\Generated_Source\PSoC5/CySpc.c **** if(0u != (CY_SPC_CPU_WAITPIPE_REG & CY_SPC_CPU_WAITPIPE_BYPASS)) + 607 .loc 1 485 0 + 608 000e 0B4A ldr r2, .L63+4 + 480:.\Generated_Source\PSoC5/CySpc.c **** SpcLockState = CY_SPC_LOCKED; + 609 .loc 1 480 0 + 610 0010 1D70 strb r5, [r3, #0] + 611 .LVL49: + 612 .loc 1 485 0 + 613 0012 1468 ldr r4, [r2, #0] + 614 0014 2C40 ands r4, r4, r5 + 615 0016 0AD0 beq .L60 + 486:.\Generated_Source\PSoC5/CySpc.c **** { + 487:.\Generated_Source\PSoC5/CySpc.c **** /* Enable pipeline registers */ + 488:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_WAITPIPE_REG &= ((uint32)(~CY_SPC_CPU_WAITPIPE_BYPASS)); + 616 .loc 1 488 0 + 617 0018 1468 ldr r4, [r2, #0] + 618 001a 24F00104 bic r4, r4, #1 + 619 001e 1460 str r4, [r2, #0] + 489:.\Generated_Source\PSoC5/CySpc.c **** + 490:.\Generated_Source\PSoC5/CySpc.c **** /* At least 2 NOP instructions are recommended */ + 491:.\Generated_Source\PSoC5/CySpc.c **** CY_NOP; + 620 .loc 1 491 0 + 621 @ 491 ".\Generated_Source\PSoC5\CySpc.c" 1 + 622 0020 00BF NOP + 623 + 624 @ 0 "" 2 + 492:.\Generated_Source\PSoC5/CySpc.c **** CY_NOP; + 625 .loc 1 492 0 + 626 @ 492 ".\Generated_Source\PSoC5\CySpc.c" 1 + 627 0022 00BF NOP + 628 + 629 @ 0 "" 2 + 493:.\Generated_Source\PSoC5/CySpc.c **** CY_NOP; + 630 .loc 1 493 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 21 + + + 631 @ 493 ".\Generated_Source\PSoC5\CySpc.c" 1 + 632 0024 00BF NOP + 633 + 634 @ 0 "" 2 + 494:.\Generated_Source\PSoC5/CySpc.c **** + 495:.\Generated_Source\PSoC5/CySpc.c **** spcWaitPipeBypass = CY_SPC_CPU_WAITPIPE_BYPASS; + 635 .loc 1 495 0 + 636 .thumb + 637 0026 5D60 str r5, [r3, #4] + 481:.\Generated_Source\PSoC5/CySpc.c **** status = CYRET_SUCCESS; + 638 .loc 1 481 0 + 639 0028 0C46 mov r4, r1 + 640 002a 00E0 b .L60 + 641 .LVL50: + 642 .L61: + 472:.\Generated_Source\PSoC5/CySpc.c **** cystatus status = CYRET_LOCKED; + 643 .loc 1 472 0 + 644 002c 0424 movs r4, #4 + 645 .LVL51: + 646 .L60: + 496:.\Generated_Source\PSoC5/CySpc.c **** } + 497:.\Generated_Source\PSoC5/CySpc.c **** + 498:.\Generated_Source\PSoC5/CySpc.c **** #endif /* (CY_PSOC5) */ + 499:.\Generated_Source\PSoC5/CySpc.c **** } + 500:.\Generated_Source\PSoC5/CySpc.c **** + 501:.\Generated_Source\PSoC5/CySpc.c **** /* Exit critical section */ + 502:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 647 .loc 1 502 0 + 648 002e FFF7FEFF bl CyExitCriticalSection + 649 .LVL52: + 503:.\Generated_Source\PSoC5/CySpc.c **** + 504:.\Generated_Source\PSoC5/CySpc.c **** return(status); + 505:.\Generated_Source\PSoC5/CySpc.c **** } + 650 .loc 1 505 0 + 651 0032 2046 mov r0, r4 + 652 0034 38BD pop {r3, r4, r5, pc} + 653 .L64: + 654 0036 00BF .align 2 + 655 .L63: + 656 0038 00000000 .word .LANCHOR0 + 657 003c 04000840 .word 1074266116 + 658 .cfi_endproc + 659 .LFE8: + 660 .size CySpcLock, .-CySpcLock + 661 .section .text.CySpcUnlock,"ax",%progbits + 662 .align 1 + 663 .global CySpcUnlock + 664 .thumb + 665 .thumb_func + 666 .type CySpcUnlock, %function + 667 CySpcUnlock: + 668 .LFB9: + 506:.\Generated_Source\PSoC5/CySpc.c **** + 507:.\Generated_Source\PSoC5/CySpc.c **** + 508:.\Generated_Source\PSoC5/CySpc.c **** /******************************************************************************* + 509:.\Generated_Source\PSoC5/CySpc.c **** * Function Name: CySpcUnlock + 510:.\Generated_Source\PSoC5/CySpc.c **** ******************************************************************************** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 22 + + + 511:.\Generated_Source\PSoC5/CySpc.c **** * Summary: + 512:.\Generated_Source\PSoC5/CySpc.c **** * Unlocks the SPC so it can be used by someone else: + 513:.\Generated_Source\PSoC5/CySpc.c **** * - Restores wait-pipeline enable state (PSoC5) + 514:.\Generated_Source\PSoC5/CySpc.c **** * + 515:.\Generated_Source\PSoC5/CySpc.c **** * Parameters: + 516:.\Generated_Source\PSoC5/CySpc.c **** * None + 517:.\Generated_Source\PSoC5/CySpc.c **** * + 518:.\Generated_Source\PSoC5/CySpc.c **** * Return: + 519:.\Generated_Source\PSoC5/CySpc.c **** * None + 520:.\Generated_Source\PSoC5/CySpc.c **** * + 521:.\Generated_Source\PSoC5/CySpc.c **** *******************************************************************************/ + 522:.\Generated_Source\PSoC5/CySpc.c **** void CySpcUnlock(void) + 523:.\Generated_Source\PSoC5/CySpc.c **** { + 669 .loc 1 523 0 + 670 .cfi_startproc + 671 @ args = 0, pretend = 0, frame = 0 + 672 @ frame_needed = 0, uses_anonymous_args = 0 + 673 0000 10B5 push {r4, lr} + 674 .LCFI8: + 675 .cfi_def_cfa_offset 8 + 676 .cfi_offset 4, -8 + 677 .cfi_offset 14, -4 + 524:.\Generated_Source\PSoC5/CySpc.c **** uint8 interruptState; + 525:.\Generated_Source\PSoC5/CySpc.c **** + 526:.\Generated_Source\PSoC5/CySpc.c **** /* Enter critical section */ + 527:.\Generated_Source\PSoC5/CySpc.c **** interruptState = CyEnterCriticalSection(); + 678 .loc 1 527 0 + 679 0002 FFF7FEFF bl CyEnterCriticalSection + 680 .LVL53: + 528:.\Generated_Source\PSoC5/CySpc.c **** + 529:.\Generated_Source\PSoC5/CySpc.c **** /* Release the SPC object */ + 530:.\Generated_Source\PSoC5/CySpc.c **** SpcLockState = CY_SPC_UNLOCKED; + 681 .loc 1 530 0 + 682 0006 094B ldr r3, .L67 + 683 0008 0022 movs r2, #0 + 531:.\Generated_Source\PSoC5/CySpc.c **** + 532:.\Generated_Source\PSoC5/CySpc.c **** #if(CY_PSOC5) + 533:.\Generated_Source\PSoC5/CySpc.c **** + 534:.\Generated_Source\PSoC5/CySpc.c **** if(CY_SPC_CPU_WAITPIPE_BYPASS == spcWaitPipeBypass) + 684 .loc 1 534 0 + 685 000a 5968 ldr r1, [r3, #4] + 530:.\Generated_Source\PSoC5/CySpc.c **** SpcLockState = CY_SPC_UNLOCKED; + 686 .loc 1 530 0 + 687 000c 1A70 strb r2, [r3, #0] + 688 .loc 1 534 0 + 689 000e 0129 cmp r1, #1 + 690 0010 08D1 bne .L66 + 535:.\Generated_Source\PSoC5/CySpc.c **** { + 536:.\Generated_Source\PSoC5/CySpc.c **** /* Force to bypass pipeline registers */ + 537:.\Generated_Source\PSoC5/CySpc.c **** CY_SPC_CPU_WAITPIPE_REG |= CY_SPC_CPU_WAITPIPE_BYPASS; + 691 .loc 1 537 0 + 692 0012 0749 ldr r1, .L67+4 + 693 0014 0C68 ldr r4, [r1, #0] + 694 0016 44F00104 orr r4, r4, #1 + 695 001a 0C60 str r4, [r1, #0] + 538:.\Generated_Source\PSoC5/CySpc.c **** + 539:.\Generated_Source\PSoC5/CySpc.c **** /* At least 2 NOP instructions are recommended */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 23 + + + 540:.\Generated_Source\PSoC5/CySpc.c **** CY_NOP; + 696 .loc 1 540 0 + 697 @ 540 ".\Generated_Source\PSoC5\CySpc.c" 1 + 698 001c 00BF NOP + 699 + 700 @ 0 "" 2 + 541:.\Generated_Source\PSoC5/CySpc.c **** CY_NOP; + 701 .loc 1 541 0 + 702 @ 541 ".\Generated_Source\PSoC5\CySpc.c" 1 + 703 001e 00BF NOP + 704 + 705 @ 0 "" 2 + 542:.\Generated_Source\PSoC5/CySpc.c **** CY_NOP; + 706 .loc 1 542 0 + 707 @ 542 ".\Generated_Source\PSoC5\CySpc.c" 1 + 708 0020 00BF NOP + 709 + 710 @ 0 "" 2 + 543:.\Generated_Source\PSoC5/CySpc.c **** + 544:.\Generated_Source\PSoC5/CySpc.c **** spcWaitPipeBypass = 0u; + 711 .loc 1 544 0 + 712 .thumb + 713 0022 5A60 str r2, [r3, #4] + 714 .L66: + 545:.\Generated_Source\PSoC5/CySpc.c **** } + 546:.\Generated_Source\PSoC5/CySpc.c **** + 547:.\Generated_Source\PSoC5/CySpc.c **** #endif /* (CY_PSOC5) */ + 548:.\Generated_Source\PSoC5/CySpc.c **** + 549:.\Generated_Source\PSoC5/CySpc.c **** /* Exit critical section */ + 550:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 551:.\Generated_Source\PSoC5/CySpc.c **** } + 715 .loc 1 551 0 + 716 0024 BDE81040 pop {r4, lr} + 550:.\Generated_Source\PSoC5/CySpc.c **** CyExitCriticalSection(interruptState); + 717 .loc 1 550 0 + 718 0028 FFF7FEBF b CyExitCriticalSection + 719 .LVL54: + 720 .L68: + 721 .align 2 + 722 .L67: + 723 002c 00000000 .word .LANCHOR0 + 724 0030 04000840 .word 1074266116 + 725 .cfi_endproc + 726 .LFE9: + 727 .size CySpcUnlock, .-CySpcUnlock + 728 .global SpcLockState + 729 .bss + 730 .align 2 + 731 .set .LANCHOR0,. + 0 + 732 .type SpcLockState, %object + 733 .size SpcLockState, 1 + 734 SpcLockState: + 735 0000 00 .space 1 + 736 0001 000000 .space 3 + 737 .type spcWaitPipeBypass, %object + 738 .size spcWaitPipeBypass, 4 + 739 spcWaitPipeBypass: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 24 + + + 740 0004 00000000 .space 4 + 741 .text + 742 .Letext0: + 743 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 744 .file 3 ".\\Generated_Source\\PSoC5\\CyLib.h" + 745 .section .debug_info,"",%progbits + 746 .Ldebug_info0: + 747 0000 6B040000 .4byte 0x46b + 748 0004 0200 .2byte 0x2 + 749 0006 00000000 .4byte .Ldebug_abbrev0 + 750 000a 04 .byte 0x4 + 751 000b 01 .uleb128 0x1 + 752 000c 46020000 .4byte .LASF40 + 753 0010 01 .byte 0x1 + 754 0011 5B000000 .4byte .LASF41 + 755 0015 FF010000 .4byte .LASF42 + 756 0019 00000000 .4byte .Ldebug_ranges0+0 + 757 001d 00000000 .4byte 0 + 758 0021 00000000 .4byte 0 + 759 0025 00000000 .4byte .Ldebug_line0 + 760 0029 02 .uleb128 0x2 + 761 002a 01 .byte 0x1 + 762 002b 06 .byte 0x6 + 763 002c 3A020000 .4byte .LASF0 + 764 0030 02 .uleb128 0x2 + 765 0031 01 .byte 0x1 + 766 0032 08 .byte 0x8 + 767 0033 06010000 .4byte .LASF1 + 768 0037 02 .uleb128 0x2 + 769 0038 02 .byte 0x2 + 770 0039 05 .byte 0x5 + 771 003a E1010000 .4byte .LASF2 + 772 003e 02 .uleb128 0x2 + 773 003f 02 .byte 0x2 + 774 0040 07 .byte 0x7 + 775 0041 7C000000 .4byte .LASF3 + 776 0045 02 .uleb128 0x2 + 777 0046 04 .byte 0x4 + 778 0047 05 .byte 0x5 + 779 0048 1F020000 .4byte .LASF4 + 780 004c 02 .uleb128 0x2 + 781 004d 04 .byte 0x4 + 782 004e 07 .byte 0x7 + 783 004f CE000000 .4byte .LASF5 + 784 0053 02 .uleb128 0x2 + 785 0054 08 .byte 0x8 + 786 0055 05 .byte 0x5 + 787 0056 C4010000 .4byte .LASF6 + 788 005a 02 .uleb128 0x2 + 789 005b 08 .byte 0x8 + 790 005c 07 .byte 0x7 + 791 005d 6C010000 .4byte .LASF7 + 792 0061 03 .uleb128 0x3 + 793 0062 04 .byte 0x4 + 794 0063 05 .byte 0x5 + 795 0064 696E7400 .ascii "int\000" + 796 0068 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 25 + + + 797 0069 04 .byte 0x4 + 798 006a 07 .byte 0x7 + 799 006b 57010000 .4byte .LASF8 + 800 006f 04 .uleb128 0x4 + 801 0070 E0000000 .4byte .LASF9 + 802 0074 02 .byte 0x2 + 803 0075 5B .byte 0x5b + 804 0076 30000000 .4byte 0x30 + 805 007a 04 .uleb128 0x4 + 806 007b 28010000 .4byte .LASF10 + 807 007f 02 .byte 0x2 + 808 0080 5C .byte 0x5c + 809 0081 3E000000 .4byte 0x3e + 810 0085 04 .uleb128 0x4 + 811 0086 39010000 .4byte .LASF11 + 812 008a 02 .byte 0x2 + 813 008b 5D .byte 0x5d + 814 008c 4C000000 .4byte 0x4c + 815 0090 02 .uleb128 0x2 + 816 0091 04 .byte 0x4 + 817 0092 04 .byte 0x4 + 818 0093 A4000000 .4byte .LASF12 + 819 0097 02 .uleb128 0x2 + 820 0098 08 .byte 0x8 + 821 0099 04 .byte 0x4 + 822 009a 14010000 .4byte .LASF13 + 823 009e 02 .uleb128 0x2 + 824 009f 01 .byte 0x1 + 825 00a0 08 .byte 0x8 + 826 00a1 DC010000 .4byte .LASF14 + 827 00a5 04 .uleb128 0x4 + 828 00a6 13000000 .4byte .LASF15 + 829 00aa 02 .byte 0x2 + 830 00ab E8 .byte 0xe8 + 831 00ac 4C000000 .4byte 0x4c + 832 00b0 04 .uleb128 0x4 + 833 00b1 BA000000 .4byte .LASF16 + 834 00b5 02 .byte 0x2 + 835 00b6 F0 .byte 0xf0 + 836 00b7 BB000000 .4byte 0xbb + 837 00bb 05 .uleb128 0x5 + 838 00bc 6F000000 .4byte 0x6f + 839 00c0 04 .uleb128 0x4 + 840 00c1 1C000000 .4byte .LASF17 + 841 00c5 02 .byte 0x2 + 842 00c6 F2 .byte 0xf2 + 843 00c7 CB000000 .4byte 0xcb + 844 00cb 05 .uleb128 0x5 + 845 00cc 85000000 .4byte 0x85 + 846 00d0 02 .uleb128 0x2 + 847 00d1 04 .byte 0x4 + 848 00d2 07 .byte 0x7 + 849 00d3 9E010000 .4byte .LASF18 + 850 00d7 06 .uleb128 0x6 + 851 00d8 01 .byte 0x1 + 852 00d9 22000000 .4byte .LASF19 + 853 00dd 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 26 + + + 854 00de 4E .byte 0x4e + 855 00df 01 .byte 0x1 + 856 00e0 00000000 .4byte .LFB0 + 857 00e4 24000000 .4byte .LFE0 + 858 00e8 00000000 .4byte .LLST0 + 859 00ec 01 .byte 0x1 + 860 00ed 14010000 .4byte 0x114 + 861 00f1 07 .uleb128 0x7 + 862 00f2 BF000000 .4byte .LASF21 + 863 00f6 01 .byte 0x1 + 864 00f7 51 .byte 0x51 + 865 00f8 6F000000 .4byte 0x6f + 866 00fc 20000000 .4byte .LLST1 + 867 0100 08 .uleb128 0x8 + 868 0101 06000000 .4byte .LVL0 + 869 0105 3C040000 .4byte 0x43c + 870 0109 09 .uleb128 0x9 + 871 010a 20000000 .4byte .LVL1 + 872 010e 01 .byte 0x1 + 873 010f 4A040000 .4byte 0x44a + 874 0113 00 .byte 0 + 875 0114 06 .uleb128 0x6 + 876 0115 01 .byte 0x1 + 877 0116 51000000 .4byte .LASF20 + 878 011a 01 .byte 0x1 + 879 011b 68 .byte 0x68 + 880 011c 01 .byte 0x1 + 881 011d 00000000 .4byte .LFB1 + 882 0121 24000000 .4byte .LFE1 + 883 0125 33000000 .4byte .LLST2 + 884 0129 01 .byte 0x1 + 885 012a 51010000 .4byte 0x151 + 886 012e 07 .uleb128 0x7 + 887 012f BF000000 .4byte .LASF21 + 888 0133 01 .byte 0x1 + 889 0134 6B .byte 0x6b + 890 0135 6F000000 .4byte 0x6f + 891 0139 53000000 .4byte .LLST3 + 892 013d 08 .uleb128 0x8 + 893 013e 06000000 .4byte .LVL2 + 894 0142 3C040000 .4byte 0x43c + 895 0146 09 .uleb128 0x9 + 896 0147 20000000 .4byte .LVL3 + 897 014b 01 .byte 0x1 + 898 014c 4A040000 .4byte 0x44a + 899 0150 00 .byte 0 + 900 0151 0A .uleb128 0xa + 901 0152 01 .byte 0x1 + 902 0153 8F000000 .4byte .LASF24 + 903 0157 01 .byte 0x1 + 904 0158 87 .byte 0x87 + 905 0159 01 .byte 0x1 + 906 015a 6F000000 .4byte 0x6f + 907 015e 00000000 .4byte .LFB2 + 908 0162 34000000 .4byte .LFE2 + 909 0166 66000000 .4byte .LLST4 + 910 016a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 27 + + + 911 016b AA010000 .4byte 0x1aa + 912 016f 0B .uleb128 0xb + 913 0170 EB010000 .4byte .LASF22 + 914 0174 01 .byte 0x1 + 915 0175 87 .byte 0x87 + 916 0176 AA010000 .4byte 0x1aa + 917 017a 86000000 .4byte .LLST5 + 918 017e 0B .uleb128 0xb + 919 017f B5000000 .4byte .LASF23 + 920 0183 01 .byte 0x1 + 921 0184 87 .byte 0x87 + 922 0185 6F000000 .4byte 0x6f + 923 0189 A4000000 .4byte .LLST6 + 924 018d 0C .uleb128 0xc + 925 018e 6900 .ascii "i\000" + 926 0190 01 .byte 0x1 + 927 0191 89 .byte 0x89 + 928 0192 6F000000 .4byte 0x6f + 929 0196 C5000000 .4byte .LLST7 + 930 019a 0D .uleb128 0xd + 931 019b 1C000000 .4byte .LVL6 + 932 019f 5E040000 .4byte 0x45e + 933 01a3 0E .uleb128 0xe + 934 01a4 01 .byte 0x1 + 935 01a5 50 .byte 0x50 + 936 01a6 01 .byte 0x1 + 937 01a7 31 .byte 0x31 + 938 01a8 00 .byte 0 + 939 01a9 00 .byte 0 + 940 01aa 0F .uleb128 0xf + 941 01ab 04 .byte 0x4 + 942 01ac 6F000000 .4byte 0x6f + 943 01b0 0A .uleb128 0xa + 944 01b1 01 .byte 0x1 + 945 01b2 00000000 .4byte .LASF25 + 946 01b6 01 .byte 0x1 + 947 01b7 B2 .byte 0xb2 + 948 01b8 01 .byte 0x1 + 949 01b9 A5000000 .4byte 0xa5 + 950 01bd 00000000 .4byte .LFB3 + 951 01c1 74000000 .4byte .LFE3 + 952 01c5 D9000000 .4byte .LLST8 + 953 01c9 01 .byte 0x1 + 954 01ca 23020000 .4byte 0x223 + 955 01ce 0B .uleb128 0xb + 956 01cf 00010000 .4byte .LASF26 + 957 01d3 01 .byte 0x1 + 958 01d4 B2 .byte 0xb2 + 959 01d5 6F000000 .4byte 0x6f + 960 01d9 F9000000 .4byte .LLST9 + 961 01dd 0B .uleb128 0xb + 962 01de 64010000 .4byte .LASF27 + 963 01e2 01 .byte 0x1 + 964 01e3 B2 .byte 0xb2 + 965 01e4 7A000000 .4byte 0x7a + 966 01e8 8D010000 .4byte .LLST10 + 967 01ec 10 .uleb128 0x10 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 28 + + + 968 01ed EB010000 .4byte .LASF22 + 969 01f1 01 .byte 0x1 + 970 01f2 B2 .byte 0xb2 + 971 01f3 23020000 .4byte 0x223 + 972 01f7 01 .byte 0x1 + 973 01f8 52 .byte 0x52 + 974 01f9 10 .uleb128 0x10 + 975 01fa B5000000 .4byte .LASF23 + 976 01fe 01 .byte 0x1 + 977 01ff B2 .byte 0xb2 + 978 0200 6F000000 .4byte 0x6f + 979 0204 01 .byte 0x1 + 980 0205 53 .byte 0x53 + 981 0206 07 .uleb128 0x7 + 982 0207 9D000000 .4byte .LASF28 + 983 020b 01 .byte 0x1 + 984 020c B5 .byte 0xb5 + 985 020d A5000000 .4byte 0xa5 + 986 0211 B9010000 .4byte .LLST11 + 987 0215 0C .uleb128 0xc + 988 0216 6900 .ascii "i\000" + 989 0218 01 .byte 0x1 + 990 0219 B6 .byte 0xb6 + 991 021a 6F000000 .4byte 0x6f + 992 021e D8010000 .4byte .LLST12 + 993 0222 00 .byte 0 + 994 0223 0F .uleb128 0xf + 995 0224 04 .byte 0x4 + 996 0225 29020000 .4byte 0x229 + 997 0229 11 .uleb128 0x11 + 998 022a 6F000000 .4byte 0x6f + 999 022e 0A .uleb128 0xa + 1000 022f 01 .byte 0x1 + 1001 0230 91010000 .4byte .LASF29 + 1002 0234 01 .byte 0x1 + 1003 0235 FD .byte 0xfd + 1004 0236 01 .byte 0x1 + 1005 0237 A5000000 .4byte 0xa5 + 1006 023b 00000000 .4byte .LFB4 + 1007 023f 4C000000 .4byte .LFE4 + 1008 0243 EC010000 .4byte .LLST13 + 1009 0247 01 .byte 0x1 + 1010 0248 8F020000 .4byte 0x28f + 1011 024c 0B .uleb128 0xb + 1012 024d 00010000 .4byte .LASF26 + 1013 0251 01 .byte 0x1 + 1014 0252 FD .byte 0xfd + 1015 0253 6F000000 .4byte 0x6f + 1016 0257 0C020000 .4byte .LLST14 + 1017 025b 10 .uleb128 0x10 + 1018 025c EB010000 .4byte .LASF22 + 1019 0260 01 .byte 0x1 + 1020 0261 FD .byte 0xfd + 1021 0262 23020000 .4byte 0x223 + 1022 0266 01 .byte 0x1 + 1023 0267 51 .byte 0x51 + 1024 0268 10 .uleb128 0x10 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 29 + + + 1025 0269 B5000000 .4byte .LASF23 + 1026 026d 01 .byte 0x1 + 1027 026e FD .byte 0xfd + 1028 026f 7A000000 .4byte 0x7a + 1029 0273 01 .byte 0x1 + 1030 0274 52 .byte 0x52 + 1031 0275 07 .uleb128 0x7 + 1032 0276 9D000000 .4byte .LASF28 + 1033 027a 01 .byte 0x1 + 1034 027b FF .byte 0xff + 1035 027c A5000000 .4byte 0xa5 + 1036 0280 7B020000 .4byte .LLST15 + 1037 0284 12 .uleb128 0x12 + 1038 0285 6900 .ascii "i\000" + 1039 0287 01 .byte 0x1 + 1040 0288 0001 .2byte 0x100 + 1041 028a 7A000000 .4byte 0x7a + 1042 028e 00 .byte 0 + 1043 028f 13 .uleb128 0x13 + 1044 0290 01 .byte 0x1 + 1045 0291 2D000000 .4byte .LASF30 + 1046 0295 01 .byte 0x1 + 1047 0296 3C01 .2byte 0x13c + 1048 0298 01 .byte 0x1 + 1049 0299 A5000000 .4byte 0xa5 + 1050 029d 00000000 .4byte .LFB5 + 1051 02a1 48000000 .4byte .LFE5 + 1052 02a5 9A020000 .4byte .LLST16 + 1053 02a9 01 .byte 0x1 + 1054 02aa FB020000 .4byte 0x2fb + 1055 02ae 14 .uleb128 0x14 + 1056 02af 00010000 .4byte .LASF26 + 1057 02b3 01 .byte 0x1 + 1058 02b4 3C01 .2byte 0x13c + 1059 02b6 6F000000 .4byte 0x6f + 1060 02ba BA020000 .4byte .LLST17 + 1061 02be 14 .uleb128 0x14 + 1062 02bf 64010000 .4byte .LASF27 + 1063 02c3 01 .byte 0x1 + 1064 02c4 3C01 .2byte 0x13c + 1065 02c6 7A000000 .4byte 0x7a + 1066 02ca 0B030000 .4byte .LLST18 + 1067 02ce 15 .uleb128 0x15 + 1068 02cf F3000000 .4byte .LASF31 + 1069 02d3 01 .byte 0x1 + 1070 02d4 3C01 .2byte 0x13c + 1071 02d6 6F000000 .4byte 0x6f + 1072 02da 01 .byte 0x1 + 1073 02db 52 .byte 0x52 + 1074 02dc 15 .uleb128 0x15 + 1075 02dd 83010000 .4byte .LASF32 + 1076 02e1 01 .byte 0x1 + 1077 02e2 3C01 .2byte 0x13c + 1078 02e4 6F000000 .4byte 0x6f + 1079 02e8 01 .byte 0x1 + 1080 02e9 53 .byte 0x53 + 1081 02ea 16 .uleb128 0x16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 30 + + + 1082 02eb 9D000000 .4byte .LASF28 + 1083 02ef 01 .byte 0x1 + 1084 02f0 3F01 .2byte 0x13f + 1085 02f2 A5000000 .4byte 0xa5 + 1086 02f6 37030000 .4byte .LLST19 + 1087 02fa 00 .byte 0 + 1088 02fb 13 .uleb128 0x13 + 1089 02fc 01 .byte 0x1 + 1090 02fd B3010000 .4byte .LASF33 + 1091 0301 01 .byte 0x1 + 1092 0302 7201 .2byte 0x172 + 1093 0304 01 .byte 0x1 + 1094 0305 A5000000 .4byte 0xa5 + 1095 0309 00000000 .4byte .LFB6 + 1096 030d 40000000 .4byte .LFE6 + 1097 0311 56030000 .4byte .LLST20 + 1098 0315 01 .byte 0x1 + 1099 0316 49030000 .4byte 0x349 + 1100 031a 14 .uleb128 0x14 + 1101 031b 00010000 .4byte .LASF26 + 1102 031f 01 .byte 0x1 + 1103 0320 7201 .2byte 0x172 + 1104 0322 6F000000 .4byte 0x6f + 1105 0326 76030000 .4byte .LLST21 + 1106 032a 15 .uleb128 0x15 + 1107 032b F2010000 .4byte .LASF34 + 1108 032f 01 .byte 0x1 + 1109 0330 7201 .2byte 0x172 + 1110 0332 6F000000 .4byte 0x6f + 1111 0336 01 .byte 0x1 + 1112 0337 51 .byte 0x51 + 1113 0338 16 .uleb128 0x16 + 1114 0339 9D000000 .4byte .LASF28 + 1115 033d 01 .byte 0x1 + 1116 033e 7401 .2byte 0x174 + 1117 0340 A5000000 .4byte 0xa5 + 1118 0344 C7030000 .4byte .LLST22 + 1119 0348 00 .byte 0 + 1120 0349 17 .uleb128 0x17 + 1121 034a 01 .byte 0x1 + 1122 034b 1B010000 .4byte .LASF35 + 1123 034f 01 .byte 0x1 + 1124 0350 A901 .2byte 0x1a9 + 1125 0352 01 .byte 0x1 + 1126 0353 A5000000 .4byte 0xa5 + 1127 0357 00000000 .4byte .LFB7 + 1128 035b 3C000000 .4byte .LFE7 + 1129 035f 02 .byte 0x2 + 1130 0360 7D .byte 0x7d + 1131 0361 00 .sleb128 0 + 1132 0362 01 .byte 0x1 + 1133 0363 88030000 .4byte 0x388 + 1134 0367 14 .uleb128 0x14 + 1135 0368 AA000000 .4byte .LASF36 + 1136 036c 01 .byte 0x1 + 1137 036d A901 .2byte 0x1a9 + 1138 036f 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 31 + + + 1139 0373 E6030000 .4byte .LLST23 + 1140 0377 16 .uleb128 0x16 + 1141 0378 9D000000 .4byte .LASF28 + 1142 037c 01 .byte 0x1 + 1143 037d AB01 .2byte 0x1ab + 1144 037f A5000000 .4byte 0xa5 + 1145 0383 37040000 .4byte .LLST24 + 1146 0387 00 .byte 0 + 1147 0388 13 .uleb128 0x13 + 1148 0389 01 .byte 0x1 + 1149 038a 2F010000 .4byte .LASF37 + 1150 038e 01 .byte 0x1 + 1151 038f D601 .2byte 0x1d6 + 1152 0391 01 .byte 0x1 + 1153 0392 A5000000 .4byte 0xa5 + 1154 0396 00000000 .4byte .LFB8 + 1155 039a 40000000 .4byte .LFE8 + 1156 039e 56040000 .4byte .LLST25 + 1157 03a2 01 .byte 0x1 + 1158 03a3 DA030000 .4byte 0x3da + 1159 03a7 16 .uleb128 0x16 + 1160 03a8 9D000000 .4byte .LASF28 + 1161 03ac 01 .byte 0x1 + 1162 03ad D801 .2byte 0x1d8 + 1163 03af A5000000 .4byte 0xa5 + 1164 03b3 76040000 .4byte .LLST26 + 1165 03b7 16 .uleb128 0x16 + 1166 03b8 BF000000 .4byte .LASF21 + 1167 03bc 01 .byte 0x1 + 1168 03bd D901 .2byte 0x1d9 + 1169 03bf 6F000000 .4byte 0x6f + 1170 03c3 AD040000 .4byte .LLST27 + 1171 03c7 08 .uleb128 0x8 + 1172 03c8 06000000 .4byte .LVL48 + 1173 03cc 3C040000 .4byte 0x43c + 1174 03d0 08 .uleb128 0x8 + 1175 03d1 32000000 .4byte .LVL52 + 1176 03d5 4A040000 .4byte 0x44a + 1177 03d9 00 .byte 0 + 1178 03da 18 .uleb128 0x18 + 1179 03db 01 .byte 0x1 + 1180 03dc A7010000 .4byte .LASF38 + 1181 03e0 01 .byte 0x1 + 1182 03e1 0A02 .2byte 0x20a + 1183 03e3 01 .byte 0x1 + 1184 03e4 00000000 .4byte .LFB9 + 1185 03e8 34000000 .4byte .LFE9 + 1186 03ec C0040000 .4byte .LLST28 + 1187 03f0 01 .byte 0x1 + 1188 03f1 19040000 .4byte 0x419 + 1189 03f5 16 .uleb128 0x16 + 1190 03f6 BF000000 .4byte .LASF21 + 1191 03fa 01 .byte 0x1 + 1192 03fb 0C02 .2byte 0x20c + 1193 03fd 6F000000 .4byte 0x6f + 1194 0401 E0040000 .4byte .LLST29 + 1195 0405 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 32 + + + 1196 0406 06000000 .4byte .LVL53 + 1197 040a 3C040000 .4byte 0x43c + 1198 040e 09 .uleb128 0x9 + 1199 040f 2C000000 .4byte .LVL54 + 1200 0413 01 .byte 0x1 + 1201 0414 4A040000 .4byte 0x44a + 1202 0418 00 .byte 0 + 1203 0419 19 .uleb128 0x19 + 1204 041a 28020000 .4byte .LASF39 + 1205 041e 01 .byte 0x1 + 1206 041f 3C .byte 0x3c + 1207 0420 85000000 .4byte 0x85 + 1208 0424 05 .byte 0x5 + 1209 0425 03 .byte 0x3 + 1210 0426 04000000 .4byte spcWaitPipeBypass + 1211 042a 1A .uleb128 0x1a + 1212 042b E6000000 .4byte .LASF43 + 1213 042f 01 .byte 0x1 + 1214 0430 30 .byte 0x30 + 1215 0431 6F000000 .4byte 0x6f + 1216 0435 01 .byte 0x1 + 1217 0436 05 .byte 0x5 + 1218 0437 03 .byte 0x3 + 1219 0438 00000000 .4byte SpcLockState + 1220 043c 1B .uleb128 0x1b + 1221 043d 01 .byte 0x1 + 1222 043e 40010000 .4byte .LASF44 + 1223 0442 03 .byte 0x3 + 1224 0443 7E .byte 0x7e + 1225 0444 01 .byte 0x1 + 1226 0445 6F000000 .4byte 0x6f + 1227 0449 01 .byte 0x1 + 1228 044a 1C .uleb128 0x1c + 1229 044b 01 .byte 0x1 + 1230 044c 3B000000 .4byte .LASF45 + 1231 0450 03 .byte 0x3 + 1232 0451 7F .byte 0x7f + 1233 0452 01 .byte 0x1 + 1234 0453 01 .byte 0x1 + 1235 0454 5E040000 .4byte 0x45e + 1236 0458 1D .uleb128 0x1d + 1237 0459 6F000000 .4byte 0x6f + 1238 045d 00 .byte 0 + 1239 045e 1E .uleb128 0x1e + 1240 045f 01 .byte 0x1 + 1241 0460 D2010000 .4byte .LASF46 + 1242 0464 03 .byte 0x3 + 1243 0465 78 .byte 0x78 + 1244 0466 01 .byte 0x1 + 1245 0467 01 .byte 0x1 + 1246 0468 1D .uleb128 0x1d + 1247 0469 7A000000 .4byte 0x7a + 1248 046d 00 .byte 0 + 1249 046e 00 .byte 0 + 1250 .section .debug_abbrev,"",%progbits + 1251 .Ldebug_abbrev0: + 1252 0000 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 33 + + + 1253 0001 11 .uleb128 0x11 + 1254 0002 01 .byte 0x1 + 1255 0003 25 .uleb128 0x25 + 1256 0004 0E .uleb128 0xe + 1257 0005 13 .uleb128 0x13 + 1258 0006 0B .uleb128 0xb + 1259 0007 03 .uleb128 0x3 + 1260 0008 0E .uleb128 0xe + 1261 0009 1B .uleb128 0x1b + 1262 000a 0E .uleb128 0xe + 1263 000b 55 .uleb128 0x55 + 1264 000c 06 .uleb128 0x6 + 1265 000d 11 .uleb128 0x11 + 1266 000e 01 .uleb128 0x1 + 1267 000f 52 .uleb128 0x52 + 1268 0010 01 .uleb128 0x1 + 1269 0011 10 .uleb128 0x10 + 1270 0012 06 .uleb128 0x6 + 1271 0013 00 .byte 0 + 1272 0014 00 .byte 0 + 1273 0015 02 .uleb128 0x2 + 1274 0016 24 .uleb128 0x24 + 1275 0017 00 .byte 0 + 1276 0018 0B .uleb128 0xb + 1277 0019 0B .uleb128 0xb + 1278 001a 3E .uleb128 0x3e + 1279 001b 0B .uleb128 0xb + 1280 001c 03 .uleb128 0x3 + 1281 001d 0E .uleb128 0xe + 1282 001e 00 .byte 0 + 1283 001f 00 .byte 0 + 1284 0020 03 .uleb128 0x3 + 1285 0021 24 .uleb128 0x24 + 1286 0022 00 .byte 0 + 1287 0023 0B .uleb128 0xb + 1288 0024 0B .uleb128 0xb + 1289 0025 3E .uleb128 0x3e + 1290 0026 0B .uleb128 0xb + 1291 0027 03 .uleb128 0x3 + 1292 0028 08 .uleb128 0x8 + 1293 0029 00 .byte 0 + 1294 002a 00 .byte 0 + 1295 002b 04 .uleb128 0x4 + 1296 002c 16 .uleb128 0x16 + 1297 002d 00 .byte 0 + 1298 002e 03 .uleb128 0x3 + 1299 002f 0E .uleb128 0xe + 1300 0030 3A .uleb128 0x3a + 1301 0031 0B .uleb128 0xb + 1302 0032 3B .uleb128 0x3b + 1303 0033 0B .uleb128 0xb + 1304 0034 49 .uleb128 0x49 + 1305 0035 13 .uleb128 0x13 + 1306 0036 00 .byte 0 + 1307 0037 00 .byte 0 + 1308 0038 05 .uleb128 0x5 + 1309 0039 35 .uleb128 0x35 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 34 + + + 1310 003a 00 .byte 0 + 1311 003b 49 .uleb128 0x49 + 1312 003c 13 .uleb128 0x13 + 1313 003d 00 .byte 0 + 1314 003e 00 .byte 0 + 1315 003f 06 .uleb128 0x6 + 1316 0040 2E .uleb128 0x2e + 1317 0041 01 .byte 0x1 + 1318 0042 3F .uleb128 0x3f + 1319 0043 0C .uleb128 0xc + 1320 0044 03 .uleb128 0x3 + 1321 0045 0E .uleb128 0xe + 1322 0046 3A .uleb128 0x3a + 1323 0047 0B .uleb128 0xb + 1324 0048 3B .uleb128 0x3b + 1325 0049 0B .uleb128 0xb + 1326 004a 27 .uleb128 0x27 + 1327 004b 0C .uleb128 0xc + 1328 004c 11 .uleb128 0x11 + 1329 004d 01 .uleb128 0x1 + 1330 004e 12 .uleb128 0x12 + 1331 004f 01 .uleb128 0x1 + 1332 0050 40 .uleb128 0x40 + 1333 0051 06 .uleb128 0x6 + 1334 0052 9742 .uleb128 0x2117 + 1335 0054 0C .uleb128 0xc + 1336 0055 01 .uleb128 0x1 + 1337 0056 13 .uleb128 0x13 + 1338 0057 00 .byte 0 + 1339 0058 00 .byte 0 + 1340 0059 07 .uleb128 0x7 + 1341 005a 34 .uleb128 0x34 + 1342 005b 00 .byte 0 + 1343 005c 03 .uleb128 0x3 + 1344 005d 0E .uleb128 0xe + 1345 005e 3A .uleb128 0x3a + 1346 005f 0B .uleb128 0xb + 1347 0060 3B .uleb128 0x3b + 1348 0061 0B .uleb128 0xb + 1349 0062 49 .uleb128 0x49 + 1350 0063 13 .uleb128 0x13 + 1351 0064 02 .uleb128 0x2 + 1352 0065 06 .uleb128 0x6 + 1353 0066 00 .byte 0 + 1354 0067 00 .byte 0 + 1355 0068 08 .uleb128 0x8 + 1356 0069 898201 .uleb128 0x4109 + 1357 006c 00 .byte 0 + 1358 006d 11 .uleb128 0x11 + 1359 006e 01 .uleb128 0x1 + 1360 006f 31 .uleb128 0x31 + 1361 0070 13 .uleb128 0x13 + 1362 0071 00 .byte 0 + 1363 0072 00 .byte 0 + 1364 0073 09 .uleb128 0x9 + 1365 0074 898201 .uleb128 0x4109 + 1366 0077 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 35 + + + 1367 0078 11 .uleb128 0x11 + 1368 0079 01 .uleb128 0x1 + 1369 007a 9542 .uleb128 0x2115 + 1370 007c 0C .uleb128 0xc + 1371 007d 31 .uleb128 0x31 + 1372 007e 13 .uleb128 0x13 + 1373 007f 00 .byte 0 + 1374 0080 00 .byte 0 + 1375 0081 0A .uleb128 0xa + 1376 0082 2E .uleb128 0x2e + 1377 0083 01 .byte 0x1 + 1378 0084 3F .uleb128 0x3f + 1379 0085 0C .uleb128 0xc + 1380 0086 03 .uleb128 0x3 + 1381 0087 0E .uleb128 0xe + 1382 0088 3A .uleb128 0x3a + 1383 0089 0B .uleb128 0xb + 1384 008a 3B .uleb128 0x3b + 1385 008b 0B .uleb128 0xb + 1386 008c 27 .uleb128 0x27 + 1387 008d 0C .uleb128 0xc + 1388 008e 49 .uleb128 0x49 + 1389 008f 13 .uleb128 0x13 + 1390 0090 11 .uleb128 0x11 + 1391 0091 01 .uleb128 0x1 + 1392 0092 12 .uleb128 0x12 + 1393 0093 01 .uleb128 0x1 + 1394 0094 40 .uleb128 0x40 + 1395 0095 06 .uleb128 0x6 + 1396 0096 9742 .uleb128 0x2117 + 1397 0098 0C .uleb128 0xc + 1398 0099 01 .uleb128 0x1 + 1399 009a 13 .uleb128 0x13 + 1400 009b 00 .byte 0 + 1401 009c 00 .byte 0 + 1402 009d 0B .uleb128 0xb + 1403 009e 05 .uleb128 0x5 + 1404 009f 00 .byte 0 + 1405 00a0 03 .uleb128 0x3 + 1406 00a1 0E .uleb128 0xe + 1407 00a2 3A .uleb128 0x3a + 1408 00a3 0B .uleb128 0xb + 1409 00a4 3B .uleb128 0x3b + 1410 00a5 0B .uleb128 0xb + 1411 00a6 49 .uleb128 0x49 + 1412 00a7 13 .uleb128 0x13 + 1413 00a8 02 .uleb128 0x2 + 1414 00a9 06 .uleb128 0x6 + 1415 00aa 00 .byte 0 + 1416 00ab 00 .byte 0 + 1417 00ac 0C .uleb128 0xc + 1418 00ad 34 .uleb128 0x34 + 1419 00ae 00 .byte 0 + 1420 00af 03 .uleb128 0x3 + 1421 00b0 08 .uleb128 0x8 + 1422 00b1 3A .uleb128 0x3a + 1423 00b2 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 36 + + + 1424 00b3 3B .uleb128 0x3b + 1425 00b4 0B .uleb128 0xb + 1426 00b5 49 .uleb128 0x49 + 1427 00b6 13 .uleb128 0x13 + 1428 00b7 02 .uleb128 0x2 + 1429 00b8 06 .uleb128 0x6 + 1430 00b9 00 .byte 0 + 1431 00ba 00 .byte 0 + 1432 00bb 0D .uleb128 0xd + 1433 00bc 898201 .uleb128 0x4109 + 1434 00bf 01 .byte 0x1 + 1435 00c0 11 .uleb128 0x11 + 1436 00c1 01 .uleb128 0x1 + 1437 00c2 31 .uleb128 0x31 + 1438 00c3 13 .uleb128 0x13 + 1439 00c4 00 .byte 0 + 1440 00c5 00 .byte 0 + 1441 00c6 0E .uleb128 0xe + 1442 00c7 8A8201 .uleb128 0x410a + 1443 00ca 00 .byte 0 + 1444 00cb 02 .uleb128 0x2 + 1445 00cc 0A .uleb128 0xa + 1446 00cd 9142 .uleb128 0x2111 + 1447 00cf 0A .uleb128 0xa + 1448 00d0 00 .byte 0 + 1449 00d1 00 .byte 0 + 1450 00d2 0F .uleb128 0xf + 1451 00d3 0F .uleb128 0xf + 1452 00d4 00 .byte 0 + 1453 00d5 0B .uleb128 0xb + 1454 00d6 0B .uleb128 0xb + 1455 00d7 49 .uleb128 0x49 + 1456 00d8 13 .uleb128 0x13 + 1457 00d9 00 .byte 0 + 1458 00da 00 .byte 0 + 1459 00db 10 .uleb128 0x10 + 1460 00dc 05 .uleb128 0x5 + 1461 00dd 00 .byte 0 + 1462 00de 03 .uleb128 0x3 + 1463 00df 0E .uleb128 0xe + 1464 00e0 3A .uleb128 0x3a + 1465 00e1 0B .uleb128 0xb + 1466 00e2 3B .uleb128 0x3b + 1467 00e3 0B .uleb128 0xb + 1468 00e4 49 .uleb128 0x49 + 1469 00e5 13 .uleb128 0x13 + 1470 00e6 02 .uleb128 0x2 + 1471 00e7 0A .uleb128 0xa + 1472 00e8 00 .byte 0 + 1473 00e9 00 .byte 0 + 1474 00ea 11 .uleb128 0x11 + 1475 00eb 26 .uleb128 0x26 + 1476 00ec 00 .byte 0 + 1477 00ed 49 .uleb128 0x49 + 1478 00ee 13 .uleb128 0x13 + 1479 00ef 00 .byte 0 + 1480 00f0 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 37 + + + 1481 00f1 12 .uleb128 0x12 + 1482 00f2 34 .uleb128 0x34 + 1483 00f3 00 .byte 0 + 1484 00f4 03 .uleb128 0x3 + 1485 00f5 08 .uleb128 0x8 + 1486 00f6 3A .uleb128 0x3a + 1487 00f7 0B .uleb128 0xb + 1488 00f8 3B .uleb128 0x3b + 1489 00f9 05 .uleb128 0x5 + 1490 00fa 49 .uleb128 0x49 + 1491 00fb 13 .uleb128 0x13 + 1492 00fc 00 .byte 0 + 1493 00fd 00 .byte 0 + 1494 00fe 13 .uleb128 0x13 + 1495 00ff 2E .uleb128 0x2e + 1496 0100 01 .byte 0x1 + 1497 0101 3F .uleb128 0x3f + 1498 0102 0C .uleb128 0xc + 1499 0103 03 .uleb128 0x3 + 1500 0104 0E .uleb128 0xe + 1501 0105 3A .uleb128 0x3a + 1502 0106 0B .uleb128 0xb + 1503 0107 3B .uleb128 0x3b + 1504 0108 05 .uleb128 0x5 + 1505 0109 27 .uleb128 0x27 + 1506 010a 0C .uleb128 0xc + 1507 010b 49 .uleb128 0x49 + 1508 010c 13 .uleb128 0x13 + 1509 010d 11 .uleb128 0x11 + 1510 010e 01 .uleb128 0x1 + 1511 010f 12 .uleb128 0x12 + 1512 0110 01 .uleb128 0x1 + 1513 0111 40 .uleb128 0x40 + 1514 0112 06 .uleb128 0x6 + 1515 0113 9742 .uleb128 0x2117 + 1516 0115 0C .uleb128 0xc + 1517 0116 01 .uleb128 0x1 + 1518 0117 13 .uleb128 0x13 + 1519 0118 00 .byte 0 + 1520 0119 00 .byte 0 + 1521 011a 14 .uleb128 0x14 + 1522 011b 05 .uleb128 0x5 + 1523 011c 00 .byte 0 + 1524 011d 03 .uleb128 0x3 + 1525 011e 0E .uleb128 0xe + 1526 011f 3A .uleb128 0x3a + 1527 0120 0B .uleb128 0xb + 1528 0121 3B .uleb128 0x3b + 1529 0122 05 .uleb128 0x5 + 1530 0123 49 .uleb128 0x49 + 1531 0124 13 .uleb128 0x13 + 1532 0125 02 .uleb128 0x2 + 1533 0126 06 .uleb128 0x6 + 1534 0127 00 .byte 0 + 1535 0128 00 .byte 0 + 1536 0129 15 .uleb128 0x15 + 1537 012a 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 38 + + + 1538 012b 00 .byte 0 + 1539 012c 03 .uleb128 0x3 + 1540 012d 0E .uleb128 0xe + 1541 012e 3A .uleb128 0x3a + 1542 012f 0B .uleb128 0xb + 1543 0130 3B .uleb128 0x3b + 1544 0131 05 .uleb128 0x5 + 1545 0132 49 .uleb128 0x49 + 1546 0133 13 .uleb128 0x13 + 1547 0134 02 .uleb128 0x2 + 1548 0135 0A .uleb128 0xa + 1549 0136 00 .byte 0 + 1550 0137 00 .byte 0 + 1551 0138 16 .uleb128 0x16 + 1552 0139 34 .uleb128 0x34 + 1553 013a 00 .byte 0 + 1554 013b 03 .uleb128 0x3 + 1555 013c 0E .uleb128 0xe + 1556 013d 3A .uleb128 0x3a + 1557 013e 0B .uleb128 0xb + 1558 013f 3B .uleb128 0x3b + 1559 0140 05 .uleb128 0x5 + 1560 0141 49 .uleb128 0x49 + 1561 0142 13 .uleb128 0x13 + 1562 0143 02 .uleb128 0x2 + 1563 0144 06 .uleb128 0x6 + 1564 0145 00 .byte 0 + 1565 0146 00 .byte 0 + 1566 0147 17 .uleb128 0x17 + 1567 0148 2E .uleb128 0x2e + 1568 0149 01 .byte 0x1 + 1569 014a 3F .uleb128 0x3f + 1570 014b 0C .uleb128 0xc + 1571 014c 03 .uleb128 0x3 + 1572 014d 0E .uleb128 0xe + 1573 014e 3A .uleb128 0x3a + 1574 014f 0B .uleb128 0xb + 1575 0150 3B .uleb128 0x3b + 1576 0151 05 .uleb128 0x5 + 1577 0152 27 .uleb128 0x27 + 1578 0153 0C .uleb128 0xc + 1579 0154 49 .uleb128 0x49 + 1580 0155 13 .uleb128 0x13 + 1581 0156 11 .uleb128 0x11 + 1582 0157 01 .uleb128 0x1 + 1583 0158 12 .uleb128 0x12 + 1584 0159 01 .uleb128 0x1 + 1585 015a 40 .uleb128 0x40 + 1586 015b 0A .uleb128 0xa + 1587 015c 9742 .uleb128 0x2117 + 1588 015e 0C .uleb128 0xc + 1589 015f 01 .uleb128 0x1 + 1590 0160 13 .uleb128 0x13 + 1591 0161 00 .byte 0 + 1592 0162 00 .byte 0 + 1593 0163 18 .uleb128 0x18 + 1594 0164 2E .uleb128 0x2e + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 39 + + + 1595 0165 01 .byte 0x1 + 1596 0166 3F .uleb128 0x3f + 1597 0167 0C .uleb128 0xc + 1598 0168 03 .uleb128 0x3 + 1599 0169 0E .uleb128 0xe + 1600 016a 3A .uleb128 0x3a + 1601 016b 0B .uleb128 0xb + 1602 016c 3B .uleb128 0x3b + 1603 016d 05 .uleb128 0x5 + 1604 016e 27 .uleb128 0x27 + 1605 016f 0C .uleb128 0xc + 1606 0170 11 .uleb128 0x11 + 1607 0171 01 .uleb128 0x1 + 1608 0172 12 .uleb128 0x12 + 1609 0173 01 .uleb128 0x1 + 1610 0174 40 .uleb128 0x40 + 1611 0175 06 .uleb128 0x6 + 1612 0176 9742 .uleb128 0x2117 + 1613 0178 0C .uleb128 0xc + 1614 0179 01 .uleb128 0x1 + 1615 017a 13 .uleb128 0x13 + 1616 017b 00 .byte 0 + 1617 017c 00 .byte 0 + 1618 017d 19 .uleb128 0x19 + 1619 017e 34 .uleb128 0x34 + 1620 017f 00 .byte 0 + 1621 0180 03 .uleb128 0x3 + 1622 0181 0E .uleb128 0xe + 1623 0182 3A .uleb128 0x3a + 1624 0183 0B .uleb128 0xb + 1625 0184 3B .uleb128 0x3b + 1626 0185 0B .uleb128 0xb + 1627 0186 49 .uleb128 0x49 + 1628 0187 13 .uleb128 0x13 + 1629 0188 02 .uleb128 0x2 + 1630 0189 0A .uleb128 0xa + 1631 018a 00 .byte 0 + 1632 018b 00 .byte 0 + 1633 018c 1A .uleb128 0x1a + 1634 018d 34 .uleb128 0x34 + 1635 018e 00 .byte 0 + 1636 018f 03 .uleb128 0x3 + 1637 0190 0E .uleb128 0xe + 1638 0191 3A .uleb128 0x3a + 1639 0192 0B .uleb128 0xb + 1640 0193 3B .uleb128 0x3b + 1641 0194 0B .uleb128 0xb + 1642 0195 49 .uleb128 0x49 + 1643 0196 13 .uleb128 0x13 + 1644 0197 3F .uleb128 0x3f + 1645 0198 0C .uleb128 0xc + 1646 0199 02 .uleb128 0x2 + 1647 019a 0A .uleb128 0xa + 1648 019b 00 .byte 0 + 1649 019c 00 .byte 0 + 1650 019d 1B .uleb128 0x1b + 1651 019e 2E .uleb128 0x2e + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 40 + + + 1652 019f 00 .byte 0 + 1653 01a0 3F .uleb128 0x3f + 1654 01a1 0C .uleb128 0xc + 1655 01a2 03 .uleb128 0x3 + 1656 01a3 0E .uleb128 0xe + 1657 01a4 3A .uleb128 0x3a + 1658 01a5 0B .uleb128 0xb + 1659 01a6 3B .uleb128 0x3b + 1660 01a7 0B .uleb128 0xb + 1661 01a8 27 .uleb128 0x27 + 1662 01a9 0C .uleb128 0xc + 1663 01aa 49 .uleb128 0x49 + 1664 01ab 13 .uleb128 0x13 + 1665 01ac 3C .uleb128 0x3c + 1666 01ad 0C .uleb128 0xc + 1667 01ae 00 .byte 0 + 1668 01af 00 .byte 0 + 1669 01b0 1C .uleb128 0x1c + 1670 01b1 2E .uleb128 0x2e + 1671 01b2 01 .byte 0x1 + 1672 01b3 3F .uleb128 0x3f + 1673 01b4 0C .uleb128 0xc + 1674 01b5 03 .uleb128 0x3 + 1675 01b6 0E .uleb128 0xe + 1676 01b7 3A .uleb128 0x3a + 1677 01b8 0B .uleb128 0xb + 1678 01b9 3B .uleb128 0x3b + 1679 01ba 0B .uleb128 0xb + 1680 01bb 27 .uleb128 0x27 + 1681 01bc 0C .uleb128 0xc + 1682 01bd 3C .uleb128 0x3c + 1683 01be 0C .uleb128 0xc + 1684 01bf 01 .uleb128 0x1 + 1685 01c0 13 .uleb128 0x13 + 1686 01c1 00 .byte 0 + 1687 01c2 00 .byte 0 + 1688 01c3 1D .uleb128 0x1d + 1689 01c4 05 .uleb128 0x5 + 1690 01c5 00 .byte 0 + 1691 01c6 49 .uleb128 0x49 + 1692 01c7 13 .uleb128 0x13 + 1693 01c8 00 .byte 0 + 1694 01c9 00 .byte 0 + 1695 01ca 1E .uleb128 0x1e + 1696 01cb 2E .uleb128 0x2e + 1697 01cc 01 .byte 0x1 + 1698 01cd 3F .uleb128 0x3f + 1699 01ce 0C .uleb128 0xc + 1700 01cf 03 .uleb128 0x3 + 1701 01d0 0E .uleb128 0xe + 1702 01d1 3A .uleb128 0x3a + 1703 01d2 0B .uleb128 0xb + 1704 01d3 3B .uleb128 0x3b + 1705 01d4 0B .uleb128 0xb + 1706 01d5 27 .uleb128 0x27 + 1707 01d6 0C .uleb128 0xc + 1708 01d7 3C .uleb128 0x3c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 41 + + + 1709 01d8 0C .uleb128 0xc + 1710 01d9 00 .byte 0 + 1711 01da 00 .byte 0 + 1712 01db 00 .byte 0 + 1713 .section .debug_loc,"",%progbits + 1714 .Ldebug_loc0: + 1715 .LLST0: + 1716 0000 00000000 .4byte .LFB0 + 1717 0004 02000000 .4byte .LCFI0 + 1718 0008 0200 .2byte 0x2 + 1719 000a 7D .byte 0x7d + 1720 000b 00 .sleb128 0 + 1721 000c 02000000 .4byte .LCFI0 + 1722 0010 24000000 .4byte .LFE0 + 1723 0014 0200 .2byte 0x2 + 1724 0016 7D .byte 0x7d + 1725 0017 08 .sleb128 8 + 1726 0018 00000000 .4byte 0 + 1727 001c 00000000 .4byte 0 + 1728 .LLST1: + 1729 0020 06000000 .4byte .LVL0 + 1730 0024 1F000000 .4byte .LVL1-1 + 1731 0028 0100 .2byte 0x1 + 1732 002a 50 .byte 0x50 + 1733 002b 00000000 .4byte 0 + 1734 002f 00000000 .4byte 0 + 1735 .LLST2: + 1736 0033 00000000 .4byte .LFB1 + 1737 0037 02000000 .4byte .LCFI1 + 1738 003b 0200 .2byte 0x2 + 1739 003d 7D .byte 0x7d + 1740 003e 00 .sleb128 0 + 1741 003f 02000000 .4byte .LCFI1 + 1742 0043 24000000 .4byte .LFE1 + 1743 0047 0200 .2byte 0x2 + 1744 0049 7D .byte 0x7d + 1745 004a 08 .sleb128 8 + 1746 004b 00000000 .4byte 0 + 1747 004f 00000000 .4byte 0 + 1748 .LLST3: + 1749 0053 06000000 .4byte .LVL2 + 1750 0057 1F000000 .4byte .LVL3-1 + 1751 005b 0100 .2byte 0x1 + 1752 005d 50 .byte 0x50 + 1753 005e 00000000 .4byte 0 + 1754 0062 00000000 .4byte 0 + 1755 .LLST4: + 1756 0066 00000000 .4byte .LFB2 + 1757 006a 02000000 .4byte .LCFI2 + 1758 006e 0200 .2byte 0x2 + 1759 0070 7D .byte 0x7d + 1760 0071 00 .sleb128 0 + 1761 0072 02000000 .4byte .LCFI2 + 1762 0076 34000000 .4byte .LFE2 + 1763 007a 0200 .2byte 0x2 + 1764 007c 7D .byte 0x7d + 1765 007d 10 .sleb128 16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 42 + + + 1766 007e 00000000 .4byte 0 + 1767 0082 00000000 .4byte 0 + 1768 .LLST5: + 1769 0086 00000000 .4byte .LVL4 + 1770 008a 08000000 .4byte .LVL5 + 1771 008e 0100 .2byte 0x1 + 1772 0090 50 .byte 0x50 + 1773 0091 08000000 .4byte .LVL5 + 1774 0095 34000000 .4byte .LFE2 + 1775 0099 0100 .2byte 0x1 + 1776 009b 56 .byte 0x56 + 1777 009c 00000000 .4byte 0 + 1778 00a0 00000000 .4byte 0 + 1779 .LLST6: + 1780 00a4 00000000 .4byte .LVL4 + 1781 00a8 08000000 .4byte .LVL5 + 1782 00ac 0100 .2byte 0x1 + 1783 00ae 51 .byte 0x51 + 1784 00af 08000000 .4byte .LVL5 + 1785 00b3 34000000 .4byte .LFE2 + 1786 00b7 0400 .2byte 0x4 + 1787 00b9 F3 .byte 0xf3 + 1788 00ba 01 .uleb128 0x1 + 1789 00bb 51 .byte 0x51 + 1790 00bc 9F .byte 0x9f + 1791 00bd 00000000 .4byte 0 + 1792 00c1 00000000 .4byte 0 + 1793 .LLST7: + 1794 00c5 00000000 .4byte .LVL4 + 1795 00c9 08000000 .4byte .LVL5 + 1796 00cd 0200 .2byte 0x2 + 1797 00cf 30 .byte 0x30 + 1798 00d0 9F .byte 0x9f + 1799 00d1 00000000 .4byte 0 + 1800 00d5 00000000 .4byte 0 + 1801 .LLST8: + 1802 00d9 00000000 .4byte .LFB3 + 1803 00dd 04000000 .4byte .LCFI3 + 1804 00e1 0200 .2byte 0x2 + 1805 00e3 7D .byte 0x7d + 1806 00e4 00 .sleb128 0 + 1807 00e5 04000000 .4byte .LCFI3 + 1808 00e9 74000000 .4byte .LFE3 + 1809 00ed 0200 .2byte 0x2 + 1810 00ef 7D .byte 0x7d + 1811 00f0 10 .sleb128 16 + 1812 00f1 00000000 .4byte 0 + 1813 00f5 00000000 .4byte 0 + 1814 .LLST9: + 1815 00f9 00000000 .4byte .LVL7 + 1816 00fd 3C000000 .4byte .LVL8 + 1817 0101 0100 .2byte 0x1 + 1818 0103 50 .byte 0x50 + 1819 0104 3C000000 .4byte .LVL8 + 1820 0108 4A000000 .4byte .LVL11 + 1821 010c 0200 .2byte 0x2 + 1822 010e 74 .byte 0x74 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 43 + + + 1823 010f 00 .sleb128 0 + 1824 0110 4A000000 .4byte .LVL11 + 1825 0114 54000000 .4byte .LVL12 + 1826 0118 0500 .2byte 0x5 + 1827 011a 0C .byte 0xc + 1828 011b 20470040 .4byte 0x40004720 + 1829 011f 54000000 .4byte .LVL12 + 1830 0123 5A000000 .4byte .LVL13 + 1831 0127 0200 .2byte 0x2 + 1832 0129 70 .byte 0x70 + 1833 012a 00 .sleb128 0 + 1834 012b 5A000000 .4byte .LVL13 + 1835 012f 5E000000 .4byte .LVL14 + 1836 0133 0500 .2byte 0x5 + 1837 0135 0C .byte 0xc + 1838 0136 20470040 .4byte 0x40004720 + 1839 013a 5E000000 .4byte .LVL14 + 1840 013e 60000000 .4byte .LVL15 + 1841 0142 0100 .2byte 0x1 + 1842 0144 50 .byte 0x50 + 1843 0145 60000000 .4byte .LVL15 + 1844 0149 62000000 .4byte .LVL16 + 1845 014d 0400 .2byte 0x4 + 1846 014f F3 .byte 0xf3 + 1847 0150 01 .uleb128 0x1 + 1848 0151 50 .byte 0x50 + 1849 0152 9F .byte 0x9f + 1850 0153 62000000 .4byte .LVL16 + 1851 0157 64000000 .4byte .LVL17 + 1852 015b 0100 .2byte 0x1 + 1853 015d 50 .byte 0x50 + 1854 015e 64000000 .4byte .LVL17 + 1855 0162 66000000 .4byte .LVL18 + 1856 0166 0400 .2byte 0x4 + 1857 0168 F3 .byte 0xf3 + 1858 0169 01 .uleb128 0x1 + 1859 016a 50 .byte 0x50 + 1860 016b 9F .byte 0x9f + 1861 016c 66000000 .4byte .LVL18 + 1862 0170 68000000 .4byte .LVL19 + 1863 0174 0100 .2byte 0x1 + 1864 0176 50 .byte 0x50 + 1865 0177 68000000 .4byte .LVL19 + 1866 017b 74000000 .4byte .LFE3 + 1867 017f 0400 .2byte 0x4 + 1868 0181 F3 .byte 0xf3 + 1869 0182 01 .uleb128 0x1 + 1870 0183 50 .byte 0x50 + 1871 0184 9F .byte 0x9f + 1872 0185 00000000 .4byte 0 + 1873 0189 00000000 .4byte 0 + 1874 .LLST10: + 1875 018d 00000000 .4byte .LVL7 + 1876 0191 40000000 .4byte .LVL9 + 1877 0195 0100 .2byte 0x1 + 1878 0197 51 .byte 0x51 + 1879 0198 40000000 .4byte .LVL9 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 44 + + + 1880 019c 5E000000 .4byte .LVL14 + 1881 01a0 0400 .2byte 0x4 + 1882 01a2 F3 .byte 0xf3 + 1883 01a3 01 .uleb128 0x1 + 1884 01a4 51 .byte 0x51 + 1885 01a5 9F .byte 0x9f + 1886 01a6 5E000000 .4byte .LVL14 + 1887 01aa 74000000 .4byte .LFE3 + 1888 01ae 0100 .2byte 0x1 + 1889 01b0 51 .byte 0x51 + 1890 01b1 00000000 .4byte 0 + 1891 01b5 00000000 .4byte 0 + 1892 .LLST11: + 1893 01b9 00000000 .4byte .LVL7 + 1894 01bd 68000000 .4byte .LVL19 + 1895 01c1 0200 .2byte 0x2 + 1896 01c3 37 .byte 0x37 + 1897 01c4 9F .byte 0x9f + 1898 01c5 68000000 .4byte .LVL19 + 1899 01c9 74000000 .4byte .LFE3 + 1900 01cd 0100 .2byte 0x1 + 1901 01cf 50 .byte 0x50 + 1902 01d0 00000000 .4byte 0 + 1903 01d4 00000000 .4byte 0 + 1904 .LLST12: + 1905 01d8 48000000 .4byte .LVL10 + 1906 01dc 4A000000 .4byte .LVL11 + 1907 01e0 0200 .2byte 0x2 + 1908 01e2 30 .byte 0x30 + 1909 01e3 9F .byte 0x9f + 1910 01e4 00000000 .4byte 0 + 1911 01e8 00000000 .4byte 0 + 1912 .LLST13: + 1913 01ec 00000000 .4byte .LFB4 + 1914 01f0 02000000 .4byte .LCFI4 + 1915 01f4 0200 .2byte 0x2 + 1916 01f6 7D .byte 0x7d + 1917 01f7 00 .sleb128 0 + 1918 01f8 02000000 .4byte .LCFI4 + 1919 01fc 4C000000 .4byte .LFE4 + 1920 0200 0200 .2byte 0x2 + 1921 0202 7D .byte 0x7d + 1922 0203 0C .sleb128 12 + 1923 0204 00000000 .4byte 0 + 1924 0208 00000000 .4byte 0 + 1925 .LLST14: + 1926 020c 00000000 .4byte .LVL20 + 1927 0210 26000000 .4byte .LVL21 + 1928 0214 0100 .2byte 0x1 + 1929 0216 50 .byte 0x50 + 1930 0217 26000000 .4byte .LVL21 + 1931 021b 30000000 .4byte .LVL22 + 1932 021f 0500 .2byte 0x5 + 1933 0221 0C .byte 0xc + 1934 0222 20470040 .4byte 0x40004720 + 1935 0226 30000000 .4byte .LVL22 + 1936 022a 36000000 .4byte .LVL23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 45 + + + 1937 022e 0200 .2byte 0x2 + 1938 0230 70 .byte 0x70 + 1939 0231 00 .sleb128 0 + 1940 0232 36000000 .4byte .LVL23 + 1941 0236 3A000000 .4byte .LVL24 + 1942 023a 0500 .2byte 0x5 + 1943 023c 0C .byte 0xc + 1944 023d 20470040 .4byte 0x40004720 + 1945 0241 3A000000 .4byte .LVL24 + 1946 0245 3C000000 .4byte .LVL25 + 1947 0249 0100 .2byte 0x1 + 1948 024b 50 .byte 0x50 + 1949 024c 3C000000 .4byte .LVL25 + 1950 0250 3E000000 .4byte .LVL26 + 1951 0254 0400 .2byte 0x4 + 1952 0256 F3 .byte 0xf3 + 1953 0257 01 .uleb128 0x1 + 1954 0258 50 .byte 0x50 + 1955 0259 9F .byte 0x9f + 1956 025a 3E000000 .4byte .LVL26 + 1957 025e 40000000 .4byte .LVL27 + 1958 0262 0100 .2byte 0x1 + 1959 0264 50 .byte 0x50 + 1960 0265 40000000 .4byte .LVL27 + 1961 0269 4C000000 .4byte .LFE4 + 1962 026d 0400 .2byte 0x4 + 1963 026f F3 .byte 0xf3 + 1964 0270 01 .uleb128 0x1 + 1965 0271 50 .byte 0x50 + 1966 0272 9F .byte 0x9f + 1967 0273 00000000 .4byte 0 + 1968 0277 00000000 .4byte 0 + 1969 .LLST15: + 1970 027b 00000000 .4byte .LVL20 + 1971 027f 40000000 .4byte .LVL27 + 1972 0283 0200 .2byte 0x2 + 1973 0285 37 .byte 0x37 + 1974 0286 9F .byte 0x9f + 1975 0287 40000000 .4byte .LVL27 + 1976 028b 4C000000 .4byte .LFE4 + 1977 028f 0100 .2byte 0x1 + 1978 0291 50 .byte 0x50 + 1979 0292 00000000 .4byte 0 + 1980 0296 00000000 .4byte 0 + 1981 .LLST16: + 1982 029a 00000000 .4byte .LFB5 + 1983 029e 02000000 .4byte .LCFI5 + 1984 02a2 0200 .2byte 0x2 + 1985 02a4 7D .byte 0x7d + 1986 02a5 00 .sleb128 0 + 1987 02a6 02000000 .4byte .LCFI5 + 1988 02aa 48000000 .4byte .LFE5 + 1989 02ae 0200 .2byte 0x2 + 1990 02b0 7D .byte 0x7d + 1991 02b1 10 .sleb128 16 + 1992 02b2 00000000 .4byte 0 + 1993 02b6 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 46 + + + 1994 .LLST17: + 1995 02ba 00000000 .4byte .LVL28 + 1996 02be 2A000000 .4byte .LVL29 + 1997 02c2 0100 .2byte 0x1 + 1998 02c4 50 .byte 0x50 + 1999 02c5 2A000000 .4byte .LVL29 + 2000 02c9 38000000 .4byte .LVL31 + 2001 02cd 0200 .2byte 0x2 + 2002 02cf 74 .byte 0x74 + 2003 02d0 00 .sleb128 0 + 2004 02d1 38000000 .4byte .LVL31 + 2005 02d5 3A000000 .4byte .LVL32 + 2006 02d9 0100 .2byte 0x1 + 2007 02db 50 .byte 0x50 + 2008 02dc 3A000000 .4byte .LVL32 + 2009 02e0 3C000000 .4byte .LVL33 + 2010 02e4 0400 .2byte 0x4 + 2011 02e6 F3 .byte 0xf3 + 2012 02e7 01 .uleb128 0x1 + 2013 02e8 50 .byte 0x50 + 2014 02e9 9F .byte 0x9f + 2015 02ea 3C000000 .4byte .LVL33 + 2016 02ee 3E000000 .4byte .LVL34 + 2017 02f2 0100 .2byte 0x1 + 2018 02f4 50 .byte 0x50 + 2019 02f5 3E000000 .4byte .LVL34 + 2020 02f9 48000000 .4byte .LFE5 + 2021 02fd 0400 .2byte 0x4 + 2022 02ff F3 .byte 0xf3 + 2023 0300 01 .uleb128 0x1 + 2024 0301 50 .byte 0x50 + 2025 0302 9F .byte 0x9f + 2026 0303 00000000 .4byte 0 + 2027 0307 00000000 .4byte 0 + 2028 .LLST18: + 2029 030b 00000000 .4byte .LVL28 + 2030 030f 2C000000 .4byte .LVL30 + 2031 0313 0100 .2byte 0x1 + 2032 0315 51 .byte 0x51 + 2033 0316 2C000000 .4byte .LVL30 + 2034 031a 38000000 .4byte .LVL31 + 2035 031e 0400 .2byte 0x4 + 2036 0320 F3 .byte 0xf3 + 2037 0321 01 .uleb128 0x1 + 2038 0322 51 .byte 0x51 + 2039 0323 9F .byte 0x9f + 2040 0324 38000000 .4byte .LVL31 + 2041 0328 48000000 .4byte .LFE5 + 2042 032c 0100 .2byte 0x1 + 2043 032e 51 .byte 0x51 + 2044 032f 00000000 .4byte 0 + 2045 0333 00000000 .4byte 0 + 2046 .LLST19: + 2047 0337 00000000 .4byte .LVL28 + 2048 033b 3E000000 .4byte .LVL34 + 2049 033f 0200 .2byte 0x2 + 2050 0341 37 .byte 0x37 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 47 + + + 2051 0342 9F .byte 0x9f + 2052 0343 3E000000 .4byte .LVL34 + 2053 0347 48000000 .4byte .LFE5 + 2054 034b 0100 .2byte 0x1 + 2055 034d 50 .byte 0x50 + 2056 034e 00000000 .4byte 0 + 2057 0352 00000000 .4byte 0 + 2058 .LLST20: + 2059 0356 00000000 .4byte .LFB6 + 2060 035a 02000000 .4byte .LCFI6 + 2061 035e 0200 .2byte 0x2 + 2062 0360 7D .byte 0x7d + 2063 0361 00 .sleb128 0 + 2064 0362 02000000 .4byte .LCFI6 + 2065 0366 40000000 .4byte .LFE6 + 2066 036a 0200 .2byte 0x2 + 2067 036c 7D .byte 0x7d + 2068 036d 08 .sleb128 8 + 2069 036e 00000000 .4byte 0 + 2070 0372 00000000 .4byte 0 + 2071 .LLST21: + 2072 0376 00000000 .4byte .LVL35 + 2073 037a 2C000000 .4byte .LVL36 + 2074 037e 0100 .2byte 0x1 + 2075 0380 50 .byte 0x50 + 2076 0381 2C000000 .4byte .LVL36 + 2077 0385 2E000000 .4byte .LVL37 + 2078 0389 0200 .2byte 0x2 + 2079 038b 73 .byte 0x73 + 2080 038c 00 .sleb128 0 + 2081 038d 2E000000 .4byte .LVL37 + 2082 0391 30000000 .4byte .LVL38 + 2083 0395 0100 .2byte 0x1 + 2084 0397 50 .byte 0x50 + 2085 0398 30000000 .4byte .LVL38 + 2086 039c 32000000 .4byte .LVL39 + 2087 03a0 0400 .2byte 0x4 + 2088 03a2 F3 .byte 0xf3 + 2089 03a3 01 .uleb128 0x1 + 2090 03a4 50 .byte 0x50 + 2091 03a5 9F .byte 0x9f + 2092 03a6 32000000 .4byte .LVL39 + 2093 03aa 34000000 .4byte .LVL40 + 2094 03ae 0100 .2byte 0x1 + 2095 03b0 50 .byte 0x50 + 2096 03b1 34000000 .4byte .LVL40 + 2097 03b5 40000000 .4byte .LFE6 + 2098 03b9 0400 .2byte 0x4 + 2099 03bb F3 .byte 0xf3 + 2100 03bc 01 .uleb128 0x1 + 2101 03bd 50 .byte 0x50 + 2102 03be 9F .byte 0x9f + 2103 03bf 00000000 .4byte 0 + 2104 03c3 00000000 .4byte 0 + 2105 .LLST22: + 2106 03c7 00000000 .4byte .LVL35 + 2107 03cb 34000000 .4byte .LVL40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 48 + + + 2108 03cf 0200 .2byte 0x2 + 2109 03d1 37 .byte 0x37 + 2110 03d2 9F .byte 0x9f + 2111 03d3 34000000 .4byte .LVL40 + 2112 03d7 40000000 .4byte .LFE6 + 2113 03db 0100 .2byte 0x1 + 2114 03dd 50 .byte 0x50 + 2115 03de 00000000 .4byte 0 + 2116 03e2 00000000 .4byte 0 + 2117 .LLST23: + 2118 03e6 00000000 .4byte .LVL41 + 2119 03ea 28000000 .4byte .LVL42 + 2120 03ee 0100 .2byte 0x1 + 2121 03f0 50 .byte 0x50 + 2122 03f1 28000000 .4byte .LVL42 + 2123 03f5 2A000000 .4byte .LVL43 + 2124 03f9 0200 .2byte 0x2 + 2125 03fb 73 .byte 0x73 + 2126 03fc 00 .sleb128 0 + 2127 03fd 2A000000 .4byte .LVL43 + 2128 0401 2C000000 .4byte .LVL44 + 2129 0405 0100 .2byte 0x1 + 2130 0407 50 .byte 0x50 + 2131 0408 2C000000 .4byte .LVL44 + 2132 040c 2E000000 .4byte .LVL45 + 2133 0410 0400 .2byte 0x4 + 2134 0412 F3 .byte 0xf3 + 2135 0413 01 .uleb128 0x1 + 2136 0414 50 .byte 0x50 + 2137 0415 9F .byte 0x9f + 2138 0416 2E000000 .4byte .LVL45 + 2139 041a 30000000 .4byte .LVL46 + 2140 041e 0100 .2byte 0x1 + 2141 0420 50 .byte 0x50 + 2142 0421 30000000 .4byte .LVL46 + 2143 0425 3C000000 .4byte .LFE7 + 2144 0429 0400 .2byte 0x4 + 2145 042b F3 .byte 0xf3 + 2146 042c 01 .uleb128 0x1 + 2147 042d 50 .byte 0x50 + 2148 042e 9F .byte 0x9f + 2149 042f 00000000 .4byte 0 + 2150 0433 00000000 .4byte 0 + 2151 .LLST24: + 2152 0437 00000000 .4byte .LVL41 + 2153 043b 30000000 .4byte .LVL46 + 2154 043f 0200 .2byte 0x2 + 2155 0441 37 .byte 0x37 + 2156 0442 9F .byte 0x9f + 2157 0443 30000000 .4byte .LVL46 + 2158 0447 3C000000 .4byte .LFE7 + 2159 044b 0100 .2byte 0x1 + 2160 044d 50 .byte 0x50 + 2161 044e 00000000 .4byte 0 + 2162 0452 00000000 .4byte 0 + 2163 .LLST25: + 2164 0456 00000000 .4byte .LFB8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 49 + + + 2165 045a 02000000 .4byte .LCFI7 + 2166 045e 0200 .2byte 0x2 + 2167 0460 7D .byte 0x7d + 2168 0461 00 .sleb128 0 + 2169 0462 02000000 .4byte .LCFI7 + 2170 0466 40000000 .4byte .LFE8 + 2171 046a 0200 .2byte 0x2 + 2172 046c 7D .byte 0x7d + 2173 046d 10 .sleb128 16 + 2174 046e 00000000 .4byte 0 + 2175 0472 00000000 .4byte 0 + 2176 .LLST26: + 2177 0476 00000000 .4byte .LVL47 + 2178 047a 12000000 .4byte .LVL49 + 2179 047e 0200 .2byte 0x2 + 2180 0480 34 .byte 0x34 + 2181 0481 9F .byte 0x9f + 2182 0482 12000000 .4byte .LVL49 + 2183 0486 2C000000 .4byte .LVL50 + 2184 048a 0200 .2byte 0x2 + 2185 048c 30 .byte 0x30 + 2186 048d 9F .byte 0x9f + 2187 048e 2C000000 .4byte .LVL50 + 2188 0492 2E000000 .4byte .LVL51 + 2189 0496 0200 .2byte 0x2 + 2190 0498 34 .byte 0x34 + 2191 0499 9F .byte 0x9f + 2192 049a 2E000000 .4byte .LVL51 + 2193 049e 40000000 .4byte .LFE8 + 2194 04a2 0100 .2byte 0x1 + 2195 04a4 54 .byte 0x54 + 2196 04a5 00000000 .4byte 0 + 2197 04a9 00000000 .4byte 0 + 2198 .LLST27: + 2199 04ad 06000000 .4byte .LVL48 + 2200 04b1 31000000 .4byte .LVL52-1 + 2201 04b5 0100 .2byte 0x1 + 2202 04b7 50 .byte 0x50 + 2203 04b8 00000000 .4byte 0 + 2204 04bc 00000000 .4byte 0 + 2205 .LLST28: + 2206 04c0 00000000 .4byte .LFB9 + 2207 04c4 02000000 .4byte .LCFI8 + 2208 04c8 0200 .2byte 0x2 + 2209 04ca 7D .byte 0x7d + 2210 04cb 00 .sleb128 0 + 2211 04cc 02000000 .4byte .LCFI8 + 2212 04d0 34000000 .4byte .LFE9 + 2213 04d4 0200 .2byte 0x2 + 2214 04d6 7D .byte 0x7d + 2215 04d7 08 .sleb128 8 + 2216 04d8 00000000 .4byte 0 + 2217 04dc 00000000 .4byte 0 + 2218 .LLST29: + 2219 04e0 06000000 .4byte .LVL53 + 2220 04e4 2B000000 .4byte .LVL54-1 + 2221 04e8 0100 .2byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 50 + + + 2222 04ea 50 .byte 0x50 + 2223 04eb 00000000 .4byte 0 + 2224 04ef 00000000 .4byte 0 + 2225 .section .debug_aranges,"",%progbits + 2226 0000 64000000 .4byte 0x64 + 2227 0004 0200 .2byte 0x2 + 2228 0006 00000000 .4byte .Ldebug_info0 + 2229 000a 04 .byte 0x4 + 2230 000b 00 .byte 0 + 2231 000c 0000 .2byte 0 + 2232 000e 0000 .2byte 0 + 2233 0010 00000000 .4byte .LFB0 + 2234 0014 24000000 .4byte .LFE0-.LFB0 + 2235 0018 00000000 .4byte .LFB1 + 2236 001c 24000000 .4byte .LFE1-.LFB1 + 2237 0020 00000000 .4byte .LFB2 + 2238 0024 34000000 .4byte .LFE2-.LFB2 + 2239 0028 00000000 .4byte .LFB3 + 2240 002c 74000000 .4byte .LFE3-.LFB3 + 2241 0030 00000000 .4byte .LFB4 + 2242 0034 4C000000 .4byte .LFE4-.LFB4 + 2243 0038 00000000 .4byte .LFB5 + 2244 003c 48000000 .4byte .LFE5-.LFB5 + 2245 0040 00000000 .4byte .LFB6 + 2246 0044 40000000 .4byte .LFE6-.LFB6 + 2247 0048 00000000 .4byte .LFB7 + 2248 004c 3C000000 .4byte .LFE7-.LFB7 + 2249 0050 00000000 .4byte .LFB8 + 2250 0054 40000000 .4byte .LFE8-.LFB8 + 2251 0058 00000000 .4byte .LFB9 + 2252 005c 34000000 .4byte .LFE9-.LFB9 + 2253 0060 00000000 .4byte 0 + 2254 0064 00000000 .4byte 0 + 2255 .section .debug_ranges,"",%progbits + 2256 .Ldebug_ranges0: + 2257 0000 00000000 .4byte .LFB0 + 2258 0004 24000000 .4byte .LFE0 + 2259 0008 00000000 .4byte .LFB1 + 2260 000c 24000000 .4byte .LFE1 + 2261 0010 00000000 .4byte .LFB2 + 2262 0014 34000000 .4byte .LFE2 + 2263 0018 00000000 .4byte .LFB3 + 2264 001c 74000000 .4byte .LFE3 + 2265 0020 00000000 .4byte .LFB4 + 2266 0024 4C000000 .4byte .LFE4 + 2267 0028 00000000 .4byte .LFB5 + 2268 002c 48000000 .4byte .LFE5 + 2269 0030 00000000 .4byte .LFB6 + 2270 0034 40000000 .4byte .LFE6 + 2271 0038 00000000 .4byte .LFB7 + 2272 003c 3C000000 .4byte .LFE7 + 2273 0040 00000000 .4byte .LFB8 + 2274 0044 40000000 .4byte .LFE8 + 2275 0048 00000000 .4byte .LFB9 + 2276 004c 34000000 .4byte .LFE9 + 2277 0050 00000000 .4byte 0 + 2278 0054 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 51 + + + 2279 .section .debug_line,"",%progbits + 2280 .Ldebug_line0: + 2281 0000 B9010000 .section .debug_str,"MS",%progbits,1 + 2281 02004F00 + 2281 00000201 + 2281 FB0E0D00 + 2281 01010101 + 2282 .LASF25: + 2283 0000 43795370 .ascii "CySpcLoadMultiByte\000" + 2283 634C6F61 + 2283 644D756C + 2283 74694279 + 2283 746500 + 2284 .LASF15: + 2285 0013 63797374 .ascii "cystatus\000" + 2285 61747573 + 2285 00 + 2286 .LASF17: + 2287 001c 72656733 .ascii "reg32\000" + 2287 3200 + 2288 .LASF19: + 2289 0022 43795370 .ascii "CySpcStart\000" + 2289 63537461 + 2289 727400 + 2290 .LASF30: + 2291 002d 43795370 .ascii "CySpcWriteRow\000" + 2291 63577269 + 2291 7465526F + 2291 7700 + 2292 .LASF45: + 2293 003b 43794578 .ascii "CyExitCriticalSection\000" + 2293 69744372 + 2293 69746963 + 2293 616C5365 + 2293 6374696F + 2294 .LASF20: + 2295 0051 43795370 .ascii "CySpcStop\000" + 2295 6353746F + 2295 7000 + 2296 .LASF41: + 2297 005b 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\CySpc.c\000" + 2297 6E657261 + 2297 7465645F + 2297 536F7572 + 2297 63655C50 + 2298 .LASF3: + 2299 007c 73686F72 .ascii "short unsigned int\000" + 2299 7420756E + 2299 7369676E + 2299 65642069 + 2299 6E7400 + 2300 .LASF24: + 2301 008f 43795370 .ascii "CySpcReadData\000" + 2301 63526561 + 2301 64446174 + 2301 6100 + 2302 .LASF28: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 52 + + + 2303 009d 73746174 .ascii "status\000" + 2303 757300 + 2304 .LASF12: + 2305 00a4 666C6F61 .ascii "float\000" + 2305 7400 + 2306 .LASF36: + 2307 00aa 6E756D53 .ascii "numSamples\000" + 2307 616D706C + 2307 657300 + 2308 .LASF23: + 2309 00b5 73697A65 .ascii "size\000" + 2309 00 + 2310 .LASF16: + 2311 00ba 72656738 .ascii "reg8\000" + 2311 00 + 2312 .LASF21: + 2313 00bf 696E7465 .ascii "interruptState\000" + 2313 72727570 + 2313 74537461 + 2313 746500 + 2314 .LASF5: + 2315 00ce 6C6F6E67 .ascii "long unsigned int\000" + 2315 20756E73 + 2315 69676E65 + 2315 6420696E + 2315 7400 + 2316 .LASF9: + 2317 00e0 75696E74 .ascii "uint8\000" + 2317 3800 + 2318 .LASF43: + 2319 00e6 5370634C .ascii "SpcLockState\000" + 2319 6F636B53 + 2319 74617465 + 2319 00 + 2320 .LASF31: + 2321 00f3 74656D70 .ascii "tempPolarity\000" + 2321 506F6C61 + 2321 72697479 + 2321 00 + 2322 .LASF26: + 2323 0100 61727261 .ascii "array\000" + 2323 7900 + 2324 .LASF1: + 2325 0106 756E7369 .ascii "unsigned char\000" + 2325 676E6564 + 2325 20636861 + 2325 7200 + 2326 .LASF13: + 2327 0114 646F7562 .ascii "double\000" + 2327 6C6500 + 2328 .LASF35: + 2329 011b 43795370 .ascii "CySpcGetTemp\000" + 2329 63476574 + 2329 54656D70 + 2329 00 + 2330 .LASF10: + 2331 0128 75696E74 .ascii "uint16\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 53 + + + 2331 313600 + 2332 .LASF37: + 2333 012f 43795370 .ascii "CySpcLock\000" + 2333 634C6F63 + 2333 6B00 + 2334 .LASF11: + 2335 0139 75696E74 .ascii "uint32\000" + 2335 333200 + 2336 .LASF44: + 2337 0140 4379456E .ascii "CyEnterCriticalSection\000" + 2337 74657243 + 2337 72697469 + 2337 63616C53 + 2337 65637469 + 2338 .LASF8: + 2339 0157 756E7369 .ascii "unsigned int\000" + 2339 676E6564 + 2339 20696E74 + 2339 00 + 2340 .LASF27: + 2341 0164 61646472 .ascii "address\000" + 2341 65737300 + 2342 .LASF7: + 2343 016c 6C6F6E67 .ascii "long long unsigned int\000" + 2343 206C6F6E + 2343 6720756E + 2343 7369676E + 2343 65642069 + 2344 .LASF32: + 2345 0183 74656D70 .ascii "tempMagnitude\000" + 2345 4D61676E + 2345 69747564 + 2345 6500 + 2346 .LASF29: + 2347 0191 43795370 .ascii "CySpcLoadRow\000" + 2347 634C6F61 + 2347 64526F77 + 2347 00 + 2348 .LASF18: + 2349 019e 73697A65 .ascii "sizetype\000" + 2349 74797065 + 2349 00 + 2350 .LASF38: + 2351 01a7 43795370 .ascii "CySpcUnlock\000" + 2351 63556E6C + 2351 6F636B00 + 2352 .LASF33: + 2353 01b3 43795370 .ascii "CySpcEraseSector\000" + 2353 63457261 + 2353 73655365 + 2353 63746F72 + 2353 00 + 2354 .LASF6: + 2355 01c4 6C6F6E67 .ascii "long long int\000" + 2355 206C6F6E + 2355 6720696E + 2355 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccXJOjZh.s page 54 + + + 2356 .LASF46: + 2357 01d2 43794465 .ascii "CyDelayUs\000" + 2357 6C617955 + 2357 7300 + 2358 .LASF14: + 2359 01dc 63686172 .ascii "char\000" + 2359 00 + 2360 .LASF2: + 2361 01e1 73686F72 .ascii "short int\000" + 2361 7420696E + 2361 7400 + 2362 .LASF22: + 2363 01eb 62756666 .ascii "buffer\000" + 2363 657200 + 2364 .LASF34: + 2365 01f2 73656374 .ascii "sectorNumber\000" + 2365 6F724E75 + 2365 6D626572 + 2365 00 + 2366 .LASF42: + 2367 01ff 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 2367 43534932 + 2367 53445C55 + 2367 53425F42 + 2367 6F6F746C + 2368 .LASF4: + 2369 021f 6C6F6E67 .ascii "long int\000" + 2369 20696E74 + 2369 00 + 2370 .LASF39: + 2371 0228 73706357 .ascii "spcWaitPipeBypass\000" + 2371 61697450 + 2371 69706542 + 2371 79706173 + 2371 7300 + 2372 .LASF0: + 2373 023a 7369676E .ascii "signed char\000" + 2373 65642063 + 2373 68617200 + 2374 .LASF40: + 2375 0246 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 2375 4320342E + 2375 372E3320 + 2375 32303133 + 2375 30333132 + 2376 0279 616E6368 .ascii "anch revision 196615]\000" + 2376 20726576 + 2376 6973696F + 2376 6E203139 + 2376 36363135 + 2377 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.o new file mode 100755 index 0000000000000000000000000000000000000000..d65dff7605e7c32f5afd67f2beda68a8a504684d GIT binary patch literal 11424 zcmb_ie{@vUoxgY9Ofn>sknkh?h8IjA2#g7!ARr705RgCv5kZW~B$<$`Av2wsVB(K; zw~DNGYwPy3b!{v5981-R4d*8kH-8Xr6uWMVcwN|!h)u8f}Qk^wM5?W%QLCsN@sqm2tAO7!! zl1)>Go6m;zl+@Ha4Q7v@3CAFL?y(jX%j*QrerR+I7U-mol&r5AN^qb9**Go6( z;g?IDpJL;igb>VR6Y#{Wj(c{P5)br<*>!wbO?wnbhdVaJvHE;b( zqYpO+U%##sahrmv58o|yQ^z%G5OoZydd|jdJW{o3y2SX_;mWq7_4TQrq6Sc>4bP9g zIogc~508Eh{$A-U*`ODD{u<${5xyFIzEVfV=JI{~aD~bDBytV;^4$(^;j$B>ZmKp_ z>dsDGmda;kbE-O0f$?$92AnrX?>Jn(>1f^b)SIYb)N#Xsvw^_tqn96>oSFg;Rmh_X zc~trGh)zKyM7sBa|#7jI96rs$81uwZ|Iwv z((}Tvm2Qmmt%YqwY4Sges3;vmEgiz8Y%OMZwa0#S= zKX!Gn5CPW%KMm;TlSko=*CMmr3tuGg$g4EK2lj5PA!mO4ad# zqUo}f!=<;<)S1F!rD1qZ0gUPt1|OE$=bp?Pz`mttu0Il!|&=l?iQBbw}w}>EQ@{9)lwDI7%lc zK_KuvSX6t_AEL+ex#+wlza;Ckx#;Jq7y;>pJ8!~m^}?NJDten2?gGQz0mX3$r!+-s zyk1C+l{yz;1L#Mfq<@dg1k=BjW^A7&Z92Hp*p@p=O+4pWGX=eE;yujF)7Bj|rjM(Q zu=CwSpZjW7JiT3rsS+60cLZ`Z#`xKINl106N>9m*O__e1Ql znxgxzb&N&w z%&p324Sw|;lkqrl5~9lZT=3A`NKxh(n*(aR3;-a*)J>T-xS{% z!RORGxjGU6V_H<@M|b1+l@X<;=bV+#jjS{yJtJf~HnUK|yN!Z5gL;?2IJZ?XEA$djOAlIah-hUS(D3?HI3)fX$L9cs1T!XI=Aa2T1U~O& z7}&fDHK0-km*+)n`o?h;NUu|D#Zcjmz+ji|Eqh=!d_90Z;+YH$vPZ=?@N)2ALReswy0h-`t-uwt+zjsd(5cb6$a#r7pk2Q zMGdHoK@K%M5%^T_Qeh znkMrLO}Hp&!amtjCk=~q!;h;@CTRRcwPO*PUzdY;()F%)kpnqRk zq437a(*mlFcD5!46X|$1(Q`{jawy%M=xpyuwp^)H%ScD6JK9aH?vd+kZKSnXz5oF6JZyYYrC3j;y;w#+k^Vjj@iZNl+gm$>G-s;#XP55m0F(^^k;hTVpo zP9R!xMPuJJS2XUby{2|nZDU?gvdY=B(`ks#o99%z1y0UuT@RC{HY(4?C9uj#?sTR_ z=Q(2vHID~$9W{5=!nc!low07MGse>k`O^9zr{`o`r{-cuPdd8BIC8SCGx1{i&m3Lf zxT=0y{p!uNwX+%Nwx~1HZ3>k7qC61Pbxn(=*EQV;ow*B5pUiz|OU8RP4-I7dn@6$< z+>cB)o*l}lbYjoqMc$q2$i~xIuel@LpG|B_-Ue#jaDTQ1WPf*jpd-K zFP>IC$)T=+gqKTeB6}V575y|_(U$MVjOmX3{!I@We>?`XcIIho92uzM2eX!l4@X3%p@<4mf1N4!7V z-k(Y|kEG)0jodX8q;<=7x5Zr&T^e2NE^2IA+_<=Dk=u|?3?z`{Jom;m+csa3K){}! zM9;z{w=7-Qm5vW~_qplBzWxkmjoWnfRaZ4#d6QDDEiKF42Ku`$nHSk zyY1;@HwH7A&dmEu1YE;MSv(Q%!s{a+MWGhV$;9x&&5Kn@S?T66fpPc^VWOVoOx9EM zR6R2|8=F)FiWg9De!sIaQlTb;wuL+En#yqOo`} zXRQ5l?)=R~a(~=5V;_m#>%{ic*l)|HM6qwbZOq8Sv3n(F_RkhRN&UWJpy}(LU@lZa zAH9C$eW_?>WN$X!1mI~+@3_&(4JfR2YZv~uW84-x-k2_o_HKA zZa*2v64`^z&S!ryXP|#DVLf70RkS;~cW(mgHHt+Ojc2pz{;r{Hp_krtd~d?u-am`e zpuu^}g9}UXiNZU%C{+s?^~_8CCG;p`nTr}m_3M$gB`6K=xK|k0o?574^>{=ZBQ}J| zNTdo#UFxvX|2kCWVEeHXZR*%UJ(e}7et!)oQVuMuzTD@-<={*Cz*d_E{DAM}~ zdfXdqvHso!!yk7ECP>UG0j=K8(e{sXtk&Br>%bo?dK{l$qx$`2;qOx8{66&j{&xEO zRr~yf;Eeukc>?~DHYqTz3}?myf2mrfKEkABe)tt8ci*a^XKmx!D%mysSv? z96ERI5^-li&)<)}dZpS!UR?gWhbA1CMMeJJsqkLri{nO%{5^x0EIar446y#z75UqO zAJV923&f_ZDe_l^x0%Nv;kZmiwf^`+y|n>b9fH5(K89^}pyBWDcj1q3Q}oB@j`i0K zJ=$)Wwz%J(8ame^&G`^cK*8 z6z0cr06c#`_zw9P`0Ph5s_h5oqTTz@R4+_W>S3Qh+a&tBr`j+Wr(lTbV8(#{Fa##1 z-`@gPsrSIQ#rm_fML$2NesjU{iB7?tBkj+Gv_B&0U}4`u^9UIi2bx{G&tw$P{(0@=KJccqn5n7Sy%S??&+7PZpdlRdoT_=uzycsUU!H* zYvg6>L803WU9P??^aevm)RRKT3|*m~6`Ie~ak&5A7dmF>vFbIUz4+DYZO|;tshao0 zzE#&{Rb9^|-q(CbYP`7*UgD+o&%Mwa54p2;{yo$13(wm*miI#e-oJBaY$NiYt_{PU ztHsd1=E@nm;Qig(7t5Nw=fh0Az;So-(|oR#;YTLRkCKKS*BgFB%JDe|NH+lKhr3Q- zj`w~Sz;lRuHIcKKIE6UR+k*pmjv9OL`P`tKKkyRQ2=ZQ&{)8a^gFqVZxdv|)(ur2inu4^c>0 z2~HB6COAj%a=}G{R|&2WTqoEj*eDLk{Az~r#|qX6P8F;ZY!Kv^Gt^%p z*do{}cs&vO*)G8@!Ct|Ag0~C)t>Ava&j>yw_^{wt1P=*5C3slyh#c`e=PWO zL4JJ0eeylQ-w6Iz@Vuber=S<+J_C#h)(D#Y3jA83>jjqzt`KYyY!&1eJ=`~U33dtg z3i9I~%5N9^l;C}W4+{Q+;3I;M3i4wh+8-AD9?`|Ss^BYvuL_}-;?)V7JfLjOaX^y~;xC~bX!1fHJd-n@7!mob6*PIe-tTYBuakV_ z_emo1vHSWrNOPYdBEC6aA)eVU5$6`}Gw^TDLGb@LX;=EOP{t2_O@5$Fe(>K$f1u4c yq2B{Sr-+F6_d$L_}WZdBA)mzyAZ3B}bJ2 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.lst new file mode 100755 index 0000000..05d7374 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.lst @@ -0,0 +1,5707 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.USBFS_Init,"ax",%progbits + 19 .align 1 + 20 .global USBFS_Init + 21 .thumb + 22 .thumb_func + 23 .type USBFS_Init, %function + 24 USBFS_Init: + 25 .LFB1: + 26 .file 1 ".\\Generated_Source\\PSoC5\\USBFS.c" + 1:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/USBFS.c **** * File Name: USBFS.c + 3:.\Generated_Source\PSoC5/USBFS.c **** * Version 2.60 + 4:.\Generated_Source\PSoC5/USBFS.c **** * + 5:.\Generated_Source\PSoC5/USBFS.c **** * Description: + 6:.\Generated_Source\PSoC5/USBFS.c **** * API for USBFS Component. + 7:.\Generated_Source\PSoC5/USBFS.c **** * + 8:.\Generated_Source\PSoC5/USBFS.c **** * Note: + 9:.\Generated_Source\PSoC5/USBFS.c **** * Many of the functions use endpoint number. RAM arrays are sized with 9 + 10:.\Generated_Source\PSoC5/USBFS.c **** * elements so they are indexed directly by epNumber. The SIE and ARB + 11:.\Generated_Source\PSoC5/USBFS.c **** * registers are indexed by variations of epNumber - 1. + 12:.\Generated_Source\PSoC5/USBFS.c **** * + 13:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 14:.\Generated_Source\PSoC5/USBFS.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 15:.\Generated_Source\PSoC5/USBFS.c **** * You may use this file only in accordance with the license, terms, conditions, + 16:.\Generated_Source\PSoC5/USBFS.c **** * disclaimers, and limitations in the end user license agreement accompanying + 17:.\Generated_Source\PSoC5/USBFS.c **** * the software package with which this file was provided. + 18:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 19:.\Generated_Source\PSoC5/USBFS.c **** + 20:.\Generated_Source\PSoC5/USBFS.c **** #include + 21:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS.h" + 22:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_pvt.h" + 23:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_hid.h" + 24:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA1_REMOVE == 0u) + 25:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep1_dma.h" + 26:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA1_REMOVE */ + 27:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA2_REMOVE == 0u) + 28:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep2_dma.h" + 29:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA2_REMOVE */ + 30:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA3_REMOVE == 0u) + 31:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep3_dma.h" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 2 + + + 32:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA3_REMOVE */ + 33:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA4_REMOVE == 0u) + 34:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep4_dma.h" + 35:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA4_REMOVE */ + 36:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA5_REMOVE == 0u) + 37:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep5_dma.h" + 38:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA5_REMOVE */ + 39:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA6_REMOVE == 0u) + 40:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep6_dma.h" + 41:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA6_REMOVE */ + 42:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA7_REMOVE == 0u) + 43:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep7_dma.h" + 44:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA7_REMOVE */ + 45:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA8_REMOVE == 0u) + 46:.\Generated_Source\PSoC5/USBFS.c **** #include "USBFS_ep8_dma.h" + 47:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA8_REMOVE */ + 48:.\Generated_Source\PSoC5/USBFS.c **** + 49:.\Generated_Source\PSoC5/USBFS.c **** + 50:.\Generated_Source\PSoC5/USBFS.c **** /*************************************** + 51:.\Generated_Source\PSoC5/USBFS.c **** * Global data allocation + 52:.\Generated_Source\PSoC5/USBFS.c **** ***************************************/ + 53:.\Generated_Source\PSoC5/USBFS.c **** + 54:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_initVar = 0u; + 55:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 56:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_DmaChan[USBFS_MAX_EP]; + 57:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_DmaTd[USBFS_MAX_EP]; + 58:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM */ + 59:.\Generated_Source\PSoC5/USBFS.c **** + 60:.\Generated_Source\PSoC5/USBFS.c **** + 61:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 62:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_Start + 63:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 64:.\Generated_Source\PSoC5/USBFS.c **** * + 65:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 66:.\Generated_Source\PSoC5/USBFS.c **** * This function initialize the USB SIE, arbiter and the + 67:.\Generated_Source\PSoC5/USBFS.c **** * endpoint APIs, including setting the D+ Pullup + 68:.\Generated_Source\PSoC5/USBFS.c **** * + 69:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 70:.\Generated_Source\PSoC5/USBFS.c **** * device: Contains the device number of the desired device descriptor. + 71:.\Generated_Source\PSoC5/USBFS.c **** * The device number can be found in the Device Descriptor Tab of + 72:.\Generated_Source\PSoC5/USBFS.c **** * "Configure" dialog, under the settings of desired Device Descriptor, + 73:.\Generated_Source\PSoC5/USBFS.c **** * in the "Device Number" field. + 74:.\Generated_Source\PSoC5/USBFS.c **** * mode: The operating voltage. This determines whether the voltage regulator + 75:.\Generated_Source\PSoC5/USBFS.c **** * is enabled for 5V operation or if pass through mode is used for 3.3V + 76:.\Generated_Source\PSoC5/USBFS.c **** * operation. Symbolic names and their associated values are given in the + 77:.\Generated_Source\PSoC5/USBFS.c **** * following table. + 78:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_3V_OPERATION - Disable voltage regulator and pass-thru + 79:.\Generated_Source\PSoC5/USBFS.c **** * Vcc for pull-up + 80:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_5V_OPERATION - Enable voltage regulator and use + 81:.\Generated_Source\PSoC5/USBFS.c **** * regulator for pull-up + 82:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_DWR_VDDD_OPERATION - Enable or Disable voltage + 83:.\Generated_Source\PSoC5/USBFS.c **** * regulator depend on Vddd Voltage configuration in DWR. + 84:.\Generated_Source\PSoC5/USBFS.c **** * + 85:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 86:.\Generated_Source\PSoC5/USBFS.c **** * None. + 87:.\Generated_Source\PSoC5/USBFS.c **** * + 88:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 3 + + + 89:.\Generated_Source\PSoC5/USBFS.c **** * The USBFS_intiVar variable is used to indicate initial + 90:.\Generated_Source\PSoC5/USBFS.c **** * configuration of this component. The variable is initialized to zero (0u) + 91:.\Generated_Source\PSoC5/USBFS.c **** * and set to one (1u) the first time USBFS_Start() is called. + 92:.\Generated_Source\PSoC5/USBFS.c **** * This allows for component Re-Start without unnecessary re-initialization + 93:.\Generated_Source\PSoC5/USBFS.c **** * in all subsequent calls to the USBFS_Start() routine. + 94:.\Generated_Source\PSoC5/USBFS.c **** * If re-initialization of the component is required the variable should be set + 95:.\Generated_Source\PSoC5/USBFS.c **** * to zero before call of UART_Start() routine, or the user may call + 96:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_Init() and USBFS_InitComponent() as done + 97:.\Generated_Source\PSoC5/USBFS.c **** * in the USBFS_Start() routine. + 98:.\Generated_Source\PSoC5/USBFS.c **** * + 99:.\Generated_Source\PSoC5/USBFS.c **** * Side Effects: + 100:.\Generated_Source\PSoC5/USBFS.c **** * This function will reset all communication states to default. + 101:.\Generated_Source\PSoC5/USBFS.c **** * + 102:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 103:.\Generated_Source\PSoC5/USBFS.c **** * No. + 104:.\Generated_Source\PSoC5/USBFS.c **** * + 105:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 106:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_Start(uint8 device, uint8 mode) + 107:.\Generated_Source\PSoC5/USBFS.c **** { + 108:.\Generated_Source\PSoC5/USBFS.c **** /* If not Initialized then initialize all required hardware and software */ + 109:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_initVar == 0u) + 110:.\Generated_Source\PSoC5/USBFS.c **** { + 111:.\Generated_Source\PSoC5/USBFS.c **** USBFS_Init(); + 112:.\Generated_Source\PSoC5/USBFS.c **** USBFS_initVar = 1u; + 113:.\Generated_Source\PSoC5/USBFS.c **** } + 114:.\Generated_Source\PSoC5/USBFS.c **** USBFS_InitComponent(device, mode); + 115:.\Generated_Source\PSoC5/USBFS.c **** } + 116:.\Generated_Source\PSoC5/USBFS.c **** + 117:.\Generated_Source\PSoC5/USBFS.c **** + 118:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 119:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_Init + 120:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 121:.\Generated_Source\PSoC5/USBFS.c **** * + 122:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 123:.\Generated_Source\PSoC5/USBFS.c **** * Initialize component's hardware. Usually called in USBFS_Start(). + 124:.\Generated_Source\PSoC5/USBFS.c **** * + 125:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 126:.\Generated_Source\PSoC5/USBFS.c **** * None. + 127:.\Generated_Source\PSoC5/USBFS.c **** * + 128:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 129:.\Generated_Source\PSoC5/USBFS.c **** * None. + 130:.\Generated_Source\PSoC5/USBFS.c **** * + 131:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 132:.\Generated_Source\PSoC5/USBFS.c **** * No. + 133:.\Generated_Source\PSoC5/USBFS.c **** * + 134:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 135:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_Init(void) + 136:.\Generated_Source\PSoC5/USBFS.c **** { + 27 .loc 1 136 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 32 .LCFI0: + 33 .cfi_def_cfa_offset 24 + 34 .cfi_offset 3, -24 + 35 .cfi_offset 4, -20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 4 + + + 36 .cfi_offset 5, -16 + 37 .cfi_offset 6, -12 + 38 .cfi_offset 7, -8 + 39 .cfi_offset 14, -4 + 137:.\Generated_Source\PSoC5/USBFS.c **** uint8 enableInterrupts; + 138:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 139:.\Generated_Source\PSoC5/USBFS.c **** uint16 i; + 140:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 141:.\Generated_Source\PSoC5/USBFS.c **** + 142:.\Generated_Source\PSoC5/USBFS.c **** enableInterrupts = CyEnterCriticalSection(); + 40 .loc 1 142 0 + 41 0002 FFF7FEFF bl CyEnterCriticalSection + 42 .LVL0: + 143:.\Generated_Source\PSoC5/USBFS.c **** + 144:.\Generated_Source\PSoC5/USBFS.c **** /* Enable USB block */ + 145:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + 43 .loc 1 145 0 + 44 0006 384B ldr r3, .L2 + 142:.\Generated_Source\PSoC5/USBFS.c **** enableInterrupts = CyEnterCriticalSection(); + 45 .loc 1 142 0 + 46 0008 0746 mov r7, r0 + 47 .LVL1: + 48 .loc 1 145 0 + 49 000a 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 146:.\Generated_Source\PSoC5/USBFS.c **** /* Enable USB block for Standby Power Mode */ + 147:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + 148:.\Generated_Source\PSoC5/USBFS.c **** + 149:.\Generated_Source\PSoC5/USBFS.c **** /* Enable core clock */ + 150:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USB_CLK_EN_REG = USBFS_USB_CLK_ENABLE; + 50 .loc 1 150 0 + 51 000c 0125 movs r5, #1 + 145:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + 52 .loc 1 145 0 + 53 000e 42F00100 orr r0, r2, #1 + 54 .LVL2: + 55 0012 1870 strb r0, [r3, #0] + 147:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + 56 .loc 1 147 0 + 57 0014 197C ldrb r1, [r3, #16] @ zero_extendqisi2 + 151:.\Generated_Source\PSoC5/USBFS.c **** + 152:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + 58 .loc 1 152 0 + 59 0016 0226 movs r6, #2 + 147:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + 60 .loc 1 147 0 + 61 0018 41F00104 orr r4, r1, #1 + 62 001c 1C74 strb r4, [r3, #16] + 150:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USB_CLK_EN_REG = USBFS_USB_CLK_ENABLE; + 63 .loc 1 150 0 + 64 001e 334B ldr r3, .L2+4 + 153:.\Generated_Source\PSoC5/USBFS.c **** + 154:.\Generated_Source\PSoC5/USBFS.c **** /* ENABLING USBIO PADS IN USB MODE FROM I/O MODE */ + 155:.\Generated_Source\PSoC5/USBFS.c **** /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + 156:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USBIO_CR0_REG &= ((uint8)(~USBFS_USBIO_CR0_TEN)); + 157:.\Generated_Source\PSoC5/USBFS.c **** CyDelayUs(0u); /*~50ns delay */ + 158:.\Generated_Source\PSoC5/USBFS.c **** /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) + 159:.\Generated_Source\PSoC5/USBFS.c **** * high. This will have been set low by the power manger out of reset. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 5 + + + 160:.\Generated_Source\PSoC5/USBFS.c **** * Also confirm USBIO pull-up disabled + 161:.\Generated_Source\PSoC5/USBFS.c **** */ + 162:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG &= ((uint8)(~(USBFS_PM_USB_CR0_PD_N | + 65 .loc 1 162 0 + 66 0020 334C ldr r4, .L2+8 + 150:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USB_CLK_EN_REG = USBFS_USB_CLK_ENABLE; + 67 .loc 1 150 0 + 68 0022 1D70 strb r5, [r3, #0] + 152:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + 69 .loc 1 152 0 + 70 0024 03F8946C strb r6, [r3, #-148] + 156:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USBIO_CR0_REG &= ((uint8)(~USBFS_USBIO_CR0_TEN)); + 71 .loc 1 156 0 + 72 0028 13F88D2C ldrb r2, [r3, #-141] @ zero_extendqisi2 + 73 002c 02F07F00 and r0, r2, #127 + 74 0030 03F88D0C strb r0, [r3, #-141] + 157:.\Generated_Source\PSoC5/USBFS.c **** CyDelayUs(0u); /*~50ns delay */ + 75 .loc 1 157 0 + 76 0034 0020 movs r0, #0 + 77 0036 FFF7FEFF bl CyDelayUs + 78 .LVL3: + 79 .loc 1 162 0 + 80 003a 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 163:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_PD_PULLUP_N))); + 164:.\Generated_Source\PSoC5/USBFS.c **** + 165:.\Generated_Source\PSoC5/USBFS.c **** /* Select iomode to USB mode*/ + 166:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USBIO_CR1_REG &= ((uint8)(~USBFS_USBIO_CR1_IOMODE)); + 81 .loc 1 166 0 + 82 003c 2D48 ldr r0, .L2+12 + 162:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG &= ((uint8)(~(USBFS_PM_USB_CR0_PD_N | + 83 .loc 1 162 0 + 84 003e 01F0F903 and r3, r1, #249 + 85 0042 2370 strb r3, [r4, #0] + 86 .loc 1 166 0 + 87 0044 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 88 0046 02F0DF01 and r1, r2, #223 + 89 004a 0170 strb r1, [r0, #0] + 167:.\Generated_Source\PSoC5/USBFS.c **** + 168:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + 169:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + 90 .loc 1 169 0 + 91 004c 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 170:.\Generated_Source\PSoC5/USBFS.c **** /* The reference will be available 1 us after the regulator is enabled */ + 171:.\Generated_Source\PSoC5/USBFS.c **** CyDelayUs(1u); + 92 .loc 1 171 0 + 93 004e 2846 mov r0, r5 + 169:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + 94 .loc 1 169 0 + 95 0050 2B43 orrs r3, r3, r5 + 96 0052 2370 strb r3, [r4, #0] + 97 .loc 1 171 0 + 98 0054 FFF7FEFF bl CyDelayUs + 99 .LVL4: + 172:.\Generated_Source\PSoC5/USBFS.c **** /* OR 40us after power restored */ + 173:.\Generated_Source\PSoC5/USBFS.c **** CyDelayUs(40u); + 100 .loc 1 173 0 + 101 0058 2820 movs r0, #40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 6 + + + 102 005a FFF7FEFF bl CyDelayUs + 103 .LVL5: + 174:.\Generated_Source\PSoC5/USBFS.c **** /* Ensure the single ended disable bits are low (PRT15.INP_DIS[7:6])(input receiver enabled). * + 175:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DM_INP_DIS_REG &= ((uint8)(~USBFS_DM_MASK)); + 104 .loc 1 175 0 + 105 005e 2648 ldr r0, .L2+16 + 106 0060 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 107 0062 02F07F01 and r1, r2, #127 + 108 0066 0170 strb r1, [r0, #0] + 176:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DP_INP_DIS_REG &= ((uint8)(~USBFS_DP_MASK)); + 109 .loc 1 176 0 + 110 0068 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 111 006a 03F0BF02 and r2, r3, #191 + 112 006e 0270 strb r2, [r0, #0] + 177:.\Generated_Source\PSoC5/USBFS.c **** + 178:.\Generated_Source\PSoC5/USBFS.c **** /* Enable USBIO */ + 179:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + 113 .loc 1 179 0 + 114 0070 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 115 0072 3043 orrs r0, r0, r6 + 116 0074 2070 strb r0, [r4, #0] + 180:.\Generated_Source\PSoC5/USBFS.c **** CyDelayUs(2u); + 117 .loc 1 180 0 + 118 0076 3046 mov r0, r6 + 119 0078 FFF7FEFF bl CyDelayUs + 120 .LVL6: + 181:.\Generated_Source\PSoC5/USBFS.c **** /* Set the USBIO pull-up enable */ + 182:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + 121 .loc 1 182 0 + 122 007c 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 183:.\Generated_Source\PSoC5/USBFS.c **** + 184:.\Generated_Source\PSoC5/USBFS.c **** /* Write WAx */ + 185:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_ARB_RW1_WA_PTR, 0u); + 123 .loc 1 185 0 + 124 007e 1F4A ldr r2, .L2+20 + 182:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + 125 .loc 1 182 0 + 126 0080 41F00403 orr r3, r1, #4 + 127 0084 2370 strb r3, [r4, #0] + 128 .loc 1 185 0 + 129 0086 0024 movs r4, #0 + 130 0088 1470 strb r4, [r2, #0] + 186:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_ARB_RW1_WA_MSB_PTR, 0u); + 187:.\Generated_Source\PSoC5/USBFS.c **** + 188:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 189:.\Generated_Source\PSoC5/USBFS.c **** /* Init transfer descriptor. This will be used to detect the DMA state - initialized or not + 190:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < USBFS_MAX_EP; i++) + 191:.\Generated_Source\PSoC5/USBFS.c **** { + 192:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaTd[i] = DMA_INVALID_TD; + 193:.\Generated_Source\PSoC5/USBFS.c **** } + 194:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 195:.\Generated_Source\PSoC5/USBFS.c **** + 196:.\Generated_Source\PSoC5/USBFS.c **** CyExitCriticalSection(enableInterrupts); + 131 .loc 1 196 0 + 132 008a 3846 mov r0, r7 + 186:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_ARB_RW1_WA_MSB_PTR, 0u); + 133 .loc 1 186 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 7 + + + 134 008c 5470 strb r4, [r2, #1] + 135 .loc 1 196 0 + 136 008e FFF7FEFF bl CyExitCriticalSection + 137 .LVL7: + 197:.\Generated_Source\PSoC5/USBFS.c **** + 198:.\Generated_Source\PSoC5/USBFS.c **** + 199:.\Generated_Source\PSoC5/USBFS.c **** /* Set the bus reset Interrupt. */ + 200:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_BUS_RESET_VECT_NUM, &USBFS_BUS_RESET_ISR); + 138 .loc 1 200 0 + 139 0092 1720 movs r0, #23 + 140 0094 1A49 ldr r1, .L2+24 + 141 0096 FFF7FEFF bl CyIntSetVector + 142 .LVL8: + 201:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_BUS_RESET_VECT_NUM, USBFS_BUS_RESET_PRIOR); + 143 .loc 1 201 0 + 144 009a 1720 movs r0, #23 + 145 009c 0721 movs r1, #7 + 146 009e FFF7FEFF bl CyIntSetPriority + 147 .LVL9: + 202:.\Generated_Source\PSoC5/USBFS.c **** + 203:.\Generated_Source\PSoC5/USBFS.c **** /* Set the SOF Interrupt. */ + 204:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_SOF_ISR_REMOVE == 0u) + 205:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_SOF_VECT_NUM, &USBFS_SOF_ISR); + 148 .loc 1 205 0 + 149 00a2 1520 movs r0, #21 + 150 00a4 1749 ldr r1, .L2+28 + 151 00a6 FFF7FEFF bl CyIntSetVector + 152 .LVL10: + 206:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_SOF_VECT_NUM, USBFS_SOF_PRIOR); + 153 .loc 1 206 0 + 154 00aa 1520 movs r0, #21 + 155 00ac 0721 movs r1, #7 + 156 00ae FFF7FEFF bl CyIntSetPriority + 157 .LVL11: + 207:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_SOF_ISR_REMOVE */ + 208:.\Generated_Source\PSoC5/USBFS.c **** + 209:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Control Endpoint Interrupt. */ + 210:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_0_VECT_NUM, &USBFS_EP_0_ISR); + 158 .loc 1 210 0 + 159 00b2 1820 movs r0, #24 + 160 00b4 1449 ldr r1, .L2+32 + 161 00b6 FFF7FEFF bl CyIntSetVector + 162 .LVL12: + 211:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_0_VECT_NUM, USBFS_EP_0_PRIOR); + 163 .loc 1 211 0 + 164 00ba 1820 movs r0, #24 + 165 00bc 0721 movs r1, #7 + 166 00be FFF7FEFF bl CyIntSetPriority + 167 .LVL13: + 212:.\Generated_Source\PSoC5/USBFS.c **** + 213:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 1 Interrupt. */ + 214:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP1_ISR_REMOVE == 0u) + 215:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_1_VECT_NUM, &USBFS_EP_1_ISR); + 168 .loc 1 215 0 + 169 00c2 2046 mov r0, r4 + 170 00c4 1149 ldr r1, .L2+36 + 171 00c6 FFF7FEFF bl CyIntSetVector + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 8 + + + 172 .LVL14: + 216:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_1_VECT_NUM, USBFS_EP_1_PRIOR); + 173 .loc 1 216 0 + 174 00ca 2046 mov r0, r4 + 175 00cc 0721 movs r1, #7 + 176 00ce FFF7FEFF bl CyIntSetPriority + 177 .LVL15: + 217:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP1_ISR_REMOVE */ + 218:.\Generated_Source\PSoC5/USBFS.c **** + 219:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 2 Interrupt. */ + 220:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP2_ISR_REMOVE == 0u) + 221:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_2_VECT_NUM, &USBFS_EP_2_ISR); + 178 .loc 1 221 0 + 179 00d2 2846 mov r0, r5 + 180 00d4 0E49 ldr r1, .L2+40 + 181 00d6 FFF7FEFF bl CyIntSetVector + 182 .LVL16: + 222:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_2_VECT_NUM, USBFS_EP_2_PRIOR); + 183 .loc 1 222 0 + 184 00da 2846 mov r0, r5 + 185 00dc 0721 movs r1, #7 + 223:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP2_ISR_REMOVE */ + 224:.\Generated_Source\PSoC5/USBFS.c **** + 225:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 3 Interrupt. */ + 226:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP3_ISR_REMOVE == 0u) + 227:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_3_VECT_NUM, &USBFS_EP_3_ISR); + 228:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_3_VECT_NUM, USBFS_EP_3_PRIOR); + 229:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP3_ISR_REMOVE */ + 230:.\Generated_Source\PSoC5/USBFS.c **** + 231:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 4 Interrupt. */ + 232:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP4_ISR_REMOVE == 0u) + 233:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_4_VECT_NUM, &USBFS_EP_4_ISR); + 234:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_4_VECT_NUM, USBFS_EP_4_PRIOR); + 235:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP4_ISR_REMOVE */ + 236:.\Generated_Source\PSoC5/USBFS.c **** + 237:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 5 Interrupt. */ + 238:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP5_ISR_REMOVE == 0u) + 239:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_5_VECT_NUM, &USBFS_EP_5_ISR); + 240:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_5_VECT_NUM, USBFS_EP_5_PRIOR); + 241:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP5_ISR_REMOVE */ + 242:.\Generated_Source\PSoC5/USBFS.c **** + 243:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 6 Interrupt. */ + 244:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP6_ISR_REMOVE == 0u) + 245:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_6_VECT_NUM, &USBFS_EP_6_ISR); + 246:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_6_VECT_NUM, USBFS_EP_6_PRIOR); + 247:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP6_ISR_REMOVE */ + 248:.\Generated_Source\PSoC5/USBFS.c **** + 249:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 7 Interrupt. */ + 250:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP7_ISR_REMOVE == 0u) + 251:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_7_VECT_NUM, &USBFS_EP_7_ISR); + 252:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_7_VECT_NUM, USBFS_EP_7_PRIOR); + 253:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP7_ISR_REMOVE */ + 254:.\Generated_Source\PSoC5/USBFS.c **** + 255:.\Generated_Source\PSoC5/USBFS.c **** /* Set the Data Endpoint 8 Interrupt. */ + 256:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP8_ISR_REMOVE == 0u) + 257:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_EP_8_VECT_NUM, &USBFS_EP_8_ISR); + 258:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_8_VECT_NUM, USBFS_EP_8_PRIOR); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 9 + + + 259:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP8_ISR_REMOVE */ + 260:.\Generated_Source\PSoC5/USBFS.c **** + 261:.\Generated_Source\PSoC5/USBFS.c **** #if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + 262:.\Generated_Source\PSoC5/USBFS.c **** /* Set the ARB Interrupt. */ + 263:.\Generated_Source\PSoC5/USBFS.c **** (void) CyIntSetVector(USBFS_ARB_VECT_NUM, &USBFS_ARB_ISR); + 264:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_ARB_VECT_NUM, USBFS_ARB_PRIOR); + 265:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 266:.\Generated_Source\PSoC5/USBFS.c **** + 267:.\Generated_Source\PSoC5/USBFS.c **** } + 186 .loc 1 267 0 + 187 00de BDE8F840 pop {r3, r4, r5, r6, r7, lr} + 222:.\Generated_Source\PSoC5/USBFS.c **** CyIntSetPriority(USBFS_EP_2_VECT_NUM, USBFS_EP_2_PRIOR); + 188 .loc 1 222 0 + 189 00e2 FFF7FEBF b CyIntSetPriority + 190 .LVL17: + 191 .L3: + 192 00e6 00BF .align 2 + 193 .L2: + 194 00e8 A5430040 .word 1073759141 + 195 00ec 9D600040 .word 1073766557 + 196 00f0 94430040 .word 1073759124 + 197 00f4 12600040 .word 1073766418 + 198 00f8 F8510040 .word 1073762808 + 199 00fc 84600040 .word 1073766532 + 200 0100 00000000 .word USBFS_BUS_RESET_ISR + 201 0104 00000000 .word USBFS_SOF_ISR + 202 0108 00000000 .word USBFS_EP_0_ISR + 203 010c 00000000 .word USBFS_EP_1_ISR + 204 0110 00000000 .word USBFS_EP_2_ISR + 205 .cfi_endproc + 206 .LFE1: + 207 .size USBFS_Init, .-USBFS_Init + 208 .section .text.USBFS_InitComponent,"ax",%progbits + 209 .align 1 + 210 .global USBFS_InitComponent + 211 .thumb + 212 .thumb_func + 213 .type USBFS_InitComponent, %function + 214 USBFS_InitComponent: + 215 .LFB2: + 268:.\Generated_Source\PSoC5/USBFS.c **** + 269:.\Generated_Source\PSoC5/USBFS.c **** + 270:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 271:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_InitComponent + 272:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 273:.\Generated_Source\PSoC5/USBFS.c **** * + 274:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 275:.\Generated_Source\PSoC5/USBFS.c **** * Initialize the component, except for the HW which is done one time in + 276:.\Generated_Source\PSoC5/USBFS.c **** * the Start function. This function pulls up D+. + 277:.\Generated_Source\PSoC5/USBFS.c **** * + 278:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 279:.\Generated_Source\PSoC5/USBFS.c **** * device: Contains the device number of the desired device descriptor. + 280:.\Generated_Source\PSoC5/USBFS.c **** * The device number can be found in the Device Descriptor Tab of + 281:.\Generated_Source\PSoC5/USBFS.c **** * "Configure" dialog, under the settings of desired Device Descriptor, + 282:.\Generated_Source\PSoC5/USBFS.c **** * in the "Device Number" field. + 283:.\Generated_Source\PSoC5/USBFS.c **** * mode: The operating voltage. This determines whether the voltage regulator + 284:.\Generated_Source\PSoC5/USBFS.c **** * is enabled for 5V operation or if pass through mode is used for 3.3V + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 10 + + + 285:.\Generated_Source\PSoC5/USBFS.c **** * operation. Symbolic names and their associated values are given in the + 286:.\Generated_Source\PSoC5/USBFS.c **** * following table. + 287:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_3V_OPERATION - Disable voltage regulator and pass-thru + 288:.\Generated_Source\PSoC5/USBFS.c **** * Vcc for pull-up + 289:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_5V_OPERATION - Enable voltage regulator and use + 290:.\Generated_Source\PSoC5/USBFS.c **** * regulator for pull-up + 291:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_DWR_VDDD_OPERATION - Enable or Disable voltage + 292:.\Generated_Source\PSoC5/USBFS.c **** * regulator depend on Vddd Voltage configuration in DWR. + 293:.\Generated_Source\PSoC5/USBFS.c **** * + 294:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 295:.\Generated_Source\PSoC5/USBFS.c **** * None. + 296:.\Generated_Source\PSoC5/USBFS.c **** * + 297:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: + 298:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_device: Contains the device number of the desired device + 299:.\Generated_Source\PSoC5/USBFS.c **** * descriptor. The device number can be found in the Device Descriptor Tab + 300:.\Generated_Source\PSoC5/USBFS.c **** * of "Configure" dialog, under the settings of desired Device Descriptor, + 301:.\Generated_Source\PSoC5/USBFS.c **** * in the "Device Number" field. + 302:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_transferState: This variable used by the communication + 303:.\Generated_Source\PSoC5/USBFS.c **** * functions to handle current transfer state. Initialized to + 304:.\Generated_Source\PSoC5/USBFS.c **** * TRANS_STATE_IDLE in this API. + 305:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_configuration: Contains current configuration number + 306:.\Generated_Source\PSoC5/USBFS.c **** * which is set by the Host using SET_CONFIGURATION request. + 307:.\Generated_Source\PSoC5/USBFS.c **** * Initialized to zero in this API. + 308:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceAddress: Contains current device address. This + 309:.\Generated_Source\PSoC5/USBFS.c **** * variable is initialized to zero in this API. Host starts to communicate + 310:.\Generated_Source\PSoC5/USBFS.c **** * to device with address 0 and then set it to whatever value using + 311:.\Generated_Source\PSoC5/USBFS.c **** * SET_ADDRESS request. + 312:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceStatus: initialized to 0. + 313:.\Generated_Source\PSoC5/USBFS.c **** * This is two bit variable which contain power status in first bit + 314:.\Generated_Source\PSoC5/USBFS.c **** * (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote + 315:.\Generated_Source\PSoC5/USBFS.c **** * wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. + 316:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_lastPacketSize initialized to 0; + 317:.\Generated_Source\PSoC5/USBFS.c **** * + 318:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 319:.\Generated_Source\PSoC5/USBFS.c **** * No. + 320:.\Generated_Source\PSoC5/USBFS.c **** * + 321:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 322:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_InitComponent(uint8 device, uint8 mode) + 323:.\Generated_Source\PSoC5/USBFS.c **** { + 216 .loc 1 323 0 + 217 .cfi_startproc + 218 @ args = 0, pretend = 0, frame = 0 + 219 @ frame_needed = 0, uses_anonymous_args = 0 + 220 .LVL18: + 324:.\Generated_Source\PSoC5/USBFS.c **** /* Initialize _hidProtocol variable to comply with + 325:.\Generated_Source\PSoC5/USBFS.c **** * HID 7.2.6 Set_Protocol Request: + 326:.\Generated_Source\PSoC5/USBFS.c **** * "When initialized, all devices default to report protocol." + 327:.\Generated_Source\PSoC5/USBFS.c **** */ + 328:.\Generated_Source\PSoC5/USBFS.c **** #if defined(USBFS_ENABLE_HID_CLASS) + 329:.\Generated_Source\PSoC5/USBFS.c **** uint8 i; + 330:.\Generated_Source\PSoC5/USBFS.c **** + 331:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + 332:.\Generated_Source\PSoC5/USBFS.c **** { + 333:.\Generated_Source\PSoC5/USBFS.c **** USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + 221 .loc 1 333 0 + 222 0000 184B ldr r3, .L11 + 223 0002 0122 movs r2, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 11 + + + 323:.\Generated_Source\PSoC5/USBFS.c **** { + 224 .loc 1 323 0 + 225 0004 10B5 push {r4, lr} + 226 .LCFI1: + 227 .cfi_def_cfa_offset 8 + 228 .cfi_offset 4, -8 + 229 .cfi_offset 14, -4 + 230 .loc 1 333 0 + 231 0006 1A70 strb r2, [r3, #0] + 232 .LVL19: + 334:.\Generated_Source\PSoC5/USBFS.c **** } + 335:.\Generated_Source\PSoC5/USBFS.c **** #endif /* USBFS_ENABLE_HID_CLASS */ + 336:.\Generated_Source\PSoC5/USBFS.c **** + 337:.\Generated_Source\PSoC5/USBFS.c **** /* Enable Interrupts. */ + 338:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_BUS_RESET_VECT_NUM); + 233 .loc 1 338 0 + 234 0008 174B ldr r3, .L11+4 + 235 000a 4FF40004 mov r4, #8388608 + 236 000e 1C60 str r4, [r3, #0] + 339:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_0_VECT_NUM); + 237 .loc 1 339 0 + 238 0010 4FF08074 mov r4, #16777216 + 239 0014 1C60 str r4, [r3, #0] + 340:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP1_ISR_REMOVE == 0u) + 341:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_1_VECT_NUM); + 240 .loc 1 341 0 + 241 0016 1A60 str r2, [r3, #0] + 342:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP1_ISR_REMOVE */ + 343:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP2_ISR_REMOVE == 0u) + 344:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_2_VECT_NUM); + 242 .loc 1 344 0 + 243 0018 0222 movs r2, #2 + 244 001a 1A60 str r2, [r3, #0] + 345:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP2_ISR_REMOVE */ + 346:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP3_ISR_REMOVE == 0u) + 347:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_3_VECT_NUM); + 348:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP3_ISR_REMOVE */ + 349:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP4_ISR_REMOVE == 0u) + 350:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_4_VECT_NUM); + 351:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP4_ISR_REMOVE */ + 352:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP5_ISR_REMOVE == 0u) + 353:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_5_VECT_NUM); + 354:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP5_ISR_REMOVE */ + 355:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP6_ISR_REMOVE == 0u) + 356:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_6_VECT_NUM); + 357:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP6_ISR_REMOVE */ + 358:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP7_ISR_REMOVE == 0u) + 359:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_7_VECT_NUM); + 360:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP7_ISR_REMOVE */ + 361:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP8_ISR_REMOVE == 0u) + 362:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_EP_8_VECT_NUM); + 363:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP8_ISR_REMOVE */ + 364:.\Generated_Source\PSoC5/USBFS.c **** #if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + 365:.\Generated_Source\PSoC5/USBFS.c **** /* usb arb interrupt enable */ + 366:.\Generated_Source\PSoC5/USBFS.c **** USBFS_ARB_INT_EN_REG = USBFS_ARB_INT_MASK; + 367:.\Generated_Source\PSoC5/USBFS.c **** CyIntEnable(USBFS_ARB_VECT_NUM); + 368:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 12 + + + 369:.\Generated_Source\PSoC5/USBFS.c **** + 370:.\Generated_Source\PSoC5/USBFS.c **** /* Arbiter configuration for DMA transfers */ + 371:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 372:.\Generated_Source\PSoC5/USBFS.c **** + 373:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + 374:.\Generated_Source\PSoC5/USBFS.c **** USBFS_ARB_CFG_REG = USBFS_ARB_CFG_MANUAL_DMA; + 375:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + 376:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 377:.\Generated_Source\PSoC5/USBFS.c **** /*Set cfg cmplt this rises DMA request when the full configuration is done */ + 378:.\Generated_Source\PSoC5/USBFS.c **** USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + 379:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 380:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 381:.\Generated_Source\PSoC5/USBFS.c **** + 382:.\Generated_Source\PSoC5/USBFS.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 245 .loc 1 382 0 + 246 001c 134B ldr r3, .L11+8 + 247 001e 0024 movs r4, #0 + 248 0020 1C70 strb r4, [r3, #0] + 249 0022 134B ldr r3, .L11+12 + 383:.\Generated_Source\PSoC5/USBFS.c **** + 384:.\Generated_Source\PSoC5/USBFS.c **** /* USB Locking: Enabled, VRegulator: depend on mode or DWR Voltage configuration*/ + 385:.\Generated_Source\PSoC5/USBFS.c **** switch(mode) + 250 .loc 1 385 0 + 251 0024 01B1 cbz r1, .L9 + 252 0026 0322 movs r2, #3 + 253 .L9: + 386:.\Generated_Source\PSoC5/USBFS.c **** { + 387:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_3V_OPERATION: + 388:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + 389:.\Generated_Source\PSoC5/USBFS.c **** break; + 390:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_5V_OPERATION: + 391:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + 392:.\Generated_Source\PSoC5/USBFS.c **** break; + 393:.\Generated_Source\PSoC5/USBFS.c **** default: /*USBFS_DWR_VDDD_OPERATION */ + 394:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_VDDD_MV < USBFS_3500MV) + 395:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + 396:.\Generated_Source\PSoC5/USBFS.c **** #else + 397:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + 398:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_VDDD_MV < USBFS_3500MV */ + 399:.\Generated_Source\PSoC5/USBFS.c **** break; + 400:.\Generated_Source\PSoC5/USBFS.c **** } + 401:.\Generated_Source\PSoC5/USBFS.c **** + 402:.\Generated_Source\PSoC5/USBFS.c **** /* Record the descriptor selection */ + 403:.\Generated_Source\PSoC5/USBFS.c **** USBFS_device = device; + 254 .loc 1 403 0 + 255 0028 1249 ldr r1, .L11+16 + 256 .LVL20: + 397:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + 257 .loc 1 397 0 + 258 002a 1A70 strb r2, [r3, #0] + 404:.\Generated_Source\PSoC5/USBFS.c **** + 405:.\Generated_Source\PSoC5/USBFS.c **** /* Clear all of the component data */ + 406:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 259 .loc 1 406 0 + 260 002c 124B ldr r3, .L11+20 + 403:.\Generated_Source\PSoC5/USBFS.c **** USBFS_device = device; + 261 .loc 1 403 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 13 + + + 262 002e 0870 strb r0, [r1, #0] + 407:.\Generated_Source\PSoC5/USBFS.c **** USBFS_interfaceNumber = 0u; + 263 .loc 1 407 0 + 264 0030 124A ldr r2, .L11+24 + 406:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 265 .loc 1 406 0 + 266 0032 0020 movs r0, #0 + 267 .LVL21: + 408:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 268 .loc 1 408 0 + 269 0034 1249 ldr r1, .L11+28 + 270 .LVL22: + 406:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 271 .loc 1 406 0 + 272 0036 1870 strb r0, [r3, #0] + 409:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceAddress = 0u; + 273 .loc 1 409 0 + 274 0038 124B ldr r3, .L11+32 + 407:.\Generated_Source\PSoC5/USBFS.c **** USBFS_interfaceNumber = 0u; + 275 .loc 1 407 0 + 276 003a 1070 strb r0, [r2, #0] + 408:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 277 .loc 1 408 0 + 278 003c 0870 strb r0, [r1, #0] + 410:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus = 0u; + 279 .loc 1 410 0 + 280 003e 124A ldr r2, .L11+36 + 411:.\Generated_Source\PSoC5/USBFS.c **** + 412:.\Generated_Source\PSoC5/USBFS.c **** USBFS_lastPacketSize = 0u; + 281 .loc 1 412 0 + 282 0040 1249 ldr r1, .L11+40 + 409:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceAddress = 0u; + 283 .loc 1 409 0 + 284 0042 1870 strb r0, [r3, #0] + 413:.\Generated_Source\PSoC5/USBFS.c **** + 414:.\Generated_Source\PSoC5/USBFS.c **** /* ACK Setup, Stall IN/OUT */ + 415:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + 285 .loc 1 415 0 + 286 0044 124B ldr r3, .L11+44 + 410:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus = 0u; + 287 .loc 1 410 0 + 288 0046 1070 strb r0, [r2, #0] + 412:.\Generated_Source\PSoC5/USBFS.c **** USBFS_lastPacketSize = 0u; + 289 .loc 1 412 0 + 290 0048 0870 strb r0, [r1, #0] + 416:.\Generated_Source\PSoC5/USBFS.c **** + 417:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the SIE with an address 0 */ + 418:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + 291 .loc 1 418 0 + 292 004a 8022 movs r2, #128 + 415:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + 293 .loc 1 415 0 + 294 004c 0320 movs r0, #3 + 295 004e 1870 strb r0, [r3, #0] + 419:.\Generated_Source\PSoC5/USBFS.c **** + 420:.\Generated_Source\PSoC5/USBFS.c **** /* Workaround for PSOC5LP */ + 421:.\Generated_Source\PSoC5/USBFS.c **** CyDelayCycles(1u); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 14 + + + 296 .loc 1 421 0 + 297 0050 0120 movs r0, #1 + 418:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + 298 .loc 1 418 0 + 299 0052 03F8202C strb r2, [r3, #-32] + 300 .loc 1 421 0 + 301 0056 FFF7FEFF bl CyDelayCycles + 302 .LVL23: + 422:.\Generated_Source\PSoC5/USBFS.c **** + 423:.\Generated_Source\PSoC5/USBFS.c **** /* Finally, Enable d+ pullup and select iomode to USB mode*/ + 424:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_USBIO_CR1_PTR, USBFS_USBIO_CR1_USBPUEN); + 303 .loc 1 424 0 + 304 005a 0E48 ldr r0, .L11+48 + 305 005c 0421 movs r1, #4 + 306 005e 0170 strb r1, [r0, #0] + 307 0060 10BD pop {r4, pc} + 308 .L12: + 309 0062 00BF .align 2 + 310 .L11: + 311 0064 00000000 .word USBFS_hidProtocol + 312 0068 00E100E0 .word -536813312 + 313 006c 00000000 .word USBFS_transferState + 314 0070 09600040 .word 1073766409 + 315 0074 00000000 .word USBFS_device + 316 0078 00000000 .word USBFS_configuration + 317 007c 00000000 .word USBFS_interfaceNumber + 318 0080 00000000 .word USBFS_configurationChanged + 319 0084 00000000 .word USBFS_deviceAddress + 320 0088 00000000 .word USBFS_deviceStatus + 321 008c 00000000 .word USBFS_lastPacketSize + 322 0090 28600040 .word 1073766440 + 323 0094 12600040 .word 1073766418 + 324 .cfi_endproc + 325 .LFE2: + 326 .size USBFS_InitComponent, .-USBFS_InitComponent + 327 .section .text.USBFS_Start,"ax",%progbits + 328 .align 1 + 329 .global USBFS_Start + 330 .thumb + 331 .thumb_func + 332 .type USBFS_Start, %function + 333 USBFS_Start: + 334 .LFB0: + 107:.\Generated_Source\PSoC5/USBFS.c **** { + 335 .loc 1 107 0 + 336 .cfi_startproc + 337 @ args = 0, pretend = 0, frame = 0 + 338 @ frame_needed = 0, uses_anonymous_args = 0 + 339 .LVL24: + 340 0000 70B5 push {r4, r5, r6, lr} + 341 .LCFI2: + 342 .cfi_def_cfa_offset 16 + 343 .cfi_offset 4, -16 + 344 .cfi_offset 5, -12 + 345 .cfi_offset 6, -8 + 346 .cfi_offset 14, -4 + 109:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_initVar == 0u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 15 + + + 347 .loc 1 109 0 + 348 0002 074C ldr r4, .L15 + 107:.\Generated_Source\PSoC5/USBFS.c **** { + 349 .loc 1 107 0 + 350 0004 0646 mov r6, r0 + 109:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_initVar == 0u) + 351 .loc 1 109 0 + 352 0006 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 107:.\Generated_Source\PSoC5/USBFS.c **** { + 353 .loc 1 107 0 + 354 0008 0D46 mov r5, r1 + 109:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_initVar == 0u) + 355 .loc 1 109 0 + 356 000a 1BB9 cbnz r3, .L14 + 111:.\Generated_Source\PSoC5/USBFS.c **** USBFS_Init(); + 357 .loc 1 111 0 + 358 000c FFF7FEFF bl USBFS_Init + 359 .LVL25: + 112:.\Generated_Source\PSoC5/USBFS.c **** USBFS_initVar = 1u; + 360 .loc 1 112 0 + 361 0010 0120 movs r0, #1 + 362 0012 2070 strb r0, [r4, #0] + 363 .L14: + 114:.\Generated_Source\PSoC5/USBFS.c **** USBFS_InitComponent(device, mode); + 364 .loc 1 114 0 + 365 0014 3046 mov r0, r6 + 366 0016 2946 mov r1, r5 + 115:.\Generated_Source\PSoC5/USBFS.c **** } + 367 .loc 1 115 0 + 368 0018 BDE87040 pop {r4, r5, r6, lr} + 114:.\Generated_Source\PSoC5/USBFS.c **** USBFS_InitComponent(device, mode); + 369 .loc 1 114 0 + 370 001c FFF7FEBF b USBFS_InitComponent + 371 .LVL26: + 372 .L16: + 373 .align 2 + 374 .L15: + 375 0020 00000000 .word .LANCHOR0 + 376 .cfi_endproc + 377 .LFE0: + 378 .size USBFS_Start, .-USBFS_Start + 379 .section .text.USBFS_ReInitComponent,"ax",%progbits + 380 .align 1 + 381 .global USBFS_ReInitComponent + 382 .thumb + 383 .thumb_func + 384 .type USBFS_ReInitComponent, %function + 385 USBFS_ReInitComponent: + 386 .LFB3: + 425:.\Generated_Source\PSoC5/USBFS.c **** } + 426:.\Generated_Source\PSoC5/USBFS.c **** + 427:.\Generated_Source\PSoC5/USBFS.c **** + 428:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 429:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_ReInitComponent + 430:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 431:.\Generated_Source\PSoC5/USBFS.c **** * + 432:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 16 + + + 433:.\Generated_Source\PSoC5/USBFS.c **** * This function reinitialize the component configuration and is + 434:.\Generated_Source\PSoC5/USBFS.c **** * intend to be called from the Reset interrupt. + 435:.\Generated_Source\PSoC5/USBFS.c **** * + 436:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 437:.\Generated_Source\PSoC5/USBFS.c **** * None. + 438:.\Generated_Source\PSoC5/USBFS.c **** * + 439:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 440:.\Generated_Source\PSoC5/USBFS.c **** * None. + 441:.\Generated_Source\PSoC5/USBFS.c **** * + 442:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: + 443:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_device: Contains the device number of the desired device + 444:.\Generated_Source\PSoC5/USBFS.c **** * descriptor. The device number can be found in the Device Descriptor Tab + 445:.\Generated_Source\PSoC5/USBFS.c **** * of "Configure" dialog, under the settings of desired Device Descriptor, + 446:.\Generated_Source\PSoC5/USBFS.c **** * in the "Device Number" field. + 447:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_transferState: This variable used by the communication + 448:.\Generated_Source\PSoC5/USBFS.c **** * functions to handle current transfer state. Initialized to + 449:.\Generated_Source\PSoC5/USBFS.c **** * TRANS_STATE_IDLE in this API. + 450:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_configuration: Contains current configuration number + 451:.\Generated_Source\PSoC5/USBFS.c **** * which is set by the Host using SET_CONFIGURATION request. + 452:.\Generated_Source\PSoC5/USBFS.c **** * Initialized to zero in this API. + 453:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceAddress: Contains current device address. This + 454:.\Generated_Source\PSoC5/USBFS.c **** * variable is initialized to zero in this API. Host starts to communicate + 455:.\Generated_Source\PSoC5/USBFS.c **** * to device with address 0 and then set it to whatever value using + 456:.\Generated_Source\PSoC5/USBFS.c **** * SET_ADDRESS request. + 457:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceStatus: initialized to 0. + 458:.\Generated_Source\PSoC5/USBFS.c **** * This is two bit variable which contain power status in first bit + 459:.\Generated_Source\PSoC5/USBFS.c **** * (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote + 460:.\Generated_Source\PSoC5/USBFS.c **** * wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. + 461:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_lastPacketSize initialized to 0; + 462:.\Generated_Source\PSoC5/USBFS.c **** * + 463:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 464:.\Generated_Source\PSoC5/USBFS.c **** * No. + 465:.\Generated_Source\PSoC5/USBFS.c **** * + 466:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 467:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_ReInitComponent(void) + 468:.\Generated_Source\PSoC5/USBFS.c **** { + 387 .loc 1 468 0 + 388 .cfi_startproc + 389 @ args = 0, pretend = 0, frame = 0 + 390 @ frame_needed = 0, uses_anonymous_args = 0 + 391 @ link register save eliminated. + 392 .LVL27: + 469:.\Generated_Source\PSoC5/USBFS.c **** /* Initialize _hidProtocol variable to comply with HID 7.2.6 Set_Protocol + 470:.\Generated_Source\PSoC5/USBFS.c **** * Request: "When initialized, all devices default to report protocol." + 471:.\Generated_Source\PSoC5/USBFS.c **** */ + 472:.\Generated_Source\PSoC5/USBFS.c **** #if defined(USBFS_ENABLE_HID_CLASS) + 473:.\Generated_Source\PSoC5/USBFS.c **** uint8 i; + 474:.\Generated_Source\PSoC5/USBFS.c **** + 475:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + 476:.\Generated_Source\PSoC5/USBFS.c **** { + 477:.\Generated_Source\PSoC5/USBFS.c **** USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + 393 .loc 1 477 0 + 394 0000 0C4B ldr r3, .L18 + 395 0002 0122 movs r2, #1 + 478:.\Generated_Source\PSoC5/USBFS.c **** } + 479:.\Generated_Source\PSoC5/USBFS.c **** #endif /* USBFS_ENABLE_HID_CLASS */ + 480:.\Generated_Source\PSoC5/USBFS.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 17 + + + 481:.\Generated_Source\PSoC5/USBFS.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 396 .loc 1 481 0 + 397 0004 0C49 ldr r1, .L18+4 + 477:.\Generated_Source\PSoC5/USBFS.c **** USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + 398 .loc 1 477 0 + 399 0006 1A70 strb r2, [r3, #0] + 400 .LVL28: + 401 .loc 1 481 0 + 402 0008 0020 movs r0, #0 + 482:.\Generated_Source\PSoC5/USBFS.c **** + 483:.\Generated_Source\PSoC5/USBFS.c **** /* Clear all of the component data */ + 484:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 403 .loc 1 484 0 + 404 000a 0C4A ldr r2, .L18+8 + 485:.\Generated_Source\PSoC5/USBFS.c **** USBFS_interfaceNumber = 0u; + 405 .loc 1 485 0 + 406 000c 0C4B ldr r3, .L18+12 + 481:.\Generated_Source\PSoC5/USBFS.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 407 .loc 1 481 0 + 408 000e 0870 strb r0, [r1, #0] + 486:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 409 .loc 1 486 0 + 410 0010 0C49 ldr r1, .L18+16 + 484:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 411 .loc 1 484 0 + 412 0012 1070 strb r0, [r2, #0] + 485:.\Generated_Source\PSoC5/USBFS.c **** USBFS_interfaceNumber = 0u; + 413 .loc 1 485 0 + 414 0014 1870 strb r0, [r3, #0] + 487:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceAddress = 0u; + 415 .loc 1 487 0 + 416 0016 0C4A ldr r2, .L18+20 + 488:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus = 0u; + 417 .loc 1 488 0 + 418 0018 0C4B ldr r3, .L18+24 + 486:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 419 .loc 1 486 0 + 420 001a 0870 strb r0, [r1, #0] + 489:.\Generated_Source\PSoC5/USBFS.c **** + 490:.\Generated_Source\PSoC5/USBFS.c **** USBFS_lastPacketSize = 0u; + 421 .loc 1 490 0 + 422 001c 0C49 ldr r1, .L18+28 + 487:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceAddress = 0u; + 423 .loc 1 487 0 + 424 001e 1070 strb r0, [r2, #0] + 488:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus = 0u; + 425 .loc 1 488 0 + 426 0020 1870 strb r0, [r3, #0] + 491:.\Generated_Source\PSoC5/USBFS.c **** + 492:.\Generated_Source\PSoC5/USBFS.c **** + 493:.\Generated_Source\PSoC5/USBFS.c **** /* ACK Setup, Stall IN/OUT */ + 494:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + 427 .loc 1 494 0 + 428 0022 0C4B ldr r3, .L18+32 + 490:.\Generated_Source\PSoC5/USBFS.c **** USBFS_lastPacketSize = 0u; + 429 .loc 1 490 0 + 430 0024 0870 strb r0, [r1, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 18 + + + 495:.\Generated_Source\PSoC5/USBFS.c **** + 496:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the SIE with an address 0 */ + 497:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + 431 .loc 1 497 0 + 432 0026 8022 movs r2, #128 + 494:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + 433 .loc 1 494 0 + 434 0028 0320 movs r0, #3 + 435 002a 1870 strb r0, [r3, #0] + 436 .loc 1 497 0 + 437 002c 03F8202C strb r2, [r3, #-32] + 438 0030 7047 bx lr + 439 .L19: + 440 0032 00BF .align 2 + 441 .L18: + 442 0034 00000000 .word USBFS_hidProtocol + 443 0038 00000000 .word USBFS_transferState + 444 003c 00000000 .word USBFS_configuration + 445 0040 00000000 .word USBFS_interfaceNumber + 446 0044 00000000 .word USBFS_configurationChanged + 447 0048 00000000 .word USBFS_deviceAddress + 448 004c 00000000 .word USBFS_deviceStatus + 449 0050 00000000 .word USBFS_lastPacketSize + 450 0054 28600040 .word 1073766440 + 451 .cfi_endproc + 452 .LFE3: + 453 .size USBFS_ReInitComponent, .-USBFS_ReInitComponent + 454 .section .text.USBFS_Stop,"ax",%progbits + 455 .align 1 + 456 .global USBFS_Stop + 457 .thumb + 458 .thumb_func + 459 .type USBFS_Stop, %function + 460 USBFS_Stop: + 461 .LFB4: + 498:.\Generated_Source\PSoC5/USBFS.c **** + 499:.\Generated_Source\PSoC5/USBFS.c **** } + 500:.\Generated_Source\PSoC5/USBFS.c **** + 501:.\Generated_Source\PSoC5/USBFS.c **** + 502:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 503:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_Stop + 504:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 505:.\Generated_Source\PSoC5/USBFS.c **** * + 506:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 507:.\Generated_Source\PSoC5/USBFS.c **** * This function shuts down the USB function including to release + 508:.\Generated_Source\PSoC5/USBFS.c **** * the D+ Pullup and disabling the SIE. + 509:.\Generated_Source\PSoC5/USBFS.c **** * + 510:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 511:.\Generated_Source\PSoC5/USBFS.c **** * None. + 512:.\Generated_Source\PSoC5/USBFS.c **** * + 513:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 514:.\Generated_Source\PSoC5/USBFS.c **** * None. + 515:.\Generated_Source\PSoC5/USBFS.c **** * + 516:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: + 517:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_configuration: Contains current configuration number + 518:.\Generated_Source\PSoC5/USBFS.c **** * which is set by the Host using SET_CONFIGURATION request. + 519:.\Generated_Source\PSoC5/USBFS.c **** * Initialized to zero in this API. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 19 + + + 520:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceAddress: Contains current device address. This + 521:.\Generated_Source\PSoC5/USBFS.c **** * variable is initialized to zero in this API. Host starts to communicate + 522:.\Generated_Source\PSoC5/USBFS.c **** * to device with address 0 and then set it to whatever value using + 523:.\Generated_Source\PSoC5/USBFS.c **** * SET_ADDRESS request. + 524:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceStatus: initialized to 0. + 525:.\Generated_Source\PSoC5/USBFS.c **** * This is two bit variable which contain power status in first bit + 526:.\Generated_Source\PSoC5/USBFS.c **** * (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote + 527:.\Generated_Source\PSoC5/USBFS.c **** * wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. + 528:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_configurationChanged: This variable is set to one after + 529:.\Generated_Source\PSoC5/USBFS.c **** * SET_CONFIGURATION request and cleared in this function. + 530:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_intiVar variable is set to zero + 531:.\Generated_Source\PSoC5/USBFS.c **** * + 532:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 533:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_Stop(void) + 534:.\Generated_Source\PSoC5/USBFS.c **** { + 462 .loc 1 534 0 + 463 .cfi_startproc + 464 @ args = 0, pretend = 0, frame = 0 + 465 @ frame_needed = 0, uses_anonymous_args = 0 + 466 @ link register save eliminated. + 535:.\Generated_Source\PSoC5/USBFS.c **** + 536:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 537:.\Generated_Source\PSoC5/USBFS.c **** USBFS_Stop_DMA(USBFS_MAX_EP); /* Stop all DMAs */ + 538:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 539:.\Generated_Source\PSoC5/USBFS.c **** + 540:.\Generated_Source\PSoC5/USBFS.c **** /* Disable the SIE */ + 541:.\Generated_Source\PSoC5/USBFS.c **** USBFS_CR0_REG &= (uint8)(~USBFS_CR0_ENABLE); + 467 .loc 1 541 0 + 468 0000 154B ldr r3, .L21 + 469 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 470 0004 02F07F00 and r0, r2, #127 + 471 0008 1870 strb r0, [r3, #0] + 542:.\Generated_Source\PSoC5/USBFS.c **** /* Disable the d+ pullup */ + 543:.\Generated_Source\PSoC5/USBFS.c **** USBFS_USBIO_CR1_REG &= (uint8)(~USBFS_USBIO_CR1_USBPUEN); + 472 .loc 1 543 0 + 473 000a 997A ldrb r1, [r3, #10] @ zero_extendqisi2 + 474 000c 01F0FB02 and r2, r1, #251 + 475 0010 9A72 strb r2, [r3, #10] + 544:.\Generated_Source\PSoC5/USBFS.c **** /* Disable USB in ACT PM */ + 545:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_ACT_CFG_REG &= (uint8)(~USBFS_PM_ACT_EN_FSUSB); + 476 .loc 1 545 0 + 477 0012 124B ldr r3, .L21+4 + 478 0014 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 479 0016 00F0FE01 and r1, r0, #254 + 480 001a 1970 strb r1, [r3, #0] + 546:.\Generated_Source\PSoC5/USBFS.c **** /* Disable USB block for Standby Power Mode */ + 547:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_STBY_CFG_REG &= (uint8)(~USBFS_PM_STBY_EN_FSUSB); + 481 .loc 1 547 0 + 482 001c 1A7C ldrb r2, [r3, #16] @ zero_extendqisi2 + 548:.\Generated_Source\PSoC5/USBFS.c **** + 549:.\Generated_Source\PSoC5/USBFS.c **** /* Disable the reset and EP interrupts */ + 550:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_BUS_RESET_VECT_NUM); + 483 .loc 1 550 0 + 484 001e 4FF40001 mov r1, #8388608 + 547:.\Generated_Source\PSoC5/USBFS.c **** USBFS_PM_STBY_CFG_REG &= (uint8)(~USBFS_PM_STBY_EN_FSUSB); + 485 .loc 1 547 0 + 486 0022 02F0FE00 and r0, r2, #254 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 20 + + + 487 0026 1874 strb r0, [r3, #16] + 488 .loc 1 550 0 + 489 0028 0D4B ldr r3, .L21+8 + 551:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_0_VECT_NUM); + 490 .loc 1 551 0 + 491 002a 4FF08072 mov r2, #16777216 + 550:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_BUS_RESET_VECT_NUM); + 492 .loc 1 550 0 + 493 002e 1960 str r1, [r3, #0] + 552:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP1_ISR_REMOVE == 0u) + 553:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_1_VECT_NUM); + 494 .loc 1 553 0 + 495 0030 0120 movs r0, #1 + 554:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP1_ISR_REMOVE */ + 555:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP2_ISR_REMOVE == 0u) + 556:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_2_VECT_NUM); + 496 .loc 1 556 0 + 497 0032 0221 movs r1, #2 + 551:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_0_VECT_NUM); + 498 .loc 1 551 0 + 499 0034 1A60 str r2, [r3, #0] + 553:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_1_VECT_NUM); + 500 .loc 1 553 0 + 501 0036 1860 str r0, [r3, #0] + 557:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP2_ISR_REMOVE */ + 558:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP3_ISR_REMOVE == 0u) + 559:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_3_VECT_NUM); + 560:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP3_ISR_REMOVE */ + 561:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP4_ISR_REMOVE == 0u) + 562:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_4_VECT_NUM); + 563:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP4_ISR_REMOVE */ + 564:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP5_ISR_REMOVE == 0u) + 565:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_5_VECT_NUM); + 566:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP5_ISR_REMOVE */ + 567:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP6_ISR_REMOVE == 0u) + 568:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_6_VECT_NUM); + 569:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP6_ISR_REMOVE */ + 570:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP7_ISR_REMOVE == 0u) + 571:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_7_VECT_NUM); + 572:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP7_ISR_REMOVE */ + 573:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP8_ISR_REMOVE == 0u) + 574:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_8_VECT_NUM); + 575:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP8_ISR_REMOVE */ + 576:.\Generated_Source\PSoC5/USBFS.c **** + 577:.\Generated_Source\PSoC5/USBFS.c **** /* Clear all of the component data */ + 578:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 502 .loc 1 578 0 + 503 0038 0A4A ldr r2, .L21+12 + 556:.\Generated_Source\PSoC5/USBFS.c **** CyIntDisable(USBFS_EP_2_VECT_NUM); + 504 .loc 1 556 0 + 505 003a 1960 str r1, [r3, #0] + 579:.\Generated_Source\PSoC5/USBFS.c **** USBFS_interfaceNumber = 0u; + 506 .loc 1 579 0 + 507 003c 0A48 ldr r0, .L21+16 + 580:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 508 .loc 1 580 0 + 509 003e 0B49 ldr r1, .L21+20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 21 + + + 578:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configuration = 0u; + 510 .loc 1 578 0 + 511 0040 0023 movs r3, #0 + 512 0042 1370 strb r3, [r2, #0] + 579:.\Generated_Source\PSoC5/USBFS.c **** USBFS_interfaceNumber = 0u; + 513 .loc 1 579 0 + 514 0044 0370 strb r3, [r0, #0] + 581:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceAddress = 0u; + 515 .loc 1 581 0 + 516 0046 0A4A ldr r2, .L21+24 + 580:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 517 .loc 1 580 0 + 518 0048 0B70 strb r3, [r1, #0] + 582:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus = 0u; + 519 .loc 1 582 0 + 520 004a 0A48 ldr r0, .L21+28 + 583:.\Generated_Source\PSoC5/USBFS.c **** USBFS_initVar = 0u; + 521 .loc 1 583 0 + 522 004c 0A49 ldr r1, .L21+32 + 581:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceAddress = 0u; + 523 .loc 1 581 0 + 524 004e 1370 strb r3, [r2, #0] + 525 .loc 1 583 0 + 526 0050 0B70 strb r3, [r1, #0] + 582:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus = 0u; + 527 .loc 1 582 0 + 528 0052 0370 strb r3, [r0, #0] + 529 .loc 1 583 0 + 530 0054 7047 bx lr + 531 .L22: + 532 0056 00BF .align 2 + 533 .L21: + 534 0058 08600040 .word 1073766408 + 535 005c A5430040 .word 1073759141 + 536 0060 80E100E0 .word -536813184 + 537 0064 00000000 .word USBFS_configuration + 538 0068 00000000 .word USBFS_interfaceNumber + 539 006c 00000000 .word USBFS_configurationChanged + 540 0070 00000000 .word USBFS_deviceAddress + 541 0074 00000000 .word USBFS_deviceStatus + 542 0078 00000000 .word .LANCHOR0 + 543 .cfi_endproc + 544 .LFE4: + 545 .size USBFS_Stop, .-USBFS_Stop + 546 .section .text.USBFS_CheckActivity,"ax",%progbits + 547 .align 1 + 548 .global USBFS_CheckActivity + 549 .thumb + 550 .thumb_func + 551 .type USBFS_CheckActivity, %function + 552 USBFS_CheckActivity: + 553 .LFB5: + 584:.\Generated_Source\PSoC5/USBFS.c **** + 585:.\Generated_Source\PSoC5/USBFS.c **** } + 586:.\Generated_Source\PSoC5/USBFS.c **** + 587:.\Generated_Source\PSoC5/USBFS.c **** + 588:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 22 + + + 589:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_CheckActivity + 590:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 591:.\Generated_Source\PSoC5/USBFS.c **** * + 592:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 593:.\Generated_Source\PSoC5/USBFS.c **** * Returns the activity status of the bus. Clears the status hardware to + 594:.\Generated_Source\PSoC5/USBFS.c **** * provide fresh activity status on the next call of this routine. + 595:.\Generated_Source\PSoC5/USBFS.c **** * + 596:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 597:.\Generated_Source\PSoC5/USBFS.c **** * None. + 598:.\Generated_Source\PSoC5/USBFS.c **** * + 599:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 600:.\Generated_Source\PSoC5/USBFS.c **** * 1 - If bus activity was detected since the last call to this function + 601:.\Generated_Source\PSoC5/USBFS.c **** * 0 - If bus activity not was detected since the last call to this function + 602:.\Generated_Source\PSoC5/USBFS.c **** * + 603:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 604:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_CheckActivity(void) + 605:.\Generated_Source\PSoC5/USBFS.c **** { + 554 .loc 1 605 0 + 555 .cfi_startproc + 556 @ args = 0, pretend = 0, frame = 0 + 557 @ frame_needed = 0, uses_anonymous_args = 0 + 558 @ link register save eliminated. + 606:.\Generated_Source\PSoC5/USBFS.c **** uint8 r; + 607:.\Generated_Source\PSoC5/USBFS.c **** + 608:.\Generated_Source\PSoC5/USBFS.c **** r = CY_GET_REG8(USBFS_CR1_PTR); + 559 .loc 1 608 0 + 560 0000 034B ldr r3, .L24 + 561 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 562 .LVL29: + 609:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_CR1_PTR, (r & ((uint8)(~USBFS_CR1_BUS_ACTIVITY)))); + 563 .loc 1 609 0 + 564 0004 00F0FB02 and r2, r0, #251 + 565 0008 1A70 strb r2, [r3, #0] + 610:.\Generated_Source\PSoC5/USBFS.c **** + 611:.\Generated_Source\PSoC5/USBFS.c **** return((r & USBFS_CR1_BUS_ACTIVITY) >> USBFS_CR1_BUS_ACTIVITY_SHIFT); + 612:.\Generated_Source\PSoC5/USBFS.c **** } + 566 .loc 1 612 0 + 567 000a C0F38000 ubfx r0, r0, #2, #1 + 568 .LVL30: + 569 000e 7047 bx lr + 570 .L25: + 571 .align 2 + 572 .L24: + 573 0010 09600040 .word 1073766409 + 574 .cfi_endproc + 575 .LFE5: + 576 .size USBFS_CheckActivity, .-USBFS_CheckActivity + 577 .section .text.USBFS_GetConfiguration,"ax",%progbits + 578 .align 1 + 579 .global USBFS_GetConfiguration + 580 .thumb + 581 .thumb_func + 582 .type USBFS_GetConfiguration, %function + 583 USBFS_GetConfiguration: + 584 .LFB6: + 613:.\Generated_Source\PSoC5/USBFS.c **** + 614:.\Generated_Source\PSoC5/USBFS.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 23 + + + 615:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 616:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_GetConfiguration + 617:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 618:.\Generated_Source\PSoC5/USBFS.c **** * + 619:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 620:.\Generated_Source\PSoC5/USBFS.c **** * Returns the current configuration setting + 621:.\Generated_Source\PSoC5/USBFS.c **** * + 622:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 623:.\Generated_Source\PSoC5/USBFS.c **** * None. + 624:.\Generated_Source\PSoC5/USBFS.c **** * + 625:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 626:.\Generated_Source\PSoC5/USBFS.c **** * configuration. + 627:.\Generated_Source\PSoC5/USBFS.c **** * + 628:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 629:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_GetConfiguration(void) + 630:.\Generated_Source\PSoC5/USBFS.c **** { + 585 .loc 1 630 0 + 586 .cfi_startproc + 587 @ args = 0, pretend = 0, frame = 0 + 588 @ frame_needed = 0, uses_anonymous_args = 0 + 589 @ link register save eliminated. + 631:.\Generated_Source\PSoC5/USBFS.c **** return(USBFS_configuration); + 590 .loc 1 631 0 + 591 0000 014B ldr r3, .L27 + 592 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 632:.\Generated_Source\PSoC5/USBFS.c **** } + 593 .loc 1 632 0 + 594 0004 7047 bx lr + 595 .L28: + 596 0006 00BF .align 2 + 597 .L27: + 598 0008 00000000 .word USBFS_configuration + 599 .cfi_endproc + 600 .LFE6: + 601 .size USBFS_GetConfiguration, .-USBFS_GetConfiguration + 602 .section .text.USBFS_IsConfigurationChanged,"ax",%progbits + 603 .align 1 + 604 .global USBFS_IsConfigurationChanged + 605 .thumb + 606 .thumb_func + 607 .type USBFS_IsConfigurationChanged, %function + 608 USBFS_IsConfigurationChanged: + 609 .LFB7: + 633:.\Generated_Source\PSoC5/USBFS.c **** + 634:.\Generated_Source\PSoC5/USBFS.c **** + 635:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 636:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_IsConfigurationChanged + 637:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 638:.\Generated_Source\PSoC5/USBFS.c **** * + 639:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 640:.\Generated_Source\PSoC5/USBFS.c **** * Returns the clear on read configuration state. It is usefull when PC send + 641:.\Generated_Source\PSoC5/USBFS.c **** * double SET_CONFIGURATION request with same configuration number. + 642:.\Generated_Source\PSoC5/USBFS.c **** * + 643:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 644:.\Generated_Source\PSoC5/USBFS.c **** * None. + 645:.\Generated_Source\PSoC5/USBFS.c **** * + 646:.\Generated_Source\PSoC5/USBFS.c **** * Return: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 24 + + + 647:.\Generated_Source\PSoC5/USBFS.c **** * Not zero value when new configuration has been changed, otherwise zero is + 648:.\Generated_Source\PSoC5/USBFS.c **** * returned. + 649:.\Generated_Source\PSoC5/USBFS.c **** * + 650:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: + 651:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_configurationChanged: This variable is set to one after + 652:.\Generated_Source\PSoC5/USBFS.c **** * SET_CONFIGURATION request and cleared in this function. + 653:.\Generated_Source\PSoC5/USBFS.c **** * + 654:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 655:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_IsConfigurationChanged(void) + 656:.\Generated_Source\PSoC5/USBFS.c **** { + 610 .loc 1 656 0 + 611 .cfi_startproc + 612 @ args = 0, pretend = 0, frame = 0 + 613 @ frame_needed = 0, uses_anonymous_args = 0 + 614 @ link register save eliminated. + 615 .LVL31: + 657:.\Generated_Source\PSoC5/USBFS.c **** uint8 res = 0u; + 658:.\Generated_Source\PSoC5/USBFS.c **** + 659:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_configurationChanged != 0u) + 616 .loc 1 659 0 + 617 0000 034B ldr r3, .L32 + 618 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 619 0004 10B1 cbz r0, .L30 + 660:.\Generated_Source\PSoC5/USBFS.c **** { + 661:.\Generated_Source\PSoC5/USBFS.c **** res = USBFS_configurationChanged; + 662:.\Generated_Source\PSoC5/USBFS.c **** USBFS_configurationChanged = 0u; + 620 .loc 1 662 0 + 621 0006 0022 movs r2, #0 + 661:.\Generated_Source\PSoC5/USBFS.c **** res = USBFS_configurationChanged; + 622 .loc 1 661 0 + 623 0008 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 624 .LVL32: + 625 .loc 1 662 0 + 626 000a 1A70 strb r2, [r3, #0] + 627 .LVL33: + 628 .L30: + 663:.\Generated_Source\PSoC5/USBFS.c **** } + 664:.\Generated_Source\PSoC5/USBFS.c **** + 665:.\Generated_Source\PSoC5/USBFS.c **** return(res); + 666:.\Generated_Source\PSoC5/USBFS.c **** } + 629 .loc 1 666 0 + 630 000c 7047 bx lr + 631 .L33: + 632 000e 00BF .align 2 + 633 .L32: + 634 0010 00000000 .word USBFS_configurationChanged + 635 .cfi_endproc + 636 .LFE7: + 637 .size USBFS_IsConfigurationChanged, .-USBFS_IsConfigurationChanged + 638 .section .text.USBFS_GetInterfaceSetting,"ax",%progbits + 639 .align 1 + 640 .global USBFS_GetInterfaceSetting + 641 .thumb + 642 .thumb_func + 643 .type USBFS_GetInterfaceSetting, %function + 644 USBFS_GetInterfaceSetting: + 645 .LFB8: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 25 + + + 667:.\Generated_Source\PSoC5/USBFS.c **** + 668:.\Generated_Source\PSoC5/USBFS.c **** + 669:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 670:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_GetInterfaceSetting + 671:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 672:.\Generated_Source\PSoC5/USBFS.c **** * + 673:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 674:.\Generated_Source\PSoC5/USBFS.c **** * Returns the alternate setting from current interface + 675:.\Generated_Source\PSoC5/USBFS.c **** * + 676:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 677:.\Generated_Source\PSoC5/USBFS.c **** * uint8 interfaceNumber, interface number + 678:.\Generated_Source\PSoC5/USBFS.c **** * + 679:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 680:.\Generated_Source\PSoC5/USBFS.c **** * Alternate setting. + 681:.\Generated_Source\PSoC5/USBFS.c **** * + 682:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 683:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_GetInterfaceSetting(uint8 interfaceNumber) + 684:.\Generated_Source\PSoC5/USBFS.c **** + 685:.\Generated_Source\PSoC5/USBFS.c **** { + 646 .loc 1 685 0 + 647 .cfi_startproc + 648 @ args = 0, pretend = 0, frame = 0 + 649 @ frame_needed = 0, uses_anonymous_args = 0 + 650 @ link register save eliminated. + 651 .LVL34: + 686:.\Generated_Source\PSoC5/USBFS.c **** return(USBFS_interfaceSetting[interfaceNumber]); + 652 .loc 1 686 0 + 653 0000 014B ldr r3, .L35 + 654 0002 185C ldrb r0, [r3, r0] @ zero_extendqisi2 + 655 .LVL35: + 687:.\Generated_Source\PSoC5/USBFS.c **** } + 656 .loc 1 687 0 + 657 0004 7047 bx lr + 658 .L36: + 659 0006 00BF .align 2 + 660 .L35: + 661 0008 00000000 .word USBFS_interfaceSetting + 662 .cfi_endproc + 663 .LFE8: + 664 .size USBFS_GetInterfaceSetting, .-USBFS_GetInterfaceSetting + 665 .section .text.USBFS_GetEPState,"ax",%progbits + 666 .align 1 + 667 .global USBFS_GetEPState + 668 .thumb + 669 .thumb_func + 670 .type USBFS_GetEPState, %function + 671 USBFS_GetEPState: + 672 .LFB9: + 688:.\Generated_Source\PSoC5/USBFS.c **** + 689:.\Generated_Source\PSoC5/USBFS.c **** + 690:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 691:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_GetEPState + 692:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 693:.\Generated_Source\PSoC5/USBFS.c **** * + 694:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 695:.\Generated_Source\PSoC5/USBFS.c **** * Returned the state of the requested endpoint. + 696:.\Generated_Source\PSoC5/USBFS.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 26 + + + 697:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 698:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Endpoint Number + 699:.\Generated_Source\PSoC5/USBFS.c **** * + 700:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 701:.\Generated_Source\PSoC5/USBFS.c **** * State of the requested endpoint. + 702:.\Generated_Source\PSoC5/USBFS.c **** * + 703:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 704:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_GetEPState(uint8 epNumber) + 705:.\Generated_Source\PSoC5/USBFS.c **** { + 673 .loc 1 705 0 + 674 .cfi_startproc + 675 @ args = 0, pretend = 0, frame = 0 + 676 @ frame_needed = 0, uses_anonymous_args = 0 + 677 @ link register save eliminated. + 678 .LVL36: + 706:.\Generated_Source\PSoC5/USBFS.c **** return(USBFS_EP[epNumber].apiEpState); + 679 .loc 1 706 0 + 680 0000 024B ldr r3, .L38 + 681 0002 0C22 movs r2, #12 + 682 0004 02FB0030 mla r0, r2, r0, r3 + 683 .LVL37: + 684 0008 4078 ldrb r0, [r0, #1] @ zero_extendqisi2 + 707:.\Generated_Source\PSoC5/USBFS.c **** } + 685 .loc 1 707 0 + 686 000a 7047 bx lr + 687 .L39: + 688 .align 2 + 689 .L38: + 690 000c 00000000 .word USBFS_EP + 691 .cfi_endproc + 692 .LFE9: + 693 .size USBFS_GetEPState, .-USBFS_GetEPState + 694 .section .text.USBFS_GetEPCount,"ax",%progbits + 695 .align 1 + 696 .global USBFS_GetEPCount + 697 .thumb + 698 .thumb_func + 699 .type USBFS_GetEPCount, %function + 700 USBFS_GetEPCount: + 701 .LFB10: + 708:.\Generated_Source\PSoC5/USBFS.c **** + 709:.\Generated_Source\PSoC5/USBFS.c **** + 710:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 711:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_GetEPCount + 712:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 713:.\Generated_Source\PSoC5/USBFS.c **** * + 714:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 715:.\Generated_Source\PSoC5/USBFS.c **** * This function supports Data Endpoints only(EP1-EP8). + 716:.\Generated_Source\PSoC5/USBFS.c **** * Returns the transfer count for the requested endpoint. The value from + 717:.\Generated_Source\PSoC5/USBFS.c **** * the count registers includes 2 counts for the two byte checksum of the + 718:.\Generated_Source\PSoC5/USBFS.c **** * packet. This function subtracts the two counts. + 719:.\Generated_Source\PSoC5/USBFS.c **** * + 720:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 721:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Data Endpoint Number. + 722:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. + 723:.\Generated_Source\PSoC5/USBFS.c **** * + 724:.\Generated_Source\PSoC5/USBFS.c **** * Return: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 27 + + + 725:.\Generated_Source\PSoC5/USBFS.c **** * Returns the current byte count from the specified endpoint or 0 for an + 726:.\Generated_Source\PSoC5/USBFS.c **** * invalid endpoint. + 727:.\Generated_Source\PSoC5/USBFS.c **** * + 728:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 729:.\Generated_Source\PSoC5/USBFS.c **** uint16 USBFS_GetEPCount(uint8 epNumber) + 730:.\Generated_Source\PSoC5/USBFS.c **** { + 702 .loc 1 730 0 + 703 .cfi_startproc + 704 @ args = 0, pretend = 0, frame = 0 + 705 @ frame_needed = 0, uses_anonymous_args = 0 + 706 @ link register save eliminated. + 707 .LVL38: + 731:.\Generated_Source\PSoC5/USBFS.c **** uint8 ri; + 732:.\Generated_Source\PSoC5/USBFS.c **** uint16 result = 0u; + 733:.\Generated_Source\PSoC5/USBFS.c **** + 734:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + 708 .loc 1 734 0 + 709 0000 0138 subs r0, r0, #1 + 710 .LVL39: + 711 0002 C3B2 uxtb r3, r0 + 712 0004 072B cmp r3, #7 + 713 0006 0CD8 bhi .L42 + 714 .LVL40: + 735:.\Generated_Source\PSoC5/USBFS.c **** { + 736:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 715 .loc 1 736 0 + 716 0008 1901 lsls r1, r3, #4 + 737:.\Generated_Source\PSoC5/USBFS.c **** + 738:.\Generated_Source\PSoC5/USBFS.c **** result = (uint8)(CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri)) & + 717 .loc 1 738 0 + 718 000a 074A ldr r2, .L43 + 719 000c CBB2 uxtb r3, r1 + 720 .LVL41: + 721 000e 985C ldrb r0, [r3, r2] @ zero_extendqisi2 + 722 .LVL42: + 739:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EPX_CNT0_MASK); + 740:.\Generated_Source\PSoC5/USBFS.c **** result = (result << 8u) | CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri)); + 723 .loc 1 740 0 + 724 0010 511C adds r1, r2, #1 + 725 0012 5B5C ldrb r3, [r3, r1] @ zero_extendqisi2 + 726 0014 00F00F02 and r2, r0, #15 + 727 0018 43EA0220 orr r0, r3, r2, lsl #8 + 728 .LVL43: + 741:.\Generated_Source\PSoC5/USBFS.c **** result -= USBFS_EPX_CNTX_CRC_COUNT; + 729 .loc 1 741 0 + 730 001c 811E subs r1, r0, #2 + 731 001e 88B2 uxth r0, r1 + 732 .LVL44: + 733 0020 7047 bx lr + 734 .LVL45: + 735 .L42: + 732:.\Generated_Source\PSoC5/USBFS.c **** uint16 result = 0u; + 736 .loc 1 732 0 + 737 0022 0020 movs r0, #0 + 738 .LVL46: + 742:.\Generated_Source\PSoC5/USBFS.c **** } + 743:.\Generated_Source\PSoC5/USBFS.c **** return(result); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 28 + + + 744:.\Generated_Source\PSoC5/USBFS.c **** } + 739 .loc 1 744 0 + 740 0024 7047 bx lr + 741 .L44: + 742 0026 00BF .align 2 + 743 .L43: + 744 0028 0C600040 .word 1073766412 + 745 .cfi_endproc + 746 .LFE10: + 747 .size USBFS_GetEPCount, .-USBFS_GetEPCount + 748 .section .text.USBFS_LoadInEP,"ax",%progbits + 749 .align 1 + 750 .global USBFS_LoadInEP + 751 .thumb + 752 .thumb_func + 753 .type USBFS_LoadInEP, %function + 754 USBFS_LoadInEP: + 755 .LFB11: + 745:.\Generated_Source\PSoC5/USBFS.c **** + 746:.\Generated_Source\PSoC5/USBFS.c **** + 747:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 748:.\Generated_Source\PSoC5/USBFS.c **** + 749:.\Generated_Source\PSoC5/USBFS.c **** + 750:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 751:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_InitEP_DMA + 752:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 753:.\Generated_Source\PSoC5/USBFS.c **** * + 754:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 755:.\Generated_Source\PSoC5/USBFS.c **** * This function allocates and initializes a DMA channel to be used by the + 756:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_LoadInEP() or USBFS_ReadOutEP() APIs for data + 757:.\Generated_Source\PSoC5/USBFS.c **** * transfer. + 758:.\Generated_Source\PSoC5/USBFS.c **** * + 759:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 760:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Contains the data endpoint number. + 761:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. + 762:.\Generated_Source\PSoC5/USBFS.c **** * *pData: Pointer to a data array that is related to the EP transfers. + 763:.\Generated_Source\PSoC5/USBFS.c **** * + 764:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 765:.\Generated_Source\PSoC5/USBFS.c **** * None. + 766:.\Generated_Source\PSoC5/USBFS.c **** * + 767:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 768:.\Generated_Source\PSoC5/USBFS.c **** * No. + 769:.\Generated_Source\PSoC5/USBFS.c **** * + 770:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 771:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_InitEP_DMA(uint8 epNumber, const uint8 *pData) + 772:.\Generated_Source\PSoC5/USBFS.c **** + 773:.\Generated_Source\PSoC5/USBFS.c **** { + 774:.\Generated_Source\PSoC5/USBFS.c **** uint16 src; + 775:.\Generated_Source\PSoC5/USBFS.c **** uint16 dst; + 776:.\Generated_Source\PSoC5/USBFS.c **** #if (CY_PSOC3) /* PSoC 3 */ + 777:.\Generated_Source\PSoC5/USBFS.c **** src = HI16(CYDEV_SRAM_BASE); + 778:.\Generated_Source\PSoC5/USBFS.c **** dst = HI16(CYDEV_PERIPH_BASE); + 779:.\Generated_Source\PSoC5/USBFS.c **** pData = pData; + 780:.\Generated_Source\PSoC5/USBFS.c **** #else /* PSoC 5 */ + 781:.\Generated_Source\PSoC5/USBFS.c **** if((USBFS_EP[epNumber].addr & USBFS_DIR_IN) != 0u ) + 782:.\Generated_Source\PSoC5/USBFS.c **** { /* for the IN EP source is the SRAM memory buffer */ + 783:.\Generated_Source\PSoC5/USBFS.c **** src = HI16(pData); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 29 + + + 784:.\Generated_Source\PSoC5/USBFS.c **** dst = HI16(CYDEV_PERIPH_BASE); + 785:.\Generated_Source\PSoC5/USBFS.c **** } + 786:.\Generated_Source\PSoC5/USBFS.c **** else + 787:.\Generated_Source\PSoC5/USBFS.c **** { /* for the OUT EP source is the SIE register */ + 788:.\Generated_Source\PSoC5/USBFS.c **** src = HI16(CYDEV_PERIPH_BASE); + 789:.\Generated_Source\PSoC5/USBFS.c **** dst = HI16(pData); + 790:.\Generated_Source\PSoC5/USBFS.c **** } + 791:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End C51 */ + 792:.\Generated_Source\PSoC5/USBFS.c **** switch(epNumber) + 793:.\Generated_Source\PSoC5/USBFS.c **** { + 794:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP1: + 795:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA1_REMOVE == 0u) + 796:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep1_DmaInitialize( + 797:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 798:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA1_REMOVE */ + 799:.\Generated_Source\PSoC5/USBFS.c **** break; + 800:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP2: + 801:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA2_REMOVE == 0u) + 802:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep2_DmaInitialize( + 803:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 804:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA2_REMOVE */ + 805:.\Generated_Source\PSoC5/USBFS.c **** break; + 806:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP3: + 807:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA3_REMOVE == 0u) + 808:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep3_DmaInitialize( + 809:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 810:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA3_REMOVE */ + 811:.\Generated_Source\PSoC5/USBFS.c **** break; + 812:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP4: + 813:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA4_REMOVE == 0u) + 814:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep4_DmaInitialize( + 815:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 816:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA4_REMOVE */ + 817:.\Generated_Source\PSoC5/USBFS.c **** break; + 818:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP5: + 819:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA5_REMOVE == 0u) + 820:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep5_DmaInitialize( + 821:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 822:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA5_REMOVE */ + 823:.\Generated_Source\PSoC5/USBFS.c **** break; + 824:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP6: + 825:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA6_REMOVE == 0u) + 826:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep6_DmaInitialize( + 827:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 828:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA6_REMOVE */ + 829:.\Generated_Source\PSoC5/USBFS.c **** break; + 830:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP7: + 831:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA7_REMOVE == 0u) + 832:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep7_DmaInitialize( + 833:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 834:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA7_REMOVE */ + 835:.\Generated_Source\PSoC5/USBFS.c **** break; + 836:.\Generated_Source\PSoC5/USBFS.c **** case USBFS_EP8: + 837:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_DMA8_REMOVE == 0u) + 838:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaChan[epNumber] = USBFS_ep8_DmaInitialize( + 839:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + 840:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_DMA8_REMOVE */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 30 + + + 841:.\Generated_Source\PSoC5/USBFS.c **** break; + 842:.\Generated_Source\PSoC5/USBFS.c **** default: + 843:.\Generated_Source\PSoC5/USBFS.c **** /* Do not support EP0 DMA transfers */ + 844:.\Generated_Source\PSoC5/USBFS.c **** break; + 845:.\Generated_Source\PSoC5/USBFS.c **** } + 846:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + 847:.\Generated_Source\PSoC5/USBFS.c **** { + 848:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaTd[epNumber] = CyDmaTdAllocate(); + 849:.\Generated_Source\PSoC5/USBFS.c **** } + 850:.\Generated_Source\PSoC5/USBFS.c **** } + 851:.\Generated_Source\PSoC5/USBFS.c **** + 852:.\Generated_Source\PSoC5/USBFS.c **** + 853:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 854:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_Stop_DMA + 855:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 856:.\Generated_Source\PSoC5/USBFS.c **** * + 857:.\Generated_Source\PSoC5/USBFS.c **** * Summary: Stops and free DMA + 858:.\Generated_Source\PSoC5/USBFS.c **** * + 859:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + 860:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Contains the data endpoint number or + 861:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_MAX_EP to stop all DMAs + 862:.\Generated_Source\PSoC5/USBFS.c **** * + 863:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 864:.\Generated_Source\PSoC5/USBFS.c **** * None. + 865:.\Generated_Source\PSoC5/USBFS.c **** * + 866:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 867:.\Generated_Source\PSoC5/USBFS.c **** * No. + 868:.\Generated_Source\PSoC5/USBFS.c **** * + 869:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 870:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_Stop_DMA(uint8 epNumber) + 871:.\Generated_Source\PSoC5/USBFS.c **** { + 872:.\Generated_Source\PSoC5/USBFS.c **** uint8 i; + 873:.\Generated_Source\PSoC5/USBFS.c **** i = (epNumber < USBFS_MAX_EP) ? epNumber : USBFS_EP1; + 874:.\Generated_Source\PSoC5/USBFS.c **** do + 875:.\Generated_Source\PSoC5/USBFS.c **** { + 876:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_DmaTd[i] != DMA_INVALID_TD) + 877:.\Generated_Source\PSoC5/USBFS.c **** { + 878:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChDisable(USBFS_DmaChan[i]); + 879:.\Generated_Source\PSoC5/USBFS.c **** CyDmaTdFree(USBFS_DmaTd[i]); + 880:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaTd[i] = DMA_INVALID_TD; + 881:.\Generated_Source\PSoC5/USBFS.c **** } + 882:.\Generated_Source\PSoC5/USBFS.c **** i++; + 883:.\Generated_Source\PSoC5/USBFS.c **** }while((i < USBFS_MAX_EP) && (epNumber == USBFS_MAX_EP)); + 884:.\Generated_Source\PSoC5/USBFS.c **** } + 885:.\Generated_Source\PSoC5/USBFS.c **** + 886:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 887:.\Generated_Source\PSoC5/USBFS.c **** + 888:.\Generated_Source\PSoC5/USBFS.c **** + 889:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* + 890:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_LoadInEP + 891:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** + 892:.\Generated_Source\PSoC5/USBFS.c **** * + 893:.\Generated_Source\PSoC5/USBFS.c **** * Summary: + 894:.\Generated_Source\PSoC5/USBFS.c **** * Loads and enables the specified USB data endpoint for an IN interrupt or bulk + 895:.\Generated_Source\PSoC5/USBFS.c **** * transfer. + 896:.\Generated_Source\PSoC5/USBFS.c **** * + 897:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 31 + + + 898:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Contains the data endpoint number. + 899:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. + 900:.\Generated_Source\PSoC5/USBFS.c **** * *pData: A pointer to a data array from which the data for the endpoint space + 901:.\Generated_Source\PSoC5/USBFS.c **** * is loaded. + 902:.\Generated_Source\PSoC5/USBFS.c **** * length: The number of bytes to transfer from the array and then send as a + 903:.\Generated_Source\PSoC5/USBFS.c **** * result of an IN request. Valid values are between 0 and 512. + 904:.\Generated_Source\PSoC5/USBFS.c **** * + 905:.\Generated_Source\PSoC5/USBFS.c **** * Return: + 906:.\Generated_Source\PSoC5/USBFS.c **** * None. + 907:.\Generated_Source\PSoC5/USBFS.c **** * + 908:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: + 909:.\Generated_Source\PSoC5/USBFS.c **** * No. + 910:.\Generated_Source\PSoC5/USBFS.c **** * + 911:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ + 912:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + 913:.\Generated_Source\PSoC5/USBFS.c **** + 914:.\Generated_Source\PSoC5/USBFS.c **** { + 756 .loc 1 914 0 + 757 .cfi_startproc + 758 @ args = 0, pretend = 0, frame = 0 + 759 @ frame_needed = 0, uses_anonymous_args = 0 + 760 .LVL47: + 915:.\Generated_Source\PSoC5/USBFS.c **** uint8 ri; + 916:.\Generated_Source\PSoC5/USBFS.c **** reg8 *p; + 917:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_MANUAL) + 918:.\Generated_Source\PSoC5/USBFS.c **** uint16 i; + 919:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + 920:.\Generated_Source\PSoC5/USBFS.c **** + 921:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + 761 .loc 1 921 0 + 762 0000 431E subs r3, r0, #1 + 763 0002 DBB2 uxtb r3, r3 + 764 0004 072B cmp r3, #7 + 914:.\Generated_Source\PSoC5/USBFS.c **** { + 765 .loc 1 914 0 + 766 0006 F0B5 push {r4, r5, r6, r7, lr} + 767 .LCFI3: + 768 .cfi_def_cfa_offset 20 + 769 .cfi_offset 4, -20 + 770 .cfi_offset 5, -16 + 771 .cfi_offset 6, -12 + 772 .cfi_offset 7, -8 + 773 .cfi_offset 14, -4 + 774 .loc 1 921 0 + 775 0008 2FD8 bhi .L45 + 776 .LVL48: + 922:.\Generated_Source\PSoC5/USBFS.c **** { + 923:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 924:.\Generated_Source\PSoC5/USBFS.c **** p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + 925:.\Generated_Source\PSoC5/USBFS.c **** + 926:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + 927:.\Generated_Source\PSoC5/USBFS.c **** /* Limits length to available buffer space, auto MM could send packets up to 1024 bytes + 928:.\Generated_Source\PSoC5/USBFS.c **** if(length > (USBFS_EPX_DATA_BUF_MAX - USBFS_EP[epNumber].buffOffset)) + 777 .loc 1 928 0 + 778 000a 184E ldr r6, .L52 + 923:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 779 .loc 1 923 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 32 + + + 780 000c 1C01 lsls r4, r3, #4 + 781 .loc 1 928 0 + 782 000e 0C27 movs r7, #12 + 924:.\Generated_Source\PSoC5/USBFS.c **** p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + 783 .loc 1 924 0 + 784 0010 E3B2 uxtb r3, r4 + 785 .LVL49: + 786 .loc 1 928 0 + 787 0012 07FB0064 mla r4, r7, r0, r6 + 788 0016 E788 ldrh r7, [r4, #6] + 924:.\Generated_Source\PSoC5/USBFS.c **** p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + 789 .loc 1 924 0 + 790 0018 154D ldr r5, .L52+4 + 791 .loc 1 928 0 + 792 001a BFB2 uxth r7, r7 + 793 001c C7F50077 rsb r7, r7, #512 + 794 0020 BA42 cmp r2, r7 + 924:.\Generated_Source\PSoC5/USBFS.c **** p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + 795 .loc 1 924 0 + 796 0022 1D44 add r5, r3, r5 + 797 .LVL50: + 798 .loc 1 928 0 + 799 0024 03D9 bls .L47 + 929:.\Generated_Source\PSoC5/USBFS.c **** { + 930:.\Generated_Source\PSoC5/USBFS.c **** length = USBFS_EPX_DATA_BUF_MAX - USBFS_EP[epNumber].buffOffset; + 800 .loc 1 930 0 + 801 0026 E288 ldrh r2, [r4, #6] + 802 .LVL51: + 803 0028 C2F50074 rsb r4, r2, #512 + 804 002c A2B2 uxth r2, r4 + 805 .LVL52: + 806 .L47: + 931:.\Generated_Source\PSoC5/USBFS.c **** } + 932:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + 933:.\Generated_Source\PSoC5/USBFS.c **** + 934:.\Generated_Source\PSoC5/USBFS.c **** /* Set the count and data toggle */ + 935:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + 807 .loc 1 935 0 + 808 002e 0C24 movs r4, #12 + 809 0030 04FB0066 mla r6, r4, r0, r6 + 810 0034 F478 ldrb r4, [r6, #3] @ zero_extendqisi2 + 811 0036 44EA1226 orr r6, r4, r2, lsr #8 + 812 003a 0E4C ldr r4, .L52+8 + 813 003c 1E55 strb r6, [r3, r4] + 936:.\Generated_Source\PSoC5/USBFS.c **** (length >> 8u) | (USBFS_EP[epNumber].epToggle)); + 937:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), length & 0xFFu); + 814 .loc 1 937 0 + 815 003e D6B2 uxtb r6, r2 + 816 0040 0134 adds r4, r4, #1 + 817 0042 1E55 strb r6, [r3, r4] + 938:.\Generated_Source\PSoC5/USBFS.c **** + 939:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_MANUAL) + 940:.\Generated_Source\PSoC5/USBFS.c **** if(pData != NULL) + 818 .loc 1 940 0 + 819 0044 49B9 cbnz r1, .L51 + 820 .L50: + 941:.\Generated_Source\PSoC5/USBFS.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 33 + + + 942:.\Generated_Source\PSoC5/USBFS.c **** /* Copy the data using the arbiter data register */ + 943:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < length; i++) + 944:.\Generated_Source\PSoC5/USBFS.c **** { + 945:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(p, pData[i]); + 946:.\Generated_Source\PSoC5/USBFS.c **** } + 947:.\Generated_Source\PSoC5/USBFS.c **** } + 948:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + 821 .loc 1 948 0 + 822 0046 094A ldr r2, .L52 + 823 .LVL53: + 824 0048 0C21 movs r1, #12 + 825 .LVL54: + 826 004a 01FB0020 mla r0, r1, r0, r2 + 827 .LVL55: + 828 004e 0021 movs r1, #0 + 829 0050 4170 strb r1, [r0, #1] + 949:.\Generated_Source\PSoC5/USBFS.c **** /* Write the Mode register */ + 950:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + 830 .loc 1 950 0 + 831 0052 4079 ldrb r0, [r0, #5] @ zero_extendqisi2 + 832 0054 084A ldr r2, .L52+12 + 833 0056 9854 strb r0, [r3, r2] + 834 0058 F0BD pop {r4, r5, r6, r7, pc} + 835 .LVL56: + 836 .L51: + 940:.\Generated_Source\PSoC5/USBFS.c **** if(pData != NULL) + 837 .loc 1 940 0 + 838 005a 0024 movs r4, #0 + 839 .L48: + 943:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < length; i++) + 840 .loc 1 943 0 discriminator 1 + 841 005c A6B2 uxth r6, r4 + 842 005e 9642 cmp r6, r2 + 843 0060 F1D2 bcs .L50 + 844 .L49: + 945:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(p, pData[i]); + 845 .loc 1 945 0 discriminator 2 + 846 0062 0E5D ldrb r6, [r1, r4] @ zero_extendqisi2 + 847 0064 0134 adds r4, r4, #1 + 848 0066 2E70 strb r6, [r5, #0] + 849 0068 F8E7 b .L48 + 850 .LVL57: + 851 .L45: + 852 006a F0BD pop {r4, r5, r6, r7, pc} + 853 .L53: + 854 .align 2 + 855 .L52: + 856 006c 00000000 .word USBFS_EP + 857 0070 88600040 .word 1073766536 + 858 0074 0C600040 .word 1073766412 + 859 0078 0E600040 .word 1073766414 + 860 .cfi_endproc + 861 .LFE11: + 862 .size USBFS_LoadInEP, .-USBFS_LoadInEP + 863 .section .text.USBFS_EnableOutEP,"ax",%progbits + 864 .align 1 + 865 .global USBFS_EnableOutEP + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 34 + + + 866 .thumb + 867 .thumb_func + 868 .type USBFS_EnableOutEP, %function + 869 USBFS_EnableOutEP: + 870 .LFB13: + 951:.\Generated_Source\PSoC5/USBFS.c **** #else + 952:.\Generated_Source\PSoC5/USBFS.c **** /* Init DMA if it was not initialized */ + 953:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_DmaTd[epNumber] == DMA_INVALID_TD) + 954:.\Generated_Source\PSoC5/USBFS.c **** { + 955:.\Generated_Source\PSoC5/USBFS.c **** USBFS_InitEP_DMA(epNumber, pData); + 956:.\Generated_Source\PSoC5/USBFS.c **** } + 957:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + 958:.\Generated_Source\PSoC5/USBFS.c **** + 959:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + 960:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + 961:.\Generated_Source\PSoC5/USBFS.c **** if((pData != NULL) && (length > 0u)) + 962:.\Generated_Source\PSoC5/USBFS.c **** { + 963:.\Generated_Source\PSoC5/USBFS.c **** /* Enable DMA in mode2 for transferring data */ + 964:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + 965:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + 966:.\Generated_Source\PSoC5/USBFS.c **** TD_TERMIN_EN | TD_ + 967:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32) + 968:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the DMA */ + 969:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + 970:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + 971:.\Generated_Source\PSoC5/USBFS.c **** /* Generate DMA request */ + 972:.\Generated_Source\PSoC5/USBFS.c **** * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_DMA_REQ; + 973:.\Generated_Source\PSoC5/USBFS.c **** * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_ARB_EPX_CFG_DMA_REQ)); + 974:.\Generated_Source\PSoC5/USBFS.c **** /* Mode register will be written in arb ISR after DMA transfer complete */ + 975:.\Generated_Source\PSoC5/USBFS.c **** } + 976:.\Generated_Source\PSoC5/USBFS.c **** else + 977:.\Generated_Source\PSoC5/USBFS.c **** { + 978:.\Generated_Source\PSoC5/USBFS.c **** /* When zero-length packet - write the Mode register directly */ + 979:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + 980:.\Generated_Source\PSoC5/USBFS.c **** } + 981:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + 982:.\Generated_Source\PSoC5/USBFS.c **** + 983:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 984:.\Generated_Source\PSoC5/USBFS.c **** if(pData != NULL) + 985:.\Generated_Source\PSoC5/USBFS.c **** { + 986:.\Generated_Source\PSoC5/USBFS.c **** /* Enable DMA in mode3 for transferring data */ + 987:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + 988:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, + 989:.\Generated_Source\PSoC5/USBFS.c **** USBFS_DmaTd[epNumber], TD_TERMIN_EN | TD_INC_SRC_ADR + 990:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32) + 991:.\Generated_Source\PSoC5/USBFS.c **** /* Clear Any potential pending DMA requests before starting the DMA channel to tran + 992:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaClearPendingDrq(USBFS_DmaChan[epNumber]); + 993:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the DMA */ + 994:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + 995:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + 996:.\Generated_Source\PSoC5/USBFS.c **** } + 997:.\Generated_Source\PSoC5/USBFS.c **** else + 998:.\Generated_Source\PSoC5/USBFS.c **** { + 999:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; +1000:.\Generated_Source\PSoC5/USBFS.c **** if(length > 0u) +1001:.\Generated_Source\PSoC5/USBFS.c **** { +1002:.\Generated_Source\PSoC5/USBFS.c **** /* Set Data ready status, This will generate DMA request */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 35 + + +1003:.\Generated_Source\PSoC5/USBFS.c **** * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_IN_DATA_RDY; +1004:.\Generated_Source\PSoC5/USBFS.c **** /* Mode register will be written in arb ISR(In Buffer Full) after first DMA tra +1005:.\Generated_Source\PSoC5/USBFS.c **** } +1006:.\Generated_Source\PSoC5/USBFS.c **** else +1007:.\Generated_Source\PSoC5/USBFS.c **** { +1008:.\Generated_Source\PSoC5/USBFS.c **** /* When zero-length packet - write the Mode register directly */ +1009:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); +1010:.\Generated_Source\PSoC5/USBFS.c **** } +1011:.\Generated_Source\PSoC5/USBFS.c **** } +1012:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ +1013:.\Generated_Source\PSoC5/USBFS.c **** +1014:.\Generated_Source\PSoC5/USBFS.c **** } +1015:.\Generated_Source\PSoC5/USBFS.c **** } +1016:.\Generated_Source\PSoC5/USBFS.c **** +1017:.\Generated_Source\PSoC5/USBFS.c **** +1018:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1019:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_ReadOutEP +1020:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1021:.\Generated_Source\PSoC5/USBFS.c **** * +1022:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1023:.\Generated_Source\PSoC5/USBFS.c **** * Read data from an endpoint. The application must call +1024:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_GetEPState to see if an event is pending. +1025:.\Generated_Source\PSoC5/USBFS.c **** * +1026:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1027:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Contains the data endpoint number. +1028:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. +1029:.\Generated_Source\PSoC5/USBFS.c **** * pData: A pointer to a data array from which the data for the endpoint space +1030:.\Generated_Source\PSoC5/USBFS.c **** * is loaded. +1031:.\Generated_Source\PSoC5/USBFS.c **** * length: The number of bytes to transfer from the USB Out endpoint and loads +1032:.\Generated_Source\PSoC5/USBFS.c **** * it into data array. Valid values are between 0 and 1023. The function +1033:.\Generated_Source\PSoC5/USBFS.c **** * moves fewer than the requested number of bytes if the host sends +1034:.\Generated_Source\PSoC5/USBFS.c **** * fewer bytes than requested. +1035:.\Generated_Source\PSoC5/USBFS.c **** * +1036:.\Generated_Source\PSoC5/USBFS.c **** * Returns: +1037:.\Generated_Source\PSoC5/USBFS.c **** * Number of bytes received, 0 for an invalid endpoint. +1038:.\Generated_Source\PSoC5/USBFS.c **** * +1039:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: +1040:.\Generated_Source\PSoC5/USBFS.c **** * No. +1041:.\Generated_Source\PSoC5/USBFS.c **** * +1042:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1043:.\Generated_Source\PSoC5/USBFS.c **** uint16 USBFS_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) +1044:.\Generated_Source\PSoC5/USBFS.c **** +1045:.\Generated_Source\PSoC5/USBFS.c **** { +1046:.\Generated_Source\PSoC5/USBFS.c **** uint8 ri; +1047:.\Generated_Source\PSoC5/USBFS.c **** reg8 *p; +1048:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_MANUAL) +1049:.\Generated_Source\PSoC5/USBFS.c **** uint16 i; +1050:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ +1051:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) +1052:.\Generated_Source\PSoC5/USBFS.c **** uint16 xferCount; +1053:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ +1054:.\Generated_Source\PSoC5/USBFS.c **** +1055:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) +1056:.\Generated_Source\PSoC5/USBFS.c **** { +1057:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); +1058:.\Generated_Source\PSoC5/USBFS.c **** p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); +1059:.\Generated_Source\PSoC5/USBFS.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 36 + + +1060:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) +1061:.\Generated_Source\PSoC5/USBFS.c **** /* Determine which is smaller the requested data or the available data */ +1062:.\Generated_Source\PSoC5/USBFS.c **** xferCount = USBFS_GetEPCount(epNumber); +1063:.\Generated_Source\PSoC5/USBFS.c **** if (length > xferCount) +1064:.\Generated_Source\PSoC5/USBFS.c **** { +1065:.\Generated_Source\PSoC5/USBFS.c **** length = xferCount; +1066:.\Generated_Source\PSoC5/USBFS.c **** } +1067:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ +1068:.\Generated_Source\PSoC5/USBFS.c **** +1069:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_MANUAL) +1070:.\Generated_Source\PSoC5/USBFS.c **** /* Copy the data using the arbiter data register */ +1071:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < length; i++) +1072:.\Generated_Source\PSoC5/USBFS.c **** { +1073:.\Generated_Source\PSoC5/USBFS.c **** pData[i] = CY_GET_REG8(p); +1074:.\Generated_Source\PSoC5/USBFS.c **** } +1075:.\Generated_Source\PSoC5/USBFS.c **** +1076:.\Generated_Source\PSoC5/USBFS.c **** /* (re)arming of OUT endpoint */ +1077:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EnableOutEP(epNumber); +1078:.\Generated_Source\PSoC5/USBFS.c **** #else +1079:.\Generated_Source\PSoC5/USBFS.c **** /*Init DMA if it was not initialized */ +1080:.\Generated_Source\PSoC5/USBFS.c **** if(USBFS_DmaTd[epNumber] == DMA_INVALID_TD) +1081:.\Generated_Source\PSoC5/USBFS.c **** { +1082:.\Generated_Source\PSoC5/USBFS.c **** USBFS_InitEP_DMA(epNumber, pData); +1083:.\Generated_Source\PSoC5/USBFS.c **** } +1084:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ +1085:.\Generated_Source\PSoC5/USBFS.c **** +1086:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) +1087:.\Generated_Source\PSoC5/USBFS.c **** /* Enable DMA in mode2 for transferring data */ +1088:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); +1089:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, +1090:.\Generated_Source\PSoC5/USBFS.c **** TD_TERMIN_EN | TD_I +1091:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); +1092:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the DMA */ +1093:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); +1094:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); +1095:.\Generated_Source\PSoC5/USBFS.c **** +1096:.\Generated_Source\PSoC5/USBFS.c **** /* Generate DMA request */ +1097:.\Generated_Source\PSoC5/USBFS.c **** * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_DMA_REQ; +1098:.\Generated_Source\PSoC5/USBFS.c **** * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_ARB_EPX_CFG_DMA_REQ)); +1099:.\Generated_Source\PSoC5/USBFS.c **** /* Out EP will be (re)armed in arb ISR after transfer complete */ +1100:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ +1101:.\Generated_Source\PSoC5/USBFS.c **** +1102:.\Generated_Source\PSoC5/USBFS.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) +1103:.\Generated_Source\PSoC5/USBFS.c **** /* Enable DMA in mode3 for transferring data */ +1104:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); +1105:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, USBFS_DmaTd[epNumber], +1106:.\Generated_Source\PSoC5/USBFS.c **** TD_TERMIN_EN | TD_I +1107:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); +1108:.\Generated_Source\PSoC5/USBFS.c **** +1109:.\Generated_Source\PSoC5/USBFS.c **** /* Clear Any potential pending DMA requests before starting the DMA channel to transfer +1110:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaClearPendingDrq(USBFS_DmaChan[epNumber]); +1111:.\Generated_Source\PSoC5/USBFS.c **** /* Enable the DMA */ +1112:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); +1113:.\Generated_Source\PSoC5/USBFS.c **** (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); +1114:.\Generated_Source\PSoC5/USBFS.c **** /* Out EP will be (re)armed in arb ISR after transfer complete */ +1115:.\Generated_Source\PSoC5/USBFS.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ +1116:.\Generated_Source\PSoC5/USBFS.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 37 + + +1117:.\Generated_Source\PSoC5/USBFS.c **** } +1118:.\Generated_Source\PSoC5/USBFS.c **** else +1119:.\Generated_Source\PSoC5/USBFS.c **** { +1120:.\Generated_Source\PSoC5/USBFS.c **** length = 0u; +1121:.\Generated_Source\PSoC5/USBFS.c **** } +1122:.\Generated_Source\PSoC5/USBFS.c **** +1123:.\Generated_Source\PSoC5/USBFS.c **** return(length); +1124:.\Generated_Source\PSoC5/USBFS.c **** } +1125:.\Generated_Source\PSoC5/USBFS.c **** +1126:.\Generated_Source\PSoC5/USBFS.c **** +1127:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1128:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_EnableOutEP +1129:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1130:.\Generated_Source\PSoC5/USBFS.c **** * +1131:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1132:.\Generated_Source\PSoC5/USBFS.c **** * This function enables an OUT endpoint. It should not be +1133:.\Generated_Source\PSoC5/USBFS.c **** * called for an IN endpoint. +1134:.\Generated_Source\PSoC5/USBFS.c **** * +1135:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1136:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Endpoint Number +1137:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. +1138:.\Generated_Source\PSoC5/USBFS.c **** * +1139:.\Generated_Source\PSoC5/USBFS.c **** * Return: +1140:.\Generated_Source\PSoC5/USBFS.c **** * None. +1141:.\Generated_Source\PSoC5/USBFS.c **** * +1142:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: +1143:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_EP[epNumber].apiEpState - set to NO_EVENT_PENDING +1144:.\Generated_Source\PSoC5/USBFS.c **** * +1145:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: +1146:.\Generated_Source\PSoC5/USBFS.c **** * No. +1147:.\Generated_Source\PSoC5/USBFS.c **** * +1148:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1149:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_EnableOutEP(uint8 epNumber) +1150:.\Generated_Source\PSoC5/USBFS.c **** { + 871 .loc 1 1150 0 + 872 .cfi_startproc + 873 @ args = 0, pretend = 0, frame = 0 + 874 @ frame_needed = 0, uses_anonymous_args = 0 + 875 @ link register save eliminated. + 876 .LVL58: +1151:.\Generated_Source\PSoC5/USBFS.c **** uint8 ri; +1152:.\Generated_Source\PSoC5/USBFS.c **** +1153:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + 877 .loc 1 1153 0 + 878 0000 431E subs r3, r0, #1 + 879 0002 DBB2 uxtb r3, r3 + 880 0004 072B cmp r3, #7 + 881 0006 0AD8 bhi .L54 + 882 .LVL59: +1154:.\Generated_Source\PSoC5/USBFS.c **** { +1155:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); +1156:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + 883 .loc 1 1156 0 + 884 0008 054A ldr r2, .L56 + 885 000a 0C21 movs r1, #12 + 886 000c 01FB0020 mla r0, r1, r0, r2 + 887 .LVL60: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 38 + + + 888 0010 0021 movs r1, #0 + 889 0012 4170 strb r1, [r0, #1] +1155:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 890 .loc 1 1155 0 + 891 0014 1B01 lsls r3, r3, #4 + 892 .LVL61: +1157:.\Generated_Source\PSoC5/USBFS.c **** /* Write the Mode register */ +1158:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + 893 .loc 1 1158 0 + 894 0016 4079 ldrb r0, [r0, #5] @ zero_extendqisi2 + 895 0018 024A ldr r2, .L56+4 + 896 001a DBB2 uxtb r3, r3 + 897 001c 9854 strb r0, [r3, r2] + 898 .L54: + 899 001e 7047 bx lr + 900 .L57: + 901 .align 2 + 902 .L56: + 903 0020 00000000 .word USBFS_EP + 904 0024 0E600040 .word 1073766414 + 905 .cfi_endproc + 906 .LFE13: + 907 .size USBFS_EnableOutEP, .-USBFS_EnableOutEP + 908 .section .text.USBFS_ReadOutEP,"ax",%progbits + 909 .align 1 + 910 .global USBFS_ReadOutEP + 911 .thumb + 912 .thumb_func + 913 .type USBFS_ReadOutEP, %function + 914 USBFS_ReadOutEP: + 915 .LFB12: +1045:.\Generated_Source\PSoC5/USBFS.c **** { + 916 .loc 1 1045 0 + 917 .cfi_startproc + 918 @ args = 0, pretend = 0, frame = 0 + 919 @ frame_needed = 0, uses_anonymous_args = 0 + 920 .LVL62: + 921 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 922 .LCFI4: + 923 .cfi_def_cfa_offset 24 + 924 .cfi_offset 3, -24 + 925 .cfi_offset 4, -20 + 926 .cfi_offset 5, -16 + 927 .cfi_offset 6, -12 + 928 .cfi_offset 7, -8 + 929 .cfi_offset 14, -4 +1055:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) + 930 .loc 1 1055 0 + 931 0002 431E subs r3, r0, #1 +1045:.\Generated_Source\PSoC5/USBFS.c **** { + 932 .loc 1 1045 0 + 933 0004 0D46 mov r5, r1 +1055:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) + 934 .loc 1 1055 0 + 935 0006 D9B2 uxtb r1, r3 + 936 .LVL63: + 937 0008 0729 cmp r1, #7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 39 + + +1045:.\Generated_Source\PSoC5/USBFS.c **** { + 938 .loc 1 1045 0 + 939 000a 0746 mov r7, r0 + 940 000c 1446 mov r4, r2 +1055:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) + 941 .loc 1 1055 0 + 942 000e 16D8 bhi .L62 +1055:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) + 943 .loc 1 1055 0 is_stmt 0 discriminator 1 + 944 0010 BDB1 cbz r5, .L63 + 945 .LVL64: +1057:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 946 .loc 1 1057 0 is_stmt 1 + 947 0012 0A01 lsls r2, r1, #4 + 948 .LVL65: +1058:.\Generated_Source\PSoC5/USBFS.c **** p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + 949 .loc 1 1058 0 + 950 0014 0C4E ldr r6, .L65 + 951 0016 D3B2 uxtb r3, r2 + 952 0018 9E19 adds r6, r3, r6 + 953 .LVL66: +1062:.\Generated_Source\PSoC5/USBFS.c **** xferCount = USBFS_GetEPCount(epNumber); + 954 .loc 1 1062 0 + 955 001a FFF7FEFF bl USBFS_GetEPCount + 956 .LVL67: + 957 001e A042 cmp r0, r4 + 958 0020 28BF it cs + 959 0022 2046 movcs r0, r4 + 960 .LVL68: + 961 0024 84B2 uxth r4, r0 + 962 .LVL69: +1071:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < length; i++) + 963 .loc 1 1071 0 + 964 0026 0022 movs r2, #0 + 965 .LVL70: + 966 .L60: +1071:.\Generated_Source\PSoC5/USBFS.c **** for (i = 0u; i < length; i++) + 967 .loc 1 1071 0 is_stmt 0 discriminator 1 + 968 0028 90B2 uxth r0, r2 + 969 002a A042 cmp r0, r4 + 970 002c 03D2 bcs .L64 + 971 .L61: +1073:.\Generated_Source\PSoC5/USBFS.c **** pData[i] = CY_GET_REG8(p); + 972 .loc 1 1073 0 is_stmt 1 discriminator 2 + 973 002e 3178 ldrb r1, [r6, #0] @ zero_extendqisi2 + 974 0030 A954 strb r1, [r5, r2] + 975 0032 0132 adds r2, r2, #1 + 976 0034 F8E7 b .L60 + 977 .L64: +1077:.\Generated_Source\PSoC5/USBFS.c **** USBFS_EnableOutEP(epNumber); + 978 .loc 1 1077 0 + 979 0036 3846 mov r0, r7 + 980 0038 FFF7FEFF bl USBFS_EnableOutEP + 981 .LVL71: + 982 003c 02E0 b .L59 + 983 .LVL72: + 984 .L62: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 40 + + +1120:.\Generated_Source\PSoC5/USBFS.c **** length = 0u; + 985 .loc 1 1120 0 + 986 003e 0024 movs r4, #0 + 987 0040 00E0 b .L59 + 988 .L63: + 989 0042 2C46 mov r4, r5 + 990 .LVL73: + 991 .L59: +1124:.\Generated_Source\PSoC5/USBFS.c **** } + 992 .loc 1 1124 0 + 993 0044 2046 mov r0, r4 + 994 0046 F8BD pop {r3, r4, r5, r6, r7, pc} + 995 .L66: + 996 .align 2 + 997 .L65: + 998 0048 88600040 .word 1073766536 + 999 .cfi_endproc + 1000 .LFE12: + 1001 .size USBFS_ReadOutEP, .-USBFS_ReadOutEP + 1002 .section .text.USBFS_DisableOutEP,"ax",%progbits + 1003 .align 1 + 1004 .global USBFS_DisableOutEP + 1005 .thumb + 1006 .thumb_func + 1007 .type USBFS_DisableOutEP, %function + 1008 USBFS_DisableOutEP: + 1009 .LFB14: +1159:.\Generated_Source\PSoC5/USBFS.c **** } +1160:.\Generated_Source\PSoC5/USBFS.c **** } +1161:.\Generated_Source\PSoC5/USBFS.c **** +1162:.\Generated_Source\PSoC5/USBFS.c **** +1163:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1164:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_DisableOutEP +1165:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1166:.\Generated_Source\PSoC5/USBFS.c **** * +1167:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1168:.\Generated_Source\PSoC5/USBFS.c **** * This function disables an OUT endpoint. It should not be +1169:.\Generated_Source\PSoC5/USBFS.c **** * called for an IN endpoint. +1170:.\Generated_Source\PSoC5/USBFS.c **** * +1171:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1172:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Endpoint Number +1173:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. +1174:.\Generated_Source\PSoC5/USBFS.c **** * +1175:.\Generated_Source\PSoC5/USBFS.c **** * Return: +1176:.\Generated_Source\PSoC5/USBFS.c **** * None. +1177:.\Generated_Source\PSoC5/USBFS.c **** * +1178:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1179:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_DisableOutEP(uint8 epNumber) +1180:.\Generated_Source\PSoC5/USBFS.c **** { + 1010 .loc 1 1180 0 + 1011 .cfi_startproc + 1012 @ args = 0, pretend = 0, frame = 0 + 1013 @ frame_needed = 0, uses_anonymous_args = 0 + 1014 @ link register save eliminated. + 1015 .LVL74: +1181:.\Generated_Source\PSoC5/USBFS.c **** uint8 ri ; +1182:.\Generated_Source\PSoC5/USBFS.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 41 + + +1183:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + 1016 .loc 1 1183 0 + 1017 0000 0138 subs r0, r0, #1 + 1018 .LVL75: + 1019 0002 C1B2 uxtb r1, r0 + 1020 0004 0729 cmp r1, #7 + 1021 0006 04D8 bhi .L67 + 1022 .LVL76: +1184:.\Generated_Source\PSoC5/USBFS.c **** { +1185:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 1023 .loc 1 1185 0 + 1024 0008 0A01 lsls r2, r1, #4 +1186:.\Generated_Source\PSoC5/USBFS.c **** /* Write the Mode register */ +1187:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + 1025 .loc 1 1187 0 + 1026 000a 024B ldr r3, .L69 + 1027 000c D0B2 uxtb r0, r2 + 1028 000e 0821 movs r1, #8 + 1029 .LVL77: + 1030 0010 C154 strb r1, [r0, r3] + 1031 .L67: + 1032 0012 7047 bx lr + 1033 .L70: + 1034 .align 2 + 1035 .L69: + 1036 0014 0E600040 .word 1073766414 + 1037 .cfi_endproc + 1038 .LFE14: + 1039 .size USBFS_DisableOutEP, .-USBFS_DisableOutEP + 1040 .section .text.USBFS_Force,"ax",%progbits + 1041 .align 1 + 1042 .global USBFS_Force + 1043 .thumb + 1044 .thumb_func + 1045 .type USBFS_Force, %function + 1046 USBFS_Force: + 1047 .LFB15: +1188:.\Generated_Source\PSoC5/USBFS.c **** } +1189:.\Generated_Source\PSoC5/USBFS.c **** } +1190:.\Generated_Source\PSoC5/USBFS.c **** +1191:.\Generated_Source\PSoC5/USBFS.c **** +1192:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1193:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_Force +1194:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1195:.\Generated_Source\PSoC5/USBFS.c **** * +1196:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1197:.\Generated_Source\PSoC5/USBFS.c **** * Forces the bus state +1198:.\Generated_Source\PSoC5/USBFS.c **** * +1199:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1200:.\Generated_Source\PSoC5/USBFS.c **** * bState +1201:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_FORCE_J +1202:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_FORCE_K +1203:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_FORCE_SE0 +1204:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_FORCE_NONE +1205:.\Generated_Source\PSoC5/USBFS.c **** * +1206:.\Generated_Source\PSoC5/USBFS.c **** * Return: +1207:.\Generated_Source\PSoC5/USBFS.c **** * None. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 42 + + +1208:.\Generated_Source\PSoC5/USBFS.c **** * +1209:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1210:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_Force(uint8 bState) +1211:.\Generated_Source\PSoC5/USBFS.c **** { + 1048 .loc 1 1211 0 + 1049 .cfi_startproc + 1050 @ args = 0, pretend = 0, frame = 0 + 1051 @ frame_needed = 0, uses_anonymous_args = 0 + 1052 @ link register save eliminated. + 1053 .LVL78: +1212:.\Generated_Source\PSoC5/USBFS.c **** CY_SET_REG8(USBFS_USBIO_CR0_PTR, bState); + 1054 .loc 1 1212 0 + 1055 0000 014B ldr r3, .L72 + 1056 0002 1870 strb r0, [r3, #0] + 1057 0004 7047 bx lr + 1058 .L73: + 1059 0006 00BF .align 2 + 1060 .L72: + 1061 0008 10600040 .word 1073766416 + 1062 .cfi_endproc + 1063 .LFE15: + 1064 .size USBFS_Force, .-USBFS_Force + 1065 .section .text.USBFS_GetEPAckState,"ax",%progbits + 1066 .align 1 + 1067 .global USBFS_GetEPAckState + 1068 .thumb + 1069 .thumb_func + 1070 .type USBFS_GetEPAckState, %function + 1071 USBFS_GetEPAckState: + 1072 .LFB16: +1213:.\Generated_Source\PSoC5/USBFS.c **** } +1214:.\Generated_Source\PSoC5/USBFS.c **** +1215:.\Generated_Source\PSoC5/USBFS.c **** +1216:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1217:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_GetEPAckState +1218:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1219:.\Generated_Source\PSoC5/USBFS.c **** * +1220:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1221:.\Generated_Source\PSoC5/USBFS.c **** * Returns the ACK of the CR0 Register (ACKD) +1222:.\Generated_Source\PSoC5/USBFS.c **** * +1223:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1224:.\Generated_Source\PSoC5/USBFS.c **** * epNumber: Endpoint Number +1225:.\Generated_Source\PSoC5/USBFS.c **** * Valid values are between 1 and 8. +1226:.\Generated_Source\PSoC5/USBFS.c **** * +1227:.\Generated_Source\PSoC5/USBFS.c **** * Returns +1228:.\Generated_Source\PSoC5/USBFS.c **** * 0 if nothing has been ACKD, non-=zero something has been ACKD +1229:.\Generated_Source\PSoC5/USBFS.c **** * +1230:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1231:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_GetEPAckState(uint8 epNumber) +1232:.\Generated_Source\PSoC5/USBFS.c **** { + 1073 .loc 1 1232 0 + 1074 .cfi_startproc + 1075 @ args = 0, pretend = 0, frame = 0 + 1076 @ frame_needed = 0, uses_anonymous_args = 0 + 1077 @ link register save eliminated. + 1078 .LVL79: +1233:.\Generated_Source\PSoC5/USBFS.c **** uint8 ri; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 43 + + +1234:.\Generated_Source\PSoC5/USBFS.c **** uint8 cr = 0u; +1235:.\Generated_Source\PSoC5/USBFS.c **** +1236:.\Generated_Source\PSoC5/USBFS.c **** if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + 1079 .loc 1 1236 0 + 1080 0000 0138 subs r0, r0, #1 + 1081 .LVL80: + 1082 0002 C1B2 uxtb r1, r0 + 1083 0004 0729 cmp r1, #7 + 1084 0006 07D8 bhi .L76 + 1085 .LVL81: +1237:.\Generated_Source\PSoC5/USBFS.c **** { +1238:.\Generated_Source\PSoC5/USBFS.c **** ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 1086 .loc 1 1238 0 + 1087 0008 0A01 lsls r2, r1, #4 +1239:.\Generated_Source\PSoC5/USBFS.c **** cr = CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri)) & USBFS_MODE_ACKD; + 1088 .loc 1 1239 0 + 1089 000a 044B ldr r3, .L77 + 1090 000c D0B2 uxtb r0, r2 + 1091 000e C15C ldrb r1, [r0, r3] @ zero_extendqisi2 + 1092 .LVL82: + 1093 0010 01F01002 and r2, r1, #16 + 1094 0014 D0B2 uxtb r0, r2 + 1095 .LVL83: + 1096 0016 7047 bx lr + 1097 .LVL84: + 1098 .L76: +1234:.\Generated_Source\PSoC5/USBFS.c **** uint8 cr = 0u; + 1099 .loc 1 1234 0 + 1100 0018 0020 movs r0, #0 + 1101 .LVL85: +1240:.\Generated_Source\PSoC5/USBFS.c **** } +1241:.\Generated_Source\PSoC5/USBFS.c **** +1242:.\Generated_Source\PSoC5/USBFS.c **** return(cr); +1243:.\Generated_Source\PSoC5/USBFS.c **** } + 1102 .loc 1 1243 0 + 1103 001a 7047 bx lr + 1104 .L78: + 1105 .align 2 + 1106 .L77: + 1107 001c 0E600040 .word 1073766414 + 1108 .cfi_endproc + 1109 .LFE16: + 1110 .size USBFS_GetEPAckState, .-USBFS_GetEPAckState + 1111 .section .text.USBFS_SetPowerStatus,"ax",%progbits + 1112 .align 1 + 1113 .global USBFS_SetPowerStatus + 1114 .thumb + 1115 .thumb_func + 1116 .type USBFS_SetPowerStatus, %function + 1117 USBFS_SetPowerStatus: + 1118 .LFB17: +1244:.\Generated_Source\PSoC5/USBFS.c **** +1245:.\Generated_Source\PSoC5/USBFS.c **** +1246:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1247:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_SetPowerStatus +1248:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1249:.\Generated_Source\PSoC5/USBFS.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 44 + + +1250:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1251:.\Generated_Source\PSoC5/USBFS.c **** * Sets the device power status for reporting in the Get Device Status +1252:.\Generated_Source\PSoC5/USBFS.c **** * request +1253:.\Generated_Source\PSoC5/USBFS.c **** * +1254:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1255:.\Generated_Source\PSoC5/USBFS.c **** * powerStatus: USBFS_DEVICE_STATUS_BUS_POWERED(0) - Bus Powered, +1256:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_DEVICE_STATUS_SELF_POWERED(1) - Self Powered +1257:.\Generated_Source\PSoC5/USBFS.c **** * +1258:.\Generated_Source\PSoC5/USBFS.c **** * Return: +1259:.\Generated_Source\PSoC5/USBFS.c **** * None. +1260:.\Generated_Source\PSoC5/USBFS.c **** * +1261:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: +1262:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceStatus - set power status +1263:.\Generated_Source\PSoC5/USBFS.c **** * +1264:.\Generated_Source\PSoC5/USBFS.c **** * Reentrant: +1265:.\Generated_Source\PSoC5/USBFS.c **** * No. +1266:.\Generated_Source\PSoC5/USBFS.c **** * +1267:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1268:.\Generated_Source\PSoC5/USBFS.c **** void USBFS_SetPowerStatus(uint8 powerStatus) +1269:.\Generated_Source\PSoC5/USBFS.c **** { + 1119 .loc 1 1269 0 + 1120 .cfi_startproc + 1121 @ args = 0, pretend = 0, frame = 0 + 1122 @ frame_needed = 0, uses_anonymous_args = 0 + 1123 @ link register save eliminated. + 1124 .LVL86: + 1125 0000 044B ldr r3, .L83 +1270:.\Generated_Source\PSoC5/USBFS.c **** if (powerStatus != USBFS_DEVICE_STATUS_BUS_POWERED) +1271:.\Generated_Source\PSoC5/USBFS.c **** { +1272:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + 1126 .loc 1 1272 0 + 1127 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 +1270:.\Generated_Source\PSoC5/USBFS.c **** if (powerStatus != USBFS_DEVICE_STATUS_BUS_POWERED) + 1128 .loc 1 1270 0 + 1129 0004 10B1 cbz r0, .L80 + 1130 .loc 1 1272 0 + 1131 0006 42F00100 orr r0, r2, #1 + 1132 .LVL87: + 1133 000a 01E0 b .L82 + 1134 .LVL88: + 1135 .L80: +1273:.\Generated_Source\PSoC5/USBFS.c **** } +1274:.\Generated_Source\PSoC5/USBFS.c **** else +1275:.\Generated_Source\PSoC5/USBFS.c **** { +1276:.\Generated_Source\PSoC5/USBFS.c **** USBFS_deviceStatus &= ((uint8)(~USBFS_DEVICE_STATUS_SELF_POWERED)); + 1136 .loc 1 1276 0 + 1137 000c 02F0FE00 and r0, r2, #254 + 1138 .LVL89: + 1139 .L82: + 1140 0010 1870 strb r0, [r3, #0] + 1141 0012 7047 bx lr + 1142 .L84: + 1143 .align 2 + 1144 .L83: + 1145 0014 00000000 .word USBFS_deviceStatus + 1146 .cfi_endproc + 1147 .LFE17: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 45 + + + 1148 .size USBFS_SetPowerStatus, .-USBFS_SetPowerStatus + 1149 .section .text.USBFS_RWUEnabled,"ax",%progbits + 1150 .align 1 + 1151 .global USBFS_RWUEnabled + 1152 .thumb + 1153 .thumb_func + 1154 .type USBFS_RWUEnabled, %function + 1155 USBFS_RWUEnabled: + 1156 .LFB18: +1277:.\Generated_Source\PSoC5/USBFS.c **** } +1278:.\Generated_Source\PSoC5/USBFS.c **** } +1279:.\Generated_Source\PSoC5/USBFS.c **** +1280:.\Generated_Source\PSoC5/USBFS.c **** +1281:.\Generated_Source\PSoC5/USBFS.c **** #if (USBFS_MON_VBUS == 1u) +1282:.\Generated_Source\PSoC5/USBFS.c **** +1283:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1284:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_VBusPresent +1285:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1286:.\Generated_Source\PSoC5/USBFS.c **** * +1287:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1288:.\Generated_Source\PSoC5/USBFS.c **** * Determines VBUS presence for Self Powered Devices. +1289:.\Generated_Source\PSoC5/USBFS.c **** * +1290:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1291:.\Generated_Source\PSoC5/USBFS.c **** * None. +1292:.\Generated_Source\PSoC5/USBFS.c **** * +1293:.\Generated_Source\PSoC5/USBFS.c **** * Return: +1294:.\Generated_Source\PSoC5/USBFS.c **** * 1 if VBUS is present, otherwise 0. +1295:.\Generated_Source\PSoC5/USBFS.c **** * +1296:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1297:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_VBusPresent(void) +1298:.\Generated_Source\PSoC5/USBFS.c **** { +1299:.\Generated_Source\PSoC5/USBFS.c **** return((0u != (CY_GET_REG8(USBFS_VBUS_PS_PTR) & USBFS_VBUS_MASK)) ? 1u : 0u); +1300:.\Generated_Source\PSoC5/USBFS.c **** } +1301:.\Generated_Source\PSoC5/USBFS.c **** +1302:.\Generated_Source\PSoC5/USBFS.c **** #endif /* USBFS_MON_VBUS */ +1303:.\Generated_Source\PSoC5/USBFS.c **** +1304:.\Generated_Source\PSoC5/USBFS.c **** +1305:.\Generated_Source\PSoC5/USBFS.c **** /******************************************************************************* +1306:.\Generated_Source\PSoC5/USBFS.c **** * Function Name: USBFS_RWUEnabled +1307:.\Generated_Source\PSoC5/USBFS.c **** ******************************************************************************** +1308:.\Generated_Source\PSoC5/USBFS.c **** * +1309:.\Generated_Source\PSoC5/USBFS.c **** * Summary: +1310:.\Generated_Source\PSoC5/USBFS.c **** * Returns TRUE if Remote Wake Up is enabled, otherwise FALSE +1311:.\Generated_Source\PSoC5/USBFS.c **** * +1312:.\Generated_Source\PSoC5/USBFS.c **** * Parameters: +1313:.\Generated_Source\PSoC5/USBFS.c **** * None. +1314:.\Generated_Source\PSoC5/USBFS.c **** * +1315:.\Generated_Source\PSoC5/USBFS.c **** * Return: +1316:.\Generated_Source\PSoC5/USBFS.c **** * TRUE - Remote Wake Up Enabled +1317:.\Generated_Source\PSoC5/USBFS.c **** * FALSE - Remote Wake Up Disabled +1318:.\Generated_Source\PSoC5/USBFS.c **** * +1319:.\Generated_Source\PSoC5/USBFS.c **** * Global variables: +1320:.\Generated_Source\PSoC5/USBFS.c **** * USBFS_deviceStatus - checked to determine remote status +1321:.\Generated_Source\PSoC5/USBFS.c **** * +1322:.\Generated_Source\PSoC5/USBFS.c **** *******************************************************************************/ +1323:.\Generated_Source\PSoC5/USBFS.c **** uint8 USBFS_RWUEnabled(void) +1324:.\Generated_Source\PSoC5/USBFS.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 46 + + + 1157 .loc 1 1324 0 + 1158 .cfi_startproc + 1159 @ args = 0, pretend = 0, frame = 0 + 1160 @ frame_needed = 0, uses_anonymous_args = 0 + 1161 @ link register save eliminated. + 1162 .LVL90: +1325:.\Generated_Source\PSoC5/USBFS.c **** uint8 result = USBFS_FALSE; +1326:.\Generated_Source\PSoC5/USBFS.c **** if((USBFS_deviceStatus & USBFS_DEVICE_STATUS_REMOTE_WAKEUP) != 0u) + 1163 .loc 1 1326 0 + 1164 0000 024B ldr r3, .L86 + 1165 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1166 .LVL91: +1327:.\Generated_Source\PSoC5/USBFS.c **** { +1328:.\Generated_Source\PSoC5/USBFS.c **** result = USBFS_TRUE; +1329:.\Generated_Source\PSoC5/USBFS.c **** } +1330:.\Generated_Source\PSoC5/USBFS.c **** +1331:.\Generated_Source\PSoC5/USBFS.c **** return(result); +1332:.\Generated_Source\PSoC5/USBFS.c **** } + 1167 .loc 1 1332 0 + 1168 0004 C0F34000 ubfx r0, r0, #1, #1 + 1169 .LVL92: + 1170 0008 7047 bx lr + 1171 .L87: + 1172 000a 00BF .align 2 + 1173 .L86: + 1174 000c 00000000 .word USBFS_deviceStatus + 1175 .cfi_endproc + 1176 .LFE18: + 1177 .size USBFS_RWUEnabled, .-USBFS_RWUEnabled + 1178 .global USBFS_initVar + 1179 .bss + 1180 .set .LANCHOR0,. + 0 + 1181 .type USBFS_initVar, %object + 1182 .size USBFS_initVar, 1 + 1183 USBFS_initVar: + 1184 0000 00 .space 1 + 1185 .text + 1186 .Letext0: + 1187 .file 2 "./Generated_Source/PSoC5/cytypes.h" + 1188 .file 3 ".\\Generated_Source\\PSoC5\\USBFS.h" + 1189 .file 4 ".\\Generated_Source\\PSoC5\\USBFS_pvt.h" + 1190 .file 5 "./Generated_Source/PSoC5/CyLib.h" + 1191 .section .debug_info,"",%progbits + 1192 .Ldebug_info0: + 1193 0000 BF080000 .4byte 0x8bf + 1194 0004 0200 .2byte 0x2 + 1195 0006 00000000 .4byte .Ldebug_abbrev0 + 1196 000a 04 .byte 0x4 + 1197 000b 01 .uleb128 0x1 + 1198 000c E5020000 .4byte .LASF72 + 1199 0010 01 .byte 0x1 + 1200 0011 B3000000 .4byte .LASF73 + 1201 0015 B9010000 .4byte .LASF74 + 1202 0019 00000000 .4byte .Ldebug_ranges0+0 + 1203 001d 00000000 .4byte 0 + 1204 0021 00000000 .4byte 0 + 1205 0025 00000000 .4byte .Ldebug_line0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 47 + + + 1206 0029 02 .uleb128 0x2 + 1207 002a 01 .byte 0x1 + 1208 002b 06 .byte 0x6 + 1209 002c A7000000 .4byte .LASF0 + 1210 0030 02 .uleb128 0x2 + 1211 0031 01 .byte 0x1 + 1212 0032 08 .byte 0x8 + 1213 0033 47030000 .4byte .LASF1 + 1214 0037 02 .uleb128 0x2 + 1215 0038 02 .byte 0x2 + 1216 0039 05 .byte 0x5 + 1217 003a 55030000 .4byte .LASF2 + 1218 003e 02 .uleb128 0x2 + 1219 003f 02 .byte 0x2 + 1220 0040 07 .byte 0x7 + 1221 0041 3A020000 .4byte .LASF3 + 1222 0045 02 .uleb128 0x2 + 1223 0046 04 .byte 0x4 + 1224 0047 05 .byte 0x5 + 1225 0048 4C010000 .4byte .LASF4 + 1226 004c 02 .uleb128 0x2 + 1227 004d 04 .byte 0x4 + 1228 004e 07 .byte 0x7 + 1229 004f 11020000 .4byte .LASF5 + 1230 0053 02 .uleb128 0x2 + 1231 0054 08 .byte 0x8 + 1232 0055 05 .byte 0x5 + 1233 0056 99000000 .4byte .LASF6 + 1234 005a 02 .uleb128 0x2 + 1235 005b 08 .byte 0x8 + 1236 005c 07 .byte 0x7 + 1237 005d 49000000 .4byte .LASF7 + 1238 0061 03 .uleb128 0x3 + 1239 0062 04 .byte 0x4 + 1240 0063 05 .byte 0x5 + 1241 0064 696E7400 .ascii "int\000" + 1242 0068 02 .uleb128 0x2 + 1243 0069 04 .byte 0x4 + 1244 006a 07 .byte 0x7 + 1245 006b 04020000 .4byte .LASF8 + 1246 006f 04 .uleb128 0x4 + 1247 0070 6C010000 .4byte .LASF9 + 1248 0074 02 .byte 0x2 + 1249 0075 5B .byte 0x5b + 1250 0076 30000000 .4byte 0x30 + 1251 007a 04 .uleb128 0x4 + 1252 007b 00000000 .4byte .LASF10 + 1253 007f 02 .byte 0x2 + 1254 0080 5C .byte 0x5c + 1255 0081 3E000000 .4byte 0x3e + 1256 0085 04 .uleb128 0x4 + 1257 0086 98010000 .4byte .LASF11 + 1258 008a 02 .byte 0x2 + 1259 008b 5D .byte 0x5d + 1260 008c 4C000000 .4byte 0x4c + 1261 0090 02 .uleb128 0x2 + 1262 0091 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 48 + + + 1263 0092 04 .byte 0x4 + 1264 0093 BD020000 .4byte .LASF12 + 1265 0097 02 .uleb128 0x2 + 1266 0098 08 .byte 0x8 + 1267 0099 04 .byte 0x4 + 1268 009a 85010000 .4byte .LASF13 + 1269 009e 02 .uleb128 0x2 + 1270 009f 01 .byte 0x1 + 1271 00a0 08 .byte 0x8 + 1272 00a1 CD030000 .4byte .LASF14 + 1273 00a5 04 .uleb128 0x4 + 1274 00a6 2E030000 .4byte .LASF15 + 1275 00aa 02 .byte 0x2 + 1276 00ab F0 .byte 0xf0 + 1277 00ac B0000000 .4byte 0xb0 + 1278 00b0 05 .uleb128 0x5 + 1279 00b1 6F000000 .4byte 0x6f + 1280 00b5 04 .uleb128 0x4 + 1281 00b6 81020000 .4byte .LASF16 + 1282 00ba 02 .byte 0x2 + 1283 00bb F2 .byte 0xf2 + 1284 00bc C0000000 .4byte 0xc0 + 1285 00c0 05 .uleb128 0x5 + 1286 00c1 85000000 .4byte 0x85 + 1287 00c5 06 .uleb128 0x6 + 1288 00c6 D7030000 .4byte .LASF17 + 1289 00ca 02 .byte 0x2 + 1290 00cb 0201 .2byte 0x102 + 1291 00cd D1000000 .4byte 0xd1 + 1292 00d1 07 .uleb128 0x7 + 1293 00d2 04 .byte 0x4 + 1294 00d3 D7000000 .4byte 0xd7 + 1295 00d7 08 .uleb128 0x8 + 1296 00d8 01 .byte 0x1 + 1297 00d9 02 .uleb128 0x2 + 1298 00da 04 .byte 0x4 + 1299 00db 07 .byte 0x7 + 1300 00dc 91020000 .4byte .LASF18 + 1301 00e0 09 .uleb128 0x9 + 1302 00e1 0C .byte 0xc + 1303 00e2 03 .byte 0x3 + 1304 00e3 79 .byte 0x79 + 1305 00e4 67010000 .4byte 0x167 + 1306 00e8 0A .uleb128 0xa + 1307 00e9 9A020000 .4byte .LASF19 + 1308 00ed 03 .byte 0x3 + 1309 00ee 7B .byte 0x7b + 1310 00ef 6F000000 .4byte 0x6f + 1311 00f3 02 .byte 0x2 + 1312 00f4 23 .byte 0x23 + 1313 00f5 00 .uleb128 0 + 1314 00f6 0A .uleb128 0xa + 1315 00f7 C3020000 .4byte .LASF20 + 1316 00fb 03 .byte 0x3 + 1317 00fc 7C .byte 0x7c + 1318 00fd 6F000000 .4byte 0x6f + 1319 0101 02 .byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 49 + + + 1320 0102 23 .byte 0x23 + 1321 0103 01 .uleb128 0x1 + 1322 0104 0A .uleb128 0xa + 1323 0105 87020000 .4byte .LASF21 + 1324 0109 03 .byte 0x3 + 1325 010a 7D .byte 0x7d + 1326 010b 6F000000 .4byte 0x6f + 1327 010f 02 .byte 0x2 + 1328 0110 23 .byte 0x23 + 1329 0111 02 .uleb128 0x2 + 1330 0112 0A .uleb128 0xa + 1331 0113 72010000 .4byte .LASF22 + 1332 0117 03 .byte 0x3 + 1333 0118 7E .byte 0x7e + 1334 0119 6F000000 .4byte 0x6f + 1335 011d 02 .byte 0x2 + 1336 011e 23 .byte 0x23 + 1337 011f 03 .uleb128 0x3 + 1338 0120 0A .uleb128 0xa + 1339 0121 74000000 .4byte .LASF23 + 1340 0125 03 .byte 0x3 + 1341 0126 7F .byte 0x7f + 1342 0127 6F000000 .4byte 0x6f + 1343 012b 02 .byte 0x2 + 1344 012c 23 .byte 0x23 + 1345 012d 04 .uleb128 0x4 + 1346 012e 0A .uleb128 0xa + 1347 012f ED010000 .4byte .LASF24 + 1348 0133 03 .byte 0x3 + 1349 0134 80 .byte 0x80 + 1350 0135 6F000000 .4byte 0x6f + 1351 0139 02 .byte 0x2 + 1352 013a 23 .byte 0x23 + 1353 013b 05 .uleb128 0x5 + 1354 013c 0A .uleb128 0xa + 1355 013d FB030000 .4byte .LASF25 + 1356 0141 03 .byte 0x3 + 1357 0142 81 .byte 0x81 + 1358 0143 7A000000 .4byte 0x7a + 1359 0147 02 .byte 0x2 + 1360 0148 23 .byte 0x23 + 1361 0149 06 .uleb128 0x6 + 1362 014a 0A .uleb128 0xa + 1363 014b E4030000 .4byte .LASF26 + 1364 014f 03 .byte 0x3 + 1365 0150 82 .byte 0x82 + 1366 0151 7A000000 .4byte 0x7a + 1367 0155 02 .byte 0x2 + 1368 0156 23 .byte 0x23 + 1369 0157 08 .uleb128 0x8 + 1370 0158 0A .uleb128 0xa + 1371 0159 5C020000 .4byte .LASF27 + 1372 015d 03 .byte 0x3 + 1373 015e 83 .byte 0x83 + 1374 015f 6F000000 .4byte 0x6f + 1375 0163 02 .byte 0x2 + 1376 0164 23 .byte 0x23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 50 + + + 1377 0165 0A .uleb128 0xa + 1378 0166 00 .byte 0 + 1379 0167 04 .uleb128 0x4 + 1380 0168 9D030000 .4byte .LASF28 + 1381 016c 03 .byte 0x3 + 1382 016d 84 .byte 0x84 + 1383 016e E0000000 .4byte 0xe0 + 1384 0172 0B .uleb128 0xb + 1385 0173 01 .byte 0x1 + 1386 0174 B2020000 .4byte .LASF29 + 1387 0178 01 .byte 0x1 + 1388 0179 87 .byte 0x87 + 1389 017a 01 .byte 0x1 + 1390 017b 00000000 .4byte .LFB1 + 1391 017f 14010000 .4byte .LFE1 + 1392 0183 00000000 .4byte .LLST0 + 1393 0187 01 .byte 0x1 + 1394 0188 E0020000 .4byte 0x2e0 + 1395 018c 0C .uleb128 0xc + 1396 018d 40040000 .4byte .LASF33 + 1397 0191 01 .byte 0x1 + 1398 0192 89 .byte 0x89 + 1399 0193 6F000000 .4byte 0x6f + 1400 0197 20000000 .4byte .LLST1 + 1401 019b 0D .uleb128 0xd + 1402 019c 06000000 .4byte .LVL0 + 1403 01a0 46080000 .4byte 0x846 + 1404 01a4 0E .uleb128 0xe + 1405 01a5 3A000000 .4byte .LVL3 + 1406 01a9 54080000 .4byte 0x854 + 1407 01ad B7010000 .4byte 0x1b7 + 1408 01b1 0F .uleb128 0xf + 1409 01b2 01 .byte 0x1 + 1410 01b3 50 .byte 0x50 + 1411 01b4 01 .byte 0x1 + 1412 01b5 30 .byte 0x30 + 1413 01b6 00 .byte 0 + 1414 01b7 0E .uleb128 0xe + 1415 01b8 58000000 .4byte .LVL4 + 1416 01bc 54080000 .4byte 0x854 + 1417 01c0 CB010000 .4byte 0x1cb + 1418 01c4 0F .uleb128 0xf + 1419 01c5 01 .byte 0x1 + 1420 01c6 50 .byte 0x50 + 1421 01c7 02 .byte 0x2 + 1422 01c8 75 .byte 0x75 + 1423 01c9 00 .sleb128 0 + 1424 01ca 00 .byte 0 + 1425 01cb 0E .uleb128 0xe + 1426 01cc 5E000000 .4byte .LVL5 + 1427 01d0 54080000 .4byte 0x854 + 1428 01d4 DF010000 .4byte 0x1df + 1429 01d8 0F .uleb128 0xf + 1430 01d9 01 .byte 0x1 + 1431 01da 50 .byte 0x50 + 1432 01db 02 .byte 0x2 + 1433 01dc 08 .byte 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 51 + + + 1434 01dd 28 .byte 0x28 + 1435 01de 00 .byte 0 + 1436 01df 0E .uleb128 0xe + 1437 01e0 7C000000 .4byte .LVL6 + 1438 01e4 54080000 .4byte 0x854 + 1439 01e8 F3010000 .4byte 0x1f3 + 1440 01ec 0F .uleb128 0xf + 1441 01ed 01 .byte 0x1 + 1442 01ee 50 .byte 0x50 + 1443 01ef 02 .byte 0x2 + 1444 01f0 76 .byte 0x76 + 1445 01f1 00 .sleb128 0 + 1446 01f2 00 .byte 0 + 1447 01f3 0E .uleb128 0xe + 1448 01f4 92000000 .4byte .LVL7 + 1449 01f8 68080000 .4byte 0x868 + 1450 01fc 07020000 .4byte 0x207 + 1451 0200 0F .uleb128 0xf + 1452 0201 01 .byte 0x1 + 1453 0202 50 .byte 0x50 + 1454 0203 02 .byte 0x2 + 1455 0204 77 .byte 0x77 + 1456 0205 00 .sleb128 0 + 1457 0206 00 .byte 0 + 1458 0207 0E .uleb128 0xe + 1459 0208 9A000000 .4byte .LVL8 + 1460 020c 7C080000 .4byte 0x87c + 1461 0210 1A020000 .4byte 0x21a + 1462 0214 0F .uleb128 0xf + 1463 0215 01 .byte 0x1 + 1464 0216 50 .byte 0x50 + 1465 0217 01 .byte 0x1 + 1466 0218 47 .byte 0x47 + 1467 0219 00 .byte 0 + 1468 021a 0E .uleb128 0xe + 1469 021b A2000000 .4byte .LVL9 + 1470 021f 99080000 .4byte 0x899 + 1471 0223 32020000 .4byte 0x232 + 1472 0227 0F .uleb128 0xf + 1473 0228 01 .byte 0x1 + 1474 0229 51 .byte 0x51 + 1475 022a 01 .byte 0x1 + 1476 022b 37 .byte 0x37 + 1477 022c 0F .uleb128 0xf + 1478 022d 01 .byte 0x1 + 1479 022e 50 .byte 0x50 + 1480 022f 01 .byte 0x1 + 1481 0230 47 .byte 0x47 + 1482 0231 00 .byte 0 + 1483 0232 0E .uleb128 0xe + 1484 0233 AA000000 .4byte .LVL10 + 1485 0237 7C080000 .4byte 0x87c + 1486 023b 45020000 .4byte 0x245 + 1487 023f 0F .uleb128 0xf + 1488 0240 01 .byte 0x1 + 1489 0241 50 .byte 0x50 + 1490 0242 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 52 + + + 1491 0243 45 .byte 0x45 + 1492 0244 00 .byte 0 + 1493 0245 0E .uleb128 0xe + 1494 0246 B2000000 .4byte .LVL11 + 1495 024a 99080000 .4byte 0x899 + 1496 024e 5D020000 .4byte 0x25d + 1497 0252 0F .uleb128 0xf + 1498 0253 01 .byte 0x1 + 1499 0254 51 .byte 0x51 + 1500 0255 01 .byte 0x1 + 1501 0256 37 .byte 0x37 + 1502 0257 0F .uleb128 0xf + 1503 0258 01 .byte 0x1 + 1504 0259 50 .byte 0x50 + 1505 025a 01 .byte 0x1 + 1506 025b 45 .byte 0x45 + 1507 025c 00 .byte 0 + 1508 025d 0E .uleb128 0xe + 1509 025e BA000000 .4byte .LVL12 + 1510 0262 7C080000 .4byte 0x87c + 1511 0266 70020000 .4byte 0x270 + 1512 026a 0F .uleb128 0xf + 1513 026b 01 .byte 0x1 + 1514 026c 50 .byte 0x50 + 1515 026d 01 .byte 0x1 + 1516 026e 48 .byte 0x48 + 1517 026f 00 .byte 0 + 1518 0270 0E .uleb128 0xe + 1519 0271 C2000000 .4byte .LVL13 + 1520 0275 99080000 .4byte 0x899 + 1521 0279 88020000 .4byte 0x288 + 1522 027d 0F .uleb128 0xf + 1523 027e 01 .byte 0x1 + 1524 027f 51 .byte 0x51 + 1525 0280 01 .byte 0x1 + 1526 0281 37 .byte 0x37 + 1527 0282 0F .uleb128 0xf + 1528 0283 01 .byte 0x1 + 1529 0284 50 .byte 0x50 + 1530 0285 01 .byte 0x1 + 1531 0286 48 .byte 0x48 + 1532 0287 00 .byte 0 + 1533 0288 0E .uleb128 0xe + 1534 0289 CA000000 .4byte .LVL14 + 1535 028d 7C080000 .4byte 0x87c + 1536 0291 9C020000 .4byte 0x29c + 1537 0295 0F .uleb128 0xf + 1538 0296 01 .byte 0x1 + 1539 0297 50 .byte 0x50 + 1540 0298 02 .byte 0x2 + 1541 0299 74 .byte 0x74 + 1542 029a 00 .sleb128 0 + 1543 029b 00 .byte 0 + 1544 029c 0E .uleb128 0xe + 1545 029d D2000000 .4byte .LVL15 + 1546 02a1 99080000 .4byte 0x899 + 1547 02a5 B5020000 .4byte 0x2b5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 53 + + + 1548 02a9 0F .uleb128 0xf + 1549 02aa 01 .byte 0x1 + 1550 02ab 51 .byte 0x51 + 1551 02ac 01 .byte 0x1 + 1552 02ad 37 .byte 0x37 + 1553 02ae 0F .uleb128 0xf + 1554 02af 01 .byte 0x1 + 1555 02b0 50 .byte 0x50 + 1556 02b1 02 .byte 0x2 + 1557 02b2 74 .byte 0x74 + 1558 02b3 00 .sleb128 0 + 1559 02b4 00 .byte 0 + 1560 02b5 0E .uleb128 0xe + 1561 02b6 DA000000 .4byte .LVL16 + 1562 02ba 7C080000 .4byte 0x87c + 1563 02be C9020000 .4byte 0x2c9 + 1564 02c2 0F .uleb128 0xf + 1565 02c3 01 .byte 0x1 + 1566 02c4 50 .byte 0x50 + 1567 02c5 02 .byte 0x2 + 1568 02c6 75 .byte 0x75 + 1569 02c7 00 .sleb128 0 + 1570 02c8 00 .byte 0 + 1571 02c9 10 .uleb128 0x10 + 1572 02ca E6000000 .4byte .LVL17 + 1573 02ce 01 .byte 0x1 + 1574 02cf 99080000 .4byte 0x899 + 1575 02d3 0F .uleb128 0xf + 1576 02d4 01 .byte 0x1 + 1577 02d5 51 .byte 0x51 + 1578 02d6 01 .byte 0x1 + 1579 02d7 37 .byte 0x37 + 1580 02d8 0F .uleb128 0xf + 1581 02d9 01 .byte 0x1 + 1582 02da 50 .byte 0x50 + 1583 02db 02 .byte 0x2 + 1584 02dc 75 .byte 0x75 + 1585 02dd 00 .sleb128 0 + 1586 02de 00 .byte 0 + 1587 02df 00 .byte 0 + 1588 02e0 11 .uleb128 0x11 + 1589 02e1 01 .byte 0x1 + 1590 02e2 9F010000 .4byte .LASF30 + 1591 02e6 01 .byte 0x1 + 1592 02e7 4201 .2byte 0x142 + 1593 02e9 01 .byte 0x1 + 1594 02ea 00000000 .4byte .LFB2 + 1595 02ee 98000000 .4byte .LFE2 + 1596 02f2 3E000000 .4byte .LLST2 + 1597 02f6 01 .byte 0x1 + 1598 02f7 39030000 .4byte 0x339 + 1599 02fb 12 .uleb128 0x12 + 1600 02fc 92000000 .4byte .LASF31 + 1601 0300 01 .byte 0x1 + 1602 0301 4201 .2byte 0x142 + 1603 0303 6F000000 .4byte 0x6f + 1604 0307 5E000000 .4byte .LLST3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 54 + + + 1605 030b 12 .uleb128 0x12 + 1606 030c D2030000 .4byte .LASF32 + 1607 0310 01 .byte 0x1 + 1608 0311 4201 .2byte 0x142 + 1609 0313 6F000000 .4byte 0x6f + 1610 0317 8B000000 .4byte .LLST4 + 1611 031b 13 .uleb128 0x13 + 1612 031c 6900 .ascii "i\000" + 1613 031e 01 .byte 0x1 + 1614 031f 4901 .2byte 0x149 + 1615 0321 6F000000 .4byte 0x6f + 1616 0325 AC000000 .4byte .LLST5 + 1617 0329 14 .uleb128 0x14 + 1618 032a 5A000000 .4byte .LVL23 + 1619 032e B2080000 .4byte 0x8b2 + 1620 0332 0F .uleb128 0xf + 1621 0333 01 .byte 0x1 + 1622 0334 50 .byte 0x50 + 1623 0335 01 .byte 0x1 + 1624 0336 31 .byte 0x31 + 1625 0337 00 .byte 0 + 1626 0338 00 .byte 0 + 1627 0339 0B .uleb128 0xb + 1628 033a 01 .byte 0x1 + 1629 033b EF030000 .4byte .LASF34 + 1630 033f 01 .byte 0x1 + 1631 0340 6A .byte 0x6a + 1632 0341 01 .byte 0x1 + 1633 0342 00000000 .4byte .LFB0 + 1634 0346 24000000 .4byte .LFE0 + 1635 034a CC000000 .4byte .LLST6 + 1636 034e 01 .byte 0x1 + 1637 034f 92030000 .4byte 0x392 + 1638 0353 15 .uleb128 0x15 + 1639 0354 92000000 .4byte .LASF31 + 1640 0358 01 .byte 0x1 + 1641 0359 6A .byte 0x6a + 1642 035a 6F000000 .4byte 0x6f + 1643 035e EC000000 .4byte .LLST7 + 1644 0362 15 .uleb128 0x15 + 1645 0363 D2030000 .4byte .LASF32 + 1646 0367 01 .byte 0x1 + 1647 0368 6A .byte 0x6a + 1648 0369 6F000000 .4byte 0x6f + 1649 036d 0D010000 .4byte .LLST8 + 1650 0371 0D .uleb128 0xd + 1651 0372 10000000 .4byte .LVL25 + 1652 0376 72010000 .4byte 0x172 + 1653 037a 10 .uleb128 0x10 + 1654 037b 20000000 .4byte .LVL26 + 1655 037f 01 .byte 0x1 + 1656 0380 E0020000 .4byte 0x2e0 + 1657 0384 0F .uleb128 0xf + 1658 0385 01 .byte 0x1 + 1659 0386 51 .byte 0x51 + 1660 0387 02 .byte 0x2 + 1661 0388 75 .byte 0x75 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 55 + + + 1662 0389 00 .sleb128 0 + 1663 038a 0F .uleb128 0xf + 1664 038b 01 .byte 0x1 + 1665 038c 50 .byte 0x50 + 1666 038d 02 .byte 0x2 + 1667 038e 76 .byte 0x76 + 1668 038f 00 .sleb128 0 + 1669 0390 00 .byte 0 + 1670 0391 00 .byte 0 + 1671 0392 16 .uleb128 0x16 + 1672 0393 01 .byte 0x1 + 1673 0394 2A040000 .4byte .LASF35 + 1674 0398 01 .byte 0x1 + 1675 0399 D301 .2byte 0x1d3 + 1676 039b 01 .byte 0x1 + 1677 039c 00000000 .4byte .LFB3 + 1678 03a0 58000000 .4byte .LFE3 + 1679 03a4 02 .byte 0x2 + 1680 03a5 7D .byte 0x7d + 1681 03a6 00 .sleb128 0 + 1682 03a7 01 .byte 0x1 + 1683 03a8 BB030000 .4byte 0x3bb + 1684 03ac 13 .uleb128 0x13 + 1685 03ad 6900 .ascii "i\000" + 1686 03af 01 .byte 0x1 + 1687 03b0 D901 .2byte 0x1d9 + 1688 03b2 6F000000 .4byte 0x6f + 1689 03b6 2E010000 .4byte .LLST9 + 1690 03ba 00 .byte 0 + 1691 03bb 17 .uleb128 0x17 + 1692 03bc 01 .byte 0x1 + 1693 03bd 41010000 .4byte .LASF75 + 1694 03c1 01 .byte 0x1 + 1695 03c2 1502 .2byte 0x215 + 1696 03c4 01 .byte 0x1 + 1697 03c5 00000000 .4byte .LFB4 + 1698 03c9 7C000000 .4byte .LFE4 + 1699 03cd 02 .byte 0x2 + 1700 03ce 7D .byte 0x7d + 1701 03cf 00 .sleb128 0 + 1702 03d0 01 .byte 0x1 + 1703 03d1 18 .uleb128 0x18 + 1704 03d2 01 .byte 0x1 + 1705 03d3 51040000 .4byte .LASF36 + 1706 03d7 01 .byte 0x1 + 1707 03d8 5C02 .2byte 0x25c + 1708 03da 01 .byte 0x1 + 1709 03db 6F000000 .4byte 0x6f + 1710 03df 00000000 .4byte .LFB5 + 1711 03e3 14000000 .4byte .LFE5 + 1712 03e7 02 .byte 0x2 + 1713 03e8 7D .byte 0x7d + 1714 03e9 00 .sleb128 0 + 1715 03ea 01 .byte 0x1 + 1716 03eb FE030000 .4byte 0x3fe + 1717 03ef 13 .uleb128 0x13 + 1718 03f0 7200 .ascii "r\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 56 + + + 1719 03f2 01 .byte 0x1 + 1720 03f3 5E02 .2byte 0x25e + 1721 03f5 6F000000 .4byte 0x6f + 1722 03f9 4E010000 .4byte .LLST10 + 1723 03fd 00 .byte 0 + 1724 03fe 19 .uleb128 0x19 + 1725 03ff 01 .byte 0x1 + 1726 0400 55010000 .4byte .LASF76 + 1727 0404 01 .byte 0x1 + 1728 0405 7502 .2byte 0x275 + 1729 0407 01 .byte 0x1 + 1730 0408 6F000000 .4byte 0x6f + 1731 040c 00000000 .4byte .LFB6 + 1732 0410 0C000000 .4byte .LFE6 + 1733 0414 02 .byte 0x2 + 1734 0415 7D .byte 0x7d + 1735 0416 00 .sleb128 0 + 1736 0417 01 .byte 0x1 + 1737 0418 18 .uleb128 0x18 + 1738 0419 01 .byte 0x1 + 1739 041a D4000000 .4byte .LASF37 + 1740 041e 01 .byte 0x1 + 1741 041f 8F02 .2byte 0x28f + 1742 0421 01 .byte 0x1 + 1743 0422 6F000000 .4byte 0x6f + 1744 0426 00000000 .4byte .LFB7 + 1745 042a 14000000 .4byte .LFE7 + 1746 042e 02 .byte 0x2 + 1747 042f 7D .byte 0x7d + 1748 0430 00 .sleb128 0 + 1749 0431 01 .byte 0x1 + 1750 0432 47040000 .4byte 0x447 + 1751 0436 13 .uleb128 0x13 + 1752 0437 72657300 .ascii "res\000" + 1753 043b 01 .byte 0x1 + 1754 043c 9102 .2byte 0x291 + 1755 043e 6F000000 .4byte 0x6f + 1756 0442 61010000 .4byte .LLST11 + 1757 0446 00 .byte 0 + 1758 0447 18 .uleb128 0x18 + 1759 0448 01 .byte 0x1 + 1760 0449 91040000 .4byte .LASF38 + 1761 044d 01 .byte 0x1 + 1762 044e AB02 .2byte 0x2ab + 1763 0450 01 .byte 0x1 + 1764 0451 6F000000 .4byte 0x6f + 1765 0455 00000000 .4byte .LFB8 + 1766 0459 0C000000 .4byte .LFE8 + 1767 045d 02 .byte 0x2 + 1768 045e 7D .byte 0x7d + 1769 045f 00 .sleb128 0 + 1770 0460 01 .byte 0x1 + 1771 0461 76040000 .4byte 0x476 + 1772 0465 12 .uleb128 0x12 + 1773 0466 79000000 .4byte .LASF39 + 1774 046a 01 .byte 0x1 + 1775 046b AB02 .2byte 0x2ab + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 57 + + + 1776 046d 6F000000 .4byte 0x6f + 1777 0471 80010000 .4byte .LLST12 + 1778 0475 00 .byte 0 + 1779 0476 18 .uleb128 0x18 + 1780 0477 01 .byte 0x1 + 1781 0478 19040000 .4byte .LASF40 + 1782 047c 01 .byte 0x1 + 1783 047d C002 .2byte 0x2c0 + 1784 047f 01 .byte 0x1 + 1785 0480 6F000000 .4byte 0x6f + 1786 0484 00000000 .4byte .LFB9 + 1787 0488 10000000 .4byte .LFE9 + 1788 048c 02 .byte 0x2 + 1789 048d 7D .byte 0x7d + 1790 048e 00 .sleb128 0 + 1791 048f 01 .byte 0x1 + 1792 0490 A5040000 .4byte 0x4a5 + 1793 0494 12 .uleb128 0x12 + 1794 0495 89000000 .4byte .LASF41 + 1795 0499 01 .byte 0x1 + 1796 049a C002 .2byte 0x2c0 + 1797 049c 6F000000 .4byte 0x6f + 1798 04a0 A1010000 .4byte .LLST13 + 1799 04a4 00 .byte 0 + 1800 04a5 18 .uleb128 0x18 + 1801 04a6 01 .byte 0x1 + 1802 04a7 AB040000 .4byte .LASF42 + 1803 04ab 01 .byte 0x1 + 1804 04ac D902 .2byte 0x2d9 + 1805 04ae 01 .byte 0x1 + 1806 04af 7A000000 .4byte 0x7a + 1807 04b3 00000000 .4byte .LFB10 + 1808 04b7 2C000000 .4byte .LFE10 + 1809 04bb 02 .byte 0x2 + 1810 04bc 7D .byte 0x7d + 1811 04bd 00 .sleb128 0 + 1812 04be 01 .byte 0x1 + 1813 04bf F3040000 .4byte 0x4f3 + 1814 04c3 12 .uleb128 0x12 + 1815 04c4 89000000 .4byte .LASF41 + 1816 04c8 01 .byte 0x1 + 1817 04c9 D902 .2byte 0x2d9 + 1818 04cb 6F000000 .4byte 0x6f + 1819 04cf C2010000 .4byte .LLST14 + 1820 04d3 13 .uleb128 0x13 + 1821 04d4 726900 .ascii "ri\000" + 1822 04d7 01 .byte 0x1 + 1823 04d8 DB02 .2byte 0x2db + 1824 04da 6F000000 .4byte 0x6f + 1825 04de E3010000 .4byte .LLST15 + 1826 04e2 1A .uleb128 0x1a + 1827 04e3 BC040000 .4byte .LASF43 + 1828 04e7 01 .byte 0x1 + 1829 04e8 DC02 .2byte 0x2dc + 1830 04ea 7A000000 .4byte 0x7a + 1831 04ee 09020000 .4byte .LLST16 + 1832 04f2 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 58 + + + 1833 04f3 11 .uleb128 0x11 + 1834 04f4 01 .byte 0x1 + 1835 04f5 82040000 .4byte .LASF44 + 1836 04f9 01 .byte 0x1 + 1837 04fa 9003 .2byte 0x390 + 1838 04fc 01 .byte 0x1 + 1839 04fd 00000000 .4byte .LFB11 + 1840 0501 7C000000 .4byte .LFE11 + 1841 0505 5C020000 .4byte .LLST17 + 1842 0509 01 .byte 0x1 + 1843 050a 66050000 .4byte 0x566 + 1844 050e 12 .uleb128 0x12 + 1845 050f 89000000 .4byte .LASF41 + 1846 0513 01 .byte 0x1 + 1847 0514 9003 .2byte 0x390 + 1848 0516 6F000000 .4byte 0x6f + 1849 051a 7C020000 .4byte .LLST18 + 1850 051e 12 .uleb128 0x12 + 1851 051f B3010000 .4byte .LASF45 + 1852 0523 01 .byte 0x1 + 1853 0524 9003 .2byte 0x390 + 1854 0526 66050000 .4byte 0x566 + 1855 052a A8020000 .4byte .LLST19 + 1856 052e 12 .uleb128 0x12 + 1857 052f C6030000 .4byte .LASF46 + 1858 0533 01 .byte 0x1 + 1859 0534 9003 .2byte 0x390 + 1860 0536 7A000000 .4byte 0x7a + 1861 053a D4020000 .4byte .LLST20 + 1862 053e 13 .uleb128 0x13 + 1863 053f 726900 .ascii "ri\000" + 1864 0542 01 .byte 0x1 + 1865 0543 9303 .2byte 0x393 + 1866 0545 6F000000 .4byte 0x6f + 1867 0549 0B030000 .4byte .LLST21 + 1868 054d 13 .uleb128 0x13 + 1869 054e 7000 .ascii "p\000" + 1870 0550 01 .byte 0x1 + 1871 0551 9403 .2byte 0x394 + 1872 0553 71050000 .4byte 0x571 + 1873 0557 40030000 .4byte .LLST22 + 1874 055b 1B .uleb128 0x1b + 1875 055c 6900 .ascii "i\000" + 1876 055e 01 .byte 0x1 + 1877 055f 9603 .2byte 0x396 + 1878 0561 7A000000 .4byte 0x7a + 1879 0565 00 .byte 0 + 1880 0566 07 .uleb128 0x7 + 1881 0567 04 .byte 0x4 + 1882 0568 6C050000 .4byte 0x56c + 1883 056c 1C .uleb128 0x1c + 1884 056d 6F000000 .4byte 0x6f + 1885 0571 07 .uleb128 0x7 + 1886 0572 04 .byte 0x4 + 1887 0573 A5000000 .4byte 0xa5 + 1888 0577 16 .uleb128 0x16 + 1889 0578 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 59 + + + 1890 0579 5F030000 .4byte .LASF47 + 1891 057d 01 .byte 0x1 + 1892 057e 7D04 .2byte 0x47d + 1893 0580 01 .byte 0x1 + 1894 0581 00000000 .4byte .LFB13 + 1895 0585 28000000 .4byte .LFE13 + 1896 0589 02 .byte 0x2 + 1897 058a 7D .byte 0x7d + 1898 058b 00 .sleb128 0 + 1899 058c 01 .byte 0x1 + 1900 058d B1050000 .4byte 0x5b1 + 1901 0591 12 .uleb128 0x12 + 1902 0592 89000000 .4byte .LASF41 + 1903 0596 01 .byte 0x1 + 1904 0597 7D04 .2byte 0x47d + 1905 0599 6F000000 .4byte 0x6f + 1906 059d 53030000 .4byte .LLST23 + 1907 05a1 13 .uleb128 0x13 + 1908 05a2 726900 .ascii "ri\000" + 1909 05a5 01 .byte 0x1 + 1910 05a6 7F04 .2byte 0x47f + 1911 05a8 6F000000 .4byte 0x6f + 1912 05ac 74030000 .4byte .LLST24 + 1913 05b0 00 .byte 0 + 1914 05b1 1D .uleb128 0x1d + 1915 05b2 01 .byte 0x1 + 1916 05b3 F4010000 .4byte .LASF48 + 1917 05b7 01 .byte 0x1 + 1918 05b8 1304 .2byte 0x413 + 1919 05ba 01 .byte 0x1 + 1920 05bb 7A000000 .4byte 0x7a + 1921 05bf 00000000 .4byte .LFB12 + 1922 05c3 4C000000 .4byte .LFE12 + 1923 05c7 8B030000 .4byte .LLST25 + 1924 05cb 01 .byte 0x1 + 1925 05cc 60060000 .4byte 0x660 + 1926 05d0 12 .uleb128 0x12 + 1927 05d1 89000000 .4byte .LASF41 + 1928 05d5 01 .byte 0x1 + 1929 05d6 1304 .2byte 0x413 + 1930 05d8 6F000000 .4byte 0x6f + 1931 05dc AB030000 .4byte .LLST26 + 1932 05e0 12 .uleb128 0x12 + 1933 05e1 B3010000 .4byte .LASF45 + 1934 05e5 01 .byte 0x1 + 1935 05e6 1304 .2byte 0x413 + 1936 05e8 60060000 .4byte 0x660 + 1937 05ec E5030000 .4byte .LLST27 + 1938 05f0 12 .uleb128 0x12 + 1939 05f1 C6030000 .4byte .LASF46 + 1940 05f5 01 .byte 0x1 + 1941 05f6 1304 .2byte 0x413 + 1942 05f8 7A000000 .4byte 0x7a + 1943 05fc 03040000 .4byte .LLST28 + 1944 0600 13 .uleb128 0x13 + 1945 0601 726900 .ascii "ri\000" + 1946 0604 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 60 + + + 1947 0605 1604 .2byte 0x416 + 1948 0607 6F000000 .4byte 0x6f + 1949 060b 50040000 .4byte .LLST29 + 1950 060f 13 .uleb128 0x13 + 1951 0610 7000 .ascii "p\000" + 1952 0612 01 .byte 0x1 + 1953 0613 1704 .2byte 0x417 + 1954 0615 71050000 .4byte 0x571 + 1955 0619 76040000 .4byte .LLST30 + 1956 061d 13 .uleb128 0x13 + 1957 061e 6900 .ascii "i\000" + 1958 0620 01 .byte 0x1 + 1959 0621 1904 .2byte 0x419 + 1960 0623 7A000000 .4byte 0x7a + 1961 0627 89040000 .4byte .LLST31 + 1962 062b 1A .uleb128 0x1a + 1963 062c 65040000 .4byte .LASF49 + 1964 0630 01 .byte 0x1 + 1965 0631 1C04 .2byte 0x41c + 1966 0633 7A000000 .4byte 0x7a + 1967 0637 9D040000 .4byte .LLST32 + 1968 063b 0E .uleb128 0xe + 1969 063c 1E000000 .4byte .LVL67 + 1970 0640 A5040000 .4byte 0x4a5 + 1971 0644 4F060000 .4byte 0x64f + 1972 0648 0F .uleb128 0xf + 1973 0649 01 .byte 0x1 + 1974 064a 50 .byte 0x50 + 1975 064b 02 .byte 0x2 + 1976 064c 77 .byte 0x77 + 1977 064d 00 .sleb128 0 + 1978 064e 00 .byte 0 + 1979 064f 14 .uleb128 0x14 + 1980 0650 3C000000 .4byte .LVL71 + 1981 0654 77050000 .4byte 0x577 + 1982 0658 0F .uleb128 0xf + 1983 0659 01 .byte 0x1 + 1984 065a 50 .byte 0x50 + 1985 065b 02 .byte 0x2 + 1986 065c 77 .byte 0x77 + 1987 065d 00 .sleb128 0 + 1988 065e 00 .byte 0 + 1989 065f 00 .byte 0 + 1990 0660 07 .uleb128 0x7 + 1991 0661 04 .byte 0x4 + 1992 0662 6F000000 .4byte 0x6f + 1993 0666 16 .uleb128 0x16 + 1994 0667 01 .byte 0x1 + 1995 0668 6F040000 .4byte .LASF50 + 1996 066c 01 .byte 0x1 + 1997 066d 9B04 .2byte 0x49b + 1998 066f 01 .byte 0x1 + 1999 0670 00000000 .4byte .LFB14 + 2000 0674 18000000 .4byte .LFE14 + 2001 0678 02 .byte 0x2 + 2002 0679 7D .byte 0x7d + 2003 067a 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 61 + + + 2004 067b 01 .byte 0x1 + 2005 067c A0060000 .4byte 0x6a0 + 2006 0680 12 .uleb128 0x12 + 2007 0681 89000000 .4byte .LASF41 + 2008 0685 01 .byte 0x1 + 2009 0686 9B04 .2byte 0x49b + 2010 0688 6F000000 .4byte 0x6f + 2011 068c B0040000 .4byte .LLST33 + 2012 0690 13 .uleb128 0x13 + 2013 0691 726900 .ascii "ri\000" + 2014 0694 01 .byte 0x1 + 2015 0695 9D04 .2byte 0x49d + 2016 0697 6F000000 .4byte 0x6f + 2017 069b D1040000 .4byte .LLST34 + 2018 069f 00 .byte 0 + 2019 06a0 16 .uleb128 0x16 + 2020 06a1 01 .byte 0x1 + 2021 06a2 3D000000 .4byte .LASF51 + 2022 06a6 01 .byte 0x1 + 2023 06a7 BA04 .2byte 0x4ba + 2024 06a9 01 .byte 0x1 + 2025 06aa 00000000 .4byte .LFB15 + 2026 06ae 0C000000 .4byte .LFE15 + 2027 06b2 02 .byte 0x2 + 2028 06b3 7D .byte 0x7d + 2029 06b4 00 .sleb128 0 + 2030 06b5 01 .byte 0x1 + 2031 06b6 C9060000 .4byte 0x6c9 + 2032 06ba 1E .uleb128 0x1e + 2033 06bb BF030000 .4byte .LASF52 + 2034 06bf 01 .byte 0x1 + 2035 06c0 BA04 .2byte 0x4ba + 2036 06c2 6F000000 .4byte 0x6f + 2037 06c6 01 .byte 0x1 + 2038 06c7 50 .byte 0x50 + 2039 06c8 00 .byte 0 + 2040 06c9 18 .uleb128 0x18 + 2041 06ca 01 .byte 0x1 + 2042 06cb 60000000 .4byte .LASF53 + 2043 06cf 01 .byte 0x1 + 2044 06d0 CF04 .2byte 0x4cf + 2045 06d2 01 .byte 0x1 + 2046 06d3 6F000000 .4byte 0x6f + 2047 06d7 00000000 .4byte .LFB16 + 2048 06db 20000000 .4byte .LFE16 + 2049 06df 02 .byte 0x2 + 2050 06e0 7D .byte 0x7d + 2051 06e1 00 .sleb128 0 + 2052 06e2 01 .byte 0x1 + 2053 06e3 16070000 .4byte 0x716 + 2054 06e7 12 .uleb128 0x12 + 2055 06e8 89000000 .4byte .LASF41 + 2056 06ec 01 .byte 0x1 + 2057 06ed CF04 .2byte 0x4cf + 2058 06ef 6F000000 .4byte 0x6f + 2059 06f3 E8040000 .4byte .LLST35 + 2060 06f7 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 62 + + + 2061 06f8 726900 .ascii "ri\000" + 2062 06fb 01 .byte 0x1 + 2063 06fc D104 .2byte 0x4d1 + 2064 06fe 6F000000 .4byte 0x6f + 2065 0702 09050000 .4byte .LLST36 + 2066 0706 13 .uleb128 0x13 + 2067 0707 637200 .ascii "cr\000" + 2068 070a 01 .byte 0x1 + 2069 070b D204 .2byte 0x4d2 + 2070 070d 6F000000 .4byte 0x6f + 2071 0711 20050000 .4byte .LLST37 + 2072 0715 00 .byte 0 + 2073 0716 16 .uleb128 0x16 + 2074 0717 01 .byte 0x1 + 2075 0718 2C010000 .4byte .LASF54 + 2076 071c 01 .byte 0x1 + 2077 071d F404 .2byte 0x4f4 + 2078 071f 01 .byte 0x1 + 2079 0720 00000000 .4byte .LFB17 + 2080 0724 18000000 .4byte .LFE17 + 2081 0728 02 .byte 0x2 + 2082 0729 7D .byte 0x7d + 2083 072a 00 .sleb128 0 + 2084 072b 01 .byte 0x1 + 2085 072c 41070000 .4byte 0x741 + 2086 0730 12 .uleb128 0x12 + 2087 0731 8C010000 .4byte .LASF55 + 2088 0735 01 .byte 0x1 + 2089 0736 F404 .2byte 0x4f4 + 2090 0738 6F000000 .4byte 0x6f + 2091 073c 56050000 .4byte .LLST38 + 2092 0740 00 .byte 0 + 2093 0741 18 .uleb128 0x18 + 2094 0742 01 .byte 0x1 + 2095 0743 1B010000 .4byte .LASF56 + 2096 0747 01 .byte 0x1 + 2097 0748 2B05 .2byte 0x52b + 2098 074a 01 .byte 0x1 + 2099 074b 6F000000 .4byte 0x6f + 2100 074f 00000000 .4byte .LFB18 + 2101 0753 10000000 .4byte .LFE18 + 2102 0757 02 .byte 0x2 + 2103 0758 7D .byte 0x7d + 2104 0759 00 .sleb128 0 + 2105 075a 01 .byte 0x1 + 2106 075b 70070000 .4byte 0x770 + 2107 075f 1A .uleb128 0x1a + 2108 0760 BC040000 .4byte .LASF43 + 2109 0764 01 .byte 0x1 + 2110 0765 2D05 .2byte 0x52d + 2111 0767 6F000000 .4byte 0x6f + 2112 076b 90050000 .4byte .LLST39 + 2113 076f 00 .byte 0 + 2114 0770 1F .uleb128 0x1f + 2115 0771 1D000000 .4byte .LASF57 + 2116 0775 01 .byte 0x1 + 2117 0776 36 .byte 0x36 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 63 + + + 2118 0777 6F000000 .4byte 0x6f + 2119 077b 01 .byte 0x1 + 2120 077c 05 .byte 0x5 + 2121 077d 03 .byte 0x3 + 2122 077e 00000000 .4byte USBFS_initVar + 2123 0782 20 .uleb128 0x20 + 2124 0783 B2030000 .4byte .LASF58 + 2125 0787 03 .byte 0x3 + 2126 0788 1802 .2byte 0x218 + 2127 078a B0000000 .4byte 0xb0 + 2128 078e 01 .byte 0x1 + 2129 078f 01 .byte 0x1 + 2130 0790 20 .uleb128 0x20 + 2131 0791 33030000 .4byte .LASF59 + 2132 0795 03 .byte 0x3 + 2133 0796 1902 .2byte 0x219 + 2134 0798 B0000000 .4byte 0xb0 + 2135 079c 01 .byte 0x1 + 2136 079d 01 .byte 0x1 + 2137 079e 20 .uleb128 0x20 + 2138 079f D9010000 .4byte .LASF60 + 2139 07a3 03 .byte 0x3 + 2140 07a4 1A02 .2byte 0x21a + 2141 07a6 B0000000 .4byte 0xb0 + 2142 07aa 01 .byte 0x1 + 2143 07ab 01 .byte 0x1 + 2144 07ac 20 .uleb128 0x20 + 2145 07ad 66020000 .4byte .LASF61 + 2146 07b1 03 .byte 0x3 + 2147 07b2 1B02 .2byte 0x21b + 2148 07b4 B0000000 .4byte 0xb0 + 2149 07b8 01 .byte 0x1 + 2150 07b9 01 .byte 0x1 + 2151 07ba 20 .uleb128 0x20 + 2152 07bb 06040000 .4byte .LASF62 + 2153 07bf 03 .byte 0x3 + 2154 07c0 1C02 .2byte 0x21c + 2155 07c2 B0000000 .4byte 0xb0 + 2156 07c6 01 .byte 0x1 + 2157 07c7 01 .byte 0x1 + 2158 07c8 21 .uleb128 0x21 + 2159 07c9 6F000000 .4byte 0x6f + 2160 07cd D8070000 .4byte 0x7d8 + 2161 07d1 22 .uleb128 0x22 + 2162 07d2 D9000000 .4byte 0xd9 + 2163 07d6 00 .byte 0 + 2164 07d7 00 .byte 0 + 2165 07d8 20 .uleb128 0x20 + 2166 07d9 2B000000 .4byte .LASF63 + 2167 07dd 03 .byte 0x3 + 2168 07de 2002 .2byte 0x220 + 2169 07e0 E6070000 .4byte 0x7e6 + 2170 07e4 01 .byte 0x1 + 2171 07e5 01 .byte 0x1 + 2172 07e6 05 .uleb128 0x5 + 2173 07e7 C8070000 .4byte 0x7c8 + 2174 07eb 23 .uleb128 0x23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 64 + + + 2175 07ec 05010000 .4byte .LASF64 + 2176 07f0 04 .byte 0x4 + 2177 07f1 38 .byte 0x38 + 2178 07f2 B0000000 .4byte 0xb0 + 2179 07f6 01 .byte 0x1 + 2180 07f7 01 .byte 0x1 + 2181 07f8 23 .uleb128 0x23 + 2182 07f9 86030000 .4byte .LASF65 + 2183 07fd 04 .byte 0x4 + 2184 07fe 39 .byte 0x39 + 2185 07ff 05080000 .4byte 0x805 + 2186 0803 01 .byte 0x1 + 2187 0804 01 .byte 0x1 + 2188 0805 05 .uleb128 0x5 + 2189 0806 C8070000 .4byte 0x7c8 + 2190 080a 23 .uleb128 0x23 + 2191 080b F1000000 .4byte .LASF66 + 2192 080f 04 .byte 0x4 + 2193 0810 3B .byte 0x3b + 2194 0811 B0000000 .4byte 0xb0 + 2195 0815 01 .byte 0x1 + 2196 0816 01 .byte 0x1 + 2197 0817 21 .uleb128 0x21 + 2198 0818 67010000 .4byte 0x167 + 2199 081c 27080000 .4byte 0x827 + 2200 0820 22 .uleb128 0x22 + 2201 0821 D9000000 .4byte 0xd9 + 2202 0825 08 .byte 0x8 + 2203 0826 00 .byte 0 + 2204 0827 23 .uleb128 0x23 + 2205 0828 CE020000 .4byte .LASF67 + 2206 082c 04 .byte 0x4 + 2207 082d 3F .byte 0x3f + 2208 082e 34080000 .4byte 0x834 + 2209 0832 01 .byte 0x1 + 2210 0833 01 .byte 0x1 + 2211 0834 05 .uleb128 0x5 + 2212 0835 17080000 .4byte 0x817 + 2213 0839 23 .uleb128 0x23 + 2214 083a 71030000 .4byte .LASF68 + 2215 083e 04 .byte 0x4 + 2216 083f 48 .byte 0x48 + 2217 0840 B0000000 .4byte 0xb0 + 2218 0844 01 .byte 0x1 + 2219 0845 01 .byte 0x1 + 2220 0846 24 .uleb128 0x24 + 2221 0847 01 .byte 0x1 + 2222 0848 23020000 .4byte .LASF77 + 2223 084c 05 .byte 0x5 + 2224 084d 7E .byte 0x7e + 2225 084e 01 .byte 0x1 + 2226 084f 6F000000 .4byte 0x6f + 2227 0853 01 .byte 0x1 + 2228 0854 25 .uleb128 0x25 + 2229 0855 01 .byte 0x1 + 2230 0856 7B010000 .4byte .LASF69 + 2231 085a 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 65 + + + 2232 085b 78 .byte 0x78 + 2233 085c 01 .byte 0x1 + 2234 085d 01 .byte 0x1 + 2235 085e 68080000 .4byte 0x868 + 2236 0862 26 .uleb128 0x26 + 2237 0863 7A000000 .4byte 0x7a + 2238 0867 00 .byte 0 + 2239 0868 25 .uleb128 0x25 + 2240 0869 01 .byte 0x1 + 2241 086a 07000000 .4byte .LASF70 + 2242 086e 05 .byte 0x5 + 2243 086f 7F .byte 0x7f + 2244 0870 01 .byte 0x1 + 2245 0871 01 .byte 0x1 + 2246 0872 7C080000 .4byte 0x87c + 2247 0876 26 .uleb128 0x26 + 2248 0877 6F000000 .4byte 0x6f + 2249 087b 00 .byte 0 + 2250 087c 27 .uleb128 0x27 + 2251 087d 01 .byte 0x1 + 2252 087e 4D020000 .4byte .LASF78 + 2253 0882 05 .byte 0x5 + 2254 0883 89 .byte 0x89 + 2255 0884 01 .byte 0x1 + 2256 0885 C5000000 .4byte 0xc5 + 2257 0889 01 .byte 0x1 + 2258 088a 99080000 .4byte 0x899 + 2259 088e 26 .uleb128 0x26 + 2260 088f 6F000000 .4byte 0x6f + 2261 0893 26 .uleb128 0x26 + 2262 0894 C5000000 .4byte 0xc5 + 2263 0898 00 .byte 0 + 2264 0899 25 .uleb128 0x25 + 2265 089a 01 .byte 0x1 + 2266 089b A1020000 .4byte .LASF71 + 2267 089f 05 .byte 0x5 + 2268 08a0 8C .byte 0x8c + 2269 08a1 01 .byte 0x1 + 2270 08a2 01 .byte 0x1 + 2271 08a3 B2080000 .4byte 0x8b2 + 2272 08a7 26 .uleb128 0x26 + 2273 08a8 6F000000 .4byte 0x6f + 2274 08ac 26 .uleb128 0x26 + 2275 08ad 6F000000 .4byte 0x6f + 2276 08b1 00 .byte 0 + 2277 08b2 28 .uleb128 0x28 + 2278 08b3 01 .byte 0x1 + 2279 08b4 D7020000 .4byte .LASF79 + 2280 08b8 05 .byte 0x5 + 2281 08b9 7A .byte 0x7a + 2282 08ba 01 .byte 0x1 + 2283 08bb 01 .byte 0x1 + 2284 08bc 26 .uleb128 0x26 + 2285 08bd 85000000 .4byte 0x85 + 2286 08c1 00 .byte 0 + 2287 08c2 00 .byte 0 + 2288 .section .debug_abbrev,"",%progbits + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 66 + + + 2289 .Ldebug_abbrev0: + 2290 0000 01 .uleb128 0x1 + 2291 0001 11 .uleb128 0x11 + 2292 0002 01 .byte 0x1 + 2293 0003 25 .uleb128 0x25 + 2294 0004 0E .uleb128 0xe + 2295 0005 13 .uleb128 0x13 + 2296 0006 0B .uleb128 0xb + 2297 0007 03 .uleb128 0x3 + 2298 0008 0E .uleb128 0xe + 2299 0009 1B .uleb128 0x1b + 2300 000a 0E .uleb128 0xe + 2301 000b 55 .uleb128 0x55 + 2302 000c 06 .uleb128 0x6 + 2303 000d 11 .uleb128 0x11 + 2304 000e 01 .uleb128 0x1 + 2305 000f 52 .uleb128 0x52 + 2306 0010 01 .uleb128 0x1 + 2307 0011 10 .uleb128 0x10 + 2308 0012 06 .uleb128 0x6 + 2309 0013 00 .byte 0 + 2310 0014 00 .byte 0 + 2311 0015 02 .uleb128 0x2 + 2312 0016 24 .uleb128 0x24 + 2313 0017 00 .byte 0 + 2314 0018 0B .uleb128 0xb + 2315 0019 0B .uleb128 0xb + 2316 001a 3E .uleb128 0x3e + 2317 001b 0B .uleb128 0xb + 2318 001c 03 .uleb128 0x3 + 2319 001d 0E .uleb128 0xe + 2320 001e 00 .byte 0 + 2321 001f 00 .byte 0 + 2322 0020 03 .uleb128 0x3 + 2323 0021 24 .uleb128 0x24 + 2324 0022 00 .byte 0 + 2325 0023 0B .uleb128 0xb + 2326 0024 0B .uleb128 0xb + 2327 0025 3E .uleb128 0x3e + 2328 0026 0B .uleb128 0xb + 2329 0027 03 .uleb128 0x3 + 2330 0028 08 .uleb128 0x8 + 2331 0029 00 .byte 0 + 2332 002a 00 .byte 0 + 2333 002b 04 .uleb128 0x4 + 2334 002c 16 .uleb128 0x16 + 2335 002d 00 .byte 0 + 2336 002e 03 .uleb128 0x3 + 2337 002f 0E .uleb128 0xe + 2338 0030 3A .uleb128 0x3a + 2339 0031 0B .uleb128 0xb + 2340 0032 3B .uleb128 0x3b + 2341 0033 0B .uleb128 0xb + 2342 0034 49 .uleb128 0x49 + 2343 0035 13 .uleb128 0x13 + 2344 0036 00 .byte 0 + 2345 0037 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 67 + + + 2346 0038 05 .uleb128 0x5 + 2347 0039 35 .uleb128 0x35 + 2348 003a 00 .byte 0 + 2349 003b 49 .uleb128 0x49 + 2350 003c 13 .uleb128 0x13 + 2351 003d 00 .byte 0 + 2352 003e 00 .byte 0 + 2353 003f 06 .uleb128 0x6 + 2354 0040 16 .uleb128 0x16 + 2355 0041 00 .byte 0 + 2356 0042 03 .uleb128 0x3 + 2357 0043 0E .uleb128 0xe + 2358 0044 3A .uleb128 0x3a + 2359 0045 0B .uleb128 0xb + 2360 0046 3B .uleb128 0x3b + 2361 0047 05 .uleb128 0x5 + 2362 0048 49 .uleb128 0x49 + 2363 0049 13 .uleb128 0x13 + 2364 004a 00 .byte 0 + 2365 004b 00 .byte 0 + 2366 004c 07 .uleb128 0x7 + 2367 004d 0F .uleb128 0xf + 2368 004e 00 .byte 0 + 2369 004f 0B .uleb128 0xb + 2370 0050 0B .uleb128 0xb + 2371 0051 49 .uleb128 0x49 + 2372 0052 13 .uleb128 0x13 + 2373 0053 00 .byte 0 + 2374 0054 00 .byte 0 + 2375 0055 08 .uleb128 0x8 + 2376 0056 15 .uleb128 0x15 + 2377 0057 00 .byte 0 + 2378 0058 27 .uleb128 0x27 + 2379 0059 0C .uleb128 0xc + 2380 005a 00 .byte 0 + 2381 005b 00 .byte 0 + 2382 005c 09 .uleb128 0x9 + 2383 005d 13 .uleb128 0x13 + 2384 005e 01 .byte 0x1 + 2385 005f 0B .uleb128 0xb + 2386 0060 0B .uleb128 0xb + 2387 0061 3A .uleb128 0x3a + 2388 0062 0B .uleb128 0xb + 2389 0063 3B .uleb128 0x3b + 2390 0064 0B .uleb128 0xb + 2391 0065 01 .uleb128 0x1 + 2392 0066 13 .uleb128 0x13 + 2393 0067 00 .byte 0 + 2394 0068 00 .byte 0 + 2395 0069 0A .uleb128 0xa + 2396 006a 0D .uleb128 0xd + 2397 006b 00 .byte 0 + 2398 006c 03 .uleb128 0x3 + 2399 006d 0E .uleb128 0xe + 2400 006e 3A .uleb128 0x3a + 2401 006f 0B .uleb128 0xb + 2402 0070 3B .uleb128 0x3b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 68 + + + 2403 0071 0B .uleb128 0xb + 2404 0072 49 .uleb128 0x49 + 2405 0073 13 .uleb128 0x13 + 2406 0074 38 .uleb128 0x38 + 2407 0075 0A .uleb128 0xa + 2408 0076 00 .byte 0 + 2409 0077 00 .byte 0 + 2410 0078 0B .uleb128 0xb + 2411 0079 2E .uleb128 0x2e + 2412 007a 01 .byte 0x1 + 2413 007b 3F .uleb128 0x3f + 2414 007c 0C .uleb128 0xc + 2415 007d 03 .uleb128 0x3 + 2416 007e 0E .uleb128 0xe + 2417 007f 3A .uleb128 0x3a + 2418 0080 0B .uleb128 0xb + 2419 0081 3B .uleb128 0x3b + 2420 0082 0B .uleb128 0xb + 2421 0083 27 .uleb128 0x27 + 2422 0084 0C .uleb128 0xc + 2423 0085 11 .uleb128 0x11 + 2424 0086 01 .uleb128 0x1 + 2425 0087 12 .uleb128 0x12 + 2426 0088 01 .uleb128 0x1 + 2427 0089 40 .uleb128 0x40 + 2428 008a 06 .uleb128 0x6 + 2429 008b 9742 .uleb128 0x2117 + 2430 008d 0C .uleb128 0xc + 2431 008e 01 .uleb128 0x1 + 2432 008f 13 .uleb128 0x13 + 2433 0090 00 .byte 0 + 2434 0091 00 .byte 0 + 2435 0092 0C .uleb128 0xc + 2436 0093 34 .uleb128 0x34 + 2437 0094 00 .byte 0 + 2438 0095 03 .uleb128 0x3 + 2439 0096 0E .uleb128 0xe + 2440 0097 3A .uleb128 0x3a + 2441 0098 0B .uleb128 0xb + 2442 0099 3B .uleb128 0x3b + 2443 009a 0B .uleb128 0xb + 2444 009b 49 .uleb128 0x49 + 2445 009c 13 .uleb128 0x13 + 2446 009d 02 .uleb128 0x2 + 2447 009e 06 .uleb128 0x6 + 2448 009f 00 .byte 0 + 2449 00a0 00 .byte 0 + 2450 00a1 0D .uleb128 0xd + 2451 00a2 898201 .uleb128 0x4109 + 2452 00a5 00 .byte 0 + 2453 00a6 11 .uleb128 0x11 + 2454 00a7 01 .uleb128 0x1 + 2455 00a8 31 .uleb128 0x31 + 2456 00a9 13 .uleb128 0x13 + 2457 00aa 00 .byte 0 + 2458 00ab 00 .byte 0 + 2459 00ac 0E .uleb128 0xe + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 69 + + + 2460 00ad 898201 .uleb128 0x4109 + 2461 00b0 01 .byte 0x1 + 2462 00b1 11 .uleb128 0x11 + 2463 00b2 01 .uleb128 0x1 + 2464 00b3 31 .uleb128 0x31 + 2465 00b4 13 .uleb128 0x13 + 2466 00b5 01 .uleb128 0x1 + 2467 00b6 13 .uleb128 0x13 + 2468 00b7 00 .byte 0 + 2469 00b8 00 .byte 0 + 2470 00b9 0F .uleb128 0xf + 2471 00ba 8A8201 .uleb128 0x410a + 2472 00bd 00 .byte 0 + 2473 00be 02 .uleb128 0x2 + 2474 00bf 0A .uleb128 0xa + 2475 00c0 9142 .uleb128 0x2111 + 2476 00c2 0A .uleb128 0xa + 2477 00c3 00 .byte 0 + 2478 00c4 00 .byte 0 + 2479 00c5 10 .uleb128 0x10 + 2480 00c6 898201 .uleb128 0x4109 + 2481 00c9 01 .byte 0x1 + 2482 00ca 11 .uleb128 0x11 + 2483 00cb 01 .uleb128 0x1 + 2484 00cc 9542 .uleb128 0x2115 + 2485 00ce 0C .uleb128 0xc + 2486 00cf 31 .uleb128 0x31 + 2487 00d0 13 .uleb128 0x13 + 2488 00d1 00 .byte 0 + 2489 00d2 00 .byte 0 + 2490 00d3 11 .uleb128 0x11 + 2491 00d4 2E .uleb128 0x2e + 2492 00d5 01 .byte 0x1 + 2493 00d6 3F .uleb128 0x3f + 2494 00d7 0C .uleb128 0xc + 2495 00d8 03 .uleb128 0x3 + 2496 00d9 0E .uleb128 0xe + 2497 00da 3A .uleb128 0x3a + 2498 00db 0B .uleb128 0xb + 2499 00dc 3B .uleb128 0x3b + 2500 00dd 05 .uleb128 0x5 + 2501 00de 27 .uleb128 0x27 + 2502 00df 0C .uleb128 0xc + 2503 00e0 11 .uleb128 0x11 + 2504 00e1 01 .uleb128 0x1 + 2505 00e2 12 .uleb128 0x12 + 2506 00e3 01 .uleb128 0x1 + 2507 00e4 40 .uleb128 0x40 + 2508 00e5 06 .uleb128 0x6 + 2509 00e6 9742 .uleb128 0x2117 + 2510 00e8 0C .uleb128 0xc + 2511 00e9 01 .uleb128 0x1 + 2512 00ea 13 .uleb128 0x13 + 2513 00eb 00 .byte 0 + 2514 00ec 00 .byte 0 + 2515 00ed 12 .uleb128 0x12 + 2516 00ee 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 70 + + + 2517 00ef 00 .byte 0 + 2518 00f0 03 .uleb128 0x3 + 2519 00f1 0E .uleb128 0xe + 2520 00f2 3A .uleb128 0x3a + 2521 00f3 0B .uleb128 0xb + 2522 00f4 3B .uleb128 0x3b + 2523 00f5 05 .uleb128 0x5 + 2524 00f6 49 .uleb128 0x49 + 2525 00f7 13 .uleb128 0x13 + 2526 00f8 02 .uleb128 0x2 + 2527 00f9 06 .uleb128 0x6 + 2528 00fa 00 .byte 0 + 2529 00fb 00 .byte 0 + 2530 00fc 13 .uleb128 0x13 + 2531 00fd 34 .uleb128 0x34 + 2532 00fe 00 .byte 0 + 2533 00ff 03 .uleb128 0x3 + 2534 0100 08 .uleb128 0x8 + 2535 0101 3A .uleb128 0x3a + 2536 0102 0B .uleb128 0xb + 2537 0103 3B .uleb128 0x3b + 2538 0104 05 .uleb128 0x5 + 2539 0105 49 .uleb128 0x49 + 2540 0106 13 .uleb128 0x13 + 2541 0107 02 .uleb128 0x2 + 2542 0108 06 .uleb128 0x6 + 2543 0109 00 .byte 0 + 2544 010a 00 .byte 0 + 2545 010b 14 .uleb128 0x14 + 2546 010c 898201 .uleb128 0x4109 + 2547 010f 01 .byte 0x1 + 2548 0110 11 .uleb128 0x11 + 2549 0111 01 .uleb128 0x1 + 2550 0112 31 .uleb128 0x31 + 2551 0113 13 .uleb128 0x13 + 2552 0114 00 .byte 0 + 2553 0115 00 .byte 0 + 2554 0116 15 .uleb128 0x15 + 2555 0117 05 .uleb128 0x5 + 2556 0118 00 .byte 0 + 2557 0119 03 .uleb128 0x3 + 2558 011a 0E .uleb128 0xe + 2559 011b 3A .uleb128 0x3a + 2560 011c 0B .uleb128 0xb + 2561 011d 3B .uleb128 0x3b + 2562 011e 0B .uleb128 0xb + 2563 011f 49 .uleb128 0x49 + 2564 0120 13 .uleb128 0x13 + 2565 0121 02 .uleb128 0x2 + 2566 0122 06 .uleb128 0x6 + 2567 0123 00 .byte 0 + 2568 0124 00 .byte 0 + 2569 0125 16 .uleb128 0x16 + 2570 0126 2E .uleb128 0x2e + 2571 0127 01 .byte 0x1 + 2572 0128 3F .uleb128 0x3f + 2573 0129 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 71 + + + 2574 012a 03 .uleb128 0x3 + 2575 012b 0E .uleb128 0xe + 2576 012c 3A .uleb128 0x3a + 2577 012d 0B .uleb128 0xb + 2578 012e 3B .uleb128 0x3b + 2579 012f 05 .uleb128 0x5 + 2580 0130 27 .uleb128 0x27 + 2581 0131 0C .uleb128 0xc + 2582 0132 11 .uleb128 0x11 + 2583 0133 01 .uleb128 0x1 + 2584 0134 12 .uleb128 0x12 + 2585 0135 01 .uleb128 0x1 + 2586 0136 40 .uleb128 0x40 + 2587 0137 0A .uleb128 0xa + 2588 0138 9742 .uleb128 0x2117 + 2589 013a 0C .uleb128 0xc + 2590 013b 01 .uleb128 0x1 + 2591 013c 13 .uleb128 0x13 + 2592 013d 00 .byte 0 + 2593 013e 00 .byte 0 + 2594 013f 17 .uleb128 0x17 + 2595 0140 2E .uleb128 0x2e + 2596 0141 00 .byte 0 + 2597 0142 3F .uleb128 0x3f + 2598 0143 0C .uleb128 0xc + 2599 0144 03 .uleb128 0x3 + 2600 0145 0E .uleb128 0xe + 2601 0146 3A .uleb128 0x3a + 2602 0147 0B .uleb128 0xb + 2603 0148 3B .uleb128 0x3b + 2604 0149 05 .uleb128 0x5 + 2605 014a 27 .uleb128 0x27 + 2606 014b 0C .uleb128 0xc + 2607 014c 11 .uleb128 0x11 + 2608 014d 01 .uleb128 0x1 + 2609 014e 12 .uleb128 0x12 + 2610 014f 01 .uleb128 0x1 + 2611 0150 40 .uleb128 0x40 + 2612 0151 0A .uleb128 0xa + 2613 0152 9742 .uleb128 0x2117 + 2614 0154 0C .uleb128 0xc + 2615 0155 00 .byte 0 + 2616 0156 00 .byte 0 + 2617 0157 18 .uleb128 0x18 + 2618 0158 2E .uleb128 0x2e + 2619 0159 01 .byte 0x1 + 2620 015a 3F .uleb128 0x3f + 2621 015b 0C .uleb128 0xc + 2622 015c 03 .uleb128 0x3 + 2623 015d 0E .uleb128 0xe + 2624 015e 3A .uleb128 0x3a + 2625 015f 0B .uleb128 0xb + 2626 0160 3B .uleb128 0x3b + 2627 0161 05 .uleb128 0x5 + 2628 0162 27 .uleb128 0x27 + 2629 0163 0C .uleb128 0xc + 2630 0164 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 72 + + + 2631 0165 13 .uleb128 0x13 + 2632 0166 11 .uleb128 0x11 + 2633 0167 01 .uleb128 0x1 + 2634 0168 12 .uleb128 0x12 + 2635 0169 01 .uleb128 0x1 + 2636 016a 40 .uleb128 0x40 + 2637 016b 0A .uleb128 0xa + 2638 016c 9742 .uleb128 0x2117 + 2639 016e 0C .uleb128 0xc + 2640 016f 01 .uleb128 0x1 + 2641 0170 13 .uleb128 0x13 + 2642 0171 00 .byte 0 + 2643 0172 00 .byte 0 + 2644 0173 19 .uleb128 0x19 + 2645 0174 2E .uleb128 0x2e + 2646 0175 00 .byte 0 + 2647 0176 3F .uleb128 0x3f + 2648 0177 0C .uleb128 0xc + 2649 0178 03 .uleb128 0x3 + 2650 0179 0E .uleb128 0xe + 2651 017a 3A .uleb128 0x3a + 2652 017b 0B .uleb128 0xb + 2653 017c 3B .uleb128 0x3b + 2654 017d 05 .uleb128 0x5 + 2655 017e 27 .uleb128 0x27 + 2656 017f 0C .uleb128 0xc + 2657 0180 49 .uleb128 0x49 + 2658 0181 13 .uleb128 0x13 + 2659 0182 11 .uleb128 0x11 + 2660 0183 01 .uleb128 0x1 + 2661 0184 12 .uleb128 0x12 + 2662 0185 01 .uleb128 0x1 + 2663 0186 40 .uleb128 0x40 + 2664 0187 0A .uleb128 0xa + 2665 0188 9742 .uleb128 0x2117 + 2666 018a 0C .uleb128 0xc + 2667 018b 00 .byte 0 + 2668 018c 00 .byte 0 + 2669 018d 1A .uleb128 0x1a + 2670 018e 34 .uleb128 0x34 + 2671 018f 00 .byte 0 + 2672 0190 03 .uleb128 0x3 + 2673 0191 0E .uleb128 0xe + 2674 0192 3A .uleb128 0x3a + 2675 0193 0B .uleb128 0xb + 2676 0194 3B .uleb128 0x3b + 2677 0195 05 .uleb128 0x5 + 2678 0196 49 .uleb128 0x49 + 2679 0197 13 .uleb128 0x13 + 2680 0198 02 .uleb128 0x2 + 2681 0199 06 .uleb128 0x6 + 2682 019a 00 .byte 0 + 2683 019b 00 .byte 0 + 2684 019c 1B .uleb128 0x1b + 2685 019d 34 .uleb128 0x34 + 2686 019e 00 .byte 0 + 2687 019f 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 73 + + + 2688 01a0 08 .uleb128 0x8 + 2689 01a1 3A .uleb128 0x3a + 2690 01a2 0B .uleb128 0xb + 2691 01a3 3B .uleb128 0x3b + 2692 01a4 05 .uleb128 0x5 + 2693 01a5 49 .uleb128 0x49 + 2694 01a6 13 .uleb128 0x13 + 2695 01a7 00 .byte 0 + 2696 01a8 00 .byte 0 + 2697 01a9 1C .uleb128 0x1c + 2698 01aa 26 .uleb128 0x26 + 2699 01ab 00 .byte 0 + 2700 01ac 49 .uleb128 0x49 + 2701 01ad 13 .uleb128 0x13 + 2702 01ae 00 .byte 0 + 2703 01af 00 .byte 0 + 2704 01b0 1D .uleb128 0x1d + 2705 01b1 2E .uleb128 0x2e + 2706 01b2 01 .byte 0x1 + 2707 01b3 3F .uleb128 0x3f + 2708 01b4 0C .uleb128 0xc + 2709 01b5 03 .uleb128 0x3 + 2710 01b6 0E .uleb128 0xe + 2711 01b7 3A .uleb128 0x3a + 2712 01b8 0B .uleb128 0xb + 2713 01b9 3B .uleb128 0x3b + 2714 01ba 05 .uleb128 0x5 + 2715 01bb 27 .uleb128 0x27 + 2716 01bc 0C .uleb128 0xc + 2717 01bd 49 .uleb128 0x49 + 2718 01be 13 .uleb128 0x13 + 2719 01bf 11 .uleb128 0x11 + 2720 01c0 01 .uleb128 0x1 + 2721 01c1 12 .uleb128 0x12 + 2722 01c2 01 .uleb128 0x1 + 2723 01c3 40 .uleb128 0x40 + 2724 01c4 06 .uleb128 0x6 + 2725 01c5 9742 .uleb128 0x2117 + 2726 01c7 0C .uleb128 0xc + 2727 01c8 01 .uleb128 0x1 + 2728 01c9 13 .uleb128 0x13 + 2729 01ca 00 .byte 0 + 2730 01cb 00 .byte 0 + 2731 01cc 1E .uleb128 0x1e + 2732 01cd 05 .uleb128 0x5 + 2733 01ce 00 .byte 0 + 2734 01cf 03 .uleb128 0x3 + 2735 01d0 0E .uleb128 0xe + 2736 01d1 3A .uleb128 0x3a + 2737 01d2 0B .uleb128 0xb + 2738 01d3 3B .uleb128 0x3b + 2739 01d4 05 .uleb128 0x5 + 2740 01d5 49 .uleb128 0x49 + 2741 01d6 13 .uleb128 0x13 + 2742 01d7 02 .uleb128 0x2 + 2743 01d8 0A .uleb128 0xa + 2744 01d9 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 74 + + + 2745 01da 00 .byte 0 + 2746 01db 1F .uleb128 0x1f + 2747 01dc 34 .uleb128 0x34 + 2748 01dd 00 .byte 0 + 2749 01de 03 .uleb128 0x3 + 2750 01df 0E .uleb128 0xe + 2751 01e0 3A .uleb128 0x3a + 2752 01e1 0B .uleb128 0xb + 2753 01e2 3B .uleb128 0x3b + 2754 01e3 0B .uleb128 0xb + 2755 01e4 49 .uleb128 0x49 + 2756 01e5 13 .uleb128 0x13 + 2757 01e6 3F .uleb128 0x3f + 2758 01e7 0C .uleb128 0xc + 2759 01e8 02 .uleb128 0x2 + 2760 01e9 0A .uleb128 0xa + 2761 01ea 00 .byte 0 + 2762 01eb 00 .byte 0 + 2763 01ec 20 .uleb128 0x20 + 2764 01ed 34 .uleb128 0x34 + 2765 01ee 00 .byte 0 + 2766 01ef 03 .uleb128 0x3 + 2767 01f0 0E .uleb128 0xe + 2768 01f1 3A .uleb128 0x3a + 2769 01f2 0B .uleb128 0xb + 2770 01f3 3B .uleb128 0x3b + 2771 01f4 05 .uleb128 0x5 + 2772 01f5 49 .uleb128 0x49 + 2773 01f6 13 .uleb128 0x13 + 2774 01f7 3F .uleb128 0x3f + 2775 01f8 0C .uleb128 0xc + 2776 01f9 3C .uleb128 0x3c + 2777 01fa 0C .uleb128 0xc + 2778 01fb 00 .byte 0 + 2779 01fc 00 .byte 0 + 2780 01fd 21 .uleb128 0x21 + 2781 01fe 01 .uleb128 0x1 + 2782 01ff 01 .byte 0x1 + 2783 0200 49 .uleb128 0x49 + 2784 0201 13 .uleb128 0x13 + 2785 0202 01 .uleb128 0x1 + 2786 0203 13 .uleb128 0x13 + 2787 0204 00 .byte 0 + 2788 0205 00 .byte 0 + 2789 0206 22 .uleb128 0x22 + 2790 0207 21 .uleb128 0x21 + 2791 0208 00 .byte 0 + 2792 0209 49 .uleb128 0x49 + 2793 020a 13 .uleb128 0x13 + 2794 020b 2F .uleb128 0x2f + 2795 020c 0B .uleb128 0xb + 2796 020d 00 .byte 0 + 2797 020e 00 .byte 0 + 2798 020f 23 .uleb128 0x23 + 2799 0210 34 .uleb128 0x34 + 2800 0211 00 .byte 0 + 2801 0212 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 75 + + + 2802 0213 0E .uleb128 0xe + 2803 0214 3A .uleb128 0x3a + 2804 0215 0B .uleb128 0xb + 2805 0216 3B .uleb128 0x3b + 2806 0217 0B .uleb128 0xb + 2807 0218 49 .uleb128 0x49 + 2808 0219 13 .uleb128 0x13 + 2809 021a 3F .uleb128 0x3f + 2810 021b 0C .uleb128 0xc + 2811 021c 3C .uleb128 0x3c + 2812 021d 0C .uleb128 0xc + 2813 021e 00 .byte 0 + 2814 021f 00 .byte 0 + 2815 0220 24 .uleb128 0x24 + 2816 0221 2E .uleb128 0x2e + 2817 0222 00 .byte 0 + 2818 0223 3F .uleb128 0x3f + 2819 0224 0C .uleb128 0xc + 2820 0225 03 .uleb128 0x3 + 2821 0226 0E .uleb128 0xe + 2822 0227 3A .uleb128 0x3a + 2823 0228 0B .uleb128 0xb + 2824 0229 3B .uleb128 0x3b + 2825 022a 0B .uleb128 0xb + 2826 022b 27 .uleb128 0x27 + 2827 022c 0C .uleb128 0xc + 2828 022d 49 .uleb128 0x49 + 2829 022e 13 .uleb128 0x13 + 2830 022f 3C .uleb128 0x3c + 2831 0230 0C .uleb128 0xc + 2832 0231 00 .byte 0 + 2833 0232 00 .byte 0 + 2834 0233 25 .uleb128 0x25 + 2835 0234 2E .uleb128 0x2e + 2836 0235 01 .byte 0x1 + 2837 0236 3F .uleb128 0x3f + 2838 0237 0C .uleb128 0xc + 2839 0238 03 .uleb128 0x3 + 2840 0239 0E .uleb128 0xe + 2841 023a 3A .uleb128 0x3a + 2842 023b 0B .uleb128 0xb + 2843 023c 3B .uleb128 0x3b + 2844 023d 0B .uleb128 0xb + 2845 023e 27 .uleb128 0x27 + 2846 023f 0C .uleb128 0xc + 2847 0240 3C .uleb128 0x3c + 2848 0241 0C .uleb128 0xc + 2849 0242 01 .uleb128 0x1 + 2850 0243 13 .uleb128 0x13 + 2851 0244 00 .byte 0 + 2852 0245 00 .byte 0 + 2853 0246 26 .uleb128 0x26 + 2854 0247 05 .uleb128 0x5 + 2855 0248 00 .byte 0 + 2856 0249 49 .uleb128 0x49 + 2857 024a 13 .uleb128 0x13 + 2858 024b 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 76 + + + 2859 024c 00 .byte 0 + 2860 024d 27 .uleb128 0x27 + 2861 024e 2E .uleb128 0x2e + 2862 024f 01 .byte 0x1 + 2863 0250 3F .uleb128 0x3f + 2864 0251 0C .uleb128 0xc + 2865 0252 03 .uleb128 0x3 + 2866 0253 0E .uleb128 0xe + 2867 0254 3A .uleb128 0x3a + 2868 0255 0B .uleb128 0xb + 2869 0256 3B .uleb128 0x3b + 2870 0257 0B .uleb128 0xb + 2871 0258 27 .uleb128 0x27 + 2872 0259 0C .uleb128 0xc + 2873 025a 49 .uleb128 0x49 + 2874 025b 13 .uleb128 0x13 + 2875 025c 3C .uleb128 0x3c + 2876 025d 0C .uleb128 0xc + 2877 025e 01 .uleb128 0x1 + 2878 025f 13 .uleb128 0x13 + 2879 0260 00 .byte 0 + 2880 0261 00 .byte 0 + 2881 0262 28 .uleb128 0x28 + 2882 0263 2E .uleb128 0x2e + 2883 0264 01 .byte 0x1 + 2884 0265 3F .uleb128 0x3f + 2885 0266 0C .uleb128 0xc + 2886 0267 03 .uleb128 0x3 + 2887 0268 0E .uleb128 0xe + 2888 0269 3A .uleb128 0x3a + 2889 026a 0B .uleb128 0xb + 2890 026b 3B .uleb128 0x3b + 2891 026c 0B .uleb128 0xb + 2892 026d 27 .uleb128 0x27 + 2893 026e 0C .uleb128 0xc + 2894 026f 3C .uleb128 0x3c + 2895 0270 0C .uleb128 0xc + 2896 0271 00 .byte 0 + 2897 0272 00 .byte 0 + 2898 0273 00 .byte 0 + 2899 .section .debug_loc,"",%progbits + 2900 .Ldebug_loc0: + 2901 .LLST0: + 2902 0000 00000000 .4byte .LFB1 + 2903 0004 02000000 .4byte .LCFI0 + 2904 0008 0200 .2byte 0x2 + 2905 000a 7D .byte 0x7d + 2906 000b 00 .sleb128 0 + 2907 000c 02000000 .4byte .LCFI0 + 2908 0010 14010000 .4byte .LFE1 + 2909 0014 0200 .2byte 0x2 + 2910 0016 7D .byte 0x7d + 2911 0017 18 .sleb128 24 + 2912 0018 00000000 .4byte 0 + 2913 001c 00000000 .4byte 0 + 2914 .LLST1: + 2915 0020 0A000000 .4byte .LVL1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 77 + + + 2916 0024 12000000 .4byte .LVL2 + 2917 0028 0100 .2byte 0x1 + 2918 002a 50 .byte 0x50 + 2919 002b 12000000 .4byte .LVL2 + 2920 002f 14010000 .4byte .LFE1 + 2921 0033 0100 .2byte 0x1 + 2922 0035 57 .byte 0x57 + 2923 0036 00000000 .4byte 0 + 2924 003a 00000000 .4byte 0 + 2925 .LLST2: + 2926 003e 00000000 .4byte .LFB2 + 2927 0042 06000000 .4byte .LCFI1 + 2928 0046 0200 .2byte 0x2 + 2929 0048 7D .byte 0x7d + 2930 0049 00 .sleb128 0 + 2931 004a 06000000 .4byte .LCFI1 + 2932 004e 98000000 .4byte .LFE2 + 2933 0052 0200 .2byte 0x2 + 2934 0054 7D .byte 0x7d + 2935 0055 08 .sleb128 8 + 2936 0056 00000000 .4byte 0 + 2937 005a 00000000 .4byte 0 + 2938 .LLST3: + 2939 005e 00000000 .4byte .LVL18 + 2940 0062 34000000 .4byte .LVL21 + 2941 0066 0100 .2byte 0x1 + 2942 0068 50 .byte 0x50 + 2943 0069 34000000 .4byte .LVL21 + 2944 006d 36000000 .4byte .LVL22 + 2945 0071 0200 .2byte 0x2 + 2946 0073 71 .byte 0x71 + 2947 0074 00 .sleb128 0 + 2948 0075 59000000 .4byte .LVL23-1 + 2949 0079 98000000 .4byte .LFE2 + 2950 007d 0400 .2byte 0x4 + 2951 007f F3 .byte 0xf3 + 2952 0080 01 .uleb128 0x1 + 2953 0081 50 .byte 0x50 + 2954 0082 9F .byte 0x9f + 2955 0083 00000000 .4byte 0 + 2956 0087 00000000 .4byte 0 + 2957 .LLST4: + 2958 008b 00000000 .4byte .LVL18 + 2959 008f 2A000000 .4byte .LVL20 + 2960 0093 0100 .2byte 0x1 + 2961 0095 51 .byte 0x51 + 2962 0096 2A000000 .4byte .LVL20 + 2963 009a 98000000 .4byte .LFE2 + 2964 009e 0400 .2byte 0x4 + 2965 00a0 F3 .byte 0xf3 + 2966 00a1 01 .uleb128 0x1 + 2967 00a2 51 .byte 0x51 + 2968 00a3 9F .byte 0x9f + 2969 00a4 00000000 .4byte 0 + 2970 00a8 00000000 .4byte 0 + 2971 .LLST5: + 2972 00ac 00000000 .4byte .LVL18 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 78 + + + 2973 00b0 08000000 .4byte .LVL19 + 2974 00b4 0200 .2byte 0x2 + 2975 00b6 30 .byte 0x30 + 2976 00b7 9F .byte 0x9f + 2977 00b8 08000000 .4byte .LVL19 + 2978 00bc 98000000 .4byte .LFE2 + 2979 00c0 0200 .2byte 0x2 + 2980 00c2 31 .byte 0x31 + 2981 00c3 9F .byte 0x9f + 2982 00c4 00000000 .4byte 0 + 2983 00c8 00000000 .4byte 0 + 2984 .LLST6: + 2985 00cc 00000000 .4byte .LFB0 + 2986 00d0 02000000 .4byte .LCFI2 + 2987 00d4 0200 .2byte 0x2 + 2988 00d6 7D .byte 0x7d + 2989 00d7 00 .sleb128 0 + 2990 00d8 02000000 .4byte .LCFI2 + 2991 00dc 24000000 .4byte .LFE0 + 2992 00e0 0200 .2byte 0x2 + 2993 00e2 7D .byte 0x7d + 2994 00e3 10 .sleb128 16 + 2995 00e4 00000000 .4byte 0 + 2996 00e8 00000000 .4byte 0 + 2997 .LLST7: + 2998 00ec 00000000 .4byte .LVL24 + 2999 00f0 0F000000 .4byte .LVL25-1 + 3000 00f4 0100 .2byte 0x1 + 3001 00f6 50 .byte 0x50 + 3002 00f7 0F000000 .4byte .LVL25-1 + 3003 00fb 24000000 .4byte .LFE0 + 3004 00ff 0400 .2byte 0x4 + 3005 0101 F3 .byte 0xf3 + 3006 0102 01 .uleb128 0x1 + 3007 0103 50 .byte 0x50 + 3008 0104 9F .byte 0x9f + 3009 0105 00000000 .4byte 0 + 3010 0109 00000000 .4byte 0 + 3011 .LLST8: + 3012 010d 00000000 .4byte .LVL24 + 3013 0111 0F000000 .4byte .LVL25-1 + 3014 0115 0100 .2byte 0x1 + 3015 0117 51 .byte 0x51 + 3016 0118 0F000000 .4byte .LVL25-1 + 3017 011c 24000000 .4byte .LFE0 + 3018 0120 0400 .2byte 0x4 + 3019 0122 F3 .byte 0xf3 + 3020 0123 01 .uleb128 0x1 + 3021 0124 51 .byte 0x51 + 3022 0125 9F .byte 0x9f + 3023 0126 00000000 .4byte 0 + 3024 012a 00000000 .4byte 0 + 3025 .LLST9: + 3026 012e 00000000 .4byte .LVL27 + 3027 0132 08000000 .4byte .LVL28 + 3028 0136 0200 .2byte 0x2 + 3029 0138 30 .byte 0x30 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 79 + + + 3030 0139 9F .byte 0x9f + 3031 013a 08000000 .4byte .LVL28 + 3032 013e 58000000 .4byte .LFE3 + 3033 0142 0200 .2byte 0x2 + 3034 0144 31 .byte 0x31 + 3035 0145 9F .byte 0x9f + 3036 0146 00000000 .4byte 0 + 3037 014a 00000000 .4byte 0 + 3038 .LLST10: + 3039 014e 04000000 .4byte .LVL29 + 3040 0152 0E000000 .4byte .LVL30 + 3041 0156 0100 .2byte 0x1 + 3042 0158 50 .byte 0x50 + 3043 0159 00000000 .4byte 0 + 3044 015d 00000000 .4byte 0 + 3045 .LLST11: + 3046 0161 00000000 .4byte .LVL31 + 3047 0165 0A000000 .4byte .LVL32 + 3048 0169 0200 .2byte 0x2 + 3049 016b 30 .byte 0x30 + 3050 016c 9F .byte 0x9f + 3051 016d 0A000000 .4byte .LVL32 + 3052 0171 14000000 .4byte .LFE7 + 3053 0175 0100 .2byte 0x1 + 3054 0177 50 .byte 0x50 + 3055 0178 00000000 .4byte 0 + 3056 017c 00000000 .4byte 0 + 3057 .LLST12: + 3058 0180 00000000 .4byte .LVL34 + 3059 0184 04000000 .4byte .LVL35 + 3060 0188 0100 .2byte 0x1 + 3061 018a 50 .byte 0x50 + 3062 018b 04000000 .4byte .LVL35 + 3063 018f 0C000000 .4byte .LFE8 + 3064 0193 0400 .2byte 0x4 + 3065 0195 F3 .byte 0xf3 + 3066 0196 01 .uleb128 0x1 + 3067 0197 50 .byte 0x50 + 3068 0198 9F .byte 0x9f + 3069 0199 00000000 .4byte 0 + 3070 019d 00000000 .4byte 0 + 3071 .LLST13: + 3072 01a1 00000000 .4byte .LVL36 + 3073 01a5 08000000 .4byte .LVL37 + 3074 01a9 0100 .2byte 0x1 + 3075 01ab 50 .byte 0x50 + 3076 01ac 08000000 .4byte .LVL37 + 3077 01b0 10000000 .4byte .LFE9 + 3078 01b4 0400 .2byte 0x4 + 3079 01b6 F3 .byte 0xf3 + 3080 01b7 01 .uleb128 0x1 + 3081 01b8 50 .byte 0x50 + 3082 01b9 9F .byte 0x9f + 3083 01ba 00000000 .4byte 0 + 3084 01be 00000000 .4byte 0 + 3085 .LLST14: + 3086 01c2 00000000 .4byte .LVL38 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 80 + + + 3087 01c6 02000000 .4byte .LVL39 + 3088 01ca 0100 .2byte 0x1 + 3089 01cc 50 .byte 0x50 + 3090 01cd 02000000 .4byte .LVL39 + 3091 01d1 2C000000 .4byte .LFE10 + 3092 01d5 0400 .2byte 0x4 + 3093 01d7 F3 .byte 0xf3 + 3094 01d8 01 .uleb128 0x1 + 3095 01d9 50 .byte 0x50 + 3096 01da 9F .byte 0x9f + 3097 01db 00000000 .4byte 0 + 3098 01df 00000000 .4byte 0 + 3099 .LLST15: + 3100 01e3 08000000 .4byte .LVL40 + 3101 01e7 0E000000 .4byte .LVL41 + 3102 01eb 0500 .2byte 0x5 + 3103 01ed 73 .byte 0x73 + 3104 01ee 00 .sleb128 0 + 3105 01ef 34 .byte 0x34 + 3106 01f0 24 .byte 0x24 + 3107 01f1 9F .byte 0x9f + 3108 01f2 0E000000 .4byte .LVL41 + 3109 01f6 10000000 .4byte .LVL42 + 3110 01fa 0500 .2byte 0x5 + 3111 01fc 70 .byte 0x70 + 3112 01fd 00 .sleb128 0 + 3113 01fe 34 .byte 0x34 + 3114 01ff 24 .byte 0x24 + 3115 0200 9F .byte 0x9f + 3116 0201 00000000 .4byte 0 + 3117 0205 00000000 .4byte 0 + 3118 .LLST16: + 3119 0209 00000000 .4byte .LVL38 + 3120 020d 10000000 .4byte .LVL42 + 3121 0211 0200 .2byte 0x2 + 3122 0213 30 .byte 0x30 + 3123 0214 9F .byte 0x9f + 3124 0215 10000000 .4byte .LVL42 + 3125 0219 1C000000 .4byte .LVL43 + 3126 021d 0800 .2byte 0x8 + 3127 021f 70 .byte 0x70 + 3128 0220 00 .sleb128 0 + 3129 0221 3F .byte 0x3f + 3130 0222 1A .byte 0x1a + 3131 0223 08 .byte 0x8 + 3132 0224 FF .byte 0xff + 3133 0225 1A .byte 0x1a + 3134 0226 9F .byte 0x9f + 3135 0227 1C000000 .4byte .LVL43 + 3136 022b 20000000 .4byte .LVL44 + 3137 022f 0100 .2byte 0x1 + 3138 0231 50 .byte 0x50 + 3139 0232 20000000 .4byte .LVL44 + 3140 0236 22000000 .4byte .LVL45 + 3141 023a 0100 .2byte 0x1 + 3142 023c 51 .byte 0x51 + 3143 023d 22000000 .4byte .LVL45 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 81 + + + 3144 0241 24000000 .4byte .LVL46 + 3145 0245 0200 .2byte 0x2 + 3146 0247 30 .byte 0x30 + 3147 0248 9F .byte 0x9f + 3148 0249 24000000 .4byte .LVL46 + 3149 024d 2C000000 .4byte .LFE10 + 3150 0251 0100 .2byte 0x1 + 3151 0253 50 .byte 0x50 + 3152 0254 00000000 .4byte 0 + 3153 0258 00000000 .4byte 0 + 3154 .LLST17: + 3155 025c 00000000 .4byte .LFB11 + 3156 0260 08000000 .4byte .LCFI3 + 3157 0264 0200 .2byte 0x2 + 3158 0266 7D .byte 0x7d + 3159 0267 00 .sleb128 0 + 3160 0268 08000000 .4byte .LCFI3 + 3161 026c 7C000000 .4byte .LFE11 + 3162 0270 0200 .2byte 0x2 + 3163 0272 7D .byte 0x7d + 3164 0273 14 .sleb128 20 + 3165 0274 00000000 .4byte 0 + 3166 0278 00000000 .4byte 0 + 3167 .LLST18: + 3168 027c 00000000 .4byte .LVL47 + 3169 0280 4E000000 .4byte .LVL55 + 3170 0284 0100 .2byte 0x1 + 3171 0286 50 .byte 0x50 + 3172 0287 4E000000 .4byte .LVL55 + 3173 028b 5A000000 .4byte .LVL56 + 3174 028f 0400 .2byte 0x4 + 3175 0291 F3 .byte 0xf3 + 3176 0292 01 .uleb128 0x1 + 3177 0293 50 .byte 0x50 + 3178 0294 9F .byte 0x9f + 3179 0295 5A000000 .4byte .LVL56 + 3180 0299 7C000000 .4byte .LFE11 + 3181 029d 0100 .2byte 0x1 + 3182 029f 50 .byte 0x50 + 3183 02a0 00000000 .4byte 0 + 3184 02a4 00000000 .4byte 0 + 3185 .LLST19: + 3186 02a8 00000000 .4byte .LVL47 + 3187 02ac 4A000000 .4byte .LVL54 + 3188 02b0 0100 .2byte 0x1 + 3189 02b2 51 .byte 0x51 + 3190 02b3 4A000000 .4byte .LVL54 + 3191 02b7 5A000000 .4byte .LVL56 + 3192 02bb 0400 .2byte 0x4 + 3193 02bd F3 .byte 0xf3 + 3194 02be 01 .uleb128 0x1 + 3195 02bf 51 .byte 0x51 + 3196 02c0 9F .byte 0x9f + 3197 02c1 5A000000 .4byte .LVL56 + 3198 02c5 7C000000 .4byte .LFE11 + 3199 02c9 0100 .2byte 0x1 + 3200 02cb 51 .byte 0x51 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 82 + + + 3201 02cc 00000000 .4byte 0 + 3202 02d0 00000000 .4byte 0 + 3203 .LLST20: + 3204 02d4 00000000 .4byte .LVL47 + 3205 02d8 28000000 .4byte .LVL51 + 3206 02dc 0100 .2byte 0x1 + 3207 02de 52 .byte 0x52 + 3208 02df 28000000 .4byte .LVL51 + 3209 02e3 2E000000 .4byte .LVL52 + 3210 02e7 0400 .2byte 0x4 + 3211 02e9 F3 .byte 0xf3 + 3212 02ea 01 .uleb128 0x1 + 3213 02eb 52 .byte 0x52 + 3214 02ec 9F .byte 0x9f + 3215 02ed 2E000000 .4byte .LVL52 + 3216 02f1 48000000 .4byte .LVL53 + 3217 02f5 0100 .2byte 0x1 + 3218 02f7 52 .byte 0x52 + 3219 02f8 5A000000 .4byte .LVL56 + 3220 02fc 7C000000 .4byte .LFE11 + 3221 0300 0100 .2byte 0x1 + 3222 0302 52 .byte 0x52 + 3223 0303 00000000 .4byte 0 + 3224 0307 00000000 .4byte 0 + 3225 .LLST21: + 3226 030b 0A000000 .4byte .LVL48 + 3227 030f 12000000 .4byte .LVL49 + 3228 0313 0500 .2byte 0x5 + 3229 0315 73 .byte 0x73 + 3230 0316 00 .sleb128 0 + 3231 0317 34 .byte 0x34 + 3232 0318 24 .byte 0x24 + 3233 0319 9F .byte 0x9f + 3234 031a 12000000 .4byte .LVL49 + 3235 031e 4E000000 .4byte .LVL55 + 3236 0322 0500 .2byte 0x5 + 3237 0324 70 .byte 0x70 + 3238 0325 7F .sleb128 -1 + 3239 0326 34 .byte 0x34 + 3240 0327 24 .byte 0x24 + 3241 0328 9F .byte 0x9f + 3242 0329 5A000000 .4byte .LVL56 + 3243 032d 6A000000 .4byte .LVL57 + 3244 0331 0500 .2byte 0x5 + 3245 0333 70 .byte 0x70 + 3246 0334 7F .sleb128 -1 + 3247 0335 34 .byte 0x34 + 3248 0336 24 .byte 0x24 + 3249 0337 9F .byte 0x9f + 3250 0338 00000000 .4byte 0 + 3251 033c 00000000 .4byte 0 + 3252 .LLST22: + 3253 0340 24000000 .4byte .LVL50 + 3254 0344 6A000000 .4byte .LVL57 + 3255 0348 0100 .2byte 0x1 + 3256 034a 55 .byte 0x55 + 3257 034b 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 83 + + + 3258 034f 00000000 .4byte 0 + 3259 .LLST23: + 3260 0353 00000000 .4byte .LVL58 + 3261 0357 10000000 .4byte .LVL60 + 3262 035b 0100 .2byte 0x1 + 3263 035d 50 .byte 0x50 + 3264 035e 10000000 .4byte .LVL60 + 3265 0362 28000000 .4byte .LFE13 + 3266 0366 0400 .2byte 0x4 + 3267 0368 F3 .byte 0xf3 + 3268 0369 01 .uleb128 0x1 + 3269 036a 50 .byte 0x50 + 3270 036b 9F .byte 0x9f + 3271 036c 00000000 .4byte 0 + 3272 0370 00000000 .4byte 0 + 3273 .LLST24: + 3274 0374 08000000 .4byte .LVL59 + 3275 0378 16000000 .4byte .LVL61 + 3276 037c 0500 .2byte 0x5 + 3277 037e 73 .byte 0x73 + 3278 037f 00 .sleb128 0 + 3279 0380 34 .byte 0x34 + 3280 0381 24 .byte 0x24 + 3281 0382 9F .byte 0x9f + 3282 0383 00000000 .4byte 0 + 3283 0387 00000000 .4byte 0 + 3284 .LLST25: + 3285 038b 00000000 .4byte .LFB12 + 3286 038f 02000000 .4byte .LCFI4 + 3287 0393 0200 .2byte 0x2 + 3288 0395 7D .byte 0x7d + 3289 0396 00 .sleb128 0 + 3290 0397 02000000 .4byte .LCFI4 + 3291 039b 4C000000 .4byte .LFE12 + 3292 039f 0200 .2byte 0x2 + 3293 03a1 7D .byte 0x7d + 3294 03a2 18 .sleb128 24 + 3295 03a3 00000000 .4byte 0 + 3296 03a7 00000000 .4byte 0 + 3297 .LLST26: + 3298 03ab 00000000 .4byte .LVL62 + 3299 03af 1D000000 .4byte .LVL67-1 + 3300 03b3 0100 .2byte 0x1 + 3301 03b5 50 .byte 0x50 + 3302 03b6 1D000000 .4byte .LVL67-1 + 3303 03ba 3E000000 .4byte .LVL72 + 3304 03be 0400 .2byte 0x4 + 3305 03c0 F3 .byte 0xf3 + 3306 03c1 01 .uleb128 0x1 + 3307 03c2 50 .byte 0x50 + 3308 03c3 9F .byte 0x9f + 3309 03c4 3E000000 .4byte .LVL72 + 3310 03c8 44000000 .4byte .LVL73 + 3311 03cc 0100 .2byte 0x1 + 3312 03ce 50 .byte 0x50 + 3313 03cf 44000000 .4byte .LVL73 + 3314 03d3 4C000000 .4byte .LFE12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 84 + + + 3315 03d7 0400 .2byte 0x4 + 3316 03d9 F3 .byte 0xf3 + 3317 03da 01 .uleb128 0x1 + 3318 03db 50 .byte 0x50 + 3319 03dc 9F .byte 0x9f + 3320 03dd 00000000 .4byte 0 + 3321 03e1 00000000 .4byte 0 + 3322 .LLST27: + 3323 03e5 00000000 .4byte .LVL62 + 3324 03e9 08000000 .4byte .LVL63 + 3325 03ed 0100 .2byte 0x1 + 3326 03ef 51 .byte 0x51 + 3327 03f0 08000000 .4byte .LVL63 + 3328 03f4 4C000000 .4byte .LFE12 + 3329 03f8 0100 .2byte 0x1 + 3330 03fa 55 .byte 0x55 + 3331 03fb 00000000 .4byte 0 + 3332 03ff 00000000 .4byte 0 + 3333 .LLST28: + 3334 0403 00000000 .4byte .LVL62 + 3335 0407 14000000 .4byte .LVL65 + 3336 040b 0100 .2byte 0x1 + 3337 040d 52 .byte 0x52 + 3338 040e 14000000 .4byte .LVL65 + 3339 0412 26000000 .4byte .LVL69 + 3340 0416 0400 .2byte 0x4 + 3341 0418 F3 .byte 0xf3 + 3342 0419 01 .uleb128 0x1 + 3343 041a 52 .byte 0x52 + 3344 041b 9F .byte 0x9f + 3345 041c 26000000 .4byte .LVL69 + 3346 0420 28000000 .4byte .LVL70 + 3347 0424 0100 .2byte 0x1 + 3348 0426 50 .byte 0x50 + 3349 0427 28000000 .4byte .LVL70 + 3350 042b 3E000000 .4byte .LVL72 + 3351 042f 0100 .2byte 0x1 + 3352 0431 54 .byte 0x54 + 3353 0432 3E000000 .4byte .LVL72 + 3354 0436 44000000 .4byte .LVL73 + 3355 043a 0100 .2byte 0x1 + 3356 043c 52 .byte 0x52 + 3357 043d 44000000 .4byte .LVL73 + 3358 0441 4C000000 .4byte .LFE12 + 3359 0445 0100 .2byte 0x1 + 3360 0447 54 .byte 0x54 + 3361 0448 00000000 .4byte 0 + 3362 044c 00000000 .4byte 0 + 3363 .LLST29: + 3364 0450 12000000 .4byte .LVL64 + 3365 0454 1D000000 .4byte .LVL67-1 + 3366 0458 0500 .2byte 0x5 + 3367 045a 71 .byte 0x71 + 3368 045b 00 .sleb128 0 + 3369 045c 34 .byte 0x34 + 3370 045d 24 .byte 0x24 + 3371 045e 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 85 + + + 3372 045f 1D000000 .4byte .LVL67-1 + 3373 0463 3E000000 .4byte .LVL72 + 3374 0467 0500 .2byte 0x5 + 3375 0469 77 .byte 0x77 + 3376 046a 7F .sleb128 -1 + 3377 046b 34 .byte 0x34 + 3378 046c 24 .byte 0x24 + 3379 046d 9F .byte 0x9f + 3380 046e 00000000 .4byte 0 + 3381 0472 00000000 .4byte 0 + 3382 .LLST30: + 3383 0476 1A000000 .4byte .LVL66 + 3384 047a 3E000000 .4byte .LVL72 + 3385 047e 0100 .2byte 0x1 + 3386 0480 56 .byte 0x56 + 3387 0481 00000000 .4byte 0 + 3388 0485 00000000 .4byte 0 + 3389 .LLST31: + 3390 0489 26000000 .4byte .LVL69 + 3391 048d 28000000 .4byte .LVL70 + 3392 0491 0200 .2byte 0x2 + 3393 0493 30 .byte 0x30 + 3394 0494 9F .byte 0x9f + 3395 0495 00000000 .4byte 0 + 3396 0499 00000000 .4byte 0 + 3397 .LLST32: + 3398 049d 1E000000 .4byte .LVL67 + 3399 04a1 24000000 .4byte .LVL68 + 3400 04a5 0100 .2byte 0x1 + 3401 04a7 50 .byte 0x50 + 3402 04a8 00000000 .4byte 0 + 3403 04ac 00000000 .4byte 0 + 3404 .LLST33: + 3405 04b0 00000000 .4byte .LVL74 + 3406 04b4 02000000 .4byte .LVL75 + 3407 04b8 0100 .2byte 0x1 + 3408 04ba 50 .byte 0x50 + 3409 04bb 02000000 .4byte .LVL75 + 3410 04bf 18000000 .4byte .LFE14 + 3411 04c3 0400 .2byte 0x4 + 3412 04c5 F3 .byte 0xf3 + 3413 04c6 01 .uleb128 0x1 + 3414 04c7 50 .byte 0x50 + 3415 04c8 9F .byte 0x9f + 3416 04c9 00000000 .4byte 0 + 3417 04cd 00000000 .4byte 0 + 3418 .LLST34: + 3419 04d1 08000000 .4byte .LVL76 + 3420 04d5 10000000 .4byte .LVL77 + 3421 04d9 0500 .2byte 0x5 + 3422 04db 71 .byte 0x71 + 3423 04dc 00 .sleb128 0 + 3424 04dd 34 .byte 0x34 + 3425 04de 24 .byte 0x24 + 3426 04df 9F .byte 0x9f + 3427 04e0 00000000 .4byte 0 + 3428 04e4 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 86 + + + 3429 .LLST35: + 3430 04e8 00000000 .4byte .LVL79 + 3431 04ec 02000000 .4byte .LVL80 + 3432 04f0 0100 .2byte 0x1 + 3433 04f2 50 .byte 0x50 + 3434 04f3 02000000 .4byte .LVL80 + 3435 04f7 20000000 .4byte .LFE16 + 3436 04fb 0400 .2byte 0x4 + 3437 04fd F3 .byte 0xf3 + 3438 04fe 01 .uleb128 0x1 + 3439 04ff 50 .byte 0x50 + 3440 0500 9F .byte 0x9f + 3441 0501 00000000 .4byte 0 + 3442 0505 00000000 .4byte 0 + 3443 .LLST36: + 3444 0509 08000000 .4byte .LVL81 + 3445 050d 10000000 .4byte .LVL82 + 3446 0511 0500 .2byte 0x5 + 3447 0513 71 .byte 0x71 + 3448 0514 00 .sleb128 0 + 3449 0515 34 .byte 0x34 + 3450 0516 24 .byte 0x24 + 3451 0517 9F .byte 0x9f + 3452 0518 00000000 .4byte 0 + 3453 051c 00000000 .4byte 0 + 3454 .LLST37: + 3455 0520 00000000 .4byte .LVL79 + 3456 0524 16000000 .4byte .LVL83 + 3457 0528 0200 .2byte 0x2 + 3458 052a 30 .byte 0x30 + 3459 052b 9F .byte 0x9f + 3460 052c 16000000 .4byte .LVL83 + 3461 0530 18000000 .4byte .LVL84 + 3462 0534 0100 .2byte 0x1 + 3463 0536 52 .byte 0x52 + 3464 0537 18000000 .4byte .LVL84 + 3465 053b 1A000000 .4byte .LVL85 + 3466 053f 0200 .2byte 0x2 + 3467 0541 30 .byte 0x30 + 3468 0542 9F .byte 0x9f + 3469 0543 1A000000 .4byte .LVL85 + 3470 0547 20000000 .4byte .LFE16 + 3471 054b 0100 .2byte 0x1 + 3472 054d 50 .byte 0x50 + 3473 054e 00000000 .4byte 0 + 3474 0552 00000000 .4byte 0 + 3475 .LLST38: + 3476 0556 00000000 .4byte .LVL86 + 3477 055a 0A000000 .4byte .LVL87 + 3478 055e 0100 .2byte 0x1 + 3479 0560 50 .byte 0x50 + 3480 0561 0A000000 .4byte .LVL87 + 3481 0565 0C000000 .4byte .LVL88 + 3482 0569 0400 .2byte 0x4 + 3483 056b F3 .byte 0xf3 + 3484 056c 01 .uleb128 0x1 + 3485 056d 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 87 + + + 3486 056e 9F .byte 0x9f + 3487 056f 0C000000 .4byte .LVL88 + 3488 0573 10000000 .4byte .LVL89 + 3489 0577 0100 .2byte 0x1 + 3490 0579 50 .byte 0x50 + 3491 057a 10000000 .4byte .LVL89 + 3492 057e 18000000 .4byte .LFE17 + 3493 0582 0400 .2byte 0x4 + 3494 0584 F3 .byte 0xf3 + 3495 0585 01 .uleb128 0x1 + 3496 0586 50 .byte 0x50 + 3497 0587 9F .byte 0x9f + 3498 0588 00000000 .4byte 0 + 3499 058c 00000000 .4byte 0 + 3500 .LLST39: + 3501 0590 00000000 .4byte .LVL90 + 3502 0594 04000000 .4byte .LVL91 + 3503 0598 0200 .2byte 0x2 + 3504 059a 30 .byte 0x30 + 3505 059b 9F .byte 0x9f + 3506 059c 04000000 .4byte .LVL91 + 3507 05a0 08000000 .4byte .LVL92 + 3508 05a4 0900 .2byte 0x9 + 3509 05a6 70 .byte 0x70 + 3510 05a7 00 .sleb128 0 + 3511 05a8 32 .byte 0x32 + 3512 05a9 1A .byte 0x1a + 3513 05aa 48 .byte 0x48 + 3514 05ab 24 .byte 0x24 + 3515 05ac 30 .byte 0x30 + 3516 05ad 2E .byte 0x2e + 3517 05ae 9F .byte 0x9f + 3518 05af 00000000 .4byte 0 + 3519 05b3 00000000 .4byte 0 + 3520 .section .debug_aranges,"",%progbits + 3521 0000 AC000000 .4byte 0xac + 3522 0004 0200 .2byte 0x2 + 3523 0006 00000000 .4byte .Ldebug_info0 + 3524 000a 04 .byte 0x4 + 3525 000b 00 .byte 0 + 3526 000c 0000 .2byte 0 + 3527 000e 0000 .2byte 0 + 3528 0010 00000000 .4byte .LFB1 + 3529 0014 14010000 .4byte .LFE1-.LFB1 + 3530 0018 00000000 .4byte .LFB2 + 3531 001c 98000000 .4byte .LFE2-.LFB2 + 3532 0020 00000000 .4byte .LFB0 + 3533 0024 24000000 .4byte .LFE0-.LFB0 + 3534 0028 00000000 .4byte .LFB3 + 3535 002c 58000000 .4byte .LFE3-.LFB3 + 3536 0030 00000000 .4byte .LFB4 + 3537 0034 7C000000 .4byte .LFE4-.LFB4 + 3538 0038 00000000 .4byte .LFB5 + 3539 003c 14000000 .4byte .LFE5-.LFB5 + 3540 0040 00000000 .4byte .LFB6 + 3541 0044 0C000000 .4byte .LFE6-.LFB6 + 3542 0048 00000000 .4byte .LFB7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 88 + + + 3543 004c 14000000 .4byte .LFE7-.LFB7 + 3544 0050 00000000 .4byte .LFB8 + 3545 0054 0C000000 .4byte .LFE8-.LFB8 + 3546 0058 00000000 .4byte .LFB9 + 3547 005c 10000000 .4byte .LFE9-.LFB9 + 3548 0060 00000000 .4byte .LFB10 + 3549 0064 2C000000 .4byte .LFE10-.LFB10 + 3550 0068 00000000 .4byte .LFB11 + 3551 006c 7C000000 .4byte .LFE11-.LFB11 + 3552 0070 00000000 .4byte .LFB13 + 3553 0074 28000000 .4byte .LFE13-.LFB13 + 3554 0078 00000000 .4byte .LFB12 + 3555 007c 4C000000 .4byte .LFE12-.LFB12 + 3556 0080 00000000 .4byte .LFB14 + 3557 0084 18000000 .4byte .LFE14-.LFB14 + 3558 0088 00000000 .4byte .LFB15 + 3559 008c 0C000000 .4byte .LFE15-.LFB15 + 3560 0090 00000000 .4byte .LFB16 + 3561 0094 20000000 .4byte .LFE16-.LFB16 + 3562 0098 00000000 .4byte .LFB17 + 3563 009c 18000000 .4byte .LFE17-.LFB17 + 3564 00a0 00000000 .4byte .LFB18 + 3565 00a4 10000000 .4byte .LFE18-.LFB18 + 3566 00a8 00000000 .4byte 0 + 3567 00ac 00000000 .4byte 0 + 3568 .section .debug_ranges,"",%progbits + 3569 .Ldebug_ranges0: + 3570 0000 00000000 .4byte .LFB1 + 3571 0004 14010000 .4byte .LFE1 + 3572 0008 00000000 .4byte .LFB2 + 3573 000c 98000000 .4byte .LFE2 + 3574 0010 00000000 .4byte .LFB0 + 3575 0014 24000000 .4byte .LFE0 + 3576 0018 00000000 .4byte .LFB3 + 3577 001c 58000000 .4byte .LFE3 + 3578 0020 00000000 .4byte .LFB4 + 3579 0024 7C000000 .4byte .LFE4 + 3580 0028 00000000 .4byte .LFB5 + 3581 002c 14000000 .4byte .LFE5 + 3582 0030 00000000 .4byte .LFB6 + 3583 0034 0C000000 .4byte .LFE6 + 3584 0038 00000000 .4byte .LFB7 + 3585 003c 14000000 .4byte .LFE7 + 3586 0040 00000000 .4byte .LFB8 + 3587 0044 0C000000 .4byte .LFE8 + 3588 0048 00000000 .4byte .LFB9 + 3589 004c 10000000 .4byte .LFE9 + 3590 0050 00000000 .4byte .LFB10 + 3591 0054 2C000000 .4byte .LFE10 + 3592 0058 00000000 .4byte .LFB11 + 3593 005c 7C000000 .4byte .LFE11 + 3594 0060 00000000 .4byte .LFB13 + 3595 0064 28000000 .4byte .LFE13 + 3596 0068 00000000 .4byte .LFB12 + 3597 006c 4C000000 .4byte .LFE12 + 3598 0070 00000000 .4byte .LFB14 + 3599 0074 18000000 .4byte .LFE14 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 89 + + + 3600 0078 00000000 .4byte .LFB15 + 3601 007c 0C000000 .4byte .LFE15 + 3602 0080 00000000 .4byte .LFB16 + 3603 0084 20000000 .4byte .LFE16 + 3604 0088 00000000 .4byte .LFB17 + 3605 008c 18000000 .4byte .LFE17 + 3606 0090 00000000 .4byte .LFB18 + 3607 0094 10000000 .4byte .LFE18 + 3608 0098 00000000 .4byte 0 + 3609 009c 00000000 .4byte 0 + 3610 .section .debug_line,"",%progbits + 3611 .Ldebug_line0: + 3612 0000 C8020000 .section .debug_str,"MS",%progbits,1 + 3612 02006900 + 3612 00000201 + 3612 FB0E0D00 + 3612 01010101 + 3613 .LASF10: + 3614 0000 75696E74 .ascii "uint16\000" + 3614 313600 + 3615 .LASF70: + 3616 0007 43794578 .ascii "CyExitCriticalSection\000" + 3616 69744372 + 3616 69746963 + 3616 616C5365 + 3616 6374696F + 3617 .LASF57: + 3618 001d 55534246 .ascii "USBFS_initVar\000" + 3618 535F696E + 3618 69745661 + 3618 7200 + 3619 .LASF63: + 3620 002b 55534246 .ascii "USBFS_hidProtocol\000" + 3620 535F6869 + 3620 6450726F + 3620 746F636F + 3620 6C00 + 3621 .LASF51: + 3622 003d 55534246 .ascii "USBFS_Force\000" + 3622 535F466F + 3622 72636500 + 3623 .LASF7: + 3624 0049 6C6F6E67 .ascii "long long unsigned int\000" + 3624 206C6F6E + 3624 6720756E + 3624 7369676E + 3624 65642069 + 3625 .LASF53: + 3626 0060 55534246 .ascii "USBFS_GetEPAckState\000" + 3626 535F4765 + 3626 74455041 + 3626 636B5374 + 3626 61746500 + 3627 .LASF23: + 3628 0074 61646472 .ascii "addr\000" + 3628 00 + 3629 .LASF39: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 90 + + + 3630 0079 696E7465 .ascii "interfaceNumber\000" + 3630 72666163 + 3630 654E756D + 3630 62657200 + 3631 .LASF41: + 3632 0089 65704E75 .ascii "epNumber\000" + 3632 6D626572 + 3632 00 + 3633 .LASF31: + 3634 0092 64657669 .ascii "device\000" + 3634 636500 + 3635 .LASF6: + 3636 0099 6C6F6E67 .ascii "long long int\000" + 3636 206C6F6E + 3636 6720696E + 3636 7400 + 3637 .LASF0: + 3638 00a7 7369676E .ascii "signed char\000" + 3638 65642063 + 3638 68617200 + 3639 .LASF73: + 3640 00b3 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS.c\000" + 3640 6E657261 + 3640 7465645F + 3640 536F7572 + 3640 63655C50 + 3641 .LASF37: + 3642 00d4 55534246 .ascii "USBFS_IsConfigurationChanged\000" + 3642 535F4973 + 3642 436F6E66 + 3642 69677572 + 3642 6174696F + 3643 .LASF66: + 3644 00f1 55534246 .ascii "USBFS_deviceAddress\000" + 3644 535F6465 + 3644 76696365 + 3644 41646472 + 3644 65737300 + 3645 .LASF64: + 3646 0105 55534246 .ascii "USBFS_interfaceNumber\000" + 3646 535F696E + 3646 74657266 + 3646 6163654E + 3646 756D6265 + 3647 .LASF56: + 3648 011b 55534246 .ascii "USBFS_RWUEnabled\000" + 3648 535F5257 + 3648 55456E61 + 3648 626C6564 + 3648 00 + 3649 .LASF54: + 3650 012c 55534246 .ascii "USBFS_SetPowerStatus\000" + 3650 535F5365 + 3650 74506F77 + 3650 65725374 + 3650 61747573 + 3651 .LASF75: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 91 + + + 3652 0141 55534246 .ascii "USBFS_Stop\000" + 3652 535F5374 + 3652 6F7000 + 3653 .LASF4: + 3654 014c 6C6F6E67 .ascii "long int\000" + 3654 20696E74 + 3654 00 + 3655 .LASF76: + 3656 0155 55534246 .ascii "USBFS_GetConfiguration\000" + 3656 535F4765 + 3656 74436F6E + 3656 66696775 + 3656 72617469 + 3657 .LASF9: + 3658 016c 75696E74 .ascii "uint8\000" + 3658 3800 + 3659 .LASF22: + 3660 0172 6570546F .ascii "epToggle\000" + 3660 67676C65 + 3660 00 + 3661 .LASF69: + 3662 017b 43794465 .ascii "CyDelayUs\000" + 3662 6C617955 + 3662 7300 + 3663 .LASF13: + 3664 0185 646F7562 .ascii "double\000" + 3664 6C6500 + 3665 .LASF55: + 3666 018c 706F7765 .ascii "powerStatus\000" + 3666 72537461 + 3666 74757300 + 3667 .LASF11: + 3668 0198 75696E74 .ascii "uint32\000" + 3668 333200 + 3669 .LASF30: + 3670 019f 55534246 .ascii "USBFS_InitComponent\000" + 3670 535F496E + 3670 6974436F + 3670 6D706F6E + 3670 656E7400 + 3671 .LASF45: + 3672 01b3 70446174 .ascii "pData\000" + 3672 6100 + 3673 .LASF74: + 3674 01b9 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 3674 43534932 + 3674 53445C55 + 3674 53425F42 + 3674 6F6F746C + 3675 .LASF60: + 3676 01d9 55534246 .ascii "USBFS_configuration\000" + 3676 535F636F + 3676 6E666967 + 3676 75726174 + 3676 696F6E00 + 3677 .LASF24: + 3678 01ed 65704D6F .ascii "epMode\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 92 + + + 3678 646500 + 3679 .LASF48: + 3680 01f4 55534246 .ascii "USBFS_ReadOutEP\000" + 3680 535F5265 + 3680 61644F75 + 3680 74455000 + 3681 .LASF8: + 3682 0204 756E7369 .ascii "unsigned int\000" + 3682 676E6564 + 3682 20696E74 + 3682 00 + 3683 .LASF5: + 3684 0211 6C6F6E67 .ascii "long unsigned int\000" + 3684 20756E73 + 3684 69676E65 + 3684 6420696E + 3684 7400 + 3685 .LASF77: + 3686 0223 4379456E .ascii "CyEnterCriticalSection\000" + 3686 74657243 + 3686 72697469 + 3686 63616C53 + 3686 65637469 + 3687 .LASF3: + 3688 023a 73686F72 .ascii "short unsigned int\000" + 3688 7420756E + 3688 7369676E + 3688 65642069 + 3688 6E7400 + 3689 .LASF78: + 3690 024d 4379496E .ascii "CyIntSetVector\000" + 3690 74536574 + 3690 56656374 + 3690 6F7200 + 3691 .LASF27: + 3692 025c 696E7465 .ascii "interface\000" + 3692 72666163 + 3692 6500 + 3693 .LASF61: + 3694 0266 55534246 .ascii "USBFS_configurationChanged\000" + 3694 535F636F + 3694 6E666967 + 3694 75726174 + 3694 696F6E43 + 3695 .LASF16: + 3696 0281 72656733 .ascii "reg32\000" + 3696 3200 + 3697 .LASF21: + 3698 0287 68774570 .ascii "hwEpState\000" + 3698 53746174 + 3698 6500 + 3699 .LASF18: + 3700 0291 73697A65 .ascii "sizetype\000" + 3700 74797065 + 3700 00 + 3701 .LASF19: + 3702 029a 61747472 .ascii "attrib\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 93 + + + 3702 696200 + 3703 .LASF71: + 3704 02a1 4379496E .ascii "CyIntSetPriority\000" + 3704 74536574 + 3704 5072696F + 3704 72697479 + 3704 00 + 3705 .LASF29: + 3706 02b2 55534246 .ascii "USBFS_Init\000" + 3706 535F496E + 3706 697400 + 3707 .LASF12: + 3708 02bd 666C6F61 .ascii "float\000" + 3708 7400 + 3709 .LASF20: + 3710 02c3 61706945 .ascii "apiEpState\000" + 3710 70537461 + 3710 746500 + 3711 .LASF67: + 3712 02ce 55534246 .ascii "USBFS_EP\000" + 3712 535F4550 + 3712 00 + 3713 .LASF79: + 3714 02d7 43794465 .ascii "CyDelayCycles\000" + 3714 6C617943 + 3714 79636C65 + 3714 7300 + 3715 .LASF72: + 3716 02e5 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 3716 4320342E + 3716 372E3320 + 3716 32303133 + 3716 30333132 + 3717 0318 616E6368 .ascii "anch revision 196615]\000" + 3717 20726576 + 3717 6973696F + 3717 6E203139 + 3717 36363135 + 3718 .LASF15: + 3719 032e 72656738 .ascii "reg8\000" + 3719 00 + 3720 .LASF59: + 3721 0333 55534246 .ascii "USBFS_transferState\000" + 3721 535F7472 + 3721 616E7366 + 3721 65725374 + 3721 61746500 + 3722 .LASF1: + 3723 0347 756E7369 .ascii "unsigned char\000" + 3723 676E6564 + 3723 20636861 + 3723 7200 + 3724 .LASF2: + 3725 0355 73686F72 .ascii "short int\000" + 3725 7420696E + 3725 7400 + 3726 .LASF47: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 94 + + + 3727 035f 55534246 .ascii "USBFS_EnableOutEP\000" + 3727 535F456E + 3727 61626C65 + 3727 4F757445 + 3727 5000 + 3728 .LASF68: + 3729 0371 55534246 .ascii "USBFS_lastPacketSize\000" + 3729 535F6C61 + 3729 73745061 + 3729 636B6574 + 3729 53697A65 + 3730 .LASF65: + 3731 0386 55534246 .ascii "USBFS_interfaceSetting\000" + 3731 535F696E + 3731 74657266 + 3731 61636553 + 3731 65747469 + 3732 .LASF28: + 3733 039d 545F5553 .ascii "T_USBFS_EP_CTL_BLOCK\000" + 3733 4246535F + 3733 45505F43 + 3733 544C5F42 + 3733 4C4F434B + 3734 .LASF58: + 3735 03b2 55534246 .ascii "USBFS_device\000" + 3735 535F6465 + 3735 76696365 + 3735 00 + 3736 .LASF52: + 3737 03bf 62537461 .ascii "bState\000" + 3737 746500 + 3738 .LASF46: + 3739 03c6 6C656E67 .ascii "length\000" + 3739 746800 + 3740 .LASF14: + 3741 03cd 63686172 .ascii "char\000" + 3741 00 + 3742 .LASF32: + 3743 03d2 6D6F6465 .ascii "mode\000" + 3743 00 + 3744 .LASF17: + 3745 03d7 63796973 .ascii "cyisraddress\000" + 3745 72616464 + 3745 72657373 + 3745 00 + 3746 .LASF26: + 3747 03e4 62756666 .ascii "bufferSize\000" + 3747 65725369 + 3747 7A6500 + 3748 .LASF34: + 3749 03ef 55534246 .ascii "USBFS_Start\000" + 3749 535F5374 + 3749 61727400 + 3750 .LASF25: + 3751 03fb 62756666 .ascii "buffOffset\000" + 3751 4F666673 + 3751 657400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 95 + + + 3752 .LASF62: + 3753 0406 55534246 .ascii "USBFS_deviceStatus\000" + 3753 535F6465 + 3753 76696365 + 3753 53746174 + 3753 757300 + 3754 .LASF40: + 3755 0419 55534246 .ascii "USBFS_GetEPState\000" + 3755 535F4765 + 3755 74455053 + 3755 74617465 + 3755 00 + 3756 .LASF35: + 3757 042a 55534246 .ascii "USBFS_ReInitComponent\000" + 3757 535F5265 + 3757 496E6974 + 3757 436F6D70 + 3757 6F6E656E + 3758 .LASF33: + 3759 0440 656E6162 .ascii "enableInterrupts\000" + 3759 6C65496E + 3759 74657272 + 3759 75707473 + 3759 00 + 3760 .LASF36: + 3761 0451 55534246 .ascii "USBFS_CheckActivity\000" + 3761 535F4368 + 3761 65636B41 + 3761 63746976 + 3761 69747900 + 3762 .LASF49: + 3763 0465 78666572 .ascii "xferCount\000" + 3763 436F756E + 3763 7400 + 3764 .LASF50: + 3765 046f 55534246 .ascii "USBFS_DisableOutEP\000" + 3765 535F4469 + 3765 7361626C + 3765 654F7574 + 3765 455000 + 3766 .LASF44: + 3767 0482 55534246 .ascii "USBFS_LoadInEP\000" + 3767 535F4C6F + 3767 6164496E + 3767 455000 + 3768 .LASF38: + 3769 0491 55534246 .ascii "USBFS_GetInterfaceSetting\000" + 3769 535F4765 + 3769 74496E74 + 3769 65726661 + 3769 63655365 + 3770 .LASF42: + 3771 04ab 55534246 .ascii "USBFS_GetEPCount\000" + 3771 535F4765 + 3771 74455043 + 3771 6F756E74 + 3771 00 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccbOK9oc.s page 96 + + + 3772 .LASF43: + 3773 04bc 72657375 .ascii "result\000" + 3773 6C7400 + 3774 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.o new file mode 100755 index 0000000000000000000000000000000000000000..1e57c17d7446ad88e397925b8c2346d04171f834 GIT binary patch literal 18372 zcmd5^e|S~JnVvc4=JzFpgg_EPIQaoYfsjB{iU>Ce34{a)B&fA0gyce^A@`EpU}90R zMa9*w+Et5HEBZX$?N-~>So_14#cF@p)~?ldSJ?V&*}7eAAJ>i5Zt2pk`@VC&bMLta zcy|BTKX!P|edqh;oo~MRX3osHb8@-4wX;oYt-PdFtx8Es-BoQ$G8zrksuk)Yb^6&4 zfB&ZsS9D~xm5uB9%~M*H#7hrY3!0{Ms64T}V|nM?xPAJ*p`z2DT5L_-tnAaD3M+?o zD#w?s*HeG6tK-(VHT4UvGwcW$0Km?+;_ zw$rLA+ga41YRcn99r|gzs<6E*Uf7WxFWjJ$AdTMsWaARM+!Si#?{oH zbZNZo0OF*rsXwWb#Iz1XYov6icC5;>oh3VSHI=dr>?O z65Gy(ZH~=BiN!gZSGH;Cj8ztY{{4e0zK-$I(0RVIyx?h7RWe>?Y|;kGw|nJQN4Uy5 zqv|89!Lk)EPG&6%pD5K?8-6r-Wc!x#k8M{|)2)`@Sk5gKhbO^uST~GzS}J}H?$onO zPn2vb*Wrb4PG+4^{cjyE-gtcS`@d7yKi52Wt$p&BhhO-eN<2Opt_ht{yFVCT`_Fk>RU7M@J^C4L_ee(&P4pywlINR7`6-Ihl1qR@=YmA?&Gu^zsRtTHNNeoj%64^@?x9PUu9g zwmN(VN6IHBG)(lG7 z84r?~oz)JoLm7j^36_OW78)Y&WA!Kq;ZoN2)yy8D_UcY5LZM^EM(9@RboP(YOsI}+ zO+AJ3j2QX1kn-E-Dc_2Z%-4-C`c>q!La*a9Tcg2y!O98S6MJAO_p=b}kF&N_t#V(a zbikE#?(LLrbR{cy1a$i*SF&>xsA1piN}=3;W7$Dh%E&!~X6;*UFg7!D|Ao>gTq!$u zJ8gc_W#+2TBP=|03e-G3i6VVFEGae9d_p`0T{wc6=sQ@)!8I)tA8i<){FTV`AoDe_ zr|T|Vukx=H)sI}2H3r%>qWgvDvTIfT0nxoLy8YmN8oB|P%R-wlXI&57XQ4X)U74%X z>s0>ZP(29MGNam}FJaTre^IEdLTy#~lR~}PPlf(Dp~i)}Ugf_a)Wd!%^gk5p!!FfA z-1A=(?z29wDfmYr|3Jv-eZjv%r|Iu>?fS}?s2TcE^jtT)Tk;WTIN|h1FdT)914_y2N^T&a2URFBrrN^mcEeF;HR#~9B1oBrN0Tobm*jUsE)19)=dCi zY*A1gXc9~G7FOSG86rz&O39ySVnpd{EV{OdwMz9inixxw!$L06KSNI6ohtFntb_TY zk?5$>_ZmaJG*qJRWvfr5=$@hd&=*e7))R()(507>{(OqgsL=h-lYR&3&l~z^EX^`B`-xwmdn>N{-n~j)&sOIVq=u=TB8H-M6@1(Vz zSTNk`MTY+blx`1-LM;0gWz&PA5PM)F495H{L+_%99?TB02OKv}xKcyC15#NOAG+Mg zk06_00UI2z&9E)&$jnvHU61M_qr2?LEFELrhurR#K*R1HP5S$gVLb(o@#hgEz6udF zFTGb_sr%PWTbw%5$7M$Cb?MSZw0 zUNfQt5v?v}kUvQGw~2=Catqk2%SkQKbEsLAk!;tS%5l)$$+yJpTKAAXZ!SLc#jLI~ z&;cy!Nf(1kSV$h3R%-pjhXCXwGgu{r%31Jv4Vy!cUrRcid^gf zb$U(MHMcN4Ll^2u=4YD2B!(N4L<_!5yWV+6yp2BSiFu#93!q%oS&6*D2|S*A`1KvHdmL zi%bW-I;H+PzA{XB>AE;HC*>d3zXc}XbI)Pei(G}Tdx*-sR3O)cEhwCn`8DBH@Ul|t zb`#91N*G_7hl%O0LrP83*}R3}_9Ck0CtZMQl^L@I8OFJV{t}WoP_=#~>zjmGs4R6O zF84VOd9G|G!Btg=ORFvdAM>=j!W78|#>CVn^05T9F+o$Q5n0qGT#U<7`_xB)q=wY{ zy6aJ7lIcR^wAw=O2wTz!G;OK+M~QB04Qb{6zSJ1*jRk;YnvNX2A+Y#t4_v|)KjHiy zTnQ7FN_l{VOyNdl3;0YX29Zt^`ScMeW-X`)9FntrVrM}hurp^9*lQ37i_apk8lB2e zqpGpyQD$i+L&Yf~mrPXCPhvT)Y*5yeWq(-qC?y9M8X;7f>=pu}$T~!}4F*1wiH&n^ z5zUjGx{(n`rcKD93gIeTZr7M2IbdspQEef3+%1o$xLcr4rds5*+DfRyMY~%cWp2G& zkgB#73X?cGoZ}KFX6Y8sn%+nt8hOVL3mG~3+W@44b3cE(hzdV z#1Zsv#ZEV0k}O2VY`Ut8z{h{rE^}*fp+N%cr8fwL835c_Y@39DzwWHuN?3r)4Ht9t z9(Dls9sgT)mY*WBfv%4>{s$ft{e5=->)?$>{_YA&kpyjXB@k zINN=cgX$V4vO77r4VRUzuc@y~M&?OF!G|Fe-L;u`gda$Z9MhF9m^+GD@BX7NOhK9c zF)el>S&wsosnZ1$3T8b!PC)P35q0v2;Qyn4FJW$2Y7nkk`b_>bJW85xt=#SEwy%p0 zM@M=S(f%FXv9Xc9==QGeSj$C9ZR>7s>#pm=D677S#6&zgS~oz!)u*yM;`-Ke#h!+dqLesyRgo_!Lf6kxi#~u zDyl0gtE%QMm{YZ2ZsXjB25X+L_HUG~s=&XhrS(gfSRr4@Pi<}I=w;EfkptPG#(cOhN0G_CQwGj#Eqd6hM3$|o~|wCADusAc<1oy^d)I;&Riv9Di+z@}Bd zH%ph=6RQ?3oHM_?W<^a~P1WW@YibAV{Tpy{r>pT{t+qH1yvY7nwl3Znt+sM}y8E&b zZO0uJ6Z1M&&U7-XDkFBzZdahG%+;IhMH?b%9z2wzi#w~VET6sSax|ZDQ;oiogAP>2 zFm`GE`XEPFS5!sPs88hTnThGWWxi&e`+>-b=zWn?fh*w;JM9qmgD#)jRwxnpp6 zFmZYBh)4s2{aqumM6541B$~Durnwr54exQx$Jp@b;GW@VzrzzyGrf1LizZsTn);-MxL$O=Ej^MMqRLE}8!5{=qbRG$R)K2H?l}?y>FOE#|h_KH3r+ z-aWWy40j_s(=yOIyeHZ(4!Mmq!I9|bsOW+oxn{Orv8{Euch^v|YIiiz6}vtxEq4BPN#XG80!`2Xisd)Xo>BO z$A+VbMSN{eV;C2eHehEDA?60hDmXbt2Vx@$C#`PFMEh_8@wpuHC*~VsX)(s#aQAj}g2HttkQ;2*#i8EO zL|1R$bLRI<%vur*lVh=jN6U;mTdI%MIF4ba+oR`d@Lm*-!qZj;N%z~z6*ou3j zy}NKw$mg7l7TgKZ@g*CVtIXW2jX73fXr?aKv+WXHs>^gms0#bMdGh%X35OpC^25`T zPh2Q6PdiQdC6P~NMh;~}ZVg3lvm>`#kw0fWKK6NuPeU#F*^xt1#&23);uBm`enI3= zC~~VExy>-Vr!8{$WapRpoyjlr$<8k`@0b6laz4TN`HLYtxV*&n*5=PVtAqYJjHdS_ z%6gr+&KDPdJ;ul{Gw+w3fE?tTr_Z|4iM@&5U8-(0G2-HYm&52LR9!#U-MU@4qw27B z)KOXIPD)Ow^y*->@)tB({HpO9k)^X78E3$XOj%l&UHJUgVWmO zE){+U%?je)ufZD0dp=m;UoV4Yscp;OO4^FzFK{1D{2Jp>@>wKk9*d2CcMlf(o(4=& ze{|Q_9y}rKj$wM3eDAJZ*f!kB;A)0qeSWbQ8^<1OO1}DMYla4gqn<@fLRHrn+q;*W ze;pRKI(KUvOQc)bJ<_{3ii!TeOmo2F`jRR9#y~yug#)@uT;$>4+oT|mWlRfk@e8P* z=asSC%hyZZ->&yUn7j}_kQU?0F**0+u8Z+D3R!^RkjM5~anZJCZ#@LAlV0-dH9_IG z*LaCCr%$xUx}Lp0B zdt1M~hhZ-Q9qsX4z_a%t@_zsL8jowMmpps-L*cjg2JA8Rw8yp4v-d^h{q|149@ly= zdG=m{!q0mLJpUT&@xB9|zuoW(Hy&)42c=%SzYf?teV%rI6|gtcXK#kjUICo*`xnMU zJePlAko^9Y`|RP=JLz9zz+MULnSBBrCa=Hs0edw*d&R=zeCP<+tA#zzaW8rHb_aMp z;GJuHcLmyA>}$6KM!h)S9I*Ej*sJv#6&^*tz4FJU(PxjRR-V0w0`^YBUMCGm^7`?a zfW1|)$M|s`l;iU3Jsq&O76tU*OP;+S26)?1aIW!vBfuK~&+&`_J$wHd;2lQ6x!U~? z@ceP<^u?u8>~VZcF@Hf#-seYQ?_Bex7(Bnd9-qBxpS?!02bD>DPy8YqlEk){>I3$+ z!yexSGS2gHvA?Vq3D_H*rc?qtFM0O126%7bru|xm$8!5fX;YxxA)L`Z4<6g){PNoE z4cPk}Zi=U9K$6!k|BA*Rm&I$9ItmW$U5LxGN1weqP^(R|TpsgGURgX~?`7EIy-It$ z?>u|A1?;^GdoM%hCC}a`0`~sc>h3eN=e{*?yZHOMjT-8 z^GL!W?=Qi_Ef)8R-UV;DCkT(?b7Idcs+otlc+NxVx$sr+{BgdoEfp8q_2R;{740U| zcO0d@kGfv+OcQ--)rsIn@Y);OjoN^V_IMw#O~1Xn(AbB*ik>~t(vn{vQty4}FU0ws zUxa<1L6q2OEm97p^_|{v4S73h#fy@wuyHs0W5v6h{Qe~0+todOc7UI4_)e1VyMdvz zv9qV!&o%tiGZuILRI*W;&JP>@l}QS{OC=lc^3wVFhR^YEQ@)omXk&*?=TA5Ml-JzB zQ^{5{0{j^P`C*Y-hQB^Z;ZB%JwyFs5D-1vNJjSqQ4k-5edV!iyj$b2R) z#Av=KGVhT>taq=A%yBNnXuX?OK11bUi-5|^gF>uF^L<+e`?pHUnJ>ip!@t(@5_OkL z`C{Y?vEJ+v+4ZMH?H8GAP9bIo|KykLbsD)`9TAx;OCj#}Cq#Drou|GgvSZ2}^`kU? zr8*_D>tD5c4>A+mn1LDUo}l7QV@*QUc<(#R0o8d9@>B02&ixk1JAs!|eNPM=$Tx56 z-m$f{yR~OWd-qm3#qHkQ=95~xcGRbf4e8=CpO}8ioPM0|p1GaD-jw5#ep}+rF-aCAEZ6}ZLT+x4U=W0^Nb*`LtQ0J=LBRV&qemLjq0!MPL z(svN&DhA~(rFjC(?Qoy-b8*x4;JzSUB{(kl=fsUlJwV)~)aQts@w_eamqq@n$bT#H z_eB0Xk^ezt-hfsYo+yb}eN3r?#O+EQB3_N(>kzNOZ|jISj0yvD@xbj+4!Pg4E4C@sNE!B55Mh56 z5q2X)*j-D6T|Sf0E<$DOqASL3KN0)^BKXEn@Z*%hH~zv8<1gCH7CzS}+BNbX*FS4e z>H{5?1IvK9*Sh}Y!uU+;VUPVG@(J6Dh>Y)CGbjW3c1+;c|!RO!CwphUXXv6 z%<>|^O2MUqmkILRh`f&q4hSX$d2WNhiBLS}A%2qBq|~Q~sK;{{$`2D!{&_*}qm*A3 zJSF&nU^ey@md_DfF4!h`jo?1PI|TW?mi72OllViyUlLoD`VA42;$6Yt5}TCzqm*Z1 z3!}{QJMw3XJYVoaBI;i%<;_I=1#Fw(1|sV7+>G_F5xGy~y&{hgQSak|_euG~#C)ZW z3VxLcd&i{wyF^UF9|-5z+V&CgSTyfnb^F7Z7n1@b@poW+Ljf zOZhh9T#UcqZqe@}f;TSmA)kKS0X<{tj3v+;CDp-3K6`25`0ti?~438 zk^hUhKq-x}C1wy&Z#oh2E)#j4$QO#dROFQ+w+mh&I3eY?5HC>bR>4n;{t+VDc~bBh z(H|$`rhifJ`%?a@l)oYJKa2d1$nS~#N0IX~UHhei3k4SwTXCKt@+uk=H0@;GrR z)+7kpdv?-OAUe+*=BhF}R1emX=f z_BDbHqF+Tsy%v!-6EDTjZ3K6U{yHLf`$WEpIEr;enUf@6Xcf_DnuE%<<-*&oo(lOjJQ_;tZ=3BF9kI`k946M|;n zfc_nkrv%OZ0sR?~`SUu~pCMQxST0yc#Co<&aHZgC!A(T0TU!NtiCBlCf_nw`2_7V3 zJvtTgbjmz` zCoU9RB*-6fQh%}FWr9tD?SlNCM;_m&ab4RfI3PGActG%=;O&BU3f?dHpx_q-pA>vX zkl*vz&bI}>E688iQP1~q#9s)$Dfn-K{NBfMzBeP9{S(Na+cnUX8(k|OJx2YkLBZn{1G14!@C7PBlwUYe~-uVzY#S1Ddguw{*K^Fg0Bdg zeHA?ZevkEkEjT6kfuPO(2<2IV`GSRl<${jjLcv9X%LFeLyiBl3kUzDc{T{&`f_;Mg z$so&b5af>rDSt|kKN_U`m>}QdaG&^!;5P-23-SkmEdP5!{&0``z&nEc@h9cq3-XtI zl&1+gf;EDR1?vSb5xi8eMR1)Uf8WRb<0`>k!Kh$Na8&RH!J7p6gFo&o_X$2McvLWx z>(-jodR;;p>m-&6vyRmgv0l{^@tg%$%=#1|;`wPk5$jVI5$n*ELTVE)G` zbDk0r|AR!t^)M0p?ma}r?FbQ#93{eUCO)?!yawT#@h>b`K*V}$zNY|7D8t`!L5B#x zYXnVyK!yvZAN54^qfrn|oBpg4j1bYUwSuOTYX&|B>!$kOh3lVj&?;Xk*&(l)=M> USBFS_Dm_SHIFT; + 95 .loc 1 86 0 + 96 0000 014B ldr r3, .L8 + 97 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 87:.\Generated_Source\PSoC5/USBFS_Dm.c **** } + 98 .loc 1 87 0 + 99 0004 C009 lsrs r0, r0, #7 + 100 0006 7047 bx lr + 101 .L9: + 102 .align 2 + 103 .L8: + 104 0008 F1510040 .word 1073762801 + 105 .cfi_endproc + 106 .LFE2: + 107 .size USBFS_Dm_Read, .-USBFS_Dm_Read + 108 .section .text.USBFS_Dm_ReadDataReg,"ax",%progbits + 109 .align 1 + 110 .global USBFS_Dm_ReadDataReg + 111 .thumb + 112 .thumb_func + 113 .type USBFS_Dm_ReadDataReg, %function + 114 USBFS_Dm_ReadDataReg: + 115 .LFB3: + 88:.\Generated_Source\PSoC5/USBFS_Dm.c **** + 89:.\Generated_Source\PSoC5/USBFS_Dm.c **** + 90:.\Generated_Source\PSoC5/USBFS_Dm.c **** /******************************************************************************* + 91:.\Generated_Source\PSoC5/USBFS_Dm.c **** * Function Name: USBFS_Dm_ReadDataReg + 92:.\Generated_Source\PSoC5/USBFS_Dm.c **** ******************************************************************************** + 93:.\Generated_Source\PSoC5/USBFS_Dm.c **** * + 94:.\Generated_Source\PSoC5/USBFS_Dm.c **** * Summary: + 95:.\Generated_Source\PSoC5/USBFS_Dm.c **** * Read the current value assigned to a Digital Port's data output register + 96:.\Generated_Source\PSoC5/USBFS_Dm.c **** * + 97:.\Generated_Source\PSoC5/USBFS_Dm.c **** * Parameters: + 98:.\Generated_Source\PSoC5/USBFS_Dm.c **** * None + 99:.\Generated_Source\PSoC5/USBFS_Dm.c **** * + 100:.\Generated_Source\PSoC5/USBFS_Dm.c **** * Return: + 101:.\Generated_Source\PSoC5/USBFS_Dm.c **** * Returns the current value assigned to the Digital Port's data output register + 102:.\Generated_Source\PSoC5/USBFS_Dm.c **** * + 103:.\Generated_Source\PSoC5/USBFS_Dm.c **** *******************************************************************************/ + 104:.\Generated_Source\PSoC5/USBFS_Dm.c **** uint8 USBFS_Dm_ReadDataReg(void) + 105:.\Generated_Source\PSoC5/USBFS_Dm.c **** { + 116 .loc 1 105 0 + 117 .cfi_startproc + 118 @ args = 0, pretend = 0, frame = 0 + 119 @ frame_needed = 0, uses_anonymous_args = 0 + 120 @ link register save eliminated. + 106:.\Generated_Source\PSoC5/USBFS_Dm.c **** return (USBFS_Dm_DR & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; + 121 .loc 1 106 0 + 122 0000 014B ldr r3, .L11 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 5 + + + 123 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 107:.\Generated_Source\PSoC5/USBFS_Dm.c **** } + 124 .loc 1 107 0 + 125 0004 C009 lsrs r0, r0, #7 + 126 0006 7047 bx lr + 127 .L12: + 128 .align 2 + 129 .L11: + 130 0008 F0510040 .word 1073762800 + 131 .cfi_endproc + 132 .LFE3: + 133 .size USBFS_Dm_ReadDataReg, .-USBFS_Dm_ReadDataReg + 134 .text + 135 .Letext0: + 136 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 137 .section .debug_info,"",%progbits + 138 .Ldebug_info0: + 139 0000 2F010000 .4byte 0x12f + 140 0004 0200 .2byte 0x2 + 141 0006 00000000 .4byte .Ldebug_abbrev0 + 142 000a 04 .byte 0x4 + 143 000b 01 .uleb128 0x1 + 144 000c 0E010000 .4byte .LASF20 + 145 0010 01 .byte 0x1 + 146 0011 89000000 .4byte .LASF21 + 147 0015 57010000 .4byte .LASF22 + 148 0019 00000000 .4byte .Ldebug_ranges0+0 + 149 001d 00000000 .4byte 0 + 150 0021 00000000 .4byte 0 + 151 0025 00000000 .4byte .Ldebug_line0 + 152 0029 02 .uleb128 0x2 + 153 002a 01 .byte 0x1 + 154 002b 06 .byte 0x6 + 155 002c 80010000 .4byte .LASF0 + 156 0030 02 .uleb128 0x2 + 157 0031 01 .byte 0x1 + 158 0032 08 .byte 0x8 + 159 0033 51000000 .4byte .LASF1 + 160 0037 02 .uleb128 0x2 + 161 0038 02 .byte 0x2 + 162 0039 05 .byte 0x5 + 163 003a FE000000 .4byte .LASF2 + 164 003e 02 .uleb128 0x2 + 165 003f 02 .byte 0x2 + 166 0040 07 .byte 0x7 + 167 0041 76000000 .4byte .LASF3 + 168 0045 02 .uleb128 0x2 + 169 0046 04 .byte 0x4 + 170 0047 05 .byte 0x5 + 171 0048 77010000 .4byte .LASF4 + 172 004c 02 .uleb128 0x2 + 173 004d 04 .byte 0x4 + 174 004e 07 .byte 0x7 + 175 004f 64000000 .4byte .LASF5 + 176 0053 02 .uleb128 0x2 + 177 0054 08 .byte 0x8 + 178 0055 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 6 + + + 179 0056 EB000000 .4byte .LASF6 + 180 005a 02 .uleb128 0x2 + 181 005b 08 .byte 0x8 + 182 005c 07 .byte 0x7 + 183 005d CF000000 .4byte .LASF7 + 184 0061 03 .uleb128 0x3 + 185 0062 04 .byte 0x4 + 186 0063 05 .byte 0x5 + 187 0064 696E7400 .ascii "int\000" + 188 0068 02 .uleb128 0x2 + 189 0069 04 .byte 0x4 + 190 006a 07 .byte 0x7 + 191 006b C2000000 .4byte .LASF8 + 192 006f 04 .uleb128 0x4 + 193 0070 08010000 .4byte .LASF12 + 194 0074 02 .byte 0x2 + 195 0075 5B .byte 0x5b + 196 0076 30000000 .4byte 0x30 + 197 007a 02 .uleb128 0x2 + 198 007b 04 .byte 0x4 + 199 007c 04 .byte 0x4 + 200 007d 4B000000 .4byte .LASF9 + 201 0081 02 .uleb128 0x2 + 202 0082 08 .byte 0x8 + 203 0083 04 .byte 0x4 + 204 0084 2E000000 .4byte .LASF10 + 205 0088 02 .uleb128 0x2 + 206 0089 01 .byte 0x1 + 207 008a 08 .byte 0x8 + 208 008b F9000000 .4byte .LASF11 + 209 008f 04 .uleb128 0x4 + 210 0090 5F000000 .4byte .LASF13 + 211 0094 02 .byte 0x2 + 212 0095 F0 .byte 0xf0 + 213 0096 9A000000 .4byte 0x9a + 214 009a 05 .uleb128 0x5 + 215 009b 6F000000 .4byte 0x6f + 216 009f 06 .uleb128 0x6 + 217 00a0 01 .byte 0x1 + 218 00a1 00000000 .4byte .LASF14 + 219 00a5 01 .byte 0x1 + 220 00a6 27 .byte 0x27 + 221 00a7 01 .byte 0x1 + 222 00a8 00000000 .4byte .LFB0 + 223 00ac 18000000 .4byte .LFE0 + 224 00b0 02 .byte 0x2 + 225 00b1 7D .byte 0x7d + 226 00b2 00 .sleb128 0 + 227 00b3 01 .byte 0x1 + 228 00b4 D7000000 .4byte 0xd7 + 229 00b8 07 .uleb128 0x7 + 230 00b9 1D000000 .4byte .LASF16 + 231 00bd 01 .byte 0x1 + 232 00be 27 .byte 0x27 + 233 00bf 6F000000 .4byte 0x6f + 234 00c3 00000000 .4byte .LLST0 + 235 00c7 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 7 + + + 236 00c8 23000000 .4byte .LASF23 + 237 00cc 01 .byte 0x1 + 238 00cd 29 .byte 0x29 + 239 00ce 6F000000 .4byte 0x6f + 240 00d2 21000000 .4byte .LLST1 + 241 00d6 00 .byte 0 + 242 00d7 06 .uleb128 0x6 + 243 00d8 01 .byte 0x1 + 244 00d9 35000000 .4byte .LASF15 + 245 00dd 01 .byte 0x1 + 246 00de 3C .byte 0x3c + 247 00df 01 .byte 0x1 + 248 00e0 00000000 .4byte .LFB1 + 249 00e4 18000000 .4byte .LFE1 + 250 00e8 02 .byte 0x2 + 251 00e9 7D .byte 0x7d + 252 00ea 00 .sleb128 0 + 253 00eb 01 .byte 0x1 + 254 00ec 00010000 .4byte 0x100 + 255 00f0 07 .uleb128 0x7 + 256 00f1 E6000000 .4byte .LASF17 + 257 00f5 01 .byte 0x1 + 258 00f6 3C .byte 0x3c + 259 00f7 6F000000 .4byte 0x6f + 260 00fb 39000000 .4byte .LLST2 + 261 00ff 00 .byte 0 + 262 0100 09 .uleb128 0x9 + 263 0101 01 .byte 0x1 + 264 0102 0F000000 .4byte .LASF18 + 265 0106 01 .byte 0x1 + 266 0107 54 .byte 0x54 + 267 0108 01 .byte 0x1 + 268 0109 6F000000 .4byte 0x6f + 269 010d 00000000 .4byte .LFB2 + 270 0111 0C000000 .4byte .LFE2 + 271 0115 02 .byte 0x2 + 272 0116 7D .byte 0x7d + 273 0117 00 .sleb128 0 + 274 0118 01 .byte 0x1 + 275 0119 09 .uleb128 0x9 + 276 011a 01 .byte 0x1 + 277 011b AD000000 .4byte .LASF19 + 278 011f 01 .byte 0x1 + 279 0120 68 .byte 0x68 + 280 0121 01 .byte 0x1 + 281 0122 6F000000 .4byte 0x6f + 282 0126 00000000 .4byte .LFB3 + 283 012a 0C000000 .4byte .LFE3 + 284 012e 02 .byte 0x2 + 285 012f 7D .byte 0x7d + 286 0130 00 .sleb128 0 + 287 0131 01 .byte 0x1 + 288 0132 00 .byte 0 + 289 .section .debug_abbrev,"",%progbits + 290 .Ldebug_abbrev0: + 291 0000 01 .uleb128 0x1 + 292 0001 11 .uleb128 0x11 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 8 + + + 293 0002 01 .byte 0x1 + 294 0003 25 .uleb128 0x25 + 295 0004 0E .uleb128 0xe + 296 0005 13 .uleb128 0x13 + 297 0006 0B .uleb128 0xb + 298 0007 03 .uleb128 0x3 + 299 0008 0E .uleb128 0xe + 300 0009 1B .uleb128 0x1b + 301 000a 0E .uleb128 0xe + 302 000b 55 .uleb128 0x55 + 303 000c 06 .uleb128 0x6 + 304 000d 11 .uleb128 0x11 + 305 000e 01 .uleb128 0x1 + 306 000f 52 .uleb128 0x52 + 307 0010 01 .uleb128 0x1 + 308 0011 10 .uleb128 0x10 + 309 0012 06 .uleb128 0x6 + 310 0013 00 .byte 0 + 311 0014 00 .byte 0 + 312 0015 02 .uleb128 0x2 + 313 0016 24 .uleb128 0x24 + 314 0017 00 .byte 0 + 315 0018 0B .uleb128 0xb + 316 0019 0B .uleb128 0xb + 317 001a 3E .uleb128 0x3e + 318 001b 0B .uleb128 0xb + 319 001c 03 .uleb128 0x3 + 320 001d 0E .uleb128 0xe + 321 001e 00 .byte 0 + 322 001f 00 .byte 0 + 323 0020 03 .uleb128 0x3 + 324 0021 24 .uleb128 0x24 + 325 0022 00 .byte 0 + 326 0023 0B .uleb128 0xb + 327 0024 0B .uleb128 0xb + 328 0025 3E .uleb128 0x3e + 329 0026 0B .uleb128 0xb + 330 0027 03 .uleb128 0x3 + 331 0028 08 .uleb128 0x8 + 332 0029 00 .byte 0 + 333 002a 00 .byte 0 + 334 002b 04 .uleb128 0x4 + 335 002c 16 .uleb128 0x16 + 336 002d 00 .byte 0 + 337 002e 03 .uleb128 0x3 + 338 002f 0E .uleb128 0xe + 339 0030 3A .uleb128 0x3a + 340 0031 0B .uleb128 0xb + 341 0032 3B .uleb128 0x3b + 342 0033 0B .uleb128 0xb + 343 0034 49 .uleb128 0x49 + 344 0035 13 .uleb128 0x13 + 345 0036 00 .byte 0 + 346 0037 00 .byte 0 + 347 0038 05 .uleb128 0x5 + 348 0039 35 .uleb128 0x35 + 349 003a 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 9 + + + 350 003b 49 .uleb128 0x49 + 351 003c 13 .uleb128 0x13 + 352 003d 00 .byte 0 + 353 003e 00 .byte 0 + 354 003f 06 .uleb128 0x6 + 355 0040 2E .uleb128 0x2e + 356 0041 01 .byte 0x1 + 357 0042 3F .uleb128 0x3f + 358 0043 0C .uleb128 0xc + 359 0044 03 .uleb128 0x3 + 360 0045 0E .uleb128 0xe + 361 0046 3A .uleb128 0x3a + 362 0047 0B .uleb128 0xb + 363 0048 3B .uleb128 0x3b + 364 0049 0B .uleb128 0xb + 365 004a 27 .uleb128 0x27 + 366 004b 0C .uleb128 0xc + 367 004c 11 .uleb128 0x11 + 368 004d 01 .uleb128 0x1 + 369 004e 12 .uleb128 0x12 + 370 004f 01 .uleb128 0x1 + 371 0050 40 .uleb128 0x40 + 372 0051 0A .uleb128 0xa + 373 0052 9742 .uleb128 0x2117 + 374 0054 0C .uleb128 0xc + 375 0055 01 .uleb128 0x1 + 376 0056 13 .uleb128 0x13 + 377 0057 00 .byte 0 + 378 0058 00 .byte 0 + 379 0059 07 .uleb128 0x7 + 380 005a 05 .uleb128 0x5 + 381 005b 00 .byte 0 + 382 005c 03 .uleb128 0x3 + 383 005d 0E .uleb128 0xe + 384 005e 3A .uleb128 0x3a + 385 005f 0B .uleb128 0xb + 386 0060 3B .uleb128 0x3b + 387 0061 0B .uleb128 0xb + 388 0062 49 .uleb128 0x49 + 389 0063 13 .uleb128 0x13 + 390 0064 02 .uleb128 0x2 + 391 0065 06 .uleb128 0x6 + 392 0066 00 .byte 0 + 393 0067 00 .byte 0 + 394 0068 08 .uleb128 0x8 + 395 0069 34 .uleb128 0x34 + 396 006a 00 .byte 0 + 397 006b 03 .uleb128 0x3 + 398 006c 0E .uleb128 0xe + 399 006d 3A .uleb128 0x3a + 400 006e 0B .uleb128 0xb + 401 006f 3B .uleb128 0x3b + 402 0070 0B .uleb128 0xb + 403 0071 49 .uleb128 0x49 + 404 0072 13 .uleb128 0x13 + 405 0073 02 .uleb128 0x2 + 406 0074 06 .uleb128 0x6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 10 + + + 407 0075 00 .byte 0 + 408 0076 00 .byte 0 + 409 0077 09 .uleb128 0x9 + 410 0078 2E .uleb128 0x2e + 411 0079 00 .byte 0 + 412 007a 3F .uleb128 0x3f + 413 007b 0C .uleb128 0xc + 414 007c 03 .uleb128 0x3 + 415 007d 0E .uleb128 0xe + 416 007e 3A .uleb128 0x3a + 417 007f 0B .uleb128 0xb + 418 0080 3B .uleb128 0x3b + 419 0081 0B .uleb128 0xb + 420 0082 27 .uleb128 0x27 + 421 0083 0C .uleb128 0xc + 422 0084 49 .uleb128 0x49 + 423 0085 13 .uleb128 0x13 + 424 0086 11 .uleb128 0x11 + 425 0087 01 .uleb128 0x1 + 426 0088 12 .uleb128 0x12 + 427 0089 01 .uleb128 0x1 + 428 008a 40 .uleb128 0x40 + 429 008b 0A .uleb128 0xa + 430 008c 9742 .uleb128 0x2117 + 431 008e 0C .uleb128 0xc + 432 008f 00 .byte 0 + 433 0090 00 .byte 0 + 434 0091 00 .byte 0 + 435 .section .debug_loc,"",%progbits + 436 .Ldebug_loc0: + 437 .LLST0: + 438 0000 00000000 .4byte .LVL0 + 439 0004 0C000000 .4byte .LVL2 + 440 0008 0100 .2byte 0x1 + 441 000a 50 .byte 0x50 + 442 000b 0C000000 .4byte .LVL2 + 443 000f 18000000 .4byte .LFE0 + 444 0013 0400 .2byte 0x4 + 445 0015 F3 .byte 0xf3 + 446 0016 01 .uleb128 0x1 + 447 0017 50 .byte 0x50 + 448 0018 9F .byte 0x9f + 449 0019 00000000 .4byte 0 + 450 001d 00000000 .4byte 0 + 451 .LLST1: + 452 0021 04000000 .4byte .LVL1 + 453 0025 0E000000 .4byte .LVL3 + 454 0029 0600 .2byte 0x6 + 455 002b 72 .byte 0x72 + 456 002c 00 .sleb128 0 + 457 002d 08 .byte 0x8 + 458 002e 7F .byte 0x7f + 459 002f 1A .byte 0x1a + 460 0030 9F .byte 0x9f + 461 0031 00000000 .4byte 0 + 462 0035 00000000 .4byte 0 + 463 .LLST2: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 11 + + + 464 0039 00000000 .4byte .LVL4 + 465 003d 06000000 .4byte .LVL5 + 466 0041 0100 .2byte 0x1 + 467 0043 50 .byte 0x50 + 468 0044 06000000 .4byte .LVL5 + 469 0048 18000000 .4byte .LFE1 + 470 004c 0400 .2byte 0x4 + 471 004e F3 .byte 0xf3 + 472 004f 01 .uleb128 0x1 + 473 0050 50 .byte 0x50 + 474 0051 9F .byte 0x9f + 475 0052 00000000 .4byte 0 + 476 0056 00000000 .4byte 0 + 477 .section .debug_aranges,"",%progbits + 478 0000 34000000 .4byte 0x34 + 479 0004 0200 .2byte 0x2 + 480 0006 00000000 .4byte .Ldebug_info0 + 481 000a 04 .byte 0x4 + 482 000b 00 .byte 0 + 483 000c 0000 .2byte 0 + 484 000e 0000 .2byte 0 + 485 0010 00000000 .4byte .LFB0 + 486 0014 18000000 .4byte .LFE0-.LFB0 + 487 0018 00000000 .4byte .LFB1 + 488 001c 18000000 .4byte .LFE1-.LFB1 + 489 0020 00000000 .4byte .LFB2 + 490 0024 0C000000 .4byte .LFE2-.LFB2 + 491 0028 00000000 .4byte .LFB3 + 492 002c 0C000000 .4byte .LFE3-.LFB3 + 493 0030 00000000 .4byte 0 + 494 0034 00000000 .4byte 0 + 495 .section .debug_ranges,"",%progbits + 496 .Ldebug_ranges0: + 497 0000 00000000 .4byte .LFB0 + 498 0004 18000000 .4byte .LFE0 + 499 0008 00000000 .4byte .LFB1 + 500 000c 18000000 .4byte .LFE1 + 501 0010 00000000 .4byte .LFB2 + 502 0014 0C000000 .4byte .LFE2 + 503 0018 00000000 .4byte .LFB3 + 504 001c 0C000000 .4byte .LFE3 + 505 0020 00000000 .4byte 0 + 506 0024 00000000 .4byte 0 + 507 .section .debug_line,"",%progbits + 508 .Ldebug_line0: + 509 0000 92000000 .section .debug_str,"MS",%progbits,1 + 509 02004700 + 509 00000201 + 509 FB0E0D00 + 509 01010101 + 510 .LASF14: + 511 0000 55534246 .ascii "USBFS_Dm_Write\000" + 511 535F446D + 511 5F577269 + 511 746500 + 512 .LASF18: + 513 000f 55534246 .ascii "USBFS_Dm_Read\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 12 + + + 513 535F446D + 513 5F526561 + 513 6400 + 514 .LASF16: + 515 001d 76616C75 .ascii "value\000" + 515 6500 + 516 .LASF23: + 517 0023 73746174 .ascii "staticBits\000" + 517 69634269 + 517 747300 + 518 .LASF10: + 519 002e 646F7562 .ascii "double\000" + 519 6C6500 + 520 .LASF15: + 521 0035 55534246 .ascii "USBFS_Dm_SetDriveMode\000" + 521 535F446D + 521 5F536574 + 521 44726976 + 521 654D6F64 + 522 .LASF9: + 523 004b 666C6F61 .ascii "float\000" + 523 7400 + 524 .LASF1: + 525 0051 756E7369 .ascii "unsigned char\000" + 525 676E6564 + 525 20636861 + 525 7200 + 526 .LASF13: + 527 005f 72656738 .ascii "reg8\000" + 527 00 + 528 .LASF5: + 529 0064 6C6F6E67 .ascii "long unsigned int\000" + 529 20756E73 + 529 69676E65 + 529 6420696E + 529 7400 + 530 .LASF3: + 531 0076 73686F72 .ascii "short unsigned int\000" + 531 7420756E + 531 7369676E + 531 65642069 + 531 6E7400 + 532 .LASF21: + 533 0089 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_Dm.c\000" + 533 6E657261 + 533 7465645F + 533 536F7572 + 533 63655C50 + 534 .LASF19: + 535 00ad 55534246 .ascii "USBFS_Dm_ReadDataReg\000" + 535 535F446D + 535 5F526561 + 535 64446174 + 535 61526567 + 536 .LASF8: + 537 00c2 756E7369 .ascii "unsigned int\000" + 537 676E6564 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccc12yfW.s page 13 + + + 537 20696E74 + 537 00 + 538 .LASF7: + 539 00cf 6C6F6E67 .ascii "long long unsigned int\000" + 539 206C6F6E + 539 6720756E + 539 7369676E + 539 65642069 + 540 .LASF17: + 541 00e6 6D6F6465 .ascii "mode\000" + 541 00 + 542 .LASF6: + 543 00eb 6C6F6E67 .ascii "long long int\000" + 543 206C6F6E + 543 6720696E + 543 7400 + 544 .LASF11: + 545 00f9 63686172 .ascii "char\000" + 545 00 + 546 .LASF2: + 547 00fe 73686F72 .ascii "short int\000" + 547 7420696E + 547 7400 + 548 .LASF12: + 549 0108 75696E74 .ascii "uint8\000" + 549 3800 + 550 .LASF20: + 551 010e 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 551 4320342E + 551 372E3320 + 551 32303133 + 551 30333132 + 552 0141 616E6368 .ascii "anch revision 196615]\000" + 552 20726576 + 552 6973696F + 552 6E203139 + 552 36363135 + 553 .LASF22: + 554 0157 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 554 43534932 + 554 53445C55 + 554 53425F42 + 554 6F6F746C + 555 .LASF4: + 556 0177 6C6F6E67 .ascii "long int\000" + 556 20696E74 + 556 00 + 557 .LASF0: + 558 0180 7369676E .ascii "signed char\000" + 558 65642063 + 558 68617200 + 559 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dm.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dm.o new file mode 100755 index 0000000000000000000000000000000000000000..e66e6fc9de522a49212272e629866f2311474efa GIT binary patch literal 4116 zcmb_fTWl0n7(Qoax4Wffr7hPYX1Up7U}$N9f~dVgA%GSNl1NE2yF0WS+nqW)MMxm{ zU}B8Kpbwg;iSf-CFNyJm2O~ird?3*%iSfl4V~oMXl=wt2;`g07XLoi1^}#=x`TqNV zF8?{Rdw%zUJyJ?xNQzETm4xU^DNC|fL8n+LmW#yx_S4qEv$;QeOe=M6y^4X}ba`k*44AF4_|qE4>pA zL8fogK0$u|4ZM>+UJYs_vO~dg+Rh329Wb>DFWEsKQhF_3as$QHlpEw$Dt6VxaZyvh zC_No_;1P}TJ}Qn#lc^aZi!{m)XqdXgAY_YNpJ{8dGb=Mk8(QRHxu^jl>qJx2qflbT znoVOmONE`;+4OMJzBWu~s~|Ku^0DSf+tJ)2TV?-(*9V&=EU9G0+d|58Z;jaKh-%!v zwn`*MN#eMr7$tC>655G4v|+ zCJuXUL7Z}@=R8pk-LRM+EQV!K@aJ;VF|RQ%91e=7yn}wh6DOyAHxzTFa&fZc6|(s$ zHxPk0*(av`(qy)x=MgDS`9WB#y4M&9 z6WcfC0=JZ($_C!4V!7y-vfVqjZR_58LLA#UJ~lMAZ_C&)$3HRX`yp1R-~~?pOrczg z#=^Ss#S$Y!Lp!sb9K;ddpDt%l`au>Yv%7W4>}cTUy>i(P${YTVQ4VZD7rCCBD+<}k zh8n`Qc&9fV>=6wMQU@EY*2H4DL@u+J%N4R+K9E?$zGL&>21#L^%``8pISf1i@xxIs z(-yZY-YK7%3EiA<%3%=Ul;JR%P&fr_wQzFfvT&$yDt!<&ZJn~FDeG*it?!~U&E#OO zor0H}o17??PWmXVcsG~B7K@m5+Rw*D7kx14VSLs2J2lhAl4mkvg2KuBGcz8Vz`-Uv zZWso|++0|jnHdioT-}&v$ge3H9ZmpRG;xe(HXKtpg7(_kZ=< z2%q}8<}!o{cXUJpfVkfQ$anKQQRl~xZM<(xzGv$EX7Ay5QTqWDoyEJyOcK0dFMw}U z9?|RSLLs_fTmg~mz`De@@R%5|;v)#W;0+nuhfo-vwgGIwQ^qM~dk=iP?w|OCjY7w| zO?XV*Pr=9Yy@`B%&>1qeOHjn~9l)obC!F~(rAof9!J8Pc;#=gSpCMzr3Pn6$h+?g>#`JfGY`(m zoHfQR#sOU$D_~e6maIav+QE^{@5olH@9G*kDYk2w>(q+%*w#T^6*kVr}24> zFKN7}@g0rt646@kYrLfOpA+$4> USBFS_Dp_SHIFT; + 100 .loc 1 86 0 + 101 0000 024B ldr r3, .L8 + 102 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 87:.\Generated_Source\PSoC5/USBFS_Dp.c **** } + 103 .loc 1 87 0 + 104 0004 C0F38010 ubfx r0, r0, #6, #1 + 105 0008 7047 bx lr + 106 .L9: + 107 000a 00BF .align 2 + 108 .L8: + 109 000c F1510040 .word 1073762801 + 110 .cfi_endproc + 111 .LFE2: + 112 .size USBFS_Dp_Read, .-USBFS_Dp_Read + 113 .section .text.USBFS_Dp_ReadDataReg,"ax",%progbits + 114 .align 1 + 115 .global USBFS_Dp_ReadDataReg + 116 .thumb + 117 .thumb_func + 118 .type USBFS_Dp_ReadDataReg, %function + 119 USBFS_Dp_ReadDataReg: + 120 .LFB3: + 88:.\Generated_Source\PSoC5/USBFS_Dp.c **** + 89:.\Generated_Source\PSoC5/USBFS_Dp.c **** + 90:.\Generated_Source\PSoC5/USBFS_Dp.c **** /******************************************************************************* + 91:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Function Name: USBFS_Dp_ReadDataReg + 92:.\Generated_Source\PSoC5/USBFS_Dp.c **** ******************************************************************************** + 93:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 94:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Summary: + 95:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Read the current value assigned to a Digital Port's data output register + 96:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 97:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Parameters: + 98:.\Generated_Source\PSoC5/USBFS_Dp.c **** * None + 99:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 100:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Return: + 101:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Returns the current value assigned to the Digital Port's data output register + 102:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 103:.\Generated_Source\PSoC5/USBFS_Dp.c **** *******************************************************************************/ + 104:.\Generated_Source\PSoC5/USBFS_Dp.c **** uint8 USBFS_Dp_ReadDataReg(void) + 105:.\Generated_Source\PSoC5/USBFS_Dp.c **** { + 121 .loc 1 105 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 5 + + + 122 .cfi_startproc + 123 @ args = 0, pretend = 0, frame = 0 + 124 @ frame_needed = 0, uses_anonymous_args = 0 + 125 @ link register save eliminated. + 106:.\Generated_Source\PSoC5/USBFS_Dp.c **** return (USBFS_Dp_DR & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; + 126 .loc 1 106 0 + 127 0000 024B ldr r3, .L11 + 128 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 107:.\Generated_Source\PSoC5/USBFS_Dp.c **** } + 129 .loc 1 107 0 + 130 0004 C0F38010 ubfx r0, r0, #6, #1 + 131 0008 7047 bx lr + 132 .L12: + 133 000a 00BF .align 2 + 134 .L11: + 135 000c F0510040 .word 1073762800 + 136 .cfi_endproc + 137 .LFE3: + 138 .size USBFS_Dp_ReadDataReg, .-USBFS_Dp_ReadDataReg + 139 .section .text.USBFS_Dp_ClearInterrupt,"ax",%progbits + 140 .align 1 + 141 .global USBFS_Dp_ClearInterrupt + 142 .thumb + 143 .thumb_func + 144 .type USBFS_Dp_ClearInterrupt, %function + 145 USBFS_Dp_ClearInterrupt: + 146 .LFB4: + 108:.\Generated_Source\PSoC5/USBFS_Dp.c **** + 109:.\Generated_Source\PSoC5/USBFS_Dp.c **** + 110:.\Generated_Source\PSoC5/USBFS_Dp.c **** /* If Interrupts Are Enabled for this Pins component */ + 111:.\Generated_Source\PSoC5/USBFS_Dp.c **** #if defined(USBFS_Dp_INTSTAT) + 112:.\Generated_Source\PSoC5/USBFS_Dp.c **** + 113:.\Generated_Source\PSoC5/USBFS_Dp.c **** /******************************************************************************* + 114:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Function Name: USBFS_Dp_ClearInterrupt + 115:.\Generated_Source\PSoC5/USBFS_Dp.c **** ******************************************************************************** + 116:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Summary: + 117:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Clears any active interrupts attached to port and returns the value of the + 118:.\Generated_Source\PSoC5/USBFS_Dp.c **** * interrupt status register. + 119:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 120:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Parameters: + 121:.\Generated_Source\PSoC5/USBFS_Dp.c **** * None + 122:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 123:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Return: + 124:.\Generated_Source\PSoC5/USBFS_Dp.c **** * Returns the value of the interrupt status register + 125:.\Generated_Source\PSoC5/USBFS_Dp.c **** * + 126:.\Generated_Source\PSoC5/USBFS_Dp.c **** *******************************************************************************/ + 127:.\Generated_Source\PSoC5/USBFS_Dp.c **** uint8 USBFS_Dp_ClearInterrupt(void) + 128:.\Generated_Source\PSoC5/USBFS_Dp.c **** { + 147 .loc 1 128 0 + 148 .cfi_startproc + 149 @ args = 0, pretend = 0, frame = 0 + 150 @ frame_needed = 0, uses_anonymous_args = 0 + 151 @ link register save eliminated. + 129:.\Generated_Source\PSoC5/USBFS_Dp.c **** return (USBFS_Dp_INTSTAT & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; + 152 .loc 1 129 0 + 153 0000 024B ldr r3, .L14 + 154 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 6 + + + 130:.\Generated_Source\PSoC5/USBFS_Dp.c **** } + 155 .loc 1 130 0 + 156 0004 C0F38010 ubfx r0, r0, #6, #1 + 157 0008 7047 bx lr + 158 .L15: + 159 000a 00BF .align 2 + 160 .L14: + 161 000c 8F450040 .word 1073759631 + 162 .cfi_endproc + 163 .LFE4: + 164 .size USBFS_Dp_ClearInterrupt, .-USBFS_Dp_ClearInterrupt + 165 .text + 166 .Letext0: + 167 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 168 .section .debug_info,"",%progbits + 169 .Ldebug_info0: + 170 0000 48010000 .4byte 0x148 + 171 0004 0200 .2byte 0x2 + 172 0006 00000000 .4byte .Ldebug_abbrev0 + 173 000a 04 .byte 0x4 + 174 000b 01 .uleb128 0x1 + 175 000c 10010000 .4byte .LASF21 + 176 0010 01 .byte 0x1 + 177 0011 7C000000 .4byte .LASF22 + 178 0015 59010000 .4byte .LASF23 + 179 0019 00000000 .4byte .Ldebug_ranges0+0 + 180 001d 00000000 .4byte 0 + 181 0021 00000000 .4byte 0 + 182 0025 00000000 .4byte .Ldebug_line0 + 183 0029 02 .uleb128 0x2 + 184 002a 01 .byte 0x1 + 185 002b 06 .byte 0x6 + 186 002c 98010000 .4byte .LASF0 + 187 0030 02 .uleb128 0x2 + 188 0031 01 .byte 0x1 + 189 0032 08 .byte 0x8 + 190 0033 3D000000 .4byte .LASF1 + 191 0037 02 .uleb128 0x2 + 192 0038 02 .byte 0x2 + 193 0039 05 .byte 0x5 + 194 003a 00010000 .4byte .LASF2 + 195 003e 02 .uleb128 0x2 + 196 003f 02 .byte 0x2 + 197 0040 07 .byte 0x7 + 198 0041 62000000 .4byte .LASF3 + 199 0045 02 .uleb128 0x2 + 200 0046 04 .byte 0x4 + 201 0047 05 .byte 0x5 + 202 0048 79010000 .4byte .LASF4 + 203 004c 02 .uleb128 0x2 + 204 004d 04 .byte 0x4 + 205 004e 07 .byte 0x7 + 206 004f 50000000 .4byte .LASF5 + 207 0053 02 .uleb128 0x2 + 208 0054 08 .byte 0x8 + 209 0055 05 .byte 0x5 + 210 0056 ED000000 .4byte .LASF6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 7 + + + 211 005a 02 .uleb128 0x2 + 212 005b 08 .byte 0x8 + 213 005c 07 .byte 0x7 + 214 005d BC000000 .4byte .LASF7 + 215 0061 03 .uleb128 0x3 + 216 0062 04 .byte 0x4 + 217 0063 05 .byte 0x5 + 218 0064 696E7400 .ascii "int\000" + 219 0068 02 .uleb128 0x2 + 220 0069 04 .byte 0x4 + 221 006a 07 .byte 0x7 + 222 006b AF000000 .4byte .LASF8 + 223 006f 04 .uleb128 0x4 + 224 0070 0A010000 .4byte .LASF12 + 225 0074 02 .byte 0x2 + 226 0075 5B .byte 0x5b + 227 0076 30000000 .4byte 0x30 + 228 007a 02 .uleb128 0x2 + 229 007b 04 .byte 0x4 + 230 007c 04 .byte 0x4 + 231 007d 1F000000 .4byte .LASF9 + 232 0081 02 .uleb128 0x2 + 233 0082 08 .byte 0x8 + 234 0083 04 .byte 0x4 + 235 0084 75000000 .4byte .LASF10 + 236 0088 02 .uleb128 0x2 + 237 0089 01 .byte 0x1 + 238 008a 08 .byte 0x8 + 239 008b FB000000 .4byte .LASF11 + 240 008f 04 .uleb128 0x4 + 241 0090 4B000000 .4byte .LASF13 + 242 0094 02 .byte 0x2 + 243 0095 F0 .byte 0xf0 + 244 0096 9A000000 .4byte 0x9a + 245 009a 05 .uleb128 0x5 + 246 009b 6F000000 .4byte 0x6f + 247 009f 06 .uleb128 0x6 + 248 00a0 01 .byte 0x1 + 249 00a1 A0000000 .4byte .LASF14 + 250 00a5 01 .byte 0x1 + 251 00a6 27 .byte 0x27 + 252 00a7 01 .byte 0x1 + 253 00a8 00000000 .4byte .LFB0 + 254 00ac 1C000000 .4byte .LFE0 + 255 00b0 02 .byte 0x2 + 256 00b1 7D .byte 0x7d + 257 00b2 00 .sleb128 0 + 258 00b3 01 .byte 0x1 + 259 00b4 D7000000 .4byte 0xd7 + 260 00b8 07 .uleb128 0x7 + 261 00b9 00000000 .4byte .LASF16 + 262 00bd 01 .byte 0x1 + 263 00be 27 .byte 0x27 + 264 00bf 6F000000 .4byte 0x6f + 265 00c3 00000000 .4byte .LLST0 + 266 00c7 08 .uleb128 0x8 + 267 00c8 14000000 .4byte .LASF24 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 8 + + + 268 00cc 01 .byte 0x1 + 269 00cd 29 .byte 0x29 + 270 00ce 6F000000 .4byte 0x6f + 271 00d2 21000000 .4byte .LLST1 + 272 00d6 00 .byte 0 + 273 00d7 06 .uleb128 0x6 + 274 00d8 01 .byte 0x1 + 275 00d9 82010000 .4byte .LASF15 + 276 00dd 01 .byte 0x1 + 277 00de 3C .byte 0x3c + 278 00df 01 .byte 0x1 + 279 00e0 00000000 .4byte .LFB1 + 280 00e4 18000000 .4byte .LFE1 + 281 00e8 02 .byte 0x2 + 282 00e9 7D .byte 0x7d + 283 00ea 00 .sleb128 0 + 284 00eb 01 .byte 0x1 + 285 00ec 00010000 .4byte 0x100 + 286 00f0 07 .uleb128 0x7 + 287 00f1 E8000000 .4byte .LASF17 + 288 00f5 01 .byte 0x1 + 289 00f6 3C .byte 0x3c + 290 00f7 6F000000 .4byte 0x6f + 291 00fb 39000000 .4byte .LLST2 + 292 00ff 00 .byte 0 + 293 0100 09 .uleb128 0x9 + 294 0101 01 .byte 0x1 + 295 0102 06000000 .4byte .LASF18 + 296 0106 01 .byte 0x1 + 297 0107 54 .byte 0x54 + 298 0108 01 .byte 0x1 + 299 0109 6F000000 .4byte 0x6f + 300 010d 00000000 .4byte .LFB2 + 301 0111 10000000 .4byte .LFE2 + 302 0115 02 .byte 0x2 + 303 0116 7D .byte 0x7d + 304 0117 00 .sleb128 0 + 305 0118 01 .byte 0x1 + 306 0119 09 .uleb128 0x9 + 307 011a 01 .byte 0x1 + 308 011b D3000000 .4byte .LASF19 + 309 011f 01 .byte 0x1 + 310 0120 68 .byte 0x68 + 311 0121 01 .byte 0x1 + 312 0122 6F000000 .4byte 0x6f + 313 0126 00000000 .4byte .LFB3 + 314 012a 10000000 .4byte .LFE3 + 315 012e 02 .byte 0x2 + 316 012f 7D .byte 0x7d + 317 0130 00 .sleb128 0 + 318 0131 01 .byte 0x1 + 319 0132 09 .uleb128 0x9 + 320 0133 01 .byte 0x1 + 321 0134 25000000 .4byte .LASF20 + 322 0138 01 .byte 0x1 + 323 0139 7F .byte 0x7f + 324 013a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 9 + + + 325 013b 6F000000 .4byte 0x6f + 326 013f 00000000 .4byte .LFB4 + 327 0143 10000000 .4byte .LFE4 + 328 0147 02 .byte 0x2 + 329 0148 7D .byte 0x7d + 330 0149 00 .sleb128 0 + 331 014a 01 .byte 0x1 + 332 014b 00 .byte 0 + 333 .section .debug_abbrev,"",%progbits + 334 .Ldebug_abbrev0: + 335 0000 01 .uleb128 0x1 + 336 0001 11 .uleb128 0x11 + 337 0002 01 .byte 0x1 + 338 0003 25 .uleb128 0x25 + 339 0004 0E .uleb128 0xe + 340 0005 13 .uleb128 0x13 + 341 0006 0B .uleb128 0xb + 342 0007 03 .uleb128 0x3 + 343 0008 0E .uleb128 0xe + 344 0009 1B .uleb128 0x1b + 345 000a 0E .uleb128 0xe + 346 000b 55 .uleb128 0x55 + 347 000c 06 .uleb128 0x6 + 348 000d 11 .uleb128 0x11 + 349 000e 01 .uleb128 0x1 + 350 000f 52 .uleb128 0x52 + 351 0010 01 .uleb128 0x1 + 352 0011 10 .uleb128 0x10 + 353 0012 06 .uleb128 0x6 + 354 0013 00 .byte 0 + 355 0014 00 .byte 0 + 356 0015 02 .uleb128 0x2 + 357 0016 24 .uleb128 0x24 + 358 0017 00 .byte 0 + 359 0018 0B .uleb128 0xb + 360 0019 0B .uleb128 0xb + 361 001a 3E .uleb128 0x3e + 362 001b 0B .uleb128 0xb + 363 001c 03 .uleb128 0x3 + 364 001d 0E .uleb128 0xe + 365 001e 00 .byte 0 + 366 001f 00 .byte 0 + 367 0020 03 .uleb128 0x3 + 368 0021 24 .uleb128 0x24 + 369 0022 00 .byte 0 + 370 0023 0B .uleb128 0xb + 371 0024 0B .uleb128 0xb + 372 0025 3E .uleb128 0x3e + 373 0026 0B .uleb128 0xb + 374 0027 03 .uleb128 0x3 + 375 0028 08 .uleb128 0x8 + 376 0029 00 .byte 0 + 377 002a 00 .byte 0 + 378 002b 04 .uleb128 0x4 + 379 002c 16 .uleb128 0x16 + 380 002d 00 .byte 0 + 381 002e 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 10 + + + 382 002f 0E .uleb128 0xe + 383 0030 3A .uleb128 0x3a + 384 0031 0B .uleb128 0xb + 385 0032 3B .uleb128 0x3b + 386 0033 0B .uleb128 0xb + 387 0034 49 .uleb128 0x49 + 388 0035 13 .uleb128 0x13 + 389 0036 00 .byte 0 + 390 0037 00 .byte 0 + 391 0038 05 .uleb128 0x5 + 392 0039 35 .uleb128 0x35 + 393 003a 00 .byte 0 + 394 003b 49 .uleb128 0x49 + 395 003c 13 .uleb128 0x13 + 396 003d 00 .byte 0 + 397 003e 00 .byte 0 + 398 003f 06 .uleb128 0x6 + 399 0040 2E .uleb128 0x2e + 400 0041 01 .byte 0x1 + 401 0042 3F .uleb128 0x3f + 402 0043 0C .uleb128 0xc + 403 0044 03 .uleb128 0x3 + 404 0045 0E .uleb128 0xe + 405 0046 3A .uleb128 0x3a + 406 0047 0B .uleb128 0xb + 407 0048 3B .uleb128 0x3b + 408 0049 0B .uleb128 0xb + 409 004a 27 .uleb128 0x27 + 410 004b 0C .uleb128 0xc + 411 004c 11 .uleb128 0x11 + 412 004d 01 .uleb128 0x1 + 413 004e 12 .uleb128 0x12 + 414 004f 01 .uleb128 0x1 + 415 0050 40 .uleb128 0x40 + 416 0051 0A .uleb128 0xa + 417 0052 9742 .uleb128 0x2117 + 418 0054 0C .uleb128 0xc + 419 0055 01 .uleb128 0x1 + 420 0056 13 .uleb128 0x13 + 421 0057 00 .byte 0 + 422 0058 00 .byte 0 + 423 0059 07 .uleb128 0x7 + 424 005a 05 .uleb128 0x5 + 425 005b 00 .byte 0 + 426 005c 03 .uleb128 0x3 + 427 005d 0E .uleb128 0xe + 428 005e 3A .uleb128 0x3a + 429 005f 0B .uleb128 0xb + 430 0060 3B .uleb128 0x3b + 431 0061 0B .uleb128 0xb + 432 0062 49 .uleb128 0x49 + 433 0063 13 .uleb128 0x13 + 434 0064 02 .uleb128 0x2 + 435 0065 06 .uleb128 0x6 + 436 0066 00 .byte 0 + 437 0067 00 .byte 0 + 438 0068 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 11 + + + 439 0069 34 .uleb128 0x34 + 440 006a 00 .byte 0 + 441 006b 03 .uleb128 0x3 + 442 006c 0E .uleb128 0xe + 443 006d 3A .uleb128 0x3a + 444 006e 0B .uleb128 0xb + 445 006f 3B .uleb128 0x3b + 446 0070 0B .uleb128 0xb + 447 0071 49 .uleb128 0x49 + 448 0072 13 .uleb128 0x13 + 449 0073 02 .uleb128 0x2 + 450 0074 06 .uleb128 0x6 + 451 0075 00 .byte 0 + 452 0076 00 .byte 0 + 453 0077 09 .uleb128 0x9 + 454 0078 2E .uleb128 0x2e + 455 0079 00 .byte 0 + 456 007a 3F .uleb128 0x3f + 457 007b 0C .uleb128 0xc + 458 007c 03 .uleb128 0x3 + 459 007d 0E .uleb128 0xe + 460 007e 3A .uleb128 0x3a + 461 007f 0B .uleb128 0xb + 462 0080 3B .uleb128 0x3b + 463 0081 0B .uleb128 0xb + 464 0082 27 .uleb128 0x27 + 465 0083 0C .uleb128 0xc + 466 0084 49 .uleb128 0x49 + 467 0085 13 .uleb128 0x13 + 468 0086 11 .uleb128 0x11 + 469 0087 01 .uleb128 0x1 + 470 0088 12 .uleb128 0x12 + 471 0089 01 .uleb128 0x1 + 472 008a 40 .uleb128 0x40 + 473 008b 0A .uleb128 0xa + 474 008c 9742 .uleb128 0x2117 + 475 008e 0C .uleb128 0xc + 476 008f 00 .byte 0 + 477 0090 00 .byte 0 + 478 0091 00 .byte 0 + 479 .section .debug_loc,"",%progbits + 480 .Ldebug_loc0: + 481 .LLST0: + 482 0000 00000000 .4byte .LVL0 + 483 0004 04000000 .4byte .LVL1 + 484 0008 0100 .2byte 0x1 + 485 000a 50 .byte 0x50 + 486 000b 04000000 .4byte .LVL1 + 487 000f 1C000000 .4byte .LFE0 + 488 0013 0400 .2byte 0x4 + 489 0015 F3 .byte 0xf3 + 490 0016 01 .uleb128 0x1 + 491 0017 50 .byte 0x50 + 492 0018 9F .byte 0x9f + 493 0019 00000000 .4byte 0 + 494 001d 00000000 .4byte 0 + 495 .LLST1: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 12 + + + 496 0021 06000000 .4byte .LVL2 + 497 0025 0E000000 .4byte .LVL3 + 498 0029 0600 .2byte 0x6 + 499 002b 72 .byte 0x72 + 500 002c 00 .sleb128 0 + 501 002d 09 .byte 0x9 + 502 002e BF .byte 0xbf + 503 002f 1A .byte 0x1a + 504 0030 9F .byte 0x9f + 505 0031 00000000 .4byte 0 + 506 0035 00000000 .4byte 0 + 507 .LLST2: + 508 0039 00000000 .4byte .LVL4 + 509 003d 06000000 .4byte .LVL5 + 510 0041 0100 .2byte 0x1 + 511 0043 50 .byte 0x50 + 512 0044 06000000 .4byte .LVL5 + 513 0048 18000000 .4byte .LFE1 + 514 004c 0400 .2byte 0x4 + 515 004e F3 .byte 0xf3 + 516 004f 01 .uleb128 0x1 + 517 0050 50 .byte 0x50 + 518 0051 9F .byte 0x9f + 519 0052 00000000 .4byte 0 + 520 0056 00000000 .4byte 0 + 521 .section .debug_aranges,"",%progbits + 522 0000 3C000000 .4byte 0x3c + 523 0004 0200 .2byte 0x2 + 524 0006 00000000 .4byte .Ldebug_info0 + 525 000a 04 .byte 0x4 + 526 000b 00 .byte 0 + 527 000c 0000 .2byte 0 + 528 000e 0000 .2byte 0 + 529 0010 00000000 .4byte .LFB0 + 530 0014 1C000000 .4byte .LFE0-.LFB0 + 531 0018 00000000 .4byte .LFB1 + 532 001c 18000000 .4byte .LFE1-.LFB1 + 533 0020 00000000 .4byte .LFB2 + 534 0024 10000000 .4byte .LFE2-.LFB2 + 535 0028 00000000 .4byte .LFB3 + 536 002c 10000000 .4byte .LFE3-.LFB3 + 537 0030 00000000 .4byte .LFB4 + 538 0034 10000000 .4byte .LFE4-.LFB4 + 539 0038 00000000 .4byte 0 + 540 003c 00000000 .4byte 0 + 541 .section .debug_ranges,"",%progbits + 542 .Ldebug_ranges0: + 543 0000 00000000 .4byte .LFB0 + 544 0004 1C000000 .4byte .LFE0 + 545 0008 00000000 .4byte .LFB1 + 546 000c 18000000 .4byte .LFE1 + 547 0010 00000000 .4byte .LFB2 + 548 0014 10000000 .4byte .LFE2 + 549 0018 00000000 .4byte .LFB3 + 550 001c 10000000 .4byte .LFE3 + 551 0020 00000000 .4byte .LFB4 + 552 0024 10000000 .4byte .LFE4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 13 + + + 553 0028 00000000 .4byte 0 + 554 002c 00000000 .4byte 0 + 555 .section .debug_line,"",%progbits + 556 .Ldebug_line0: + 557 0000 A8000000 .section .debug_str,"MS",%progbits,1 + 557 02004700 + 557 00000201 + 557 FB0E0D00 + 557 01010101 + 558 .LASF16: + 559 0000 76616C75 .ascii "value\000" + 559 6500 + 560 .LASF18: + 561 0006 55534246 .ascii "USBFS_Dp_Read\000" + 561 535F4470 + 561 5F526561 + 561 6400 + 562 .LASF24: + 563 0014 73746174 .ascii "staticBits\000" + 563 69634269 + 563 747300 + 564 .LASF9: + 565 001f 666C6F61 .ascii "float\000" + 565 7400 + 566 .LASF20: + 567 0025 55534246 .ascii "USBFS_Dp_ClearInterrupt\000" + 567 535F4470 + 567 5F436C65 + 567 6172496E + 567 74657272 + 568 .LASF1: + 569 003d 756E7369 .ascii "unsigned char\000" + 569 676E6564 + 569 20636861 + 569 7200 + 570 .LASF13: + 571 004b 72656738 .ascii "reg8\000" + 571 00 + 572 .LASF5: + 573 0050 6C6F6E67 .ascii "long unsigned int\000" + 573 20756E73 + 573 69676E65 + 573 6420696E + 573 7400 + 574 .LASF3: + 575 0062 73686F72 .ascii "short unsigned int\000" + 575 7420756E + 575 7369676E + 575 65642069 + 575 6E7400 + 576 .LASF10: + 577 0075 646F7562 .ascii "double\000" + 577 6C6500 + 578 .LASF22: + 579 007c 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_Dp.c\000" + 579 6E657261 + 579 7465645F + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 14 + + + 579 536F7572 + 579 63655C50 + 580 .LASF14: + 581 00a0 55534246 .ascii "USBFS_Dp_Write\000" + 581 535F4470 + 581 5F577269 + 581 746500 + 582 .LASF8: + 583 00af 756E7369 .ascii "unsigned int\000" + 583 676E6564 + 583 20696E74 + 583 00 + 584 .LASF7: + 585 00bc 6C6F6E67 .ascii "long long unsigned int\000" + 585 206C6F6E + 585 6720756E + 585 7369676E + 585 65642069 + 586 .LASF19: + 587 00d3 55534246 .ascii "USBFS_Dp_ReadDataReg\000" + 587 535F4470 + 587 5F526561 + 587 64446174 + 587 61526567 + 588 .LASF17: + 589 00e8 6D6F6465 .ascii "mode\000" + 589 00 + 590 .LASF6: + 591 00ed 6C6F6E67 .ascii "long long int\000" + 591 206C6F6E + 591 6720696E + 591 7400 + 592 .LASF11: + 593 00fb 63686172 .ascii "char\000" + 593 00 + 594 .LASF2: + 595 0100 73686F72 .ascii "short int\000" + 595 7420696E + 595 7400 + 596 .LASF12: + 597 010a 75696E74 .ascii "uint8\000" + 597 3800 + 598 .LASF21: + 599 0110 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 599 4320342E + 599 372E3320 + 599 32303133 + 599 30333132 + 600 0143 616E6368 .ascii "anch revision 196615]\000" + 600 20726576 + 600 6973696F + 600 6E203139 + 600 36363135 + 601 .LASF23: + 602 0159 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 602 43534932 + 602 53445C55 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAFwykg.s page 15 + + + 602 53425F42 + 602 6F6F746C + 603 .LASF4: + 604 0179 6C6F6E67 .ascii "long int\000" + 604 20696E74 + 604 00 + 605 .LASF15: + 606 0182 55534246 .ascii "USBFS_Dp_SetDriveMode\000" + 606 535F4470 + 606 5F536574 + 606 44726976 + 606 654D6F64 + 607 .LASF0: + 608 0198 7369676E .ascii "signed char\000" + 608 65642063 + 608 68617200 + 609 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dp.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dp.o new file mode 100755 index 0000000000000000000000000000000000000000..e9f736c9556a10c246a4733347f3b878680a3cb8 GIT binary patch literal 4476 zcmb_fU1%KF6+U-ne~m0awxY{~IY-DYLUk&WYaW7$pGIH>zGmX=C8 z>g=qMAZbF$Lm`ERQfMfYrqG8_Li3WBmVg6&$wMDX8%RoD@{osMk|LAG6rAt7bMMUT zIwpDOft~N3bG~!#xqowKpFjWjV@fHpqGU=^P2~Ne_T*kSgC+&Nw_jtw`Cqw0HCEPhS`&?+$obopD+H zm*=H?0Yx~v&zu@YTRjWq2ieNB6C_o5gbSiyFpd=$KZ2spl6V?F=~o3Bt}2^p7%IvA>R)uM zeu3j49nat65H+F>4vh`CL;HuW6-Lw*HH_TO0T~$h4vd%z)9k}IcZ)l8X5d=`7soKt z6GA9>>e0d2IypF^Mpb#oZ_W)Wc#8Ri?I2~}Y?s>aNg8)?EQv&wlpKCaDg;hoPCiwY z-=m?0gGXgjkWl(>jVE?G^~6Ypz!~fgJPV82H)zGe^c1owoJvYB#@4tTw!aGhHSio+ zeSvBSiX7qPOjUC0*r;Ly8vD{58H>+zMKk0n=ieGI= zJE}&_`nhJ*mK$q9HA>y{YkoDn*oyox>}*8RX|4A(`+ z)lu!>aY`1yd#yBIn)Ob4Go|Cx$7ZHyjvX&eg+2z}_79bwp1X4SsJ~wG8x6m4WOn)F zky==7)mKZQf3w+c2CdSu(~mrI?8GzjBl%`nP)gV}Fmu>`M35Ar-n?g#JFsS?Ob`Z7?{Xc4- zJB~e9^{cg}s3~s0c`Tv7dF1kp6m}FZ_dBDxUFt!#$K9*;sd4r7+{0=Rw7U=`&a*>< zJB7Lxp0%vbGnCbN=Dti9uf4S%RcpW~jB(YrP+BBj11l|Ft=$%n1}~Y{c<1yOyC%EG zrw_pw*z8{FarGPu51Ykn__fZ;aRGjljkMyU`ZWGt%UZLA za}#I8@WrbK>+3#tk%#@@Rih|u);dvlmK$Mp-M4G;Rd7E@eC_aUN{R3JxXow$E){)y zk>?s$FHgU^;G4t`_sEy>4ujL%uYFI$rEdq~9DZ3|1-gAN)6eUJ@(g}i-YRtaey88^ ze$vC+ybtdeJ-naahxcd0188{#&&OGa5!?I|^nPmuevI3V-#FvO&iXiSf5p$npeY|h z`4t;Rtncs8ZJVx}Sg0Qw4#E1~K|9;;EGC6>$bNYaZNL9P+s2?N|3JPn8%C^;-#FQP zFCyPGY|O_C+vfW(+BODF8OFvT&x-Zkh9R5pP2{V<#(Zcd`IyYcz$|6tdz}p<*7rZ? z*?hSX!53|uZxm?j8#MWBO&=rQA8iuw*yL|d5AWr?2=|Z`%PXOQ6dQ>h2WrMDK=LTF zCSR;U2k%E#0kS^IGTCRM~%x2qENm+g^yuIr9q#s?6Zo_jk> zHebGnpVxeTd&h!B%ZQ7&9ru1J1s{P<6j&FA7Ick}yt_&n*U zxLqsF@eia^9%y;xC z)?)%loog~Gc{yox_A!e2J>R7l^}C7u*pKnsD;wXy2O>GpNDSud&-$0UZtujZ@9i$l z-q?w;=dO2y9MgZs;GDq=2ES+U`v!Rzk^f_Z z8wQ^<_@cp=48Cme4+dW&V#~g6@Gr*xH{x!QcZ~i|qyO9J9}qFM9~!)4?EC}EI0p;B zx^@z=#YPP7H}-E3ao)daknbw;A0=Y4<_#j9u8*gXb#k{7c}9s71`irMO+;P#4?1wc z=obv~v^hAe+#8JNo+7_QMDi&j>Y65U(TL#L{z27zy{=Gn9>nqdGhXLIJohg1l!(Z4 zz~EIP@->Nw-y$OJB_jC0BZ9BqFcudC*Upcs)+&7fc@A5A>%@zRv*x Cy!}%E literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.lst new file mode 100755 index 0000000..d968ae3 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.lst @@ -0,0 +1,238 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccRSBt5d.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_audio.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .Letext0: + 19 .section .debug_info,"",%progbits + 20 .Ldebug_info0: + 21 0000 7C000000 .4byte 0x7c + 22 0004 0200 .2byte 0x2 + 23 0006 00000000 .4byte .Ldebug_abbrev0 + 24 000a 04 .byte 0x4 + 25 000b 01 .uleb128 0x1 + 26 000c 1B000000 .4byte .LASF13 + 27 0010 01 .byte 0x1 + 28 0011 8D000000 .4byte .LASF14 + 29 0015 06010000 .4byte .LASF15 + 30 0019 00000000 .4byte .Ldebug_line0 + 31 001d 02 .uleb128 0x2 + 32 001e 01 .byte 0x1 + 33 001f 06 .byte 0x6 + 34 0020 EA000000 .4byte .LASF0 + 35 0024 02 .uleb128 0x2 + 36 0025 01 .byte 0x1 + 37 0026 08 .byte 0x8 + 38 0027 B4000000 .4byte .LASF1 + 39 002b 02 .uleb128 0x2 + 40 002c 02 .byte 0x2 + 41 002d 05 .byte 0x5 + 42 002e FC000000 .4byte .LASF2 + 43 0032 02 .uleb128 0x2 + 44 0033 02 .byte 0x2 + 45 0034 07 .byte 0x7 + 46 0035 D7000000 .4byte .LASF3 + 47 0039 02 .uleb128 0x2 + 48 003a 04 .byte 0x4 + 49 003b 05 .byte 0x5 + 50 003c C7000000 .4byte .LASF4 + 51 0040 02 .uleb128 0x2 + 52 0041 04 .byte 0x4 + 53 0042 07 .byte 0x7 + 54 0043 64000000 .4byte .LASF5 + 55 0047 02 .uleb128 0x2 + 56 0048 08 .byte 0x8 + 57 0049 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccRSBt5d.s page 2 + + + 58 004a 00000000 .4byte .LASF6 + 59 004e 02 .uleb128 0x2 + 60 004f 08 .byte 0x8 + 61 0050 07 .byte 0x7 + 62 0051 76000000 .4byte .LASF7 + 63 0055 03 .uleb128 0x3 + 64 0056 04 .byte 0x4 + 65 0057 05 .byte 0x5 + 66 0058 696E7400 .ascii "int\000" + 67 005c 02 .uleb128 0x2 + 68 005d 04 .byte 0x4 + 69 005e 07 .byte 0x7 + 70 005f 0E000000 .4byte .LASF8 + 71 0063 02 .uleb128 0x2 + 72 0064 04 .byte 0x4 + 73 0065 04 .byte 0x4 + 74 0066 F6000000 .4byte .LASF9 + 75 006a 02 .uleb128 0x2 + 76 006b 08 .byte 0x8 + 77 006c 04 .byte 0x4 + 78 006d D0000000 .4byte .LASF10 + 79 0071 02 .uleb128 0x2 + 80 0072 01 .byte 0x1 + 81 0073 08 .byte 0x8 + 82 0074 C2000000 .4byte .LASF11 + 83 0078 02 .uleb128 0x2 + 84 0079 04 .byte 0x4 + 85 007a 07 .byte 0x7 + 86 007b 26010000 .4byte .LASF12 + 87 007f 00 .byte 0 + 88 .section .debug_abbrev,"",%progbits + 89 .Ldebug_abbrev0: + 90 0000 01 .uleb128 0x1 + 91 0001 11 .uleb128 0x11 + 92 0002 01 .byte 0x1 + 93 0003 25 .uleb128 0x25 + 94 0004 0E .uleb128 0xe + 95 0005 13 .uleb128 0x13 + 96 0006 0B .uleb128 0xb + 97 0007 03 .uleb128 0x3 + 98 0008 0E .uleb128 0xe + 99 0009 1B .uleb128 0x1b + 100 000a 0E .uleb128 0xe + 101 000b 10 .uleb128 0x10 + 102 000c 06 .uleb128 0x6 + 103 000d 00 .byte 0 + 104 000e 00 .byte 0 + 105 000f 02 .uleb128 0x2 + 106 0010 24 .uleb128 0x24 + 107 0011 00 .byte 0 + 108 0012 0B .uleb128 0xb + 109 0013 0B .uleb128 0xb + 110 0014 3E .uleb128 0x3e + 111 0015 0B .uleb128 0xb + 112 0016 03 .uleb128 0x3 + 113 0017 0E .uleb128 0xe + 114 0018 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccRSBt5d.s page 3 + + + 115 0019 00 .byte 0 + 116 001a 03 .uleb128 0x3 + 117 001b 24 .uleb128 0x24 + 118 001c 00 .byte 0 + 119 001d 0B .uleb128 0xb + 120 001e 0B .uleb128 0xb + 121 001f 3E .uleb128 0x3e + 122 0020 0B .uleb128 0xb + 123 0021 03 .uleb128 0x3 + 124 0022 08 .uleb128 0x8 + 125 0023 00 .byte 0 + 126 0024 00 .byte 0 + 127 0025 00 .byte 0 + 128 .section .debug_aranges,"",%progbits + 129 0000 14000000 .4byte 0x14 + 130 0004 0200 .2byte 0x2 + 131 0006 00000000 .4byte .Ldebug_info0 + 132 000a 04 .byte 0x4 + 133 000b 00 .byte 0 + 134 000c 0000 .2byte 0 + 135 000e 0000 .2byte 0 + 136 0010 00000000 .4byte 0 + 137 0014 00000000 .4byte 0 + 138 .section .debug_line,"",%progbits + 139 .Ldebug_line0: + 140 0000 19000000 .section .debug_str,"MS",%progbits,1 + 140 02001300 + 140 00000201 + 140 FB0E0D00 + 140 01010101 + 141 .LASF6: + 142 0000 6C6F6E67 .ascii "long long int\000" + 142 206C6F6E + 142 6720696E + 142 7400 + 143 .LASF8: + 144 000e 756E7369 .ascii "unsigned int\000" + 144 676E6564 + 144 20696E74 + 144 00 + 145 .LASF13: + 146 001b 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 146 4320342E + 146 372E3320 + 146 32303133 + 146 30333132 + 147 004e 616E6368 .ascii "anch revision 196615]\000" + 147 20726576 + 147 6973696F + 147 6E203139 + 147 36363135 + 148 .LASF5: + 149 0064 6C6F6E67 .ascii "long unsigned int\000" + 149 20756E73 + 149 69676E65 + 149 6420696E + 149 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccRSBt5d.s page 4 + + + 150 .LASF7: + 151 0076 6C6F6E67 .ascii "long long unsigned int\000" + 151 206C6F6E + 151 6720756E + 151 7369676E + 151 65642069 + 152 .LASF14: + 153 008d 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_audio.c\000" + 153 6E657261 + 153 7465645F + 153 536F7572 + 153 63655C50 + 154 .LASF1: + 155 00b4 756E7369 .ascii "unsigned char\000" + 155 676E6564 + 155 20636861 + 155 7200 + 156 .LASF11: + 157 00c2 63686172 .ascii "char\000" + 157 00 + 158 .LASF4: + 159 00c7 6C6F6E67 .ascii "long int\000" + 159 20696E74 + 159 00 + 160 .LASF10: + 161 00d0 646F7562 .ascii "double\000" + 161 6C6500 + 162 .LASF3: + 163 00d7 73686F72 .ascii "short unsigned int\000" + 163 7420756E + 163 7369676E + 163 65642069 + 163 6E7400 + 164 .LASF0: + 165 00ea 7369676E .ascii "signed char\000" + 165 65642063 + 165 68617200 + 166 .LASF9: + 167 00f6 666C6F61 .ascii "float\000" + 167 7400 + 168 .LASF2: + 169 00fc 73686F72 .ascii "short int\000" + 169 7420696E + 169 7400 + 170 .LASF15: + 171 0106 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 171 43534932 + 171 53445C55 + 171 53425F42 + 171 6F6F746C + 172 .LASF12: + 173 0126 73697A65 .ascii "sizetype\000" + 173 74797065 + 173 00 + 174 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.o new file mode 100755 index 0000000000000000000000000000000000000000..3f69ba09738b204fd0ee12361fe8a168fc84432c GIT binary patch literal 1880 zcmb_cO>Y!O5Ut*s_4)%ZcEAb-1x+F_i-ZT;YhwjPNU#_yQH%_W#Q|HZnVq&h((be~ zGi$~9Q1}B#`6qDT2Out7xkbt`M^3;Ymqbcl^>pugxg-Zl>VB`fs=Ko*Ct2#+IyET3Dy}bEJR+I;?V~75D2soP z`O$$ST5mM2do@nY1Eo5dx2sYQiSX7;BD~F1wPlv6G`svUa(8nHbqiz=#w2Q>OpI9D73gDj5cmQc z{T0ZMm){#Vj`tOsodZhzDa2@h=oy{g0o#3pD+c+V@_54_-!sENG2{rl`HHz*3 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.lst new file mode 100755 index 0000000..5ae2e35 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.lst @@ -0,0 +1,2210 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_boot.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.USBFS_CyBtldrCommStart,"ax",%progbits + 19 .align 1 + 20 .global USBFS_CyBtldrCommStart + 21 .thumb + 22 .thumb_func + 23 .type USBFS_CyBtldrCommStart, %function + 24 USBFS_CyBtldrCommStart: + 25 .LFB0: + 26 .file 1 ".\\Generated_Source\\PSoC5\\USBFS_boot.c" + 1:.\Generated_Source\PSoC5/USBFS_boot.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/USBFS_boot.c **** * File Name: USBFS_boot.c + 3:.\Generated_Source\PSoC5/USBFS_boot.c **** * Version 2.60 + 4:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 5:.\Generated_Source\PSoC5/USBFS_boot.c **** * Description: + 6:.\Generated_Source\PSoC5/USBFS_boot.c **** * Boot loader API for USBFS Component. + 7:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 8:.\Generated_Source\PSoC5/USBFS_boot.c **** * Note: + 9:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 10:.\Generated_Source\PSoC5/USBFS_boot.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/USBFS_boot.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/USBFS_boot.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/USBFS_boot.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/USBFS_boot.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/USBFS_boot.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/USBFS_boot.c **** + 17:.\Generated_Source\PSoC5/USBFS_boot.c **** #include "USBFS.h" + 18:.\Generated_Source\PSoC5/USBFS_boot.c **** + 19:.\Generated_Source\PSoC5/USBFS_boot.c **** #if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) || \ + 20:.\Generated_Source\PSoC5/USBFS_boot.c **** (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + 21:.\Generated_Source\PSoC5/USBFS_boot.c **** + 22:.\Generated_Source\PSoC5/USBFS_boot.c **** + 23:.\Generated_Source\PSoC5/USBFS_boot.c **** /*************************************** + 24:.\Generated_Source\PSoC5/USBFS_boot.c **** * Bootloader defines + 25:.\Generated_Source\PSoC5/USBFS_boot.c **** ***************************************/ + 26:.\Generated_Source\PSoC5/USBFS_boot.c **** + 27:.\Generated_Source\PSoC5/USBFS_boot.c **** #define USBFS_CyBtLdrStarttimer(X, T) {USBFS_universalTime = T * 10; X = 0u;} + 28:.\Generated_Source\PSoC5/USBFS_boot.c **** #define USBFS_CyBtLdrChecktimer(X) ((X++ < USBFS_universalTime) ? 1u : 0u) + 29:.\Generated_Source\PSoC5/USBFS_boot.c **** + 30:.\Generated_Source\PSoC5/USBFS_boot.c **** #define USBFS_BTLDR_OUT_EP (0x01u) + 31:.\Generated_Source\PSoC5/USBFS_boot.c **** #define USBFS_BTLDR_IN_EP (0x02u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 2 + + + 32:.\Generated_Source\PSoC5/USBFS_boot.c **** + 33:.\Generated_Source\PSoC5/USBFS_boot.c **** + 34:.\Generated_Source\PSoC5/USBFS_boot.c **** /*************************************** + 35:.\Generated_Source\PSoC5/USBFS_boot.c **** * Bootloader Variables + 36:.\Generated_Source\PSoC5/USBFS_boot.c **** ***************************************/ + 37:.\Generated_Source\PSoC5/USBFS_boot.c **** + 38:.\Generated_Source\PSoC5/USBFS_boot.c **** static uint16 USBFS_universalTime; + 39:.\Generated_Source\PSoC5/USBFS_boot.c **** static uint8 USBFS_started = 0u; + 40:.\Generated_Source\PSoC5/USBFS_boot.c **** + 41:.\Generated_Source\PSoC5/USBFS_boot.c **** + 42:.\Generated_Source\PSoC5/USBFS_boot.c **** /******************************************************************************* + 43:.\Generated_Source\PSoC5/USBFS_boot.c **** * Function Name: USBFS_CyBtldrCommStart + 44:.\Generated_Source\PSoC5/USBFS_boot.c **** ******************************************************************************** + 45:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 46:.\Generated_Source\PSoC5/USBFS_boot.c **** * Summary: + 47:.\Generated_Source\PSoC5/USBFS_boot.c **** * Starts the component and enables the interrupt. + 48:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 49:.\Generated_Source\PSoC5/USBFS_boot.c **** * Parameters: + 50:.\Generated_Source\PSoC5/USBFS_boot.c **** * None. + 51:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 52:.\Generated_Source\PSoC5/USBFS_boot.c **** * Return: + 53:.\Generated_Source\PSoC5/USBFS_boot.c **** * None. + 54:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 55:.\Generated_Source\PSoC5/USBFS_boot.c **** * Side Effects: + 56:.\Generated_Source\PSoC5/USBFS_boot.c **** * This function starts the USB with 3V or 5V operation. + 57:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 58:.\Generated_Source\PSoC5/USBFS_boot.c **** * Reentrant: + 59:.\Generated_Source\PSoC5/USBFS_boot.c **** * No. + 60:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 61:.\Generated_Source\PSoC5/USBFS_boot.c **** *******************************************************************************/ + 62:.\Generated_Source\PSoC5/USBFS_boot.c **** void USBFS_CyBtldrCommStart(void) + 63:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 27 .loc 1 63 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 0000 08B5 push {r3, lr} + 32 .LCFI0: + 33 .cfi_def_cfa_offset 8 + 34 .cfi_offset 3, -8 + 35 .cfi_offset 14, -4 + 64:.\Generated_Source\PSoC5/USBFS_boot.c **** CyGlobalIntEnable; /* Enable Global Interrupts */ + 36 .loc 1 64 0 + 37 @ 64 ".\Generated_Source\PSoC5\USBFS_boot.c" 1 + 38 0002 62B6 CPSIE i + 39 @ 0 "" 2 + 65:.\Generated_Source\PSoC5/USBFS_boot.c **** + 66:.\Generated_Source\PSoC5/USBFS_boot.c **** /*Start USBFS Operation/device 0 and with 5V or 3V operation depend on Voltage Configuration in + 67:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_Start(0u, USBFS_DWR_VDDD_OPERATION); + 40 .loc 1 67 0 + 41 .thumb + 42 0004 0020 movs r0, #0 + 43 0006 0221 movs r1, #2 + 44 0008 FFF7FEFF bl USBFS_Start + 45 .LVL0: + 68:.\Generated_Source\PSoC5/USBFS_boot.c **** + 69:.\Generated_Source\PSoC5/USBFS_boot.c **** /* USB component started, the correct enumeration will be checked in first Read operation */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 3 + + + 70:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_started = 1u; + 46 .loc 1 70 0 + 47 000c 014B ldr r3, .L2 + 48 000e 0122 movs r2, #1 + 49 0010 1A70 strb r2, [r3, #0] + 50 0012 08BD pop {r3, pc} + 51 .L3: + 52 .align 2 + 53 .L2: + 54 0014 00000000 .word .LANCHOR0 + 55 .cfi_endproc + 56 .LFE0: + 57 .size USBFS_CyBtldrCommStart, .-USBFS_CyBtldrCommStart + 58 .section .text.USBFS_CyBtldrCommStop,"ax",%progbits + 59 .align 1 + 60 .global USBFS_CyBtldrCommStop + 61 .thumb + 62 .thumb_func + 63 .type USBFS_CyBtldrCommStop, %function + 64 USBFS_CyBtldrCommStop: + 65 .LFB1: + 71:.\Generated_Source\PSoC5/USBFS_boot.c **** + 72:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 73:.\Generated_Source\PSoC5/USBFS_boot.c **** + 74:.\Generated_Source\PSoC5/USBFS_boot.c **** + 75:.\Generated_Source\PSoC5/USBFS_boot.c **** /******************************************************************************* + 76:.\Generated_Source\PSoC5/USBFS_boot.c **** * Function Name: USBFS_CyBtldrCommStop. + 77:.\Generated_Source\PSoC5/USBFS_boot.c **** ******************************************************************************** + 78:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 79:.\Generated_Source\PSoC5/USBFS_boot.c **** * Summary: + 80:.\Generated_Source\PSoC5/USBFS_boot.c **** * Disable the component and disable the interrupt. + 81:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 82:.\Generated_Source\PSoC5/USBFS_boot.c **** * Parameters: + 83:.\Generated_Source\PSoC5/USBFS_boot.c **** * None. + 84:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 85:.\Generated_Source\PSoC5/USBFS_boot.c **** * Return: + 86:.\Generated_Source\PSoC5/USBFS_boot.c **** * None. + 87:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 88:.\Generated_Source\PSoC5/USBFS_boot.c **** *******************************************************************************/ + 89:.\Generated_Source\PSoC5/USBFS_boot.c **** void USBFS_CyBtldrCommStop(void) + 90:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 66 .loc 1 90 0 + 67 .cfi_startproc + 68 @ args = 0, pretend = 0, frame = 0 + 69 @ frame_needed = 0, uses_anonymous_args = 0 + 70 @ link register save eliminated. + 91:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_Stop(); + 92:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 71 .loc 1 92 0 + 91:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_Stop(); + 72 .loc 1 91 0 + 73 0000 FFF7FEBF b USBFS_Stop + 74 .LVL1: + 75 .cfi_endproc + 76 .LFE1: + 77 .size USBFS_CyBtldrCommStop, .-USBFS_CyBtldrCommStop + 78 .section .text.USBFS_CyBtldrCommReset,"ax",%progbits + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 4 + + + 79 .align 1 + 80 .global USBFS_CyBtldrCommReset + 81 .thumb + 82 .thumb_func + 83 .type USBFS_CyBtldrCommReset, %function + 84 USBFS_CyBtldrCommReset: + 85 .LFB2: + 93:.\Generated_Source\PSoC5/USBFS_boot.c **** + 94:.\Generated_Source\PSoC5/USBFS_boot.c **** + 95:.\Generated_Source\PSoC5/USBFS_boot.c **** /******************************************************************************* + 96:.\Generated_Source\PSoC5/USBFS_boot.c **** * Function Name: USBFS_CyBtldrCommReset. + 97:.\Generated_Source\PSoC5/USBFS_boot.c **** ******************************************************************************** + 98:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 99:.\Generated_Source\PSoC5/USBFS_boot.c **** * Summary: + 100:.\Generated_Source\PSoC5/USBFS_boot.c **** * Resets the receive and transmit communication Buffers. + 101:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 102:.\Generated_Source\PSoC5/USBFS_boot.c **** * Parameters: + 103:.\Generated_Source\PSoC5/USBFS_boot.c **** * None. + 104:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 105:.\Generated_Source\PSoC5/USBFS_boot.c **** * Return: + 106:.\Generated_Source\PSoC5/USBFS_boot.c **** * None. + 107:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 108:.\Generated_Source\PSoC5/USBFS_boot.c **** * Reentrant: + 109:.\Generated_Source\PSoC5/USBFS_boot.c **** * No. + 110:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 111:.\Generated_Source\PSoC5/USBFS_boot.c **** *******************************************************************************/ + 112:.\Generated_Source\PSoC5/USBFS_boot.c **** void USBFS_CyBtldrCommReset(void) + 113:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 86 .loc 1 113 0 + 87 .cfi_startproc + 88 @ args = 0, pretend = 0, frame = 0 + 89 @ frame_needed = 0, uses_anonymous_args = 0 + 90 @ link register save eliminated. + 114:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_EnableOutEP(USBFS_BTLDR_OUT_EP); /* Enable the OUT endpoint */ + 91 .loc 1 114 0 + 92 0000 0120 movs r0, #1 + 115:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 93 .loc 1 115 0 + 114:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_EnableOutEP(USBFS_BTLDR_OUT_EP); /* Enable the OUT endpoint */ + 94 .loc 1 114 0 + 95 0002 FFF7FEBF b USBFS_EnableOutEP + 96 .LVL2: + 97 .cfi_endproc + 98 .LFE2: + 99 .size USBFS_CyBtldrCommReset, .-USBFS_CyBtldrCommReset + 100 .section .text.USBFS_CyBtldrCommWrite,"ax",%progbits + 101 .align 1 + 102 .global USBFS_CyBtldrCommWrite + 103 .thumb + 104 .thumb_func + 105 .type USBFS_CyBtldrCommWrite, %function + 106 USBFS_CyBtldrCommWrite: + 107 .LFB3: + 116:.\Generated_Source\PSoC5/USBFS_boot.c **** + 117:.\Generated_Source\PSoC5/USBFS_boot.c **** + 118:.\Generated_Source\PSoC5/USBFS_boot.c **** /******************************************************************************* + 119:.\Generated_Source\PSoC5/USBFS_boot.c **** * Function Name: USBFS_CyBtldrCommWrite. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 5 + + + 120:.\Generated_Source\PSoC5/USBFS_boot.c **** ******************************************************************************** + 121:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 122:.\Generated_Source\PSoC5/USBFS_boot.c **** * Summary: + 123:.\Generated_Source\PSoC5/USBFS_boot.c **** * Allows the caller to write data to the boot loader host. The function will + 124:.\Generated_Source\PSoC5/USBFS_boot.c **** * handle polling to allow a block of data to be completely sent to the host + 125:.\Generated_Source\PSoC5/USBFS_boot.c **** * device. + 126:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 127:.\Generated_Source\PSoC5/USBFS_boot.c **** * Parameters: + 128:.\Generated_Source\PSoC5/USBFS_boot.c **** * pData: A pointer to the block of data to send to the device + 129:.\Generated_Source\PSoC5/USBFS_boot.c **** * size: The number of bytes to write. + 130:.\Generated_Source\PSoC5/USBFS_boot.c **** * count: Pointer to an unsigned short variable to write the number of + 131:.\Generated_Source\PSoC5/USBFS_boot.c **** * bytes actually written. + 132:.\Generated_Source\PSoC5/USBFS_boot.c **** * timeOut: Number of units to wait before returning because of a timeout. + 133:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 134:.\Generated_Source\PSoC5/USBFS_boot.c **** * Return: + 135:.\Generated_Source\PSoC5/USBFS_boot.c **** * Returns the value that best describes the problem. + 136:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 137:.\Generated_Source\PSoC5/USBFS_boot.c **** * Reentrant: + 138:.\Generated_Source\PSoC5/USBFS_boot.c **** * No. + 139:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 140:.\Generated_Source\PSoC5/USBFS_boot.c **** *******************************************************************************/ + 141:.\Generated_Source\PSoC5/USBFS_boot.c **** cystatus USBFS_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + 142:.\Generated_Source\PSoC5/USBFS_boot.c **** + 143:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 108 .loc 1 143 0 + 109 .cfi_startproc + 110 @ args = 0, pretend = 0, frame = 0 + 111 @ frame_needed = 0, uses_anonymous_args = 0 + 112 .LVL3: + 113 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 114 .LCFI1: + 115 .cfi_def_cfa_offset 24 + 116 .cfi_offset 3, -24 + 117 .cfi_offset 4, -20 + 118 .cfi_offset 5, -16 + 119 .cfi_offset 6, -12 + 120 .cfi_offset 7, -8 + 121 .cfi_offset 14, -4 + 122 .loc 1 143 0 + 123 0002 0746 mov r7, r0 + 124 0004 0E46 mov r6, r1 + 144:.\Generated_Source\PSoC5/USBFS_boot.c **** uint16 time; + 145:.\Generated_Source\PSoC5/USBFS_boot.c **** cystatus status; + 146:.\Generated_Source\PSoC5/USBFS_boot.c **** + 147:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Enable IN transfer */ + 148:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_LoadInEP(USBFS_BTLDR_IN_EP, pData, USBFS_BTLDR_SIZEOF_READ_BUFFER); + 125 .loc 1 148 0 + 126 0006 0220 movs r0, #2 + 127 .LVL4: + 143:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 128 .loc 1 143 0 + 129 0008 1546 mov r5, r2 + 130 .loc 1 148 0 + 131 000a 3946 mov r1, r7 + 132 .LVL5: + 133 000c 4022 movs r2, #64 + 134 .LVL6: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 6 + + + 143:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 135 .loc 1 143 0 + 136 000e 1C46 mov r4, r3 + 137 .loc 1 148 0 + 138 0010 FFF7FEFF bl USBFS_LoadInEP + 139 .LVL7: + 149:.\Generated_Source\PSoC5/USBFS_boot.c **** + 150:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Start a timer to wait on. */ + 151:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrStarttimer(time, timeOut); + 140 .loc 1 151 0 + 141 0014 0A23 movs r3, #10 + 142 0016 5C43 muls r4, r3, r4 + 143 0018 0D48 ldr r0, .L13 + 144 001a 4480 strh r4, [r0, #2] @ movhi + 145 .LVL8: + 146 001c 0024 movs r4, #0 + 147 .LVL9: + 148 .L7: + 152:.\Generated_Source\PSoC5/USBFS_boot.c **** + 153:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Wait for the master to read it. */ + 154:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) && \ + 149 .loc 1 154 0 discriminator 1 + 150 001e 0220 movs r0, #2 + 151 0020 FFF7FEFF bl USBFS_GetEPState + 152 .LVL10: + 153 0024 50B9 cbnz r0, .L8 + 154 .loc 1 154 0 is_stmt 0 discriminator 2 + 155 0026 0A49 ldr r1, .L13 + 155:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrChecktimer(time)) + 156 .loc 1 155 0 is_stmt 1 discriminator 2 + 157 0028 671C adds r7, r4, #1 + 154:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) && \ + 158 .loc 1 154 0 discriminator 2 + 159 002a 4A88 ldrh r2, [r1, #2] + 160 .loc 1 155 0 discriminator 2 + 161 002c BFB2 uxth r7, r7 + 162 .LVL11: + 154:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) && \ + 163 .loc 1 154 0 discriminator 2 + 164 002e A242 cmp r2, r4 + 165 0030 04D9 bls .L8 + 166 .L9: + 156:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 157:.\Generated_Source\PSoC5/USBFS_boot.c **** CyDelay(1u); /* 1ms delay */ + 167 .loc 1 157 0 + 168 0032 0120 movs r0, #1 + 169 0034 FFF7FEFF bl CyDelay + 170 .LVL12: + 155:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrChecktimer(time)) + 171 .loc 1 155 0 + 172 0038 3C46 mov r4, r7 + 173 003a F0E7 b .L7 + 174 .LVL13: + 175 .L8: + 158:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 159:.\Generated_Source\PSoC5/USBFS_boot.c **** + 160:.\Generated_Source\PSoC5/USBFS_boot.c **** if (USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 7 + + + 176 .loc 1 160 0 + 177 003c 0220 movs r0, #2 + 178 003e FFF7FEFF bl USBFS_GetEPState + 179 .LVL14: + 180 0042 10B1 cbz r0, .L11 + 161:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 162:.\Generated_Source\PSoC5/USBFS_boot.c **** status = CYRET_TIMEOUT; + 163:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 164:.\Generated_Source\PSoC5/USBFS_boot.c **** else + 165:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 166:.\Generated_Source\PSoC5/USBFS_boot.c **** *count = size; + 181 .loc 1 166 0 + 182 0044 2E80 strh r6, [r5, #0] @ movhi + 183 .LVL15: + 167:.\Generated_Source\PSoC5/USBFS_boot.c **** status = CYRET_SUCCESS; + 184 .loc 1 167 0 + 185 0046 0020 movs r0, #0 + 186 0048 F8BD pop {r3, r4, r5, r6, r7, pc} + 187 .LVL16: + 188 .L11: + 162:.\Generated_Source\PSoC5/USBFS_boot.c **** status = CYRET_TIMEOUT; + 189 .loc 1 162 0 + 190 004a 1020 movs r0, #16 + 191 .LVL17: + 168:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 169:.\Generated_Source\PSoC5/USBFS_boot.c **** + 170:.\Generated_Source\PSoC5/USBFS_boot.c **** return(status); + 171:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 192 .loc 1 171 0 + 193 004c F8BD pop {r3, r4, r5, r6, r7, pc} + 194 .L14: + 195 004e 00BF .align 2 + 196 .L13: + 197 0050 00000000 .word .LANCHOR0 + 198 .cfi_endproc + 199 .LFE3: + 200 .size USBFS_CyBtldrCommWrite, .-USBFS_CyBtldrCommWrite + 201 .section .text.USBFS_CyBtldrCommRead,"ax",%progbits + 202 .align 1 + 203 .global USBFS_CyBtldrCommRead + 204 .thumb + 205 .thumb_func + 206 .type USBFS_CyBtldrCommRead, %function + 207 USBFS_CyBtldrCommRead: + 208 .LFB4: + 172:.\Generated_Source\PSoC5/USBFS_boot.c **** + 173:.\Generated_Source\PSoC5/USBFS_boot.c **** + 174:.\Generated_Source\PSoC5/USBFS_boot.c **** /******************************************************************************* + 175:.\Generated_Source\PSoC5/USBFS_boot.c **** * Function Name: USBFS_CyBtldrCommRead. + 176:.\Generated_Source\PSoC5/USBFS_boot.c **** ******************************************************************************** + 177:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 178:.\Generated_Source\PSoC5/USBFS_boot.c **** * Summary: + 179:.\Generated_Source\PSoC5/USBFS_boot.c **** * Allows the caller to read data from the boot loader host. The function will + 180:.\Generated_Source\PSoC5/USBFS_boot.c **** * handle polling to allow a block of data to be completely received from the + 181:.\Generated_Source\PSoC5/USBFS_boot.c **** * host device. + 182:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 183:.\Generated_Source\PSoC5/USBFS_boot.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 8 + + + 184:.\Generated_Source\PSoC5/USBFS_boot.c **** * pData: A pointer to the area to store the block of data received + 185:.\Generated_Source\PSoC5/USBFS_boot.c **** * from the device. + 186:.\Generated_Source\PSoC5/USBFS_boot.c **** * size: The number of bytes to read. + 187:.\Generated_Source\PSoC5/USBFS_boot.c **** * count: Pointer to an unsigned short variable to write the number + 188:.\Generated_Source\PSoC5/USBFS_boot.c **** * of bytes actually read. + 189:.\Generated_Source\PSoC5/USBFS_boot.c **** * timeOut: Number of units to wait before returning because of a timeOut. + 190:.\Generated_Source\PSoC5/USBFS_boot.c **** * Timeout is measured in 10s of ms. + 191:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 192:.\Generated_Source\PSoC5/USBFS_boot.c **** * Return: + 193:.\Generated_Source\PSoC5/USBFS_boot.c **** * Returns the value that best describes the problem. + 194:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 195:.\Generated_Source\PSoC5/USBFS_boot.c **** * Reentrant: + 196:.\Generated_Source\PSoC5/USBFS_boot.c **** * No. + 197:.\Generated_Source\PSoC5/USBFS_boot.c **** * + 198:.\Generated_Source\PSoC5/USBFS_boot.c **** *******************************************************************************/ + 199:.\Generated_Source\PSoC5/USBFS_boot.c **** cystatus USBFS_CyBtldrCommRead(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + 200:.\Generated_Source\PSoC5/USBFS_boot.c **** + 201:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 209 .loc 1 201 0 + 210 .cfi_startproc + 211 @ args = 0, pretend = 0, frame = 0 + 212 @ frame_needed = 0, uses_anonymous_args = 0 + 213 .LVL18: + 214 0000 2DE9F041 push {r4, r5, r6, r7, r8, lr} + 215 .LCFI2: + 216 .cfi_def_cfa_offset 24 + 217 .cfi_offset 4, -24 + 218 .cfi_offset 5, -20 + 219 .cfi_offset 6, -16 + 220 .cfi_offset 7, -12 + 221 .cfi_offset 8, -8 + 222 .cfi_offset 14, -4 + 223 .loc 1 201 0 + 224 0004 1546 mov r5, r2 + 202:.\Generated_Source\PSoC5/USBFS_boot.c **** cystatus status; + 203:.\Generated_Source\PSoC5/USBFS_boot.c **** uint16 time; + 204:.\Generated_Source\PSoC5/USBFS_boot.c **** + 205:.\Generated_Source\PSoC5/USBFS_boot.c **** if(size > USBFS_BTLDR_SIZEOF_WRITE_BUFFER) + 206:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 207:.\Generated_Source\PSoC5/USBFS_boot.c **** size = USBFS_BTLDR_SIZEOF_WRITE_BUFFER; + 208:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 209:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Start a timer to wait on. */ + 210:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrStarttimer(time, timeOut); + 225 .loc 1 210 0 + 226 0006 0A22 movs r2, #10 + 227 .LVL19: + 228 0008 5343 muls r3, r2, r3 + 229 .LVL20: + 230 000a 284C ldr r4, .L43 + 201:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 231 .loc 1 201 0 + 232 000c 8046 mov r8, r0 + 233 .loc 1 210 0 + 234 000e 6380 strh r3, [r4, #2] @ movhi + 211:.\Generated_Source\PSoC5/USBFS_boot.c **** + 212:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Wait on enumeration in first time */ + 213:.\Generated_Source\PSoC5/USBFS_boot.c **** if(USBFS_started) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 9 + + + 235 .loc 1 213 0 + 236 0010 2478 ldrb r4, [r4, #0] @ zero_extendqisi2 + 201:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 237 .loc 1 201 0 + 238 0012 0F46 mov r7, r1 + 239 .LVL21: + 240 .loc 1 213 0 + 241 0014 D4B1 cbz r4, .L40 + 242 .L28: + 243 0016 0024 movs r4, #0 + 244 .LVL22: + 245 .L16: + 214:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 215:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Wait for Device to enumerate */ + 216:.\Generated_Source\PSoC5/USBFS_boot.c **** while(!USBFS_GetConfiguration() && USBFS_CyBtLdrChecktimer(time)) + 246 .loc 1 216 0 discriminator 1 + 247 0018 FFF7FEFF bl USBFS_GetConfiguration + 248 .LVL23: + 249 001c 58B9 cbnz r0, .L18 + 250 .loc 1 216 0 is_stmt 0 discriminator 2 + 251 001e 234B ldr r3, .L43 + 252 0020 661C adds r6, r4, #1 + 253 0022 5888 ldrh r0, [r3, #2] + 254 0024 B6B2 uxth r6, r6 + 255 .LVL24: + 256 0026 A042 cmp r0, r4 + 257 0028 04D9 bls .L41 + 258 .L19: + 217:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 218:.\Generated_Source\PSoC5/USBFS_boot.c **** CyDelay(1u); /* 1ms delay */ + 259 .loc 1 218 0 is_stmt 1 + 260 002a 0120 movs r0, #1 + 261 002c FFF7FEFF bl CyDelay + 262 .LVL25: + 216:.\Generated_Source\PSoC5/USBFS_boot.c **** while(!USBFS_GetConfiguration() && USBFS_CyBtLdrChecktimer(time)) + 263 .loc 1 216 0 + 264 0030 3446 mov r4, r6 + 265 0032 F1E7 b .L16 + 266 .L41: + 267 0034 3446 mov r4, r6 + 268 .LVL26: + 269 .L18: + 219:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 220:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Enable first OUT, if enumeration complete */ + 221:.\Generated_Source\PSoC5/USBFS_boot.c **** if(USBFS_GetConfiguration()) + 270 .loc 1 221 0 + 271 0036 FFF7FEFF bl USBFS_GetConfiguration + 272 .LVL27: + 273 003a E0B1 cbz r0, .L35 + 222:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 223:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_IsConfigurationChanged(); /* Clear configuration changes state status */ + 274 .loc 1 223 0 + 275 003c FFF7FEFF bl USBFS_IsConfigurationChanged + 276 .LVL28: + 224:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtldrCommReset(); + 277 .loc 1 224 0 + 278 0040 FFF7FEFF bl USBFS_CyBtldrCommReset + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 10 + + + 279 .LVL29: + 225:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_started = 0u; + 280 .loc 1 225 0 + 281 0044 194A ldr r2, .L43 + 282 0046 0021 movs r1, #0 + 283 0048 1170 strb r1, [r2, #0] + 284 004a 14E0 b .L35 + 285 .LVL30: + 286 .L40: + 226:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 227:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 228:.\Generated_Source\PSoC5/USBFS_boot.c **** else /* Check for configuration changes, has been done by Host */ + 229:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 230:.\Generated_Source\PSoC5/USBFS_boot.c **** if(USBFS_IsConfigurationChanged() != 0u) /* Host could send double SET_INTERFACE request or + 287 .loc 1 230 0 + 288 004c FFF7FEFF bl USBFS_IsConfigurationChanged + 289 .LVL31: + 290 0050 08B9 cbnz r0, .L22 + 291 .L23: + 210:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrStarttimer(time, timeOut); + 292 .loc 1 210 0 + 293 0052 0024 movs r4, #0 + 294 0054 0FE0 b .L35 + 295 .L22: + 231:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 232:.\Generated_Source\PSoC5/USBFS_boot.c **** if(USBFS_GetConfiguration() != 0u) /* Init OUT endpoints when device reconfigured */ + 296 .loc 1 232 0 + 297 0056 FFF7FEFF bl USBFS_GetConfiguration + 298 .LVL32: + 299 005a 0028 cmp r0, #0 + 300 005c F9D0 beq .L23 + 233:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 234:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtldrCommReset(); + 301 .loc 1 234 0 + 302 005e FFF7FEFF bl USBFS_CyBtldrCommReset + 303 .LVL33: + 304 0062 08E0 b .L35 + 305 .LVL34: + 306 .L42: + 235:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 236:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 237:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 238:.\Generated_Source\PSoC5/USBFS_boot.c **** /* Wait on next packet */ + 239:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + 307 .loc 1 239 0 discriminator 2 + 308 0064 114B ldr r3, .L43 + 240:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrChecktimer(time)) + 309 .loc 1 240 0 discriminator 2 + 310 0066 661C adds r6, r4, #1 + 239:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + 311 .loc 1 239 0 discriminator 2 + 312 0068 5988 ldrh r1, [r3, #2] + 313 .loc 1 240 0 discriminator 2 + 314 006a B6B2 uxth r6, r6 + 315 .LVL35: + 239:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + 316 .loc 1 239 0 discriminator 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 11 + + + 317 006c A142 cmp r1, r4 + 318 006e 09D9 bls .L24 + 319 .L25: + 241:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 242:.\Generated_Source\PSoC5/USBFS_boot.c **** CyDelay(1u); /* 1ms delay */ + 320 .loc 1 242 0 + 321 0070 FFF7FEFF bl CyDelay + 322 .LVL36: + 240:.\Generated_Source\PSoC5/USBFS_boot.c **** USBFS_CyBtLdrChecktimer(time)) + 323 .loc 1 240 0 + 324 0074 3446 mov r4, r6 + 325 .LVL37: + 326 .L35: + 239:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + 327 .loc 1 239 0 discriminator 1 + 328 0076 0120 movs r0, #1 + 329 0078 FFF7FEFF bl USBFS_GetEPState + 330 .LVL38: + 331 007c 0128 cmp r0, #1 + 332 .loc 1 242 0 discriminator 1 + 333 007e 4FF00100 mov r0, #1 + 239:.\Generated_Source\PSoC5/USBFS_boot.c **** while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + 334 .loc 1 239 0 discriminator 1 + 335 0082 EFD1 bne .L42 + 336 .LVL39: + 337 .L24: + 243:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 244:.\Generated_Source\PSoC5/USBFS_boot.c **** + 245:.\Generated_Source\PSoC5/USBFS_boot.c **** /* OUT EP has completed */ + 246:.\Generated_Source\PSoC5/USBFS_boot.c **** if (USBFS_GetEPState(USBFS_BTLDR_OUT_EP) == USBFS_OUT_BUFFER_FULL) + 338 .loc 1 246 0 + 339 0084 FFF7FEFF bl USBFS_GetEPState + 340 .LVL40: + 341 0088 0128 cmp r0, #1 + 342 008a 0AD1 bne .L26 + 247:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 248:.\Generated_Source\PSoC5/USBFS_boot.c **** *count = USBFS_ReadOutEP(USBFS_BTLDR_OUT_EP, pData, size); + 343 .loc 1 248 0 + 344 008c 4146 mov r1, r8 + 345 008e 402F cmp r7, #64 + 346 0090 34BF ite cc + 347 0092 3A46 movcc r2, r7 + 348 0094 4022 movcs r2, #64 + 349 0096 FFF7FEFF bl USBFS_ReadOutEP + 350 .LVL41: + 351 009a 2880 strh r0, [r5, #0] @ movhi + 352 .LVL42: + 249:.\Generated_Source\PSoC5/USBFS_boot.c **** status = CYRET_SUCCESS; + 353 .loc 1 249 0 + 354 009c 0020 movs r0, #0 + 355 009e BDE8F081 pop {r4, r5, r6, r7, r8, pc} + 356 .LVL43: + 357 .L26: + 250:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 251:.\Generated_Source\PSoC5/USBFS_boot.c **** else + 252:.\Generated_Source\PSoC5/USBFS_boot.c **** { + 253:.\Generated_Source\PSoC5/USBFS_boot.c **** *count = 0u; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 12 + + + 358 .loc 1 253 0 + 359 00a2 0020 movs r0, #0 + 360 00a4 2880 strh r0, [r5, #0] @ movhi + 361 .LVL44: + 254:.\Generated_Source\PSoC5/USBFS_boot.c **** status = CYRET_TIMEOUT; + 362 .loc 1 254 0 + 363 00a6 1020 movs r0, #16 + 364 .LVL45: + 255:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 256:.\Generated_Source\PSoC5/USBFS_boot.c **** return(status); + 257:.\Generated_Source\PSoC5/USBFS_boot.c **** } + 365 .loc 1 257 0 + 366 00a8 BDE8F081 pop {r4, r5, r6, r7, r8, pc} + 367 .L44: + 368 .align 2 + 369 .L43: + 370 00ac 00000000 .word .LANCHOR0 + 371 .cfi_endproc + 372 .LFE4: + 373 .size USBFS_CyBtldrCommRead, .-USBFS_CyBtldrCommRead + 374 .bss + 375 .align 1 + 376 .set .LANCHOR0,. + 0 + 377 .type USBFS_started, %object + 378 .size USBFS_started, 1 + 379 USBFS_started: + 380 0000 00 .space 1 + 381 0001 00 .space 1 + 382 .type USBFS_universalTime, %object + 383 .size USBFS_universalTime, 2 + 384 USBFS_universalTime: + 385 0002 0000 .space 2 + 386 .text + 387 .Letext0: + 388 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 389 .file 3 ".\\Generated_Source\\PSoC5\\USBFS.h" + 390 .file 4 ".\\Generated_Source\\PSoC5\\CyLib.h" + 391 .section .debug_info,"",%progbits + 392 .Ldebug_info0: + 393 0000 0B040000 .4byte 0x40b + 394 0004 0200 .2byte 0x2 + 395 0006 00000000 .4byte .Ldebug_abbrev0 + 396 000a 04 .byte 0x4 + 397 000b 01 .uleb128 0x1 + 398 000c 86010000 .4byte .LASF37 + 399 0010 01 .byte 0x1 + 400 0011 55020000 .4byte .LASF38 + 401 0015 CF010000 .4byte .LASF39 + 402 0019 00000000 .4byte .Ldebug_ranges0+0 + 403 001d 00000000 .4byte 0 + 404 0021 00000000 .4byte 0 + 405 0025 00000000 .4byte .Ldebug_line0 + 406 0029 02 .uleb128 0x2 + 407 002a 01 .byte 0x1 + 408 002b 06 .byte 0x6 + 409 002c 1F020000 .4byte .LASF0 + 410 0030 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 13 + + + 411 0031 01 .byte 0x1 + 412 0032 08 .byte 0x8 + 413 0033 97000000 .4byte .LASF1 + 414 0037 02 .uleb128 0x2 + 415 0038 02 .byte 0x2 + 416 0039 05 .byte 0x5 + 417 003a 7C010000 .4byte .LASF2 + 418 003e 02 .uleb128 0x2 + 419 003f 02 .byte 0x2 + 420 0040 07 .byte 0x7 + 421 0041 16000000 .4byte .LASF3 + 422 0045 02 .uleb128 0x2 + 423 0046 04 .byte 0x4 + 424 0047 05 .byte 0x5 + 425 0048 00020000 .4byte .LASF4 + 426 004c 02 .uleb128 0x2 + 427 004d 04 .byte 0x4 + 428 004e 07 .byte 0x7 + 429 004f 7F000000 .4byte .LASF5 + 430 0053 02 .uleb128 0x2 + 431 0054 08 .byte 0x8 + 432 0055 05 .byte 0x5 + 433 0056 5A010000 .4byte .LASF6 + 434 005a 02 .uleb128 0x2 + 435 005b 08 .byte 0x8 + 436 005c 07 .byte 0x7 + 437 005d 05010000 .4byte .LASF7 + 438 0061 03 .uleb128 0x3 + 439 0062 04 .byte 0x4 + 440 0063 05 .byte 0x5 + 441 0064 696E7400 .ascii "int\000" + 442 0068 02 .uleb128 0x2 + 443 0069 04 .byte 0x4 + 444 006a 07 .byte 0x7 + 445 006b F8000000 .4byte .LASF8 + 446 006f 04 .uleb128 0x4 + 447 0070 91000000 .4byte .LASF9 + 448 0074 02 .byte 0x2 + 449 0075 5B .byte 0x5b + 450 0076 30000000 .4byte 0x30 + 451 007a 04 .uleb128 0x4 + 452 007b D3000000 .4byte .LASF10 + 453 007f 02 .byte 0x2 + 454 0080 5C .byte 0x5c + 455 0081 3E000000 .4byte 0x3e + 456 0085 04 .uleb128 0x4 + 457 0086 DA000000 .4byte .LASF11 + 458 008a 02 .byte 0x2 + 459 008b 5D .byte 0x5d + 460 008c 4C000000 .4byte 0x4c + 461 0090 02 .uleb128 0x2 + 462 0091 04 .byte 0x4 + 463 0092 04 .byte 0x4 + 464 0093 69000000 .4byte .LASF12 + 465 0097 02 .uleb128 0x2 + 466 0098 08 .byte 0x8 + 467 0099 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 14 + + + 468 009a A5000000 .4byte .LASF13 + 469 009e 02 .uleb128 0x2 + 470 009f 01 .byte 0x1 + 471 00a0 08 .byte 0x8 + 472 00a1 68010000 .4byte .LASF14 + 473 00a5 04 .uleb128 0x4 + 474 00a6 00000000 .4byte .LASF15 + 475 00aa 02 .byte 0x2 + 476 00ab E8 .byte 0xe8 + 477 00ac 4C000000 .4byte 0x4c + 478 00b0 02 .uleb128 0x2 + 479 00b1 04 .byte 0x4 + 480 00b2 07 .byte 0x7 + 481 00b3 51010000 .4byte .LASF16 + 482 00b7 05 .uleb128 0x5 + 483 00b8 01 .byte 0x1 + 484 00b9 52000000 .4byte .LASF17 + 485 00bd 01 .byte 0x1 + 486 00be 3E .byte 0x3e + 487 00bf 01 .byte 0x1 + 488 00c0 00000000 .4byte .LFB0 + 489 00c4 18000000 .4byte .LFE0 + 490 00c8 00000000 .4byte .LLST0 + 491 00cc 01 .byte 0x1 + 492 00cd E6000000 .4byte 0xe6 + 493 00d1 06 .uleb128 0x6 + 494 00d2 0C000000 .4byte .LVL0 + 495 00d6 48030000 .4byte 0x348 + 496 00da 07 .uleb128 0x7 + 497 00db 01 .byte 0x1 + 498 00dc 51 .byte 0x51 + 499 00dd 01 .byte 0x1 + 500 00de 32 .byte 0x32 + 501 00df 07 .uleb128 0x7 + 502 00e0 01 .byte 0x1 + 503 00e1 50 .byte 0x50 + 504 00e2 01 .byte 0x1 + 505 00e3 30 .byte 0x30 + 506 00e4 00 .byte 0 + 507 00e5 00 .byte 0 + 508 00e6 08 .uleb128 0x8 + 509 00e7 01 .byte 0x1 + 510 00e8 09020000 .4byte .LASF18 + 511 00ec 01 .byte 0x1 + 512 00ed 59 .byte 0x59 + 513 00ee 01 .byte 0x1 + 514 00ef 00000000 .4byte .LFB1 + 515 00f3 04000000 .4byte .LFE1 + 516 00f7 02 .byte 0x2 + 517 00f8 7D .byte 0x7d + 518 00f9 00 .sleb128 0 + 519 00fa 01 .byte 0x1 + 520 00fb 0A010000 .4byte 0x10a + 521 00ff 09 .uleb128 0x9 + 522 0100 04000000 .4byte .LVL1 + 523 0104 01 .byte 0x1 + 524 0105 61030000 .4byte 0x361 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 15 + + + 525 0109 00 .byte 0 + 526 010a 08 .uleb128 0x8 + 527 010b 01 .byte 0x1 + 528 010c 29000000 .4byte .LASF19 + 529 0110 01 .byte 0x1 + 530 0111 70 .byte 0x70 + 531 0112 01 .byte 0x1 + 532 0113 00000000 .4byte .LFB2 + 533 0117 06000000 .4byte .LFE2 + 534 011b 02 .byte 0x2 + 535 011c 7D .byte 0x7d + 536 011d 00 .sleb128 0 + 537 011e 01 .byte 0x1 + 538 011f 34010000 .4byte 0x134 + 539 0123 0A .uleb128 0xa + 540 0124 06000000 .4byte .LVL2 + 541 0128 01 .byte 0x1 + 542 0129 6B030000 .4byte 0x36b + 543 012d 07 .uleb128 0x7 + 544 012e 01 .byte 0x1 + 545 012f 50 .byte 0x50 + 546 0130 01 .byte 0x1 + 547 0131 31 .byte 0x31 + 548 0132 00 .byte 0 + 549 0133 00 .byte 0 + 550 0134 0B .uleb128 0xb + 551 0135 01 .byte 0x1 + 552 0136 BC000000 .4byte .LASF26 + 553 013a 01 .byte 0x1 + 554 013b 8D .byte 0x8d + 555 013c 01 .byte 0x1 + 556 013d A5000000 .4byte 0xa5 + 557 0141 00000000 .4byte .LFB3 + 558 0145 54000000 .4byte .LFE3 + 559 0149 20000000 .4byte .LLST1 + 560 014d 01 .byte 0x1 + 561 014e 01020000 .4byte 0x201 + 562 0152 0C .uleb128 0xc + 563 0153 4C000000 .4byte .LASF20 + 564 0157 01 .byte 0x1 + 565 0158 8D .byte 0x8d + 566 0159 01020000 .4byte 0x201 + 567 015d 40000000 .4byte .LLST2 + 568 0161 0C .uleb128 0xc + 569 0162 7A000000 .4byte .LASF21 + 570 0166 01 .byte 0x1 + 571 0167 8D .byte 0x8d + 572 0168 7A000000 .4byte 0x7a + 573 016c 6C000000 .4byte .LLST3 + 574 0170 0C .uleb128 0xc + 575 0171 10000000 .4byte .LASF22 + 576 0175 01 .byte 0x1 + 577 0176 8D .byte 0x8d + 578 0177 07020000 .4byte 0x207 + 579 017b 8D000000 .4byte .LLST4 + 580 017f 0C .uleb128 0xc + 581 0180 44010000 .4byte .LASF23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 16 + + + 582 0184 01 .byte 0x1 + 583 0185 8D .byte 0x8d + 584 0186 6F000000 .4byte 0x6f + 585 018a AB000000 .4byte .LLST5 + 586 018e 0D .uleb128 0xd + 587 018f 4C010000 .4byte .LASF24 + 588 0193 01 .byte 0x1 + 589 0194 90 .byte 0x90 + 590 0195 7A000000 .4byte 0x7a + 591 0199 CC000000 .4byte .LLST6 + 592 019d 0D .uleb128 0xd + 593 019e 09000000 .4byte .LASF25 + 594 01a2 01 .byte 0x1 + 595 01a3 91 .byte 0x91 + 596 01a4 A5000000 .4byte 0xa5 + 597 01a8 F6000000 .4byte .LLST7 + 598 01ac 0E .uleb128 0xe + 599 01ad 14000000 .4byte .LVL7 + 600 01b1 7F030000 .4byte 0x37f + 601 01b5 CB010000 .4byte 0x1cb + 602 01b9 07 .uleb128 0x7 + 603 01ba 01 .byte 0x1 + 604 01bb 52 .byte 0x52 + 605 01bc 02 .byte 0x2 + 606 01bd 08 .byte 0x8 + 607 01be 40 .byte 0x40 + 608 01bf 07 .uleb128 0x7 + 609 01c0 01 .byte 0x1 + 610 01c1 51 .byte 0x51 + 611 01c2 02 .byte 0x2 + 612 01c3 77 .byte 0x77 + 613 01c4 00 .sleb128 0 + 614 01c5 07 .uleb128 0x7 + 615 01c6 01 .byte 0x1 + 616 01c7 50 .byte 0x50 + 617 01c8 01 .byte 0x1 + 618 01c9 32 .byte 0x32 + 619 01ca 00 .byte 0 + 620 01cb 0E .uleb128 0xe + 621 01cc 24000000 .4byte .LVL10 + 622 01d0 A8030000 .4byte 0x3a8 + 623 01d4 DE010000 .4byte 0x1de + 624 01d8 07 .uleb128 0x7 + 625 01d9 01 .byte 0x1 + 626 01da 50 .byte 0x50 + 627 01db 01 .byte 0x1 + 628 01dc 32 .byte 0x32 + 629 01dd 00 .byte 0 + 630 01de 0E .uleb128 0xe + 631 01df 38000000 .4byte .LVL12 + 632 01e3 C0030000 .4byte 0x3c0 + 633 01e7 F1010000 .4byte 0x1f1 + 634 01eb 07 .uleb128 0x7 + 635 01ec 01 .byte 0x1 + 636 01ed 50 .byte 0x50 + 637 01ee 01 .byte 0x1 + 638 01ef 31 .byte 0x31 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 17 + + + 639 01f0 00 .byte 0 + 640 01f1 06 .uleb128 0x6 + 641 01f2 42000000 .4byte .LVL14 + 642 01f6 A8030000 .4byte 0x3a8 + 643 01fa 07 .uleb128 0x7 + 644 01fb 01 .byte 0x1 + 645 01fc 50 .byte 0x50 + 646 01fd 01 .byte 0x1 + 647 01fe 32 .byte 0x32 + 648 01ff 00 .byte 0 + 649 0200 00 .byte 0 + 650 0201 0F .uleb128 0xf + 651 0202 04 .byte 0x4 + 652 0203 6F000000 .4byte 0x6f + 653 0207 0F .uleb128 0xf + 654 0208 04 .byte 0x4 + 655 0209 7A000000 .4byte 0x7a + 656 020d 0B .uleb128 0xb + 657 020e 01 .byte 0x1 + 658 020f 2E010000 .4byte .LASF27 + 659 0213 01 .byte 0x1 + 660 0214 C7 .byte 0xc7 + 661 0215 01 .byte 0x1 + 662 0216 A5000000 .4byte 0xa5 + 663 021a 00000000 .4byte .LFB4 + 664 021e B0000000 .4byte .LFE4 + 665 0222 15010000 .4byte .LLST8 + 666 0226 01 .byte 0x1 + 667 0227 26030000 .4byte 0x326 + 668 022b 0C .uleb128 0xc + 669 022c 4C000000 .4byte .LASF20 + 670 0230 01 .byte 0x1 + 671 0231 C7 .byte 0xc7 + 672 0232 01020000 .4byte 0x201 + 673 0236 35010000 .4byte .LLST9 + 674 023a 0C .uleb128 0xc + 675 023b 7A000000 .4byte .LASF21 + 676 023f 01 .byte 0x1 + 677 0240 C7 .byte 0xc7 + 678 0241 7A000000 .4byte 0x7a + 679 0245 69010000 .4byte .LLST10 + 680 0249 0C .uleb128 0xc + 681 024a 10000000 .4byte .LASF22 + 682 024e 01 .byte 0x1 + 683 024f C7 .byte 0xc7 + 684 0250 07020000 .4byte 0x207 + 685 0254 FC010000 .4byte .LLST11 + 686 0258 0C .uleb128 0xc + 687 0259 44010000 .4byte .LASF23 + 688 025d 01 .byte 0x1 + 689 025e C7 .byte 0xc7 + 690 025f 6F000000 .4byte 0x6f + 691 0263 1A020000 .4byte .LLST12 + 692 0267 0D .uleb128 0xd + 693 0268 09000000 .4byte .LASF25 + 694 026c 01 .byte 0x1 + 695 026d CA .byte 0xca + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 18 + + + 696 026e A5000000 .4byte 0xa5 + 697 0272 3B020000 .4byte .LLST13 + 698 0276 0D .uleb128 0xd + 699 0277 4C010000 .4byte .LASF24 + 700 027b 01 .byte 0x1 + 701 027c CB .byte 0xcb + 702 027d 7A000000 .4byte 0x7a + 703 0281 66020000 .4byte .LLST14 + 704 0285 10 .uleb128 0x10 + 705 0286 1C000000 .4byte .LVL23 + 706 028a D4030000 .4byte 0x3d4 + 707 028e 0E .uleb128 0xe + 708 028f 30000000 .4byte .LVL25 + 709 0293 C0030000 .4byte 0x3c0 + 710 0297 A1020000 .4byte 0x2a1 + 711 029b 07 .uleb128 0x7 + 712 029c 01 .byte 0x1 + 713 029d 50 .byte 0x50 + 714 029e 01 .byte 0x1 + 715 029f 31 .byte 0x31 + 716 02a0 00 .byte 0 + 717 02a1 10 .uleb128 0x10 + 718 02a2 3A000000 .4byte .LVL27 + 719 02a6 D4030000 .4byte 0x3d4 + 720 02aa 10 .uleb128 0x10 + 721 02ab 40000000 .4byte .LVL28 + 722 02af E2030000 .4byte 0x3e2 + 723 02b3 10 .uleb128 0x10 + 724 02b4 44000000 .4byte .LVL29 + 725 02b8 0A010000 .4byte 0x10a + 726 02bc 10 .uleb128 0x10 + 727 02bd 50000000 .4byte .LVL31 + 728 02c1 E2030000 .4byte 0x3e2 + 729 02c5 10 .uleb128 0x10 + 730 02c6 5A000000 .4byte .LVL32 + 731 02ca D4030000 .4byte 0x3d4 + 732 02ce 10 .uleb128 0x10 + 733 02cf 62000000 .4byte .LVL33 + 734 02d3 0A010000 .4byte 0x10a + 735 02d7 10 .uleb128 0x10 + 736 02d8 74000000 .4byte .LVL36 + 737 02dc C0030000 .4byte 0x3c0 + 738 02e0 0E .uleb128 0xe + 739 02e1 7C000000 .4byte .LVL38 + 740 02e5 A8030000 .4byte 0x3a8 + 741 02e9 F3020000 .4byte 0x2f3 + 742 02ed 07 .uleb128 0x7 + 743 02ee 01 .byte 0x1 + 744 02ef 50 .byte 0x50 + 745 02f0 01 .byte 0x1 + 746 02f1 31 .byte 0x31 + 747 02f2 00 .byte 0 + 748 02f3 10 .uleb128 0x10 + 749 02f4 88000000 .4byte .LVL40 + 750 02f8 A8030000 .4byte 0x3a8 + 751 02fc 06 .uleb128 0x6 + 752 02fd 9A000000 .4byte .LVL41 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 19 + + + 753 0301 F0030000 .4byte 0x3f0 + 754 0305 07 .uleb128 0x7 + 755 0306 01 .byte 0x1 + 756 0307 52 .byte 0x52 + 757 0308 15 .byte 0x15 + 758 0309 77 .byte 0x77 + 759 030a 00 .sleb128 0 + 760 030b 12 .byte 0x12 + 761 030c 40 .byte 0x40 + 762 030d 4B .byte 0x4b + 763 030e 24 .byte 0x24 + 764 030f 22 .byte 0x22 + 765 0310 08 .byte 0x8 + 766 0311 40 .byte 0x40 + 767 0312 16 .byte 0x16 + 768 0313 14 .byte 0x14 + 769 0314 40 .byte 0x40 + 770 0315 4B .byte 0x4b + 771 0316 24 .byte 0x24 + 772 0317 22 .byte 0x22 + 773 0318 2D .byte 0x2d + 774 0319 28 .byte 0x28 + 775 031a 0100 .2byte 0x1 + 776 031c 16 .byte 0x16 + 777 031d 13 .byte 0x13 + 778 031e 07 .uleb128 0x7 + 779 031f 01 .byte 0x1 + 780 0320 51 .byte 0x51 + 781 0321 02 .byte 0x2 + 782 0322 78 .byte 0x78 + 783 0323 00 .sleb128 0 + 784 0324 00 .byte 0 + 785 0325 00 .byte 0 + 786 0326 11 .uleb128 0x11 + 787 0327 39020000 .4byte .LASF28 + 788 032b 01 .byte 0x1 + 789 032c 26 .byte 0x26 + 790 032d 7A000000 .4byte 0x7a + 791 0331 05 .byte 0x5 + 792 0332 03 .byte 0x3 + 793 0333 02000000 .4byte USBFS_universalTime + 794 0337 11 .uleb128 0x11 + 795 0338 2B020000 .4byte .LASF29 + 796 033c 01 .byte 0x1 + 797 033d 27 .byte 0x27 + 798 033e 6F000000 .4byte 0x6f + 799 0342 05 .byte 0x5 + 800 0343 03 .byte 0x3 + 801 0344 00000000 .4byte USBFS_started + 802 0348 12 .uleb128 0x12 + 803 0349 01 .byte 0x1 + 804 034a 40000000 .4byte .LASF30 + 805 034e 03 .byte 0x3 + 806 034f BF .byte 0xbf + 807 0350 01 .byte 0x1 + 808 0351 01 .byte 0x1 + 809 0352 61030000 .4byte 0x361 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 20 + + + 810 0356 13 .uleb128 0x13 + 811 0357 6F000000 .4byte 0x6f + 812 035b 13 .uleb128 0x13 + 813 035c 6F000000 .4byte 0x6f + 814 0360 00 .byte 0 + 815 0361 14 .uleb128 0x14 + 816 0362 01 .byte 0x1 + 817 0363 6F000000 .4byte .LASF40 + 818 0367 03 .byte 0x3 + 819 0368 C2 .byte 0xc2 + 820 0369 01 .byte 0x1 + 821 036a 01 .byte 0x1 + 822 036b 12 .uleb128 0x12 + 823 036c 01 .byte 0x1 + 824 036d 1C010000 .4byte .LASF31 + 825 0371 03 .byte 0x3 + 826 0372 CE .byte 0xce + 827 0373 01 .byte 0x1 + 828 0374 01 .byte 0x1 + 829 0375 7F030000 .4byte 0x37f + 830 0379 13 .uleb128 0x13 + 831 037a 6F000000 .4byte 0x6f + 832 037e 00 .byte 0 + 833 037f 12 .uleb128 0x12 + 834 0380 01 .byte 0x1 + 835 0381 6D010000 .4byte .LASF32 + 836 0385 03 .byte 0x3 + 837 0386 CA .byte 0xca + 838 0387 01 .byte 0x1 + 839 0388 01 .byte 0x1 + 840 0389 9D030000 .4byte 0x39d + 841 038d 13 .uleb128 0x13 + 842 038e 6F000000 .4byte 0x6f + 843 0392 13 .uleb128 0x13 + 844 0393 9D030000 .4byte 0x39d + 845 0397 13 .uleb128 0x13 + 846 0398 7A000000 .4byte 0x7a + 847 039c 00 .byte 0 + 848 039d 0F .uleb128 0xf + 849 039e 04 .byte 0x4 + 850 039f A3030000 .4byte 0x3a3 + 851 03a3 15 .uleb128 0x15 + 852 03a4 6F000000 .4byte 0x6f + 853 03a8 16 .uleb128 0x16 + 854 03a9 01 .byte 0x1 + 855 03aa EF010000 .4byte .LASF41 + 856 03ae 03 .byte 0x3 + 857 03af C8 .byte 0xc8 + 858 03b0 01 .byte 0x1 + 859 03b1 6F000000 .4byte 0x6f + 860 03b5 01 .byte 0x1 + 861 03b6 C0030000 .4byte 0x3c0 + 862 03ba 13 .uleb128 0x13 + 863 03bb 6F000000 .4byte 0x6f + 864 03bf 00 .byte 0 + 865 03c0 12 .uleb128 0x12 + 866 03c1 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 21 + + + 867 03c2 4D020000 .4byte .LASF33 + 868 03c6 04 .byte 0x4 + 869 03c7 77 .byte 0x77 + 870 03c8 01 .byte 0x1 + 871 03c9 01 .byte 0x1 + 872 03ca D4030000 .4byte 0x3d4 + 873 03ce 13 .uleb128 0x13 + 874 03cf 85000000 .4byte 0x85 + 875 03d3 00 .byte 0 + 876 03d4 17 .uleb128 0x17 + 877 03d5 01 .byte 0x1 + 878 03d6 E1000000 .4byte .LASF34 + 879 03da 03 .byte 0x3 + 880 03db C4 .byte 0xc4 + 881 03dc 01 .byte 0x1 + 882 03dd 6F000000 .4byte 0x6f + 883 03e1 01 .byte 0x1 + 884 03e2 17 .uleb128 0x17 + 885 03e3 01 .byte 0x1 + 886 03e4 7B020000 .4byte .LASF35 + 887 03e8 03 .byte 0x3 + 888 03e9 C5 .byte 0xc5 + 889 03ea 01 .byte 0x1 + 890 03eb 6F000000 .4byte 0x6f + 891 03ef 01 .byte 0x1 + 892 03f0 18 .uleb128 0x18 + 893 03f1 01 .byte 0x1 + 894 03f2 AC000000 .4byte .LASF36 + 895 03f6 03 .byte 0x3 + 896 03f7 CC .byte 0xcc + 897 03f8 01 .byte 0x1 + 898 03f9 7A000000 .4byte 0x7a + 899 03fd 01 .byte 0x1 + 900 03fe 13 .uleb128 0x13 + 901 03ff 6F000000 .4byte 0x6f + 902 0403 13 .uleb128 0x13 + 903 0404 01020000 .4byte 0x201 + 904 0408 13 .uleb128 0x13 + 905 0409 7A000000 .4byte 0x7a + 906 040d 00 .byte 0 + 907 040e 00 .byte 0 + 908 .section .debug_abbrev,"",%progbits + 909 .Ldebug_abbrev0: + 910 0000 01 .uleb128 0x1 + 911 0001 11 .uleb128 0x11 + 912 0002 01 .byte 0x1 + 913 0003 25 .uleb128 0x25 + 914 0004 0E .uleb128 0xe + 915 0005 13 .uleb128 0x13 + 916 0006 0B .uleb128 0xb + 917 0007 03 .uleb128 0x3 + 918 0008 0E .uleb128 0xe + 919 0009 1B .uleb128 0x1b + 920 000a 0E .uleb128 0xe + 921 000b 55 .uleb128 0x55 + 922 000c 06 .uleb128 0x6 + 923 000d 11 .uleb128 0x11 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 22 + + + 924 000e 01 .uleb128 0x1 + 925 000f 52 .uleb128 0x52 + 926 0010 01 .uleb128 0x1 + 927 0011 10 .uleb128 0x10 + 928 0012 06 .uleb128 0x6 + 929 0013 00 .byte 0 + 930 0014 00 .byte 0 + 931 0015 02 .uleb128 0x2 + 932 0016 24 .uleb128 0x24 + 933 0017 00 .byte 0 + 934 0018 0B .uleb128 0xb + 935 0019 0B .uleb128 0xb + 936 001a 3E .uleb128 0x3e + 937 001b 0B .uleb128 0xb + 938 001c 03 .uleb128 0x3 + 939 001d 0E .uleb128 0xe + 940 001e 00 .byte 0 + 941 001f 00 .byte 0 + 942 0020 03 .uleb128 0x3 + 943 0021 24 .uleb128 0x24 + 944 0022 00 .byte 0 + 945 0023 0B .uleb128 0xb + 946 0024 0B .uleb128 0xb + 947 0025 3E .uleb128 0x3e + 948 0026 0B .uleb128 0xb + 949 0027 03 .uleb128 0x3 + 950 0028 08 .uleb128 0x8 + 951 0029 00 .byte 0 + 952 002a 00 .byte 0 + 953 002b 04 .uleb128 0x4 + 954 002c 16 .uleb128 0x16 + 955 002d 00 .byte 0 + 956 002e 03 .uleb128 0x3 + 957 002f 0E .uleb128 0xe + 958 0030 3A .uleb128 0x3a + 959 0031 0B .uleb128 0xb + 960 0032 3B .uleb128 0x3b + 961 0033 0B .uleb128 0xb + 962 0034 49 .uleb128 0x49 + 963 0035 13 .uleb128 0x13 + 964 0036 00 .byte 0 + 965 0037 00 .byte 0 + 966 0038 05 .uleb128 0x5 + 967 0039 2E .uleb128 0x2e + 968 003a 01 .byte 0x1 + 969 003b 3F .uleb128 0x3f + 970 003c 0C .uleb128 0xc + 971 003d 03 .uleb128 0x3 + 972 003e 0E .uleb128 0xe + 973 003f 3A .uleb128 0x3a + 974 0040 0B .uleb128 0xb + 975 0041 3B .uleb128 0x3b + 976 0042 0B .uleb128 0xb + 977 0043 27 .uleb128 0x27 + 978 0044 0C .uleb128 0xc + 979 0045 11 .uleb128 0x11 + 980 0046 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 23 + + + 981 0047 12 .uleb128 0x12 + 982 0048 01 .uleb128 0x1 + 983 0049 40 .uleb128 0x40 + 984 004a 06 .uleb128 0x6 + 985 004b 9742 .uleb128 0x2117 + 986 004d 0C .uleb128 0xc + 987 004e 01 .uleb128 0x1 + 988 004f 13 .uleb128 0x13 + 989 0050 00 .byte 0 + 990 0051 00 .byte 0 + 991 0052 06 .uleb128 0x6 + 992 0053 898201 .uleb128 0x4109 + 993 0056 01 .byte 0x1 + 994 0057 11 .uleb128 0x11 + 995 0058 01 .uleb128 0x1 + 996 0059 31 .uleb128 0x31 + 997 005a 13 .uleb128 0x13 + 998 005b 00 .byte 0 + 999 005c 00 .byte 0 + 1000 005d 07 .uleb128 0x7 + 1001 005e 8A8201 .uleb128 0x410a + 1002 0061 00 .byte 0 + 1003 0062 02 .uleb128 0x2 + 1004 0063 0A .uleb128 0xa + 1005 0064 9142 .uleb128 0x2111 + 1006 0066 0A .uleb128 0xa + 1007 0067 00 .byte 0 + 1008 0068 00 .byte 0 + 1009 0069 08 .uleb128 0x8 + 1010 006a 2E .uleb128 0x2e + 1011 006b 01 .byte 0x1 + 1012 006c 3F .uleb128 0x3f + 1013 006d 0C .uleb128 0xc + 1014 006e 03 .uleb128 0x3 + 1015 006f 0E .uleb128 0xe + 1016 0070 3A .uleb128 0x3a + 1017 0071 0B .uleb128 0xb + 1018 0072 3B .uleb128 0x3b + 1019 0073 0B .uleb128 0xb + 1020 0074 27 .uleb128 0x27 + 1021 0075 0C .uleb128 0xc + 1022 0076 11 .uleb128 0x11 + 1023 0077 01 .uleb128 0x1 + 1024 0078 12 .uleb128 0x12 + 1025 0079 01 .uleb128 0x1 + 1026 007a 40 .uleb128 0x40 + 1027 007b 0A .uleb128 0xa + 1028 007c 9742 .uleb128 0x2117 + 1029 007e 0C .uleb128 0xc + 1030 007f 01 .uleb128 0x1 + 1031 0080 13 .uleb128 0x13 + 1032 0081 00 .byte 0 + 1033 0082 00 .byte 0 + 1034 0083 09 .uleb128 0x9 + 1035 0084 898201 .uleb128 0x4109 + 1036 0087 00 .byte 0 + 1037 0088 11 .uleb128 0x11 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 24 + + + 1038 0089 01 .uleb128 0x1 + 1039 008a 9542 .uleb128 0x2115 + 1040 008c 0C .uleb128 0xc + 1041 008d 31 .uleb128 0x31 + 1042 008e 13 .uleb128 0x13 + 1043 008f 00 .byte 0 + 1044 0090 00 .byte 0 + 1045 0091 0A .uleb128 0xa + 1046 0092 898201 .uleb128 0x4109 + 1047 0095 01 .byte 0x1 + 1048 0096 11 .uleb128 0x11 + 1049 0097 01 .uleb128 0x1 + 1050 0098 9542 .uleb128 0x2115 + 1051 009a 0C .uleb128 0xc + 1052 009b 31 .uleb128 0x31 + 1053 009c 13 .uleb128 0x13 + 1054 009d 00 .byte 0 + 1055 009e 00 .byte 0 + 1056 009f 0B .uleb128 0xb + 1057 00a0 2E .uleb128 0x2e + 1058 00a1 01 .byte 0x1 + 1059 00a2 3F .uleb128 0x3f + 1060 00a3 0C .uleb128 0xc + 1061 00a4 03 .uleb128 0x3 + 1062 00a5 0E .uleb128 0xe + 1063 00a6 3A .uleb128 0x3a + 1064 00a7 0B .uleb128 0xb + 1065 00a8 3B .uleb128 0x3b + 1066 00a9 0B .uleb128 0xb + 1067 00aa 27 .uleb128 0x27 + 1068 00ab 0C .uleb128 0xc + 1069 00ac 49 .uleb128 0x49 + 1070 00ad 13 .uleb128 0x13 + 1071 00ae 11 .uleb128 0x11 + 1072 00af 01 .uleb128 0x1 + 1073 00b0 12 .uleb128 0x12 + 1074 00b1 01 .uleb128 0x1 + 1075 00b2 40 .uleb128 0x40 + 1076 00b3 06 .uleb128 0x6 + 1077 00b4 9742 .uleb128 0x2117 + 1078 00b6 0C .uleb128 0xc + 1079 00b7 01 .uleb128 0x1 + 1080 00b8 13 .uleb128 0x13 + 1081 00b9 00 .byte 0 + 1082 00ba 00 .byte 0 + 1083 00bb 0C .uleb128 0xc + 1084 00bc 05 .uleb128 0x5 + 1085 00bd 00 .byte 0 + 1086 00be 03 .uleb128 0x3 + 1087 00bf 0E .uleb128 0xe + 1088 00c0 3A .uleb128 0x3a + 1089 00c1 0B .uleb128 0xb + 1090 00c2 3B .uleb128 0x3b + 1091 00c3 0B .uleb128 0xb + 1092 00c4 49 .uleb128 0x49 + 1093 00c5 13 .uleb128 0x13 + 1094 00c6 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 25 + + + 1095 00c7 06 .uleb128 0x6 + 1096 00c8 00 .byte 0 + 1097 00c9 00 .byte 0 + 1098 00ca 0D .uleb128 0xd + 1099 00cb 34 .uleb128 0x34 + 1100 00cc 00 .byte 0 + 1101 00cd 03 .uleb128 0x3 + 1102 00ce 0E .uleb128 0xe + 1103 00cf 3A .uleb128 0x3a + 1104 00d0 0B .uleb128 0xb + 1105 00d1 3B .uleb128 0x3b + 1106 00d2 0B .uleb128 0xb + 1107 00d3 49 .uleb128 0x49 + 1108 00d4 13 .uleb128 0x13 + 1109 00d5 02 .uleb128 0x2 + 1110 00d6 06 .uleb128 0x6 + 1111 00d7 00 .byte 0 + 1112 00d8 00 .byte 0 + 1113 00d9 0E .uleb128 0xe + 1114 00da 898201 .uleb128 0x4109 + 1115 00dd 01 .byte 0x1 + 1116 00de 11 .uleb128 0x11 + 1117 00df 01 .uleb128 0x1 + 1118 00e0 31 .uleb128 0x31 + 1119 00e1 13 .uleb128 0x13 + 1120 00e2 01 .uleb128 0x1 + 1121 00e3 13 .uleb128 0x13 + 1122 00e4 00 .byte 0 + 1123 00e5 00 .byte 0 + 1124 00e6 0F .uleb128 0xf + 1125 00e7 0F .uleb128 0xf + 1126 00e8 00 .byte 0 + 1127 00e9 0B .uleb128 0xb + 1128 00ea 0B .uleb128 0xb + 1129 00eb 49 .uleb128 0x49 + 1130 00ec 13 .uleb128 0x13 + 1131 00ed 00 .byte 0 + 1132 00ee 00 .byte 0 + 1133 00ef 10 .uleb128 0x10 + 1134 00f0 898201 .uleb128 0x4109 + 1135 00f3 00 .byte 0 + 1136 00f4 11 .uleb128 0x11 + 1137 00f5 01 .uleb128 0x1 + 1138 00f6 31 .uleb128 0x31 + 1139 00f7 13 .uleb128 0x13 + 1140 00f8 00 .byte 0 + 1141 00f9 00 .byte 0 + 1142 00fa 11 .uleb128 0x11 + 1143 00fb 34 .uleb128 0x34 + 1144 00fc 00 .byte 0 + 1145 00fd 03 .uleb128 0x3 + 1146 00fe 0E .uleb128 0xe + 1147 00ff 3A .uleb128 0x3a + 1148 0100 0B .uleb128 0xb + 1149 0101 3B .uleb128 0x3b + 1150 0102 0B .uleb128 0xb + 1151 0103 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 26 + + + 1152 0104 13 .uleb128 0x13 + 1153 0105 02 .uleb128 0x2 + 1154 0106 0A .uleb128 0xa + 1155 0107 00 .byte 0 + 1156 0108 00 .byte 0 + 1157 0109 12 .uleb128 0x12 + 1158 010a 2E .uleb128 0x2e + 1159 010b 01 .byte 0x1 + 1160 010c 3F .uleb128 0x3f + 1161 010d 0C .uleb128 0xc + 1162 010e 03 .uleb128 0x3 + 1163 010f 0E .uleb128 0xe + 1164 0110 3A .uleb128 0x3a + 1165 0111 0B .uleb128 0xb + 1166 0112 3B .uleb128 0x3b + 1167 0113 0B .uleb128 0xb + 1168 0114 27 .uleb128 0x27 + 1169 0115 0C .uleb128 0xc + 1170 0116 3C .uleb128 0x3c + 1171 0117 0C .uleb128 0xc + 1172 0118 01 .uleb128 0x1 + 1173 0119 13 .uleb128 0x13 + 1174 011a 00 .byte 0 + 1175 011b 00 .byte 0 + 1176 011c 13 .uleb128 0x13 + 1177 011d 05 .uleb128 0x5 + 1178 011e 00 .byte 0 + 1179 011f 49 .uleb128 0x49 + 1180 0120 13 .uleb128 0x13 + 1181 0121 00 .byte 0 + 1182 0122 00 .byte 0 + 1183 0123 14 .uleb128 0x14 + 1184 0124 2E .uleb128 0x2e + 1185 0125 00 .byte 0 + 1186 0126 3F .uleb128 0x3f + 1187 0127 0C .uleb128 0xc + 1188 0128 03 .uleb128 0x3 + 1189 0129 0E .uleb128 0xe + 1190 012a 3A .uleb128 0x3a + 1191 012b 0B .uleb128 0xb + 1192 012c 3B .uleb128 0x3b + 1193 012d 0B .uleb128 0xb + 1194 012e 27 .uleb128 0x27 + 1195 012f 0C .uleb128 0xc + 1196 0130 3C .uleb128 0x3c + 1197 0131 0C .uleb128 0xc + 1198 0132 00 .byte 0 + 1199 0133 00 .byte 0 + 1200 0134 15 .uleb128 0x15 + 1201 0135 26 .uleb128 0x26 + 1202 0136 00 .byte 0 + 1203 0137 49 .uleb128 0x49 + 1204 0138 13 .uleb128 0x13 + 1205 0139 00 .byte 0 + 1206 013a 00 .byte 0 + 1207 013b 16 .uleb128 0x16 + 1208 013c 2E .uleb128 0x2e + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 27 + + + 1209 013d 01 .byte 0x1 + 1210 013e 3F .uleb128 0x3f + 1211 013f 0C .uleb128 0xc + 1212 0140 03 .uleb128 0x3 + 1213 0141 0E .uleb128 0xe + 1214 0142 3A .uleb128 0x3a + 1215 0143 0B .uleb128 0xb + 1216 0144 3B .uleb128 0x3b + 1217 0145 0B .uleb128 0xb + 1218 0146 27 .uleb128 0x27 + 1219 0147 0C .uleb128 0xc + 1220 0148 49 .uleb128 0x49 + 1221 0149 13 .uleb128 0x13 + 1222 014a 3C .uleb128 0x3c + 1223 014b 0C .uleb128 0xc + 1224 014c 01 .uleb128 0x1 + 1225 014d 13 .uleb128 0x13 + 1226 014e 00 .byte 0 + 1227 014f 00 .byte 0 + 1228 0150 17 .uleb128 0x17 + 1229 0151 2E .uleb128 0x2e + 1230 0152 00 .byte 0 + 1231 0153 3F .uleb128 0x3f + 1232 0154 0C .uleb128 0xc + 1233 0155 03 .uleb128 0x3 + 1234 0156 0E .uleb128 0xe + 1235 0157 3A .uleb128 0x3a + 1236 0158 0B .uleb128 0xb + 1237 0159 3B .uleb128 0x3b + 1238 015a 0B .uleb128 0xb + 1239 015b 27 .uleb128 0x27 + 1240 015c 0C .uleb128 0xc + 1241 015d 49 .uleb128 0x49 + 1242 015e 13 .uleb128 0x13 + 1243 015f 3C .uleb128 0x3c + 1244 0160 0C .uleb128 0xc + 1245 0161 00 .byte 0 + 1246 0162 00 .byte 0 + 1247 0163 18 .uleb128 0x18 + 1248 0164 2E .uleb128 0x2e + 1249 0165 01 .byte 0x1 + 1250 0166 3F .uleb128 0x3f + 1251 0167 0C .uleb128 0xc + 1252 0168 03 .uleb128 0x3 + 1253 0169 0E .uleb128 0xe + 1254 016a 3A .uleb128 0x3a + 1255 016b 0B .uleb128 0xb + 1256 016c 3B .uleb128 0x3b + 1257 016d 0B .uleb128 0xb + 1258 016e 27 .uleb128 0x27 + 1259 016f 0C .uleb128 0xc + 1260 0170 49 .uleb128 0x49 + 1261 0171 13 .uleb128 0x13 + 1262 0172 3C .uleb128 0x3c + 1263 0173 0C .uleb128 0xc + 1264 0174 00 .byte 0 + 1265 0175 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 28 + + + 1266 0176 00 .byte 0 + 1267 .section .debug_loc,"",%progbits + 1268 .Ldebug_loc0: + 1269 .LLST0: + 1270 0000 00000000 .4byte .LFB0 + 1271 0004 02000000 .4byte .LCFI0 + 1272 0008 0200 .2byte 0x2 + 1273 000a 7D .byte 0x7d + 1274 000b 00 .sleb128 0 + 1275 000c 02000000 .4byte .LCFI0 + 1276 0010 18000000 .4byte .LFE0 + 1277 0014 0200 .2byte 0x2 + 1278 0016 7D .byte 0x7d + 1279 0017 08 .sleb128 8 + 1280 0018 00000000 .4byte 0 + 1281 001c 00000000 .4byte 0 + 1282 .LLST1: + 1283 0020 00000000 .4byte .LFB3 + 1284 0024 02000000 .4byte .LCFI1 + 1285 0028 0200 .2byte 0x2 + 1286 002a 7D .byte 0x7d + 1287 002b 00 .sleb128 0 + 1288 002c 02000000 .4byte .LCFI1 + 1289 0030 54000000 .4byte .LFE3 + 1290 0034 0200 .2byte 0x2 + 1291 0036 7D .byte 0x7d + 1292 0037 18 .sleb128 24 + 1293 0038 00000000 .4byte 0 + 1294 003c 00000000 .4byte 0 + 1295 .LLST2: + 1296 0040 00000000 .4byte .LVL3 + 1297 0044 08000000 .4byte .LVL4 + 1298 0048 0100 .2byte 0x1 + 1299 004a 50 .byte 0x50 + 1300 004b 08000000 .4byte .LVL4 + 1301 004f 1E000000 .4byte .LVL9 + 1302 0053 0100 .2byte 0x1 + 1303 0055 57 .byte 0x57 + 1304 0056 1E000000 .4byte .LVL9 + 1305 005a 54000000 .4byte .LFE3 + 1306 005e 0400 .2byte 0x4 + 1307 0060 F3 .byte 0xf3 + 1308 0061 01 .uleb128 0x1 + 1309 0062 50 .byte 0x50 + 1310 0063 9F .byte 0x9f + 1311 0064 00000000 .4byte 0 + 1312 0068 00000000 .4byte 0 + 1313 .LLST3: + 1314 006c 00000000 .4byte .LVL3 + 1315 0070 0C000000 .4byte .LVL5 + 1316 0074 0100 .2byte 0x1 + 1317 0076 51 .byte 0x51 + 1318 0077 0C000000 .4byte .LVL5 + 1319 007b 54000000 .4byte .LFE3 + 1320 007f 0400 .2byte 0x4 + 1321 0081 F3 .byte 0xf3 + 1322 0082 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 29 + + + 1323 0083 51 .byte 0x51 + 1324 0084 9F .byte 0x9f + 1325 0085 00000000 .4byte 0 + 1326 0089 00000000 .4byte 0 + 1327 .LLST4: + 1328 008d 00000000 .4byte .LVL3 + 1329 0091 0E000000 .4byte .LVL6 + 1330 0095 0100 .2byte 0x1 + 1331 0097 52 .byte 0x52 + 1332 0098 0E000000 .4byte .LVL6 + 1333 009c 54000000 .4byte .LFE3 + 1334 00a0 0100 .2byte 0x1 + 1335 00a2 55 .byte 0x55 + 1336 00a3 00000000 .4byte 0 + 1337 00a7 00000000 .4byte 0 + 1338 .LLST5: + 1339 00ab 00000000 .4byte .LVL3 + 1340 00af 13000000 .4byte .LVL7-1 + 1341 00b3 0100 .2byte 0x1 + 1342 00b5 53 .byte 0x53 + 1343 00b6 13000000 .4byte .LVL7-1 + 1344 00ba 54000000 .4byte .LFE3 + 1345 00be 0400 .2byte 0x4 + 1346 00c0 F3 .byte 0xf3 + 1347 00c1 01 .uleb128 0x1 + 1348 00c2 53 .byte 0x53 + 1349 00c3 9F .byte 0x9f + 1350 00c4 00000000 .4byte 0 + 1351 00c8 00000000 .4byte 0 + 1352 .LLST6: + 1353 00cc 1C000000 .4byte .LVL8 + 1354 00d0 1E000000 .4byte .LVL9 + 1355 00d4 0200 .2byte 0x2 + 1356 00d6 30 .byte 0x30 + 1357 00d7 9F .byte 0x9f + 1358 00d8 1E000000 .4byte .LVL9 + 1359 00dc 2E000000 .4byte .LVL11 + 1360 00e0 0100 .2byte 0x1 + 1361 00e2 54 .byte 0x54 + 1362 00e3 2E000000 .4byte .LVL11 + 1363 00e7 3C000000 .4byte .LVL13 + 1364 00eb 0100 .2byte 0x1 + 1365 00ed 57 .byte 0x57 + 1366 00ee 00000000 .4byte 0 + 1367 00f2 00000000 .4byte 0 + 1368 .LLST7: + 1369 00f6 46000000 .4byte .LVL15 + 1370 00fa 4A000000 .4byte .LVL16 + 1371 00fe 0200 .2byte 0x2 + 1372 0100 30 .byte 0x30 + 1373 0101 9F .byte 0x9f + 1374 0102 4C000000 .4byte .LVL17 + 1375 0106 54000000 .4byte .LFE3 + 1376 010a 0100 .2byte 0x1 + 1377 010c 50 .byte 0x50 + 1378 010d 00000000 .4byte 0 + 1379 0111 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 30 + + + 1380 .LLST8: + 1381 0115 00000000 .4byte .LFB4 + 1382 0119 04000000 .4byte .LCFI2 + 1383 011d 0200 .2byte 0x2 + 1384 011f 7D .byte 0x7d + 1385 0120 00 .sleb128 0 + 1386 0121 04000000 .4byte .LCFI2 + 1387 0125 B0000000 .4byte .LFE4 + 1388 0129 0200 .2byte 0x2 + 1389 012b 7D .byte 0x7d + 1390 012c 18 .sleb128 24 + 1391 012d 00000000 .4byte 0 + 1392 0131 00000000 .4byte 0 + 1393 .LLST9: + 1394 0135 00000000 .4byte .LVL18 + 1395 0139 18000000 .4byte .LVL22 + 1396 013d 0100 .2byte 0x1 + 1397 013f 50 .byte 0x50 + 1398 0140 18000000 .4byte .LVL22 + 1399 0144 4C000000 .4byte .LVL30 + 1400 0148 0100 .2byte 0x1 + 1401 014a 58 .byte 0x58 + 1402 014b 4C000000 .4byte .LVL30 + 1403 014f 4F000000 .4byte .LVL31-1 + 1404 0153 0100 .2byte 0x1 + 1405 0155 50 .byte 0x50 + 1406 0156 4F000000 .4byte .LVL31-1 + 1407 015a B0000000 .4byte .LFE4 + 1408 015e 0100 .2byte 0x1 + 1409 0160 58 .byte 0x58 + 1410 0161 00000000 .4byte 0 + 1411 0165 00000000 .4byte 0 + 1412 .LLST10: + 1413 0169 00000000 .4byte .LVL18 + 1414 016d 14000000 .4byte .LVL21 + 1415 0171 0100 .2byte 0x1 + 1416 0173 51 .byte 0x51 + 1417 0174 14000000 .4byte .LVL21 + 1418 0178 18000000 .4byte .LVL22 + 1419 017c 1600 .2byte 0x16 + 1420 017e 71 .byte 0x71 + 1421 017f 00 .sleb128 0 + 1422 0180 12 .byte 0x12 + 1423 0181 0A .byte 0xa + 1424 0182 FFFF .2byte 0xffff + 1425 0184 1A .byte 0x1a + 1426 0185 08 .byte 0x8 + 1427 0186 40 .byte 0x40 + 1428 0187 16 .byte 0x16 + 1429 0188 14 .byte 0x14 + 1430 0189 0A .byte 0xa + 1431 018a FFFF .2byte 0xffff + 1432 018c 1A .byte 0x1a + 1433 018d 2D .byte 0x2d + 1434 018e 28 .byte 0x28 + 1435 018f 0100 .2byte 0x1 + 1436 0191 16 .byte 0x16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 31 + + + 1437 0192 13 .byte 0x13 + 1438 0193 9F .byte 0x9f + 1439 0194 18000000 .4byte .LVL22 + 1440 0198 4C000000 .4byte .LVL30 + 1441 019c 1600 .2byte 0x16 + 1442 019e 77 .byte 0x77 + 1443 019f 00 .sleb128 0 + 1444 01a0 12 .byte 0x12 + 1445 01a1 0A .byte 0xa + 1446 01a2 FFFF .2byte 0xffff + 1447 01a4 1A .byte 0x1a + 1448 01a5 08 .byte 0x8 + 1449 01a6 40 .byte 0x40 + 1450 01a7 16 .byte 0x16 + 1451 01a8 14 .byte 0x14 + 1452 01a9 0A .byte 0xa + 1453 01aa FFFF .2byte 0xffff + 1454 01ac 1A .byte 0x1a + 1455 01ad 2D .byte 0x2d + 1456 01ae 28 .byte 0x28 + 1457 01af 0100 .2byte 0x1 + 1458 01b1 16 .byte 0x16 + 1459 01b2 13 .byte 0x13 + 1460 01b3 9F .byte 0x9f + 1461 01b4 4C000000 .4byte .LVL30 + 1462 01b8 4F000000 .4byte .LVL31-1 + 1463 01bc 1600 .2byte 0x16 + 1464 01be 71 .byte 0x71 + 1465 01bf 00 .sleb128 0 + 1466 01c0 12 .byte 0x12 + 1467 01c1 0A .byte 0xa + 1468 01c2 FFFF .2byte 0xffff + 1469 01c4 1A .byte 0x1a + 1470 01c5 08 .byte 0x8 + 1471 01c6 40 .byte 0x40 + 1472 01c7 16 .byte 0x16 + 1473 01c8 14 .byte 0x14 + 1474 01c9 0A .byte 0xa + 1475 01ca FFFF .2byte 0xffff + 1476 01cc 1A .byte 0x1a + 1477 01cd 2D .byte 0x2d + 1478 01ce 28 .byte 0x28 + 1479 01cf 0100 .2byte 0x1 + 1480 01d1 16 .byte 0x16 + 1481 01d2 13 .byte 0x13 + 1482 01d3 9F .byte 0x9f + 1483 01d4 4F000000 .4byte .LVL31-1 + 1484 01d8 B0000000 .4byte .LFE4 + 1485 01dc 1600 .2byte 0x16 + 1486 01de 77 .byte 0x77 + 1487 01df 00 .sleb128 0 + 1488 01e0 12 .byte 0x12 + 1489 01e1 0A .byte 0xa + 1490 01e2 FFFF .2byte 0xffff + 1491 01e4 1A .byte 0x1a + 1492 01e5 08 .byte 0x8 + 1493 01e6 40 .byte 0x40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 32 + + + 1494 01e7 16 .byte 0x16 + 1495 01e8 14 .byte 0x14 + 1496 01e9 0A .byte 0xa + 1497 01ea FFFF .2byte 0xffff + 1498 01ec 1A .byte 0x1a + 1499 01ed 2D .byte 0x2d + 1500 01ee 28 .byte 0x28 + 1501 01ef 0100 .2byte 0x1 + 1502 01f1 16 .byte 0x16 + 1503 01f2 13 .byte 0x13 + 1504 01f3 9F .byte 0x9f + 1505 01f4 00000000 .4byte 0 + 1506 01f8 00000000 .4byte 0 + 1507 .LLST11: + 1508 01fc 00000000 .4byte .LVL18 + 1509 0200 08000000 .4byte .LVL19 + 1510 0204 0100 .2byte 0x1 + 1511 0206 52 .byte 0x52 + 1512 0207 08000000 .4byte .LVL19 + 1513 020b B0000000 .4byte .LFE4 + 1514 020f 0100 .2byte 0x1 + 1515 0211 55 .byte 0x55 + 1516 0212 00000000 .4byte 0 + 1517 0216 00000000 .4byte 0 + 1518 .LLST12: + 1519 021a 00000000 .4byte .LVL18 + 1520 021e 0A000000 .4byte .LVL20 + 1521 0222 0100 .2byte 0x1 + 1522 0224 53 .byte 0x53 + 1523 0225 0A000000 .4byte .LVL20 + 1524 0229 B0000000 .4byte .LFE4 + 1525 022d 0400 .2byte 0x4 + 1526 022f F3 .byte 0xf3 + 1527 0230 01 .uleb128 0x1 + 1528 0231 53 .byte 0x53 + 1529 0232 9F .byte 0x9f + 1530 0233 00000000 .4byte 0 + 1531 0237 00000000 .4byte 0 + 1532 .LLST13: + 1533 023b 9C000000 .4byte .LVL42 + 1534 023f A2000000 .4byte .LVL43 + 1535 0243 0200 .2byte 0x2 + 1536 0245 30 .byte 0x30 + 1537 0246 9F .byte 0x9f + 1538 0247 A6000000 .4byte .LVL44 + 1539 024b A8000000 .4byte .LVL45 + 1540 024f 0200 .2byte 0x2 + 1541 0251 40 .byte 0x40 + 1542 0252 9F .byte 0x9f + 1543 0253 A8000000 .4byte .LVL45 + 1544 0257 B0000000 .4byte .LFE4 + 1545 025b 0100 .2byte 0x1 + 1546 025d 50 .byte 0x50 + 1547 025e 00000000 .4byte 0 + 1548 0262 00000000 .4byte 0 + 1549 .LLST14: + 1550 0266 14000000 .4byte .LVL21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 33 + + + 1551 026a 18000000 .4byte .LVL22 + 1552 026e 0200 .2byte 0x2 + 1553 0270 30 .byte 0x30 + 1554 0271 9F .byte 0x9f + 1555 0272 18000000 .4byte .LVL22 + 1556 0276 26000000 .4byte .LVL24 + 1557 027a 0100 .2byte 0x1 + 1558 027c 54 .byte 0x54 + 1559 027d 26000000 .4byte .LVL24 + 1560 0281 36000000 .4byte .LVL26 + 1561 0285 0100 .2byte 0x1 + 1562 0287 56 .byte 0x56 + 1563 0288 36000000 .4byte .LVL26 + 1564 028c 4C000000 .4byte .LVL30 + 1565 0290 0100 .2byte 0x1 + 1566 0292 54 .byte 0x54 + 1567 0293 4C000000 .4byte .LVL30 + 1568 0297 64000000 .4byte .LVL34 + 1569 029b 0200 .2byte 0x2 + 1570 029d 30 .byte 0x30 + 1571 029e 9F .byte 0x9f + 1572 029f 64000000 .4byte .LVL34 + 1573 02a3 6C000000 .4byte .LVL35 + 1574 02a7 0100 .2byte 0x1 + 1575 02a9 54 .byte 0x54 + 1576 02aa 6C000000 .4byte .LVL35 + 1577 02ae 76000000 .4byte .LVL37 + 1578 02b2 0100 .2byte 0x1 + 1579 02b4 56 .byte 0x56 + 1580 02b5 76000000 .4byte .LVL37 + 1581 02b9 84000000 .4byte .LVL39 + 1582 02bd 0100 .2byte 0x1 + 1583 02bf 54 .byte 0x54 + 1584 02c0 00000000 .4byte 0 + 1585 02c4 00000000 .4byte 0 + 1586 .section .debug_aranges,"",%progbits + 1587 0000 3C000000 .4byte 0x3c + 1588 0004 0200 .2byte 0x2 + 1589 0006 00000000 .4byte .Ldebug_info0 + 1590 000a 04 .byte 0x4 + 1591 000b 00 .byte 0 + 1592 000c 0000 .2byte 0 + 1593 000e 0000 .2byte 0 + 1594 0010 00000000 .4byte .LFB0 + 1595 0014 18000000 .4byte .LFE0-.LFB0 + 1596 0018 00000000 .4byte .LFB1 + 1597 001c 04000000 .4byte .LFE1-.LFB1 + 1598 0020 00000000 .4byte .LFB2 + 1599 0024 06000000 .4byte .LFE2-.LFB2 + 1600 0028 00000000 .4byte .LFB3 + 1601 002c 54000000 .4byte .LFE3-.LFB3 + 1602 0030 00000000 .4byte .LFB4 + 1603 0034 B0000000 .4byte .LFE4-.LFB4 + 1604 0038 00000000 .4byte 0 + 1605 003c 00000000 .4byte 0 + 1606 .section .debug_ranges,"",%progbits + 1607 .Ldebug_ranges0: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 34 + + + 1608 0000 00000000 .4byte .LFB0 + 1609 0004 18000000 .4byte .LFE0 + 1610 0008 00000000 .4byte .LFB1 + 1611 000c 04000000 .4byte .LFE1 + 1612 0010 00000000 .4byte .LFB2 + 1613 0014 06000000 .4byte .LFE2 + 1614 0018 00000000 .4byte .LFB3 + 1615 001c 54000000 .4byte .LFE3 + 1616 0020 00000000 .4byte .LFB4 + 1617 0024 B0000000 .4byte .LFE4 + 1618 0028 00000000 .4byte 0 + 1619 002c 00000000 .4byte 0 + 1620 .section .debug_line,"",%progbits + 1621 .Ldebug_line0: + 1622 0000 47010000 .section .debug_str,"MS",%progbits,1 + 1622 02005F00 + 1622 00000201 + 1622 FB0E0D00 + 1622 01010101 + 1623 .LASF15: + 1624 0000 63797374 .ascii "cystatus\000" + 1624 61747573 + 1624 00 + 1625 .LASF25: + 1626 0009 73746174 .ascii "status\000" + 1626 757300 + 1627 .LASF22: + 1628 0010 636F756E .ascii "count\000" + 1628 7400 + 1629 .LASF3: + 1630 0016 73686F72 .ascii "short unsigned int\000" + 1630 7420756E + 1630 7369676E + 1630 65642069 + 1630 6E7400 + 1631 .LASF19: + 1632 0029 55534246 .ascii "USBFS_CyBtldrCommReset\000" + 1632 535F4379 + 1632 42746C64 + 1632 72436F6D + 1632 6D526573 + 1633 .LASF30: + 1634 0040 55534246 .ascii "USBFS_Start\000" + 1634 535F5374 + 1634 61727400 + 1635 .LASF20: + 1636 004c 70446174 .ascii "pData\000" + 1636 6100 + 1637 .LASF17: + 1638 0052 55534246 .ascii "USBFS_CyBtldrCommStart\000" + 1638 535F4379 + 1638 42746C64 + 1638 72436F6D + 1638 6D537461 + 1639 .LASF12: + 1640 0069 666C6F61 .ascii "float\000" + 1640 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 35 + + + 1641 .LASF40: + 1642 006f 55534246 .ascii "USBFS_Stop\000" + 1642 535F5374 + 1642 6F7000 + 1643 .LASF21: + 1644 007a 73697A65 .ascii "size\000" + 1644 00 + 1645 .LASF5: + 1646 007f 6C6F6E67 .ascii "long unsigned int\000" + 1646 20756E73 + 1646 69676E65 + 1646 6420696E + 1646 7400 + 1647 .LASF9: + 1648 0091 75696E74 .ascii "uint8\000" + 1648 3800 + 1649 .LASF1: + 1650 0097 756E7369 .ascii "unsigned char\000" + 1650 676E6564 + 1650 20636861 + 1650 7200 + 1651 .LASF13: + 1652 00a5 646F7562 .ascii "double\000" + 1652 6C6500 + 1653 .LASF36: + 1654 00ac 55534246 .ascii "USBFS_ReadOutEP\000" + 1654 535F5265 + 1654 61644F75 + 1654 74455000 + 1655 .LASF26: + 1656 00bc 55534246 .ascii "USBFS_CyBtldrCommWrite\000" + 1656 535F4379 + 1656 42746C64 + 1656 72436F6D + 1656 6D577269 + 1657 .LASF10: + 1658 00d3 75696E74 .ascii "uint16\000" + 1658 313600 + 1659 .LASF11: + 1660 00da 75696E74 .ascii "uint32\000" + 1660 333200 + 1661 .LASF34: + 1662 00e1 55534246 .ascii "USBFS_GetConfiguration\000" + 1662 535F4765 + 1662 74436F6E + 1662 66696775 + 1662 72617469 + 1663 .LASF8: + 1664 00f8 756E7369 .ascii "unsigned int\000" + 1664 676E6564 + 1664 20696E74 + 1664 00 + 1665 .LASF7: + 1666 0105 6C6F6E67 .ascii "long long unsigned int\000" + 1666 206C6F6E + 1666 6720756E + 1666 7369676E + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 36 + + + 1666 65642069 + 1667 .LASF31: + 1668 011c 55534246 .ascii "USBFS_EnableOutEP\000" + 1668 535F456E + 1668 61626C65 + 1668 4F757445 + 1668 5000 + 1669 .LASF27: + 1670 012e 55534246 .ascii "USBFS_CyBtldrCommRead\000" + 1670 535F4379 + 1670 42746C64 + 1670 72436F6D + 1670 6D526561 + 1671 .LASF23: + 1672 0144 74696D65 .ascii "timeOut\000" + 1672 4F757400 + 1673 .LASF24: + 1674 014c 74696D65 .ascii "time\000" + 1674 00 + 1675 .LASF16: + 1676 0151 73697A65 .ascii "sizetype\000" + 1676 74797065 + 1676 00 + 1677 .LASF6: + 1678 015a 6C6F6E67 .ascii "long long int\000" + 1678 206C6F6E + 1678 6720696E + 1678 7400 + 1679 .LASF14: + 1680 0168 63686172 .ascii "char\000" + 1680 00 + 1681 .LASF32: + 1682 016d 55534246 .ascii "USBFS_LoadInEP\000" + 1682 535F4C6F + 1682 6164496E + 1682 455000 + 1683 .LASF2: + 1684 017c 73686F72 .ascii "short int\000" + 1684 7420696E + 1684 7400 + 1685 .LASF37: + 1686 0186 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 1686 4320342E + 1686 372E3320 + 1686 32303133 + 1686 30333132 + 1687 01b9 616E6368 .ascii "anch revision 196615]\000" + 1687 20726576 + 1687 6973696F + 1687 6E203139 + 1687 36363135 + 1688 .LASF39: + 1689 01cf 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 1689 43534932 + 1689 53445C55 + 1689 53425F42 + 1689 6F6F746C + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccghD6Qq.s page 37 + + + 1690 .LASF41: + 1691 01ef 55534246 .ascii "USBFS_GetEPState\000" + 1691 535F4765 + 1691 74455053 + 1691 74617465 + 1691 00 + 1692 .LASF4: + 1693 0200 6C6F6E67 .ascii "long int\000" + 1693 20696E74 + 1693 00 + 1694 .LASF18: + 1695 0209 55534246 .ascii "USBFS_CyBtldrCommStop\000" + 1695 535F4379 + 1695 42746C64 + 1695 72436F6D + 1695 6D53746F + 1696 .LASF0: + 1697 021f 7369676E .ascii "signed char\000" + 1697 65642063 + 1697 68617200 + 1698 .LASF29: + 1699 022b 55534246 .ascii "USBFS_started\000" + 1699 535F7374 + 1699 61727465 + 1699 6400 + 1700 .LASF28: + 1701 0239 55534246 .ascii "USBFS_universalTime\000" + 1701 535F756E + 1701 69766572 + 1701 73616C54 + 1701 696D6500 + 1702 .LASF33: + 1703 024d 43794465 .ascii "CyDelay\000" + 1703 6C617900 + 1704 .LASF38: + 1705 0255 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_boot.c\000" + 1705 6E657261 + 1705 7465645F + 1705 536F7572 + 1705 63655C50 + 1706 .LASF35: + 1707 027b 55534246 .ascii "USBFS_IsConfigurationChanged\000" + 1707 535F4973 + 1707 436F6E66 + 1707 69677572 + 1707 6174696F + 1708 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.o new file mode 100755 index 0000000000000000000000000000000000000000..991d904076a045e1545a76419a417afacd2c2534 GIT binary patch literal 8540 zcmb_h3vg7`89wLk=D}vkoA4}dAV3&lAta*24Bb2k20{r5PlYm@WFe^`8+SKAL@O#H zb&5*0)jGCRu@0lQ;&X~q2FJ05DpR#O9d$Ytty68M9YH&mw%GLh&izmJCK0Bc=|6Ms z_rK2npZ7g?_ogMyjaqAENvm>|mXtc2Z!8&A2Fg{bnyRv&YkyvaoYKL+|9em`*V88T zWgnv%@^KyFQ>k;$Wi{qDI-zlmiy9--rZmzwFnweF*sB_Lt1^dPgDpn`OdTvVH+JkU+nLw+)>EpC zvDP1*zI@A+_4}TG`bR^tsv6IJRAn-seu_RU6PKydg1+L@!ONUwQE*BIfXGcSS3(b@Mw<2V^Nz{zp4N+|g=7{u{s-9#xn<7(Qn zvoZiae@1t2inepG`47}lqYUb1L?JMzU-@2JO=uj60(_H!2-~G=_qX!W}-d z$@dwbO!29L6riB5H6dGc1;$MOoSOZbO(d;G3883>EI~FCMz9fZ!Rw94XAecLRlPq8 z*8LP4kU;N`gMA!jY%|5X>3oXf7(Da=ruiHtw-}F`(2iH(m8Dyq>;T`Q7pUAa zm=DAJdt)}LE1-H2se&V`Ky>5!8V<*_L^At_TPB8W)sN9~s!k%17(e(3G*4+Xze+rnlkRlgzW!n1?v}|C7 z%C8n%egw=1aLR80!^z8Uv6`#Fuzr5KHKo9?0e_(Y*hGQzs${}dSF+hp_gpMaoG|isb04vZE z{|>F$|DxMiZM=RTPv6U~?|8in9=>0=(gghkZKpI7Xt$l5(IS&j=>k0?wT~Nc4`K?*-y5knDoWOy)0CwA`3IVAw1{G9+{%&26bpmd6k#fAXnu>-c3T6zo$3dgVRt*z# zZ8O-WygYP>&CYjoF;RiXAMGpjW~wngDauf+Qyf<5uGR!GKQoQK#9O1O$gMNp>r5q1 ztC4<~2ofd%@Q874lp1^t~>N-^z7#y6$txt5f z{y`hFMB!-o61z=wq53xah3XHr2aG#+tpvBh!-gBn5e;r5(8}pd5BG!6JdDCYd=z-t z@R5T=H&zQcL$=3sW$$L9U}gvl4ZD`$j^k=VCkma3Jt%M{Y&Yy$!X8(Pry))-*C`um ziJ3@Nlj(|Pw#l-QUix4Bm*Pxx)Miw7^uKb);stE3iO}ls#-*{|SR$H=b#88p_a{1H z8(Z4q^_MBNrme2AZF74(o(gxMOR8faHP9DJhPx;jqs!J0G z7o-u*J4zQ9IF3hi2Ip$&aMhh!hbkw}m_4Hc7aV;J9!};m^DO1_5AP0k&@`hmJsPiLY& zzHM7;EE%)vwp28cQhg24RCKhXYuwTkkEY$@eJa^~eN6Sld$$hH(~o3VsG-yyUD1T< zjQ6+q#3XWSEZVuUKeeP~bf$HQ?o>=MLd8M@l?%jaX)IMA@7>b9wI55PJKmd4z`mJQ zjBbkCpLHb$kox6y9Ubc+ki6O<`TKiq)L@n~mLFWT>pGObI$wkA{`stR8o zt_&@hUr{-~vSLA~JQ3@OMU%1Fp=)YeSImoTYmaqy#yaO#ZN7YNdm`G~(G^O>ws$Ad zolwQ1g$pY#+oaZ2Z)~e?Yg*9Ouo1~N*I~6|+&g25aK}JrvNt^%OIk237%bCkHeuBK zwx!G@nFZi!J=RGAn@jxrd%L&C63J-KYK(!ZA83g6Lw z*o=B?f!NNuD=JlHAZx`Kr_f)l$LaCD33{TQq^J6);n+|?ltGYI&JDT2u|wY^P#eBB zH#>5x6S>p+QcvQk$hwwzI+j@h$ai9G?u5v#nUOsik=y){y}rop636SuQ?w3#dom-p zWkmM+Be(k^`@~O$lLOmQ(RN@e;bNEN(6f{ZcXGdm+mlHZ###$g8UB)Wz`cD@2P~9} z*xiHTA`b4hy@-R^WyanaYwzE>xx05u9IZEew7ng>z|HS!dg2{kF^a9SH6~qjJw|JK zx_e_bBF0FCJ8;6qur0z^bKz(zmFRBoPYsWX< zhl{3M5H3NX4-50HLh;6H z78}5j&AS4UH{N-~V|~Ws7}$8%f%nF15j((;jkgVwH=ch|Iv(TNc;5l|WyEmj1 z*9_y0L$UE@jEFZ0le*jnfuChdN5uOulwJqpJ@G0eo^4YsN2&Wqj2~mxjPUzntircO zn&`u_1P@GSpSAR2QY)<>J{0-$75yze0zY2=EwR6(HE{BdORzLOE>mE)5X>;hYQERl83b_gI>K~ogMTVeZzXp_}-RK z_GYB)H+v!-!*AAV@sPrflB@9H1mYe_lRcGPjSnZ)a63T0t;m?`9?(dnVCs@_)Iph%$=7epV>KHnJYg{ zJ~h!q;C#Ep6_qBR8Y$zj@{mtWmXA&MUQ~$r;`_l8<8DAq}D=PpgzdJ(y05a!d&BxVr+S_>~Qa?@~ikr@L!?>I`?j0=V#mUyOW4Kdj!8noU0V~EAwy-IwkIV z-ND69IHD+Spu`fU=tR*>zZewW~0!FvQB z5PV4RQNd>fj|#p>%uwoO!IMO6`QM2AF%fw_A!g%ebU|(q%0VLRd}lj2>c$I}2`&_S zEivf6>xfO1k*7`Un?!CGxku#dMBXLxZjtX0`7V*aFY*sXJ}mN2h{$(J@IAp#1@mx- zvilGq5h`dbX4#91LHw!){_>$mn1wR!0 zR1nL;tRti_h#@z~^O5T-M1-)zd7dc9yC!Ac zO^N(RjkriKBFMkdsONo^xKePfp!ug2dj17RJO551rUZ8i-Ya;&;Io216MReXZNc+` zyksyQ|JNht2#(w@GekdI(CicVe^unAg3ATl1lI}jZ$QTXhM?ImkhhC`qoCP0(C-uZ z+k*E9-Y0la@Q~nRf+j{!|QtV7<8xE@19#4-B;*h3ldzDY!|yNRfGhzNhP-r#5A;XBO4gTHAHWWEPk YFG7TD_7Up0h`gGJI6FnYfrxnj0r$vBK>z>% literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.lst new file mode 100755 index 0000000..afe7476 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.lst @@ -0,0 +1,238 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccSehHjb.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_cdc.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .Letext0: + 19 .section .debug_info,"",%progbits + 20 .Ldebug_info0: + 21 0000 7C000000 .4byte 0x7c + 22 0004 0200 .2byte 0x2 + 23 0006 00000000 .4byte .Ldebug_abbrev0 + 24 000a 04 .byte 0x4 + 25 000b 01 .uleb128 0x1 + 26 000c 1B000000 .4byte .LASF13 + 27 0010 01 .byte 0x1 + 28 0011 8D000000 .4byte .LASF14 + 29 0015 04010000 .4byte .LASF15 + 30 0019 00000000 .4byte .Ldebug_line0 + 31 001d 02 .uleb128 0x2 + 32 001e 01 .byte 0x1 + 33 001f 06 .byte 0x6 + 34 0020 E8000000 .4byte .LASF0 + 35 0024 02 .uleb128 0x2 + 36 0025 01 .byte 0x1 + 37 0026 08 .byte 0x8 + 38 0027 B2000000 .4byte .LASF1 + 39 002b 02 .uleb128 0x2 + 40 002c 02 .byte 0x2 + 41 002d 05 .byte 0x5 + 42 002e FA000000 .4byte .LASF2 + 43 0032 02 .uleb128 0x2 + 44 0033 02 .byte 0x2 + 45 0034 07 .byte 0x7 + 46 0035 D5000000 .4byte .LASF3 + 47 0039 02 .uleb128 0x2 + 48 003a 04 .byte 0x4 + 49 003b 05 .byte 0x5 + 50 003c C5000000 .4byte .LASF4 + 51 0040 02 .uleb128 0x2 + 52 0041 04 .byte 0x4 + 53 0042 07 .byte 0x7 + 54 0043 64000000 .4byte .LASF5 + 55 0047 02 .uleb128 0x2 + 56 0048 08 .byte 0x8 + 57 0049 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccSehHjb.s page 2 + + + 58 004a 00000000 .4byte .LASF6 + 59 004e 02 .uleb128 0x2 + 60 004f 08 .byte 0x8 + 61 0050 07 .byte 0x7 + 62 0051 76000000 .4byte .LASF7 + 63 0055 03 .uleb128 0x3 + 64 0056 04 .byte 0x4 + 65 0057 05 .byte 0x5 + 66 0058 696E7400 .ascii "int\000" + 67 005c 02 .uleb128 0x2 + 68 005d 04 .byte 0x4 + 69 005e 07 .byte 0x7 + 70 005f 0E000000 .4byte .LASF8 + 71 0063 02 .uleb128 0x2 + 72 0064 04 .byte 0x4 + 73 0065 04 .byte 0x4 + 74 0066 F4000000 .4byte .LASF9 + 75 006a 02 .uleb128 0x2 + 76 006b 08 .byte 0x8 + 77 006c 04 .byte 0x4 + 78 006d CE000000 .4byte .LASF10 + 79 0071 02 .uleb128 0x2 + 80 0072 01 .byte 0x1 + 81 0073 08 .byte 0x8 + 82 0074 C0000000 .4byte .LASF11 + 83 0078 02 .uleb128 0x2 + 84 0079 04 .byte 0x4 + 85 007a 07 .byte 0x7 + 86 007b 24010000 .4byte .LASF12 + 87 007f 00 .byte 0 + 88 .section .debug_abbrev,"",%progbits + 89 .Ldebug_abbrev0: + 90 0000 01 .uleb128 0x1 + 91 0001 11 .uleb128 0x11 + 92 0002 01 .byte 0x1 + 93 0003 25 .uleb128 0x25 + 94 0004 0E .uleb128 0xe + 95 0005 13 .uleb128 0x13 + 96 0006 0B .uleb128 0xb + 97 0007 03 .uleb128 0x3 + 98 0008 0E .uleb128 0xe + 99 0009 1B .uleb128 0x1b + 100 000a 0E .uleb128 0xe + 101 000b 10 .uleb128 0x10 + 102 000c 06 .uleb128 0x6 + 103 000d 00 .byte 0 + 104 000e 00 .byte 0 + 105 000f 02 .uleb128 0x2 + 106 0010 24 .uleb128 0x24 + 107 0011 00 .byte 0 + 108 0012 0B .uleb128 0xb + 109 0013 0B .uleb128 0xb + 110 0014 3E .uleb128 0x3e + 111 0015 0B .uleb128 0xb + 112 0016 03 .uleb128 0x3 + 113 0017 0E .uleb128 0xe + 114 0018 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccSehHjb.s page 3 + + + 115 0019 00 .byte 0 + 116 001a 03 .uleb128 0x3 + 117 001b 24 .uleb128 0x24 + 118 001c 00 .byte 0 + 119 001d 0B .uleb128 0xb + 120 001e 0B .uleb128 0xb + 121 001f 3E .uleb128 0x3e + 122 0020 0B .uleb128 0xb + 123 0021 03 .uleb128 0x3 + 124 0022 08 .uleb128 0x8 + 125 0023 00 .byte 0 + 126 0024 00 .byte 0 + 127 0025 00 .byte 0 + 128 .section .debug_aranges,"",%progbits + 129 0000 14000000 .4byte 0x14 + 130 0004 0200 .2byte 0x2 + 131 0006 00000000 .4byte .Ldebug_info0 + 132 000a 04 .byte 0x4 + 133 000b 00 .byte 0 + 134 000c 0000 .2byte 0 + 135 000e 0000 .2byte 0 + 136 0010 00000000 .4byte 0 + 137 0014 00000000 .4byte 0 + 138 .section .debug_line,"",%progbits + 139 .Ldebug_line0: + 140 0000 19000000 .section .debug_str,"MS",%progbits,1 + 140 02001300 + 140 00000201 + 140 FB0E0D00 + 140 01010101 + 141 .LASF6: + 142 0000 6C6F6E67 .ascii "long long int\000" + 142 206C6F6E + 142 6720696E + 142 7400 + 143 .LASF8: + 144 000e 756E7369 .ascii "unsigned int\000" + 144 676E6564 + 144 20696E74 + 144 00 + 145 .LASF13: + 146 001b 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 146 4320342E + 146 372E3320 + 146 32303133 + 146 30333132 + 147 004e 616E6368 .ascii "anch revision 196615]\000" + 147 20726576 + 147 6973696F + 147 6E203139 + 147 36363135 + 148 .LASF5: + 149 0064 6C6F6E67 .ascii "long unsigned int\000" + 149 20756E73 + 149 69676E65 + 149 6420696E + 149 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccSehHjb.s page 4 + + + 150 .LASF7: + 151 0076 6C6F6E67 .ascii "long long unsigned int\000" + 151 206C6F6E + 151 6720756E + 151 7369676E + 151 65642069 + 152 .LASF14: + 153 008d 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_cdc.c\000" + 153 6E657261 + 153 7465645F + 153 536F7572 + 153 63655C50 + 154 .LASF1: + 155 00b2 756E7369 .ascii "unsigned char\000" + 155 676E6564 + 155 20636861 + 155 7200 + 156 .LASF11: + 157 00c0 63686172 .ascii "char\000" + 157 00 + 158 .LASF4: + 159 00c5 6C6F6E67 .ascii "long int\000" + 159 20696E74 + 159 00 + 160 .LASF10: + 161 00ce 646F7562 .ascii "double\000" + 161 6C6500 + 162 .LASF3: + 163 00d5 73686F72 .ascii "short unsigned int\000" + 163 7420756E + 163 7369676E + 163 65642069 + 163 6E7400 + 164 .LASF0: + 165 00e8 7369676E .ascii "signed char\000" + 165 65642063 + 165 68617200 + 166 .LASF9: + 167 00f4 666C6F61 .ascii "float\000" + 167 7400 + 168 .LASF2: + 169 00fa 73686F72 .ascii "short int\000" + 169 7420696E + 169 7400 + 170 .LASF15: + 171 0104 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 171 43534932 + 171 53445C55 + 171 53425F42 + 171 6F6F746C + 172 .LASF12: + 173 0124 73697A65 .ascii "sizetype\000" + 173 74797065 + 173 00 + 174 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.o new file mode 100755 index 0000000000000000000000000000000000000000..d34fd2c8f7bd02577aae783a5bbf9e32536710a2 GIT binary patch literal 1880 zcmb_cOKTKM6h1ZGlZnQRi4T0CwBlm~(rRotwM5%ez!@r3?K|b=5rFh~R-!-*@VqdYw~MFRtFaCWIg(f@;*a zh&C+cDbFdW(FBdtGw_nKZE*-FUZJt|sFE1@pZ#L=FNRY2i-O8~2D|*Xf=b9RT1E?~ zJOWsDIf`?HRI1!*+n<%s{)a(~ZmG`7ab87CiWAk{V^;N0bz%hG<1{w*2TV+&=_sQ1 z@CYcjrdrb+04dRKZpGK?IN|haQp`)#PU1C3HN2T_oJDJ~3>`KWZryVl&YXMRtvj>R zGxh2E%&b#OWm|fgJncNVd}r~j?D#SaWjHn0IzQ#7UL34DseBY=Q4%{d7tWoVnO`Z^ z7@E4j>QJ}4ydYzldbtc+&7_+Ka(StlH0GD@HLqN2wt_HlgZ>_abuT5=^!6VnUB4|U zTTjy58Jb$3ONFnt6ED|6e9-$Bmz#~|_1Wg1yin^(lH`a8W$Ff-VHQ&sJ(2n5h9p{O zG%h+dPR!jTX=l!Ak~&C(b5*CoSxS>YW?7PEr+-K0F3+NBp7i{PL=Cjuz`^9>sl_^t zY_BYCEA6uPh`nN;wO@UX?Bt#g%+mtb4a3l!+|bKCa{VkL7sYf#>37#! zQM{VKrMTz&sNta3LuJ<_1{4A9D3*p}ZgPX9(~-!ei)6T7o~M!D%@O|#qTUtaale%m z?@t?m;${;a1?THqJX4|{1C$d8xb z8!NAO32MfG(mUu$CR&W|7Hq@W^)GZk6D`Jf1NPv$OO;|BUKg~t?h3dW14^HuhnZ+G zz6dsRJ~zuw7*oaxn<;Cq(ZpRs0Un~yh>3fS4&->l^uIw|-55YK^<)v(^ZbW6{zi?M zI7U-z_I<#gxdq$_-2vr1Pe3^*rnaE;7WEisqQ%T-Y=eXSHhuhN`Wda>Zi`-PXeaC+ zT#@bJpVsQ#UNfF6)E8^0l01HU-w9^X*bg>NJoxv)i(^s=4dxUNIZ~82u0$7B9)guxxDaDMEJg$GrMC~ z6+G}u=Y0R|{QrM0bN1}!%-k8Jlq95NNLrG}fm~$Cj7Kme`(!|R&hNb;Tc%Qn^LLEH zU3c@(=LdUl@5;gKY{%N2C38sKz5maD|4QuoS^2D- zw9t~Ae?USb5-ha_UTvX!D_w>5G*sJr^i{dvKw}u0E0l~Z&4!iv3neT2I;C7@l2R_) z4lu1ur54imFW9UiEmw!hzE9t!=h$1;B|^@+OHjFU@UpIx-}*87j8E3eJ`QzT-n=;h z%-(~}d?~UVldb2FB#>=-@27)zP#UupZ&E%e60 zw(LA@uf$R=dzaFyv1f-^{d9fpE%Z6{5_&bPXd^A4883_aGDfsdLr|lUspBW)#}c>B zXDGe{C&|0$tY=>buT+=yU0^redN|Xcq4jcTPV&E`--)*)zs&ameQJoR%_zAN)u$fm z?(a0a_jg}z>rIs(N8p=p8BgS& z$%}OLpgd;pel#kgN2%FnfD z_;jr14sf?H5Pd`k(CZIEYhstC0ER;n`W6^NitN>g-Cfwf=!@nKIZJ1~n%8hcue@CF zHyR~xX`$dx9}~G;m^xEfE>#1kgxpfP8Q!dWfwM*-vZdJN`t>jtu}x8B=CB(0`on_{ z4<4B_Z`nuD%wF4k@QV34Wezw-2Wkk`{6=VR)Pl-t%`4md0vDI#tTPMC(~EP5K6dSzLu`fey*ebHZCt$KPO;(Co0 zx8y~`Fl2RNN61#Zs$W~(UXfcaH>4Tj!p3^hYv|!K3(_pUwB|OX>~9p2)(yi(r6|RX zm6eMtD}fiv1_F#67q?!SsTV@5b!#AJ9cBG!>a`aW@1SzamY7aHMs^@}Ry&2ms{ zEmK?|E6{rzmH2T-jlr5HP0mhFPufGQdC~W)fxY54Y*c8^=nCzHhF|i6z;6VH{~wb& zHHy!|_1t1bqH8KMjZ^8}I5IybZ5`S9c4L>dTkTN~n0wVe^`Lsl`h?=AeIKO6xZR!a zlH^l|I{xgO;O2Vh7R3p|MvQ9-htQ!oWjA!iDF%T!*awG7Ctii*n77Vk`Ee z+KP|C5$_g@*r0fPtf~5?wCG|NS3R8}_V}o#TB&(SM3g8_$zNahuyGF7+=)-}Mz}r7 zO2b|EIM@G`_QMgEhTxiwD1Iww0T5qhB7@-RXKK?Hq~c+Tn(=-FJ{iLpzeBullhKseeh4`o z?+)T|pI9H*X1q7SbIdRr=_(dtPjcuW)5i9;#>B6V&AJ9%LW$pRp+HIXME^JVjU@t* zek8vB5}xvIJVb3Wnz$dNKcS`PaWAzlyJ4dr=hcUXyiFQMyuUZ4RxajDO;0RbP<1GI zrtxHn;`IWVGaWC)CX}H|C|!G^Bc}D1d~iQ)Gq+h8KV1psbVkaSaPM1WMQ7(^Q<1Dv ztT3CmNFsA(T4ZipNyg_%ZIQVnn;D&yw7oCN&-ICkJJ2FicFMb2MsOxpm}|^6T0q-{ z`F#?Y5aXUn1R&*$J7oR7h@W1ELOE3a*ayq@AB}Bqqu7K;O3dKbIQoJf$(p13l+R`=>O%pz-q> z>l%6I(C@1nzp0VmKlR_!_*0GivqAmuHU3%Sdm8_tksCt4ZjA>t^6wh;PidUd$otyJ zh%9P!wSHaWi$wh6xUKD9(fXfj{Iy1;kK*tYGhVc=K<+zb-c`i?8V_pZZyfcG#!-#Y k`a&Q5B?ZoC{jA1l|8lMPP)Bi+{JxfXiVYOOJArxr19aICuK)l5 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.lst new file mode 100755 index 0000000..30b8f2d --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.lst @@ -0,0 +1,1408 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_descr.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .global USBFS_TABLE + 19 .global USBFS_DEVICE0_TABLE + 20 .global USBFS_DEVICE0_CONFIGURATION0_TABLE + 21 .global USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS + 22 .global USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE + 23 .global USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE + 24 .global USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE + 25 .global USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE + 26 .comm USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF,65,1 + 27 .comm USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB,4,2 + 28 .global USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE + 29 .comm USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF,65,1 + 30 .comm USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB,4,2 + 31 .global USBFS_HIDREPORT_DESCRIPTOR1 + 32 .global USBFS_SN_STRING_DESCRIPTOR + 33 .global USBFS_STRING_DESCRIPTORS + 34 .global USBFS_DEVICE0_CONFIGURATION0_DESCR + 35 .global USBFS_DEVICE0_DESCR + 36 .section .rodata + 37 .align 2 + 38 .type USBFS_TABLE, %object + 39 .size USBFS_TABLE, 8 + 40 USBFS_TABLE: + 41 0000 01 .byte 1 + 42 0001 000000 .space 3 + 43 0004 00000000 .word USBFS_DEVICE0_TABLE + 44 .type USBFS_DEVICE0_TABLE, %object + 45 .size USBFS_DEVICE0_TABLE, 16 + 46 USBFS_DEVICE0_TABLE: + 47 0008 01 .byte 1 + 48 0009 000000 .space 3 + 49 000c 00000000 .word USBFS_DEVICE0_DESCR + 50 0010 01 .byte 1 + 51 0011 000000 .space 3 + 52 0014 00000000 .word USBFS_DEVICE0_CONFIGURATION0_TABLE + 53 .type USBFS_DEVICE0_CONFIGURATION0_TABLE, %object + 54 .size USBFS_DEVICE0_CONFIGURATION0_TABLE, 32 + 55 USBFS_DEVICE0_CONFIGURATION0_TABLE: + 56 0018 01 .byte 1 + 57 0019 000000 .space 3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 2 + + + 58 001c 00000000 .word USBFS_DEVICE0_CONFIGURATION0_DESCR + 59 0020 02 .byte 2 + 60 0021 000000 .space 3 + 61 0024 00000000 .word USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE + 62 0028 01 .byte 1 + 63 0029 000000 .space 3 + 64 002c 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE + 65 0030 00 .byte 0 + 66 0031 000000 .space 3 + 67 0034 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS + 68 .type USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS, %object + 69 .size USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS, 1 + 70 USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS: + 71 0038 03 .byte 3 + 72 0039 00 .space 1 + 73 .type USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE, %object + 74 .size USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE, 16 + 75 USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE: + 76 003a 00 .byte 0 + 77 003b 00 .byte 0 + 78 003c 01 .byte 1 + 79 003d 03 .byte 3 + 80 003e 4000 .short 64 + 81 0040 03 .byte 3 + 82 0041 00 .space 1 + 83 0042 00 .byte 0 + 84 0043 00 .byte 0 + 85 0044 82 .byte -126 + 86 0045 03 .byte 3 + 87 0046 4000 .short 64 + 88 0048 03 .byte 3 + 89 0049 00 .space 1 + 90 004a 0000 .space 2 + 91 .type USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE, %object + 92 .size USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE, 8 + 93 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE: + 94 004c 01 .byte 1 + 95 004d 000000 .space 3 + 96 0050 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE + 97 .type USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE, %object + 98 .size USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE, 40 + 99 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE: + 100 0054 00 .byte 0 + 101 0055 000000 .space 3 + 102 0058 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE + 103 005c 00 .byte 0 + 104 005d 000000 .space 3 + 105 0060 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE + 106 0064 00 .byte 0 + 107 0065 000000 .space 3 + 108 0068 00000000 .word 0 + 109 006c 01 .byte 1 + 110 006d 000000 .space 3 + 111 0070 00000000 .word USBFS_HIDREPORT_DESCRIPTOR1 + 112 0074 01 .byte 1 + 113 0075 000000 .space 3 + 114 0078 12000000 .word USBFS_DEVICE0_CONFIGURATION0_DESCR+18 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 3 + + + 115 .type USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE, %object + 116 .size USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE, 12 + 117 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE: + 118 007c 4100 .short 65 + 119 007e 0000 .space 2 + 120 0080 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF + 121 0084 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB + 122 .type USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE, %object + 123 .size USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE, 12 + 124 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE: + 125 0088 4100 .short 65 + 126 008a 0000 .space 2 + 127 008c 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF + 128 0090 00000000 .word USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB + 129 .type USBFS_HIDREPORT_DESCRIPTOR1, %object + 130 .size USBFS_HIDREPORT_DESCRIPTOR1, 40 + 131 USBFS_HIDREPORT_DESCRIPTOR1: + 132 0094 24 .byte 36 + 133 0095 00 .byte 0 + 134 0096 05 .byte 5 + 135 0097 01 .byte 1 + 136 0098 09 .byte 9 + 137 0099 00 .byte 0 + 138 009a A1 .byte -95 + 139 009b 00 .byte 0 + 140 009c 09 .byte 9 + 141 009d 00 .byte 0 + 142 009e A1 .byte -95 + 143 009f 00 .byte 0 + 144 00a0 09 .byte 9 + 145 00a1 00 .byte 0 + 146 00a2 15 .byte 21 + 147 00a3 00 .byte 0 + 148 00a4 25 .byte 37 + 149 00a5 FF .byte -1 + 150 00a6 75 .byte 117 + 151 00a7 08 .byte 8 + 152 00a8 95 .byte -107 + 153 00a9 40 .byte 64 + 154 00aa 91 .byte -111 + 155 00ab 02 .byte 2 + 156 00ac 09 .byte 9 + 157 00ad 00 .byte 0 + 158 00ae 15 .byte 21 + 159 00af 00 .byte 0 + 160 00b0 25 .byte 37 + 161 00b1 FF .byte -1 + 162 00b2 75 .byte 117 + 163 00b3 08 .byte 8 + 164 00b4 95 .byte -107 + 165 00b5 40 .byte 64 + 166 00b6 81 .byte -127 + 167 00b7 02 .byte 2 + 168 00b8 C0 .byte -64 + 169 00b9 C0 .byte -64 + 170 00ba 00 .byte 0 + 171 00bb 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 4 + + + 172 .type USBFS_SN_STRING_DESCRIPTOR, %object + 173 .size USBFS_SN_STRING_DESCRIPTOR, 10 + 174 USBFS_SN_STRING_DESCRIPTOR: + 175 00bc 0A .byte 10 + 176 00bd 03 .byte 3 + 177 00be 30 .byte 48 + 178 00bf 00 .byte 0 + 179 00c0 30 .byte 48 + 180 00c1 00 .byte 0 + 181 00c2 30 .byte 48 + 182 00c3 00 .byte 0 + 183 00c4 31 .byte 49 + 184 00c5 00 .byte 0 + 185 .type USBFS_STRING_DESCRIPTORS, %object + 186 .size USBFS_STRING_DESCRIPTORS, 83 + 187 USBFS_STRING_DESCRIPTORS: + 188 00c6 04 .byte 4 + 189 00c7 03 .byte 3 + 190 00c8 09 .byte 9 + 191 00c9 04 .byte 4 + 192 00ca 2C .byte 44 + 193 00cb 03 .byte 3 + 194 00cc 43 .byte 67 + 195 00cd 00 .byte 0 + 196 00ce 79 .byte 121 + 197 00cf 00 .byte 0 + 198 00d0 70 .byte 112 + 199 00d1 00 .byte 0 + 200 00d2 72 .byte 114 + 201 00d3 00 .byte 0 + 202 00d4 65 .byte 101 + 203 00d5 00 .byte 0 + 204 00d6 73 .byte 115 + 205 00d7 00 .byte 0 + 206 00d8 73 .byte 115 + 207 00d9 00 .byte 0 + 208 00da 20 .byte 32 + 209 00db 00 .byte 0 + 210 00dc 53 .byte 83 + 211 00dd 00 .byte 0 + 212 00de 65 .byte 101 + 213 00df 00 .byte 0 + 214 00e0 6D .byte 109 + 215 00e1 00 .byte 0 + 216 00e2 69 .byte 105 + 217 00e3 00 .byte 0 + 218 00e4 63 .byte 99 + 219 00e5 00 .byte 0 + 220 00e6 6F .byte 111 + 221 00e7 00 .byte 0 + 222 00e8 6E .byte 110 + 223 00e9 00 .byte 0 + 224 00ea 64 .byte 100 + 225 00eb 00 .byte 0 + 226 00ec 75 .byte 117 + 227 00ed 00 .byte 0 + 228 00ee 63 .byte 99 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 5 + + + 229 00ef 00 .byte 0 + 230 00f0 74 .byte 116 + 231 00f1 00 .byte 0 + 232 00f2 6F .byte 111 + 233 00f3 00 .byte 0 + 234 00f4 72 .byte 114 + 235 00f5 00 .byte 0 + 236 00f6 22 .byte 34 + 237 00f7 03 .byte 3 + 238 00f8 50 .byte 80 + 239 00f9 00 .byte 0 + 240 00fa 53 .byte 83 + 241 00fb 00 .byte 0 + 242 00fc 6F .byte 111 + 243 00fd 00 .byte 0 + 244 00fe 43 .byte 67 + 245 00ff 00 .byte 0 + 246 0100 33 .byte 51 + 247 0101 00 .byte 0 + 248 0102 20 .byte 32 + 249 0103 00 .byte 0 + 250 0104 42 .byte 66 + 251 0105 00 .byte 0 + 252 0106 6F .byte 111 + 253 0107 00 .byte 0 + 254 0108 6F .byte 111 + 255 0109 00 .byte 0 + 256 010a 74 .byte 116 + 257 010b 00 .byte 0 + 258 010c 6C .byte 108 + 259 010d 00 .byte 0 + 260 010e 6F .byte 111 + 261 010f 00 .byte 0 + 262 0110 61 .byte 97 + 263 0111 00 .byte 0 + 264 0112 64 .byte 100 + 265 0113 00 .byte 0 + 266 0114 65 .byte 101 + 267 0115 00 .byte 0 + 268 0116 72 .byte 114 + 269 0117 00 .byte 0 + 270 0118 00 .byte 0 + 271 .type USBFS_DEVICE0_CONFIGURATION0_DESCR, %object + 272 .size USBFS_DEVICE0_CONFIGURATION0_DESCR, 41 + 273 USBFS_DEVICE0_CONFIGURATION0_DESCR: + 274 0119 09 .byte 9 + 275 011a 02 .byte 2 + 276 011b 29 .byte 41 + 277 011c 00 .byte 0 + 278 011d 01 .byte 1 + 279 011e 01 .byte 1 + 280 011f 00 .byte 0 + 281 0120 80 .byte -128 + 282 0121 00 .byte 0 + 283 0122 09 .byte 9 + 284 0123 04 .byte 4 + 285 0124 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 6 + + + 286 0125 00 .byte 0 + 287 0126 02 .byte 2 + 288 0127 03 .byte 3 + 289 0128 00 .byte 0 + 290 0129 00 .byte 0 + 291 012a 02 .byte 2 + 292 012b 09 .byte 9 + 293 012c 21 .byte 33 + 294 012d 11 .byte 17 + 295 012e 01 .byte 1 + 296 012f 00 .byte 0 + 297 0130 01 .byte 1 + 298 0131 22 .byte 34 + 299 0132 24 .byte 36 + 300 0133 00 .byte 0 + 301 0134 07 .byte 7 + 302 0135 05 .byte 5 + 303 0136 01 .byte 1 + 304 0137 03 .byte 3 + 305 0138 40 .byte 64 + 306 0139 00 .byte 0 + 307 013a 01 .byte 1 + 308 013b 07 .byte 7 + 309 013c 05 .byte 5 + 310 013d 82 .byte -126 + 311 013e 03 .byte 3 + 312 013f 40 .byte 64 + 313 0140 00 .byte 0 + 314 0141 01 .byte 1 + 315 .type USBFS_DEVICE0_DESCR, %object + 316 .size USBFS_DEVICE0_DESCR, 18 + 317 USBFS_DEVICE0_DESCR: + 318 0142 12 .byte 18 + 319 0143 01 .byte 1 + 320 0144 00 .byte 0 + 321 0145 02 .byte 2 + 322 0146 00 .byte 0 + 323 0147 00 .byte 0 + 324 0148 00 .byte 0 + 325 0149 08 .byte 8 + 326 014a B4 .byte -76 + 327 014b 04 .byte 4 + 328 014c 1D .byte 29 + 329 014d B7 .byte -73 + 330 014e 01 .byte 1 + 331 014f 30 .byte 48 + 332 0150 01 .byte 1 + 333 0151 02 .byte 2 + 334 0152 80 .byte -128 + 335 0153 01 .byte 1 + 336 .text + 337 .Letext0: + 338 .file 1 ".\\Generated_Source\\PSoC5\\cytypes.h" + 339 .file 2 ".\\Generated_Source\\PSoC5\\USBFS.h" + 340 .file 3 ".\\Generated_Source\\PSoC5\\USBFS_descr.c" + 341 .section .debug_info,"",%progbits + 342 .Ldebug_info0: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 7 + + + 343 0000 0B040000 .4byte 0x40b + 344 0004 0200 .2byte 0x2 + 345 0006 00000000 .4byte .Ldebug_abbrev0 + 346 000a 04 .byte 0x4 + 347 000b 01 .uleb128 0x1 + 348 000c 6B040000 .4byte .LASF49 + 349 0010 01 .byte 0x1 + 350 0011 CB030000 .4byte .LASF50 + 351 0015 B4040000 .4byte .LASF51 + 352 0019 00000000 .4byte .Ldebug_line0 + 353 001d 02 .uleb128 0x2 + 354 001e 01 .byte 0x1 + 355 001f 06 .byte 0x6 + 356 0020 EE040000 .4byte .LASF0 + 357 0024 02 .uleb128 0x2 + 358 0025 01 .byte 0x1 + 359 0026 08 .byte 0x8 + 360 0027 95030000 .4byte .LASF1 + 361 002b 02 .uleb128 0x2 + 362 002c 02 .byte 0x2 + 363 002d 05 .byte 0x5 + 364 002e FD030000 .4byte .LASF2 + 365 0032 02 .uleb128 0x2 + 366 0033 02 .byte 0x2 + 367 0034 07 .byte 0x7 + 368 0035 17010000 .4byte .LASF3 + 369 0039 02 .uleb128 0x2 + 370 003a 04 .byte 0x4 + 371 003b 05 .byte 0x5 + 372 003c DE040000 .4byte .LASF4 + 373 0040 02 .uleb128 0x2 + 374 0041 04 .byte 0x4 + 375 0042 07 .byte 0x7 + 376 0043 3E030000 .4byte .LASF5 + 377 0047 02 .uleb128 0x2 + 378 0048 08 .byte 0x8 + 379 0049 05 .byte 0x5 + 380 004a AC030000 .4byte .LASF6 + 381 004e 02 .uleb128 0x2 + 382 004f 08 .byte 0x8 + 383 0050 07 .byte 0x7 + 384 0051 5D030000 .4byte .LASF7 + 385 0055 03 .uleb128 0x3 + 386 0056 04 .byte 0x4 + 387 0057 05 .byte 0x5 + 388 0058 696E7400 .ascii "int\000" + 389 005c 02 .uleb128 0x2 + 390 005d 04 .byte 0x4 + 391 005e 07 .byte 0x7 + 392 005f 50030000 .4byte .LASF8 + 393 0063 04 .uleb128 0x4 + 394 0064 65040000 .4byte .LASF9 + 395 0068 01 .byte 0x1 + 396 0069 5B .byte 0x5b + 397 006a 24000000 .4byte 0x24 + 398 006e 04 .uleb128 0x4 + 399 006f E5020000 .4byte .LASF10 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 8 + + + 400 0073 01 .byte 0x1 + 401 0074 5C .byte 0x5c + 402 0075 32000000 .4byte 0x32 + 403 0079 02 .uleb128 0x2 + 404 007a 04 .byte 0x4 + 405 007b 04 .byte 0x4 + 406 007c 9F010000 .4byte .LASF11 + 407 0080 02 .uleb128 0x2 + 408 0081 08 .byte 0x8 + 409 0082 04 .byte 0x4 + 410 0083 DE020000 .4byte .LASF12 + 411 0087 02 .uleb128 0x2 + 412 0088 01 .byte 0x1 + 413 0089 08 .byte 0x8 + 414 008a C6030000 .4byte .LASF13 + 415 008e 05 .uleb128 0x5 + 416 008f 63000000 .4byte 0x63 + 417 0093 02 .uleb128 0x2 + 418 0094 04 .byte 0x4 + 419 0095 07 .byte 0x7 + 420 0096 A3030000 .4byte .LASF14 + 421 009a 06 .uleb128 0x6 + 422 009b 08 .byte 0x8 + 423 009c 02 .byte 0x2 + 424 009d 86 .byte 0x86 + 425 009e F7000000 .4byte 0xf7 + 426 00a2 07 .uleb128 0x7 + 427 00a3 D4040000 .4byte .LASF15 + 428 00a7 02 .byte 0x2 + 429 00a8 88 .byte 0x88 + 430 00a9 63000000 .4byte 0x63 + 431 00ad 02 .byte 0x2 + 432 00ae 23 .byte 0x23 + 433 00af 00 .uleb128 0 + 434 00b0 07 .uleb128 0x7 + 435 00b1 89010000 .4byte .LASF16 + 436 00b5 02 .byte 0x2 + 437 00b6 89 .byte 0x89 + 438 00b7 63000000 .4byte 0x63 + 439 00bb 02 .byte 0x2 + 440 00bc 23 .byte 0x23 + 441 00bd 01 .uleb128 0x1 + 442 00be 07 .uleb128 0x7 + 443 00bf 45020000 .4byte .LASF17 + 444 00c3 02 .byte 0x2 + 445 00c4 8A .byte 0x8a + 446 00c5 63000000 .4byte 0x63 + 447 00c9 02 .byte 0x2 + 448 00ca 23 .byte 0x23 + 449 00cb 02 .uleb128 0x2 + 450 00cc 07 .uleb128 0x7 + 451 00cd FD010000 .4byte .LASF18 + 452 00d1 02 .byte 0x2 + 453 00d2 8B .byte 0x8b + 454 00d3 63000000 .4byte 0x63 + 455 00d7 02 .byte 0x2 + 456 00d8 23 .byte 0x23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 9 + + + 457 00d9 03 .uleb128 0x3 + 458 00da 07 .uleb128 0x7 + 459 00db F2030000 .4byte .LASF19 + 460 00df 02 .byte 0x2 + 461 00e0 8C .byte 0x8c + 462 00e1 6E000000 .4byte 0x6e + 463 00e5 02 .byte 0x2 + 464 00e6 23 .byte 0x23 + 465 00e7 04 .uleb128 0x4 + 466 00e8 07 .uleb128 0x7 + 467 00e9 01050000 .4byte .LASF20 + 468 00ed 02 .byte 0x2 + 469 00ee 8D .byte 0x8d + 470 00ef 63000000 .4byte 0x63 + 471 00f3 02 .byte 0x2 + 472 00f4 23 .byte 0x23 + 473 00f5 06 .uleb128 0x6 + 474 00f6 00 .byte 0 + 475 00f7 04 .uleb128 0x4 + 476 00f8 7B030000 .4byte .LASF21 + 477 00fc 02 .byte 0x2 + 478 00fd 8E .byte 0x8e + 479 00fe 9A000000 .4byte 0x9a + 480 0102 06 .uleb128 0x6 + 481 0103 04 .byte 0x4 + 482 0104 02 .byte 0x2 + 483 0105 90 .byte 0x90 + 484 0106 27010000 .4byte 0x127 + 485 010a 07 .uleb128 0x7 + 486 010b E7040000 .4byte .LASF22 + 487 010f 02 .byte 0x2 + 488 0110 92 .byte 0x92 + 489 0111 63000000 .4byte 0x63 + 490 0115 02 .byte 0x2 + 491 0116 23 .byte 0x23 + 492 0117 00 .uleb128 0 + 493 0118 07 .uleb128 0x7 + 494 0119 FA040000 .4byte .LASF23 + 495 011d 02 .byte 0x2 + 496 011e 93 .byte 0x93 + 497 011f 6E000000 .4byte 0x6e + 498 0123 02 .byte 0x2 + 499 0124 23 .byte 0x23 + 500 0125 02 .uleb128 0x2 + 501 0126 00 .byte 0 + 502 0127 04 .uleb128 0x4 + 503 0128 08020000 .4byte .LASF24 + 504 012c 02 .byte 0x2 + 505 012d 94 .byte 0x94 + 506 012e 02010000 .4byte 0x102 + 507 0132 06 .uleb128 0x6 + 508 0133 0C .byte 0xc + 509 0134 02 .byte 0x2 + 510 0135 96 .byte 0x96 + 511 0136 65010000 .4byte 0x165 + 512 013a 07 .uleb128 0x7 + 513 013b E3000000 .4byte .LASF25 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 10 + + + 514 013f 02 .byte 0x2 + 515 0140 98 .byte 0x98 + 516 0141 6E000000 .4byte 0x6e + 517 0145 02 .byte 0x2 + 518 0146 23 .byte 0x23 + 519 0147 00 .uleb128 0 + 520 0148 07 .uleb128 0x7 + 521 0149 83010000 .4byte .LASF26 + 522 014d 02 .byte 0x2 + 523 014e 99 .byte 0x99 + 524 014f 65010000 .4byte 0x165 + 525 0153 02 .byte 0x2 + 526 0154 23 .byte 0x23 + 527 0155 04 .uleb128 0x4 + 528 0156 07 .uleb128 0x7 + 529 0157 14000000 .4byte .LASF27 + 530 015b 02 .byte 0x2 + 531 015c 9A .byte 0x9a + 532 015d 6B010000 .4byte 0x16b + 533 0161 02 .byte 0x2 + 534 0162 23 .byte 0x23 + 535 0163 08 .uleb128 0x8 + 536 0164 00 .byte 0 + 537 0165 08 .uleb128 0x8 + 538 0166 04 .byte 0x4 + 539 0167 8E000000 .4byte 0x8e + 540 016b 08 .uleb128 0x8 + 541 016c 04 .byte 0x4 + 542 016d 27010000 .4byte 0x127 + 543 0171 04 .uleb128 0x4 + 544 0172 94010000 .4byte .LASF28 + 545 0176 02 .byte 0x2 + 546 0177 9B .byte 0x9b + 547 0178 32010000 .4byte 0x132 + 548 017c 06 .uleb128 0x6 + 549 017d 08 .byte 0x8 + 550 017e 02 .byte 0x2 + 551 017f 9E .byte 0x9e + 552 0180 9F010000 .4byte 0x19f + 553 0184 09 .uleb128 0x9 + 554 0185 6300 .ascii "c\000" + 555 0187 02 .byte 0x2 + 556 0188 A0 .byte 0xa0 + 557 0189 63000000 .4byte 0x63 + 558 018d 02 .byte 0x2 + 559 018e 23 .byte 0x23 + 560 018f 00 .uleb128 0 + 561 0190 07 .uleb128 0x7 + 562 0191 74030000 .4byte .LASF29 + 563 0195 02 .byte 0x2 + 564 0196 A1 .byte 0xa1 + 565 0197 9F010000 .4byte 0x19f + 566 019b 02 .byte 0x2 + 567 019c 23 .byte 0x23 + 568 019d 04 .uleb128 0x4 + 569 019e 00 .byte 0 + 570 019f 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 11 + + + 571 01a0 04 .byte 0x4 + 572 01a1 A5010000 .4byte 0x1a5 + 573 01a5 0A .uleb128 0xa + 574 01a6 04 .uleb128 0x4 + 575 01a7 BA030000 .4byte .LASF30 + 576 01ab 02 .byte 0x2 + 577 01ac A2 .byte 0xa2 + 578 01ad 7C010000 .4byte 0x17c + 579 01b1 0B .uleb128 0xb + 580 01b2 63000000 .4byte 0x63 + 581 01b6 C1010000 .4byte 0x1c1 + 582 01ba 0C .uleb128 0xc + 583 01bb 93000000 .4byte 0x93 + 584 01bf 11 .byte 0x11 + 585 01c0 00 .byte 0 + 586 01c1 0D .uleb128 0xd + 587 01c2 00000000 .4byte .LASF31 + 588 01c6 03 .byte 0x3 + 589 01c7 27 .byte 0x27 + 590 01c8 D3010000 .4byte 0x1d3 + 591 01cc 01 .byte 0x1 + 592 01cd 05 .byte 0x5 + 593 01ce 03 .byte 0x3 + 594 01cf 00000000 .4byte USBFS_DEVICE0_DESCR + 595 01d3 0E .uleb128 0xe + 596 01d4 B1010000 .4byte 0x1b1 + 597 01d8 0B .uleb128 0xb + 598 01d9 63000000 .4byte 0x63 + 599 01dd E8010000 .4byte 0x1e8 + 600 01e1 0C .uleb128 0xc + 601 01e2 93000000 .4byte 0x93 + 602 01e6 28 .byte 0x28 + 603 01e7 00 .byte 0 + 604 01e8 0D .uleb128 0xd + 605 01e9 1B030000 .4byte .LASF32 + 606 01ed 03 .byte 0x3 + 607 01ee 3A .byte 0x3a + 608 01ef FA010000 .4byte 0x1fa + 609 01f3 01 .byte 0x1 + 610 01f4 05 .byte 0x5 + 611 01f5 03 .byte 0x3 + 612 01f6 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_DESCR + 613 01fa 0E .uleb128 0xe + 614 01fb D8010000 .4byte 0x1d8 + 615 01ff 0B .uleb128 0xb + 616 0200 A6010000 .4byte 0x1a6 + 617 0204 0F020000 .4byte 0x20f + 618 0208 0C .uleb128 0xc + 619 0209 93000000 .4byte 0x93 + 620 020d 00 .byte 0 + 621 020e 00 .byte 0 + 622 020f 0D .uleb128 0xd + 623 0210 E9000000 .4byte .LASF33 + 624 0214 03 .byte 0x3 + 625 0215 E8 .byte 0xe8 + 626 0216 21020000 .4byte 0x221 + 627 021a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 12 + + + 628 021b 05 .byte 0x5 + 629 021c 03 .byte 0x3 + 630 021d 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE + 631 0221 0E .uleb128 0xe + 632 0222 FF010000 .4byte 0x1ff + 633 0226 0B .uleb128 0xb + 634 0227 F7000000 .4byte 0xf7 + 635 022b 36020000 .4byte 0x236 + 636 022f 0C .uleb128 0xc + 637 0230 93000000 .4byte 0x93 + 638 0234 01 .byte 0x1 + 639 0235 00 .byte 0 + 640 0236 0D .uleb128 0xd + 641 0237 EC020000 .4byte .LASF34 + 642 023b 03 .byte 0x3 + 643 023c F3 .byte 0xf3 + 644 023d 48020000 .4byte 0x248 + 645 0241 01 .byte 0x1 + 646 0242 05 .byte 0x5 + 647 0243 03 .byte 0x3 + 648 0244 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE + 649 0248 0E .uleb128 0xe + 650 0249 26020000 .4byte 0x226 + 651 024d 0B .uleb128 0xb + 652 024e 63000000 .4byte 0x63 + 653 0252 5D020000 .4byte 0x25d + 654 0256 0C .uleb128 0xc + 655 0257 93000000 .4byte 0x93 + 656 025b 00 .byte 0 + 657 025c 00 .byte 0 + 658 025d 0D .uleb128 0xd + 659 025e 21000000 .4byte .LASF35 + 660 0262 03 .byte 0x3 + 661 0263 F8 .byte 0xf8 + 662 0264 6F020000 .4byte 0x26f + 663 0268 01 .byte 0x1 + 664 0269 05 .byte 0x5 + 665 026a 03 .byte 0x3 + 666 026b 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS + 667 026f 0E .uleb128 0xe + 668 0270 4D020000 .4byte 0x24d + 669 0274 0B .uleb128 0xb + 670 0275 A6010000 .4byte 0x1a6 + 671 0279 84020000 .4byte 0x284 + 672 027d 0C .uleb128 0xc + 673 027e 93000000 .4byte 0x93 + 674 0282 03 .byte 0x3 + 675 0283 00 .byte 0 + 676 0284 0F .uleb128 0xf + 677 0285 22020000 .4byte .LASF36 + 678 0289 03 .byte 0x3 + 679 028a 0001 .2byte 0x100 + 680 028c 97020000 .4byte 0x297 + 681 0290 01 .byte 0x1 + 682 0291 05 .byte 0x5 + 683 0292 03 .byte 0x3 + 684 0293 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_TABLE + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 13 + + + 685 0297 0E .uleb128 0xe + 686 0298 74020000 .4byte 0x274 + 687 029c 0B .uleb128 0xb + 688 029d A6010000 .4byte 0x1a6 + 689 02a1 AC020000 .4byte 0x2ac + 690 02a5 0C .uleb128 0xc + 691 02a6 93000000 .4byte 0x93 + 692 02aa 01 .byte 0x1 + 693 02ab 00 .byte 0 + 694 02ac 0F .uleb128 0xf + 695 02ad 4A020000 .4byte .LASF37 + 696 02b1 03 .byte 0x3 + 697 02b2 0A01 .2byte 0x10a + 698 02b4 BF020000 .4byte 0x2bf + 699 02b8 01 .byte 0x1 + 700 02b9 05 .byte 0x5 + 701 02ba 03 .byte 0x3 + 702 02bb 00000000 .4byte USBFS_DEVICE0_TABLE + 703 02bf 0E .uleb128 0xe + 704 02c0 9C020000 .4byte 0x29c + 705 02c4 0F .uleb128 0xf + 706 02c5 D7000000 .4byte .LASF38 + 707 02c9 03 .byte 0x3 + 708 02ca 1101 .2byte 0x111 + 709 02cc D7020000 .4byte 0x2d7 + 710 02d0 01 .byte 0x1 + 711 02d1 05 .byte 0x5 + 712 02d2 03 .byte 0x3 + 713 02d3 00000000 .4byte USBFS_TABLE + 714 02d7 0E .uleb128 0xe + 715 02d8 FF010000 .4byte 0x1ff + 716 02dc 0B .uleb128 0xb + 717 02dd 63000000 .4byte 0x63 + 718 02e1 EC020000 .4byte 0x2ec + 719 02e5 0C .uleb128 0xc + 720 02e6 93000000 .4byte 0x93 + 721 02ea 09 .byte 0x9 + 722 02eb 00 .byte 0 + 723 02ec 0D .uleb128 0xd + 724 02ed 2A010000 .4byte .LASF39 + 725 02f1 03 .byte 0x3 + 726 02f2 92 .byte 0x92 + 727 02f3 FE020000 .4byte 0x2fe + 728 02f7 01 .byte 0x1 + 729 02f8 05 .byte 0x5 + 730 02f9 03 .byte 0x3 + 731 02fa 00000000 .4byte USBFS_SN_STRING_DESCRIPTOR + 732 02fe 0E .uleb128 0xe + 733 02ff DC020000 .4byte 0x2dc + 734 0303 0B .uleb128 0xb + 735 0304 63000000 .4byte 0x63 + 736 0308 13030000 .4byte 0x313 + 737 030c 0C .uleb128 0xc + 738 030d 93000000 .4byte 0x93 + 739 0311 52 .byte 0x52 + 740 0312 00 .byte 0 + 741 0313 0D .uleb128 0xd + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 14 + + + 742 0314 E4010000 .4byte .LASF40 + 743 0318 03 .byte 0x3 + 744 0319 71 .byte 0x71 + 745 031a 25030000 .4byte 0x325 + 746 031e 01 .byte 0x1 + 747 031f 05 .byte 0x5 + 748 0320 03 .byte 0x3 + 749 0321 00000000 .4byte USBFS_STRING_DESCRIPTORS + 750 0325 0E .uleb128 0xe + 751 0326 03030000 .4byte 0x303 + 752 032a 0D .uleb128 0xd + 753 032b 23040000 .4byte .LASF41 + 754 032f 03 .byte 0x3 + 755 0330 B9 .byte 0xb9 + 756 0331 27010000 .4byte 0x127 + 757 0335 01 .byte 0x1 + 758 0336 05 .byte 0x5 + 759 0337 03 .byte 0x3 + 760 0338 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB + 761 033c 0B .uleb128 0xb + 762 033d 63000000 .4byte 0x63 + 763 0341 4C030000 .4byte 0x34c + 764 0345 0C .uleb128 0xc + 765 0346 93000000 .4byte 0x93 + 766 034a 40 .byte 0x40 + 767 034b 00 .byte 0 + 768 034c 0D .uleb128 0xd + 769 034d 45010000 .4byte .LASF42 + 770 0351 03 .byte 0x3 + 771 0352 BA .byte 0xba + 772 0353 3C030000 .4byte 0x33c + 773 0357 01 .byte 0x1 + 774 0358 05 .byte 0x5 + 775 0359 03 .byte 0x3 + 776 035a 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF + 777 035e 0D .uleb128 0xd + 778 035f 5E020000 .4byte .LASF43 + 779 0363 03 .byte 0x3 + 780 0364 C8 .byte 0xc8 + 781 0365 27010000 .4byte 0x127 + 782 0369 01 .byte 0x1 + 783 036a 05 .byte 0x5 + 784 036b 03 .byte 0x3 + 785 036c 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB + 786 0370 0D .uleb128 0xd + 787 0371 A5010000 .4byte .LASF44 + 788 0375 03 .byte 0x3 + 789 0376 C9 .byte 0xc9 + 790 0377 3C030000 .4byte 0x33c + 791 037b 01 .byte 0x1 + 792 037c 05 .byte 0x5 + 793 037d 03 .byte 0x3 + 794 037e 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF + 795 0382 0B .uleb128 0xb + 796 0383 63000000 .4byte 0x63 + 797 0387 92030000 .4byte 0x392 + 798 038b 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 15 + + + 799 038c 93000000 .4byte 0x93 + 800 0390 27 .byte 0x27 + 801 0391 00 .byte 0 + 802 0392 0D .uleb128 0xd + 803 0393 07040000 .4byte .LASF45 + 804 0397 03 .byte 0x3 + 805 0398 9B .byte 0x9b + 806 0399 A4030000 .4byte 0x3a4 + 807 039d 01 .byte 0x1 + 808 039e 05 .byte 0x5 + 809 039f 03 .byte 0x3 + 810 03a0 00000000 .4byte USBFS_HIDREPORT_DESCRIPTOR1 + 811 03a4 0E .uleb128 0xe + 812 03a5 82030000 .4byte 0x382 + 813 03a9 0B .uleb128 0xb + 814 03aa 71010000 .4byte 0x171 + 815 03ae B9030000 .4byte 0x3b9 + 816 03b2 0C .uleb128 0xc + 817 03b3 93000000 .4byte 0x93 + 818 03b7 00 .byte 0 + 819 03b8 00 .byte 0 + 820 03b9 0D .uleb128 0xd + 821 03ba 93000000 .4byte .LASF46 + 822 03be 03 .byte 0x3 + 823 03bf C0 .byte 0xc0 + 824 03c0 CB030000 .4byte 0x3cb + 825 03c4 01 .byte 0x1 + 826 03c5 05 .byte 0x5 + 827 03c6 03 .byte 0x3 + 828 03c7 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE + 829 03cb 0E .uleb128 0xe + 830 03cc A9030000 .4byte 0x3a9 + 831 03d0 0D .uleb128 0xd + 832 03d1 4E000000 .4byte .LASF47 + 833 03d5 03 .byte 0x3 + 834 03d6 CF .byte 0xcf + 835 03d7 E2030000 .4byte 0x3e2 + 836 03db 01 .byte 0x1 + 837 03dc 05 .byte 0x5 + 838 03dd 03 .byte 0x3 + 839 03de 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE + 840 03e2 0E .uleb128 0xe + 841 03e3 A9030000 .4byte 0x3a9 + 842 03e7 0B .uleb128 0xb + 843 03e8 A6010000 .4byte 0x1a6 + 844 03ec F7030000 .4byte 0x3f7 + 845 03f0 0C .uleb128 0xc + 846 03f1 93000000 .4byte 0x93 + 847 03f5 04 .byte 0x4 + 848 03f6 00 .byte 0 + 849 03f7 0D .uleb128 0xd + 850 03f8 A1020000 .4byte .LASF48 + 851 03fc 03 .byte 0x3 + 852 03fd DC .byte 0xdc + 853 03fe 09040000 .4byte 0x409 + 854 0402 01 .byte 0x1 + 855 0403 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 16 + + + 856 0404 03 .byte 0x3 + 857 0405 00000000 .4byte USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE + 858 0409 0E .uleb128 0xe + 859 040a E7030000 .4byte 0x3e7 + 860 040e 00 .byte 0 + 861 .section .debug_abbrev,"",%progbits + 862 .Ldebug_abbrev0: + 863 0000 01 .uleb128 0x1 + 864 0001 11 .uleb128 0x11 + 865 0002 01 .byte 0x1 + 866 0003 25 .uleb128 0x25 + 867 0004 0E .uleb128 0xe + 868 0005 13 .uleb128 0x13 + 869 0006 0B .uleb128 0xb + 870 0007 03 .uleb128 0x3 + 871 0008 0E .uleb128 0xe + 872 0009 1B .uleb128 0x1b + 873 000a 0E .uleb128 0xe + 874 000b 10 .uleb128 0x10 + 875 000c 06 .uleb128 0x6 + 876 000d 00 .byte 0 + 877 000e 00 .byte 0 + 878 000f 02 .uleb128 0x2 + 879 0010 24 .uleb128 0x24 + 880 0011 00 .byte 0 + 881 0012 0B .uleb128 0xb + 882 0013 0B .uleb128 0xb + 883 0014 3E .uleb128 0x3e + 884 0015 0B .uleb128 0xb + 885 0016 03 .uleb128 0x3 + 886 0017 0E .uleb128 0xe + 887 0018 00 .byte 0 + 888 0019 00 .byte 0 + 889 001a 03 .uleb128 0x3 + 890 001b 24 .uleb128 0x24 + 891 001c 00 .byte 0 + 892 001d 0B .uleb128 0xb + 893 001e 0B .uleb128 0xb + 894 001f 3E .uleb128 0x3e + 895 0020 0B .uleb128 0xb + 896 0021 03 .uleb128 0x3 + 897 0022 08 .uleb128 0x8 + 898 0023 00 .byte 0 + 899 0024 00 .byte 0 + 900 0025 04 .uleb128 0x4 + 901 0026 16 .uleb128 0x16 + 902 0027 00 .byte 0 + 903 0028 03 .uleb128 0x3 + 904 0029 0E .uleb128 0xe + 905 002a 3A .uleb128 0x3a + 906 002b 0B .uleb128 0xb + 907 002c 3B .uleb128 0x3b + 908 002d 0B .uleb128 0xb + 909 002e 49 .uleb128 0x49 + 910 002f 13 .uleb128 0x13 + 911 0030 00 .byte 0 + 912 0031 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 17 + + + 913 0032 05 .uleb128 0x5 + 914 0033 35 .uleb128 0x35 + 915 0034 00 .byte 0 + 916 0035 49 .uleb128 0x49 + 917 0036 13 .uleb128 0x13 + 918 0037 00 .byte 0 + 919 0038 00 .byte 0 + 920 0039 06 .uleb128 0x6 + 921 003a 13 .uleb128 0x13 + 922 003b 01 .byte 0x1 + 923 003c 0B .uleb128 0xb + 924 003d 0B .uleb128 0xb + 925 003e 3A .uleb128 0x3a + 926 003f 0B .uleb128 0xb + 927 0040 3B .uleb128 0x3b + 928 0041 0B .uleb128 0xb + 929 0042 01 .uleb128 0x1 + 930 0043 13 .uleb128 0x13 + 931 0044 00 .byte 0 + 932 0045 00 .byte 0 + 933 0046 07 .uleb128 0x7 + 934 0047 0D .uleb128 0xd + 935 0048 00 .byte 0 + 936 0049 03 .uleb128 0x3 + 937 004a 0E .uleb128 0xe + 938 004b 3A .uleb128 0x3a + 939 004c 0B .uleb128 0xb + 940 004d 3B .uleb128 0x3b + 941 004e 0B .uleb128 0xb + 942 004f 49 .uleb128 0x49 + 943 0050 13 .uleb128 0x13 + 944 0051 38 .uleb128 0x38 + 945 0052 0A .uleb128 0xa + 946 0053 00 .byte 0 + 947 0054 00 .byte 0 + 948 0055 08 .uleb128 0x8 + 949 0056 0F .uleb128 0xf + 950 0057 00 .byte 0 + 951 0058 0B .uleb128 0xb + 952 0059 0B .uleb128 0xb + 953 005a 49 .uleb128 0x49 + 954 005b 13 .uleb128 0x13 + 955 005c 00 .byte 0 + 956 005d 00 .byte 0 + 957 005e 09 .uleb128 0x9 + 958 005f 0D .uleb128 0xd + 959 0060 00 .byte 0 + 960 0061 03 .uleb128 0x3 + 961 0062 08 .uleb128 0x8 + 962 0063 3A .uleb128 0x3a + 963 0064 0B .uleb128 0xb + 964 0065 3B .uleb128 0x3b + 965 0066 0B .uleb128 0xb + 966 0067 49 .uleb128 0x49 + 967 0068 13 .uleb128 0x13 + 968 0069 38 .uleb128 0x38 + 969 006a 0A .uleb128 0xa + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 18 + + + 970 006b 00 .byte 0 + 971 006c 00 .byte 0 + 972 006d 0A .uleb128 0xa + 973 006e 26 .uleb128 0x26 + 974 006f 00 .byte 0 + 975 0070 00 .byte 0 + 976 0071 00 .byte 0 + 977 0072 0B .uleb128 0xb + 978 0073 01 .uleb128 0x1 + 979 0074 01 .byte 0x1 + 980 0075 49 .uleb128 0x49 + 981 0076 13 .uleb128 0x13 + 982 0077 01 .uleb128 0x1 + 983 0078 13 .uleb128 0x13 + 984 0079 00 .byte 0 + 985 007a 00 .byte 0 + 986 007b 0C .uleb128 0xc + 987 007c 21 .uleb128 0x21 + 988 007d 00 .byte 0 + 989 007e 49 .uleb128 0x49 + 990 007f 13 .uleb128 0x13 + 991 0080 2F .uleb128 0x2f + 992 0081 0B .uleb128 0xb + 993 0082 00 .byte 0 + 994 0083 00 .byte 0 + 995 0084 0D .uleb128 0xd + 996 0085 34 .uleb128 0x34 + 997 0086 00 .byte 0 + 998 0087 03 .uleb128 0x3 + 999 0088 0E .uleb128 0xe + 1000 0089 3A .uleb128 0x3a + 1001 008a 0B .uleb128 0xb + 1002 008b 3B .uleb128 0x3b + 1003 008c 0B .uleb128 0xb + 1004 008d 49 .uleb128 0x49 + 1005 008e 13 .uleb128 0x13 + 1006 008f 3F .uleb128 0x3f + 1007 0090 0C .uleb128 0xc + 1008 0091 02 .uleb128 0x2 + 1009 0092 0A .uleb128 0xa + 1010 0093 00 .byte 0 + 1011 0094 00 .byte 0 + 1012 0095 0E .uleb128 0xe + 1013 0096 26 .uleb128 0x26 + 1014 0097 00 .byte 0 + 1015 0098 49 .uleb128 0x49 + 1016 0099 13 .uleb128 0x13 + 1017 009a 00 .byte 0 + 1018 009b 00 .byte 0 + 1019 009c 0F .uleb128 0xf + 1020 009d 34 .uleb128 0x34 + 1021 009e 00 .byte 0 + 1022 009f 03 .uleb128 0x3 + 1023 00a0 0E .uleb128 0xe + 1024 00a1 3A .uleb128 0x3a + 1025 00a2 0B .uleb128 0xb + 1026 00a3 3B .uleb128 0x3b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 19 + + + 1027 00a4 05 .uleb128 0x5 + 1028 00a5 49 .uleb128 0x49 + 1029 00a6 13 .uleb128 0x13 + 1030 00a7 3F .uleb128 0x3f + 1031 00a8 0C .uleb128 0xc + 1032 00a9 02 .uleb128 0x2 + 1033 00aa 0A .uleb128 0xa + 1034 00ab 00 .byte 0 + 1035 00ac 00 .byte 0 + 1036 00ad 00 .byte 0 + 1037 .section .debug_aranges,"",%progbits + 1038 0000 14000000 .4byte 0x14 + 1039 0004 0200 .2byte 0x2 + 1040 0006 00000000 .4byte .Ldebug_info0 + 1041 000a 04 .byte 0x4 + 1042 000b 00 .byte 0 + 1043 000c 0000 .2byte 0 + 1044 000e 0000 .2byte 0 + 1045 0010 00000000 .4byte 0 + 1046 0014 00000000 .4byte 0 + 1047 .section .debug_line,"",%progbits + 1048 .Ldebug_line0: + 1049 0000 5B000000 .section .debug_str,"MS",%progbits,1 + 1049 02005500 + 1049 00000201 + 1049 FB0E0D00 + 1049 01010101 + 1050 .LASF31: + 1051 0000 55534246 .ascii "USBFS_DEVICE0_DESCR\000" + 1051 535F4445 + 1051 56494345 + 1051 305F4445 + 1051 53435200 + 1052 .LASF27: + 1053 0014 70537461 .ascii "pStatusBlock\000" + 1053 74757342 + 1053 6C6F636B + 1053 00 + 1054 .LASF35: + 1055 0021 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS\000" + 1055 535F4445 + 1055 56494345 + 1055 305F434F + 1055 4E464947 + 1056 .LASF47: + 1057 004e 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + 1057 535F4445 + 1057 56494345 + 1057 305F434F + 1057 4E464947 + 1058 0081 4849445F .ascii "HID_OUT_RPT_TABLE\000" + 1058 4F55545F + 1058 5250545F + 1058 5441424C + 1058 4500 + 1059 .LASF46: + 1060 0093 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 20 + + + 1060 535F4445 + 1060 56494345 + 1060 305F434F + 1060 4E464947 + 1061 00c6 4849445F .ascii "HID_IN_RPT_TABLE\000" + 1061 494E5F52 + 1061 50545F54 + 1061 41424C45 + 1061 00 + 1062 .LASF38: + 1063 00d7 55534246 .ascii "USBFS_TABLE\000" + 1063 535F5441 + 1063 424C4500 + 1064 .LASF25: + 1065 00e3 636F756E .ascii "count\000" + 1065 7400 + 1066 .LASF33: + 1067 00e9 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE\000" + 1067 535F4445 + 1067 56494345 + 1067 305F434F + 1067 4E464947 + 1068 .LASF3: + 1069 0117 73686F72 .ascii "short unsigned int\000" + 1069 7420756E + 1069 7369676E + 1069 65642069 + 1069 6E7400 + 1070 .LASF39: + 1071 012a 55534246 .ascii "USBFS_SN_STRING_DESCRIPTOR\000" + 1071 535F534E + 1071 5F535452 + 1071 494E475F + 1071 44455343 + 1072 .LASF42: + 1073 0145 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + 1073 535F4445 + 1073 56494345 + 1073 305F434F + 1073 4E464947 + 1074 0178 4849445F .ascii "HID_IN_BUF\000" + 1074 494E5F42 + 1074 554600 + 1075 .LASF26: + 1076 0183 70446174 .ascii "pData\000" + 1076 6100 + 1077 .LASF16: + 1078 0189 616C7453 .ascii "altSetting\000" + 1078 65747469 + 1078 6E6700 + 1079 .LASF28: + 1080 0194 545F5553 .ascii "T_USBFS_TD\000" + 1080 4246535F + 1080 544400 + 1081 .LASF11: + 1082 019f 666C6F61 .ascii "float\000" + 1082 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 21 + + + 1083 .LASF44: + 1084 01a5 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + 1084 535F4445 + 1084 56494345 + 1084 305F434F + 1084 4E464947 + 1085 01d8 4849445F .ascii "HID_OUT_BUF\000" + 1085 4F55545F + 1085 42554600 + 1086 .LASF40: + 1087 01e4 55534246 .ascii "USBFS_STRING_DESCRIPTORS\000" + 1087 535F5354 + 1087 52494E47 + 1087 5F444553 + 1087 43524950 + 1088 .LASF18: + 1089 01fd 61747472 .ascii "attributes\000" + 1089 69627574 + 1089 657300 + 1090 .LASF24: + 1091 0208 545F5553 .ascii "T_USBFS_XFER_STATUS_BLOCK\000" + 1091 4246535F + 1091 58464552 + 1091 5F535441 + 1091 5455535F + 1092 .LASF36: + 1093 0222 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_TABLE\000" + 1093 535F4445 + 1093 56494345 + 1093 305F434F + 1093 4E464947 + 1094 .LASF17: + 1095 0245 61646472 .ascii "addr\000" + 1095 00 + 1096 .LASF37: + 1097 024a 55534246 .ascii "USBFS_DEVICE0_TABLE\000" + 1097 535F4445 + 1097 56494345 + 1097 305F5441 + 1097 424C4500 + 1098 .LASF43: + 1099 025e 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + 1099 535F4445 + 1099 56494345 + 1099 305F434F + 1099 4E464947 + 1100 0291 4849445F .ascii "HID_OUT_RPT_SCB\000" + 1100 4F55545F + 1100 5250545F + 1100 53434200 + 1101 .LASF48: + 1102 02a1 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + 1102 535F4445 + 1102 56494345 + 1102 305F434F + 1102 4E464947 + 1103 02d4 4849445F .ascii "HID_TABLE\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 22 + + + 1103 5441424C + 1103 4500 + 1104 .LASF12: + 1105 02de 646F7562 .ascii "double\000" + 1105 6C6500 + 1106 .LASF10: + 1107 02e5 75696E74 .ascii "uint16\000" + 1107 313600 + 1108 .LASF34: + 1109 02ec 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE\000" + 1109 535F4445 + 1109 56494345 + 1109 305F434F + 1109 4E464947 + 1110 .LASF32: + 1111 031b 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_DESCR\000" + 1111 535F4445 + 1111 56494345 + 1111 305F434F + 1111 4E464947 + 1112 .LASF5: + 1113 033e 6C6F6E67 .ascii "long unsigned int\000" + 1113 20756E73 + 1113 69676E65 + 1113 6420696E + 1113 7400 + 1114 .LASF8: + 1115 0350 756E7369 .ascii "unsigned int\000" + 1115 676E6564 + 1115 20696E74 + 1115 00 + 1116 .LASF7: + 1117 035d 6C6F6E67 .ascii "long long unsigned int\000" + 1117 206C6F6E + 1117 6720756E + 1117 7369676E + 1117 65642069 + 1118 .LASF29: + 1119 0374 705F6C69 .ascii "p_list\000" + 1119 737400 + 1120 .LASF21: + 1121 037b 545F5553 .ascii "T_USBFS_EP_SETTINGS_BLOCK\000" + 1121 4246535F + 1121 45505F53 + 1121 45545449 + 1121 4E47535F + 1122 .LASF1: + 1123 0395 756E7369 .ascii "unsigned char\000" + 1123 676E6564 + 1123 20636861 + 1123 7200 + 1124 .LASF14: + 1125 03a3 73697A65 .ascii "sizetype\000" + 1125 74797065 + 1125 00 + 1126 .LASF6: + 1127 03ac 6C6F6E67 .ascii "long long int\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 23 + + + 1127 206C6F6E + 1127 6720696E + 1127 7400 + 1128 .LASF30: + 1129 03ba 545F5553 .ascii "T_USBFS_LUT\000" + 1129 4246535F + 1129 4C555400 + 1130 .LASF13: + 1131 03c6 63686172 .ascii "char\000" + 1131 00 + 1132 .LASF50: + 1133 03cb 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_descr.c\000" + 1133 6E657261 + 1133 7465645F + 1133 536F7572 + 1133 63655C50 + 1134 .LASF19: + 1135 03f2 62756666 .ascii "bufferSize\000" + 1135 65725369 + 1135 7A6500 + 1136 .LASF2: + 1137 03fd 73686F72 .ascii "short int\000" + 1137 7420696E + 1137 7400 + 1138 .LASF45: + 1139 0407 55534246 .ascii "USBFS_HIDREPORT_DESCRIPTOR1\000" + 1139 535F4849 + 1139 44524550 + 1139 4F52545F + 1139 44455343 + 1140 .LASF41: + 1141 0423 55534246 .ascii "USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_" + 1141 535F4445 + 1141 56494345 + 1141 305F434F + 1141 4E464947 + 1142 0456 4849445F .ascii "HID_IN_RPT_SCB\000" + 1142 494E5F52 + 1142 50545F53 + 1142 434200 + 1143 .LASF9: + 1144 0465 75696E74 .ascii "uint8\000" + 1144 3800 + 1145 .LASF49: + 1146 046b 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 1146 4320342E + 1146 372E3320 + 1146 32303133 + 1146 30333132 + 1147 049e 616E6368 .ascii "anch revision 196615]\000" + 1147 20726576 + 1147 6973696F + 1147 6E203139 + 1147 36363135 + 1148 .LASF51: + 1149 04b4 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 1149 43534932 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccVdA4CQ.s page 24 + + + 1149 53445C55 + 1149 53425F42 + 1149 6F6F746C + 1150 .LASF15: + 1151 04d4 696E7465 .ascii "interface\000" + 1151 72666163 + 1151 6500 + 1152 .LASF4: + 1153 04de 6C6F6E67 .ascii "long int\000" + 1153 20696E74 + 1153 00 + 1154 .LASF22: + 1155 04e7 73746174 .ascii "status\000" + 1155 757300 + 1156 .LASF0: + 1157 04ee 7369676E .ascii "signed char\000" + 1157 65642063 + 1157 68617200 + 1158 .LASF23: + 1159 04fa 6C656E67 .ascii "length\000" + 1159 746800 + 1160 .LASF20: + 1161 0501 624D6973 .ascii "bMisc\000" + 1161 6300 + 1162 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.o new file mode 100755 index 0000000000000000000000000000000000000000..0be95abef7a49bc8b9fbbd56e84e4b5df44ae133 GIT binary patch literal 6088 zcmb_g3v63g8UF9Xu^rb*)3oc_uES~5CWY2Z`lg#k9mi=s^1|2IrnSZRag$heeN+1y ztuR680JURGTib1HL(no{LK;YuCZUZC$NUW?+i1-w2;7Ca^VX2CPT zprE>ekjrTb>O?Ars|GvT6jIi7lHbQ8SNuL6xUxhcPEz1`ef3Y39z~s2LR`Zco30#$ zto#<$pNGIjRhs_EekJfI2UUIVIpANeK+}Ea*r)q%XJf$ktONc708RH5%QpK)q3I^a z-PehL`rpu&vVSwj*7fgln1Fr`HZ<`nsJ^`8@jnf@DWHD+B2@n$xS3;)XD#`UbEV_1 zruY+F_W@T^{qM2w8?L7LFKEynEOW%Qx?k}Dzv=p#NdF=`A9@nbCS85F3l;tEa62bl z2Kg_ucG9h_k{;lOPO0EEEm9vdng2FN{+7!i|NY$d6YSvDma^~3gN_!Ufc_BlfX>b6 zr`Y(^X8dA=-{Bkt^Q4}Z?b_V2GfryO>ZtPr5SsND+4{ZvAcvUva|nx0LTGc}6RqnF zSQH;vL@m!r+Pjk0P2pAWX}d0aXn$nd5AoBAu{qK3)}2QH?cEMoWV9Kk@kDHwG=;){ zhphd3uZOlCveUN%l7?s<9PN_jp$##O8~v)JY1Fy~vPR0|9-b|E&q|&`t#>f5S$WyR z`!?j(H@TTM={2W{d+H1>Na2s8UG2pGJhZnUJH2j4dK_Ac!hdDj;dQXc9B7ck zm=4lj;5ig#r{bWjxSPTWuK40@vlYXiMSShoo;qQkPwh7zjuYjCjM7D6KezML_h+ND z9EBV@jEXNv8oI;Nubt)BRrc~nki)}le(f&}W+&wrWo@0pXSic;@qQs|zXLBRZOXcq z_K?=Hs%3E#mRu)=LbpQ&S%?8F;TuS6*%i7qlx)W7BYratK`l7qlOjVMwCBdADJ?%(Mjfw z8GQqWN6Zw=YQ7T9J7A$v zxbgB<4IB8}fnIAIJ)#fQNvmQrbklqj@>Y9 z^tf+qfTsGhc9yaw+c0grSe~GC#%(LzPva#kE1Q;+bnU)3#OJhf1ES}R-8Lv|+m&Lj zW}DS|n|BW+QW#+@J!WM321nwbzW(6c3}*|4N(1jhO{H89M!c^f?7YYBwqLMnxspjW z%wO;J>xW8=W{gBSjhQv(vku)irDT;S=B|=COU7|u$(hQOidDOwa&OCS%IiV$li3PY zi(fGLb*9;HydLE$85~QK!@JgYu-~13`PS!ZIhj-=9_#oc@T zwAFWjD_&~xKJpxL{&&)z;ju_OvNgIRx+Su?r*})wmfp>g?uuD5vsH6LWM3>bbc=Z? zXBG-(Vbj*kj!n5rww#}gRLsv8t3|6E>HXyP?Y-L$&|SOs8*wAK+34q?W%?}3#%oeA zv8Np=RLg|c%*uE+FEYmCt2#RxQt7Bt(ZIqZKP}R;yN}y5XZ3LyYf@Su>j}lF|*)7srNU-n(gN3pF+Shl1)7eW|ic zS+3ovtWZ`eH|uK@idK&tva>m$U2$~go?+%DT?yG2hOh;#t)GAxK9}Q5N>`Gc8c^Ucm+E zFl@3&-fmX)8URy6Z@7E2#j|i=)aq}C%g~$^H_O)M z%q;VfWKYouN%9m;{P97WG-QPT2Ec{aavS<{vyeGQtn=2y>nbAjF(9vH9x3~e0(aq& z{O2GK;*s(G3^|Rb8xQkuLdE+abI(}MLg!d9N53$08VYBPGtovDX5NN=46@{R!Rh6j z(7pU;pqv6gE=GUDr)&tDaL?PW#vndEZxo2<0HC#NXZ#q4T|g{ZWD21oFAg_PD@11b#*!pN;G<2($$9-p2O( z1wJ6~F@aADNr86>tO$HW;4=b$A@DUu z%+GnD|A!IzU5x#Z)@9{e}fSR z@IQopnGuU(sRl&64#w3)pAh;^M$G4s(C=Zy + 81:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 82:.\Generated_Source\PSoC5/USBFS_drv.c **** else + 83:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 84:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_HandleSetup(); + 85:.\Generated_Source\PSoC5/USBFS_drv.c **** if((USBFS_ep0Mode & USBFS_MODE_SETUP_RCVD) != 0u) + 86:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 87:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = 0u; /* if SETUP bit set -> exit without mod + 88:.\Generated_Source\PSoC5/USBFS_drv.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 3 + + + 89:.\Generated_Source\PSoC5/USBFS_drv.c **** + 90:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 91:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 92:.\Generated_Source\PSoC5/USBFS_drv.c **** else if ((bRegTemp & USBFS_MODE_IN_RCVD) != 0u) + 93:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 94:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_HandleIN(); + 95:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 96:.\Generated_Source\PSoC5/USBFS_drv.c **** else if ((bRegTemp & USBFS_MODE_OUT_RCVD) != 0u) + 97:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 98:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_HandleOUT(); + 99:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 100:.\Generated_Source\PSoC5/USBFS_drv.c **** else + 101:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 102:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = 0u; + 103:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 104:.\Generated_Source\PSoC5/USBFS_drv.c **** if(modifyReg != 0u) + 105:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 106:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + 107:.\Generated_Source\PSoC5/USBFS_drv.c **** if((bRegTemp & USBFS_MODE_SETUP_RCVD) == 0u) /* Check if SETUP bit is not set, otherwi + 108:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 109:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the count register */ + 110:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = USBFS_ep0Toggle | USBFS_ep0Count; + 111:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_EP0_CNT_PTR, bRegTemp); + 112:.\Generated_Source\PSoC5/USBFS_drv.c **** if(bRegTemp == CY_GET_REG8(USBFS_EP0_CNT_PTR)) /* continue if writing was success + 113:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 114:.\Generated_Source\PSoC5/USBFS_drv.c **** do + 115:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 116:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = USBFS_ep0Mode; /* Init temporary variable */ + 117:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Unlock registers */ + 118:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_SETUP_RCVD; + 119:.\Generated_Source\PSoC5/USBFS_drv.c **** if(bRegTemp == 0u) /* Check if SETUP bit is not se + 120:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 121:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set the Mode Register */ + 122:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_ep0Mode); + 123:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Writing check */ + 124:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_MASK; + 125:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 126:.\Generated_Source\PSoC5/USBFS_drv.c **** }while(modifyReg != USBFS_ep0Mode); /* Repeat if writing was not successful */ + 127:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 128:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 129:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 130:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 131:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 132:.\Generated_Source\PSoC5/USBFS_drv.c **** + 133:.\Generated_Source\PSoC5/USBFS_drv.c **** + 134:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 135:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_HandleSetup + 136:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 137:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 138:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 139:.\Generated_Source\PSoC5/USBFS_drv.c **** * This Routine dispatches requests for the four USB request types + 140:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 141:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 142:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 143:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 144:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 145:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 4 + + + 146:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 147:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 148:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 149:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 150:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 151:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_HandleSetup(void) + 152:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 153:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 requestHandled; + 154:.\Generated_Source\PSoC5/USBFS_drv.c **** + 155:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + 156:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, requestHandled); /* clear setup bit */ + 157:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* reread register */ + 158:.\Generated_Source\PSoC5/USBFS_drv.c **** if((requestHandled & USBFS_MODE_SETUP_RCVD) != 0u) + 159:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 160:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = requestHandled; /* if SETUP bit set -> exit without modifying the mo + 161:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 162:.\Generated_Source\PSoC5/USBFS_drv.c **** else + 163:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 164:.\Generated_Source\PSoC5/USBFS_drv.c **** /* In case the previous transfer did not complete, close it out */ + 165:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_PREMATURE); + 166:.\Generated_Source\PSoC5/USBFS_drv.c **** + 167:.\Generated_Source\PSoC5/USBFS_drv.c **** switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_TYPE_MASK) + 168:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 169:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_RQST_TYPE_STD: + 170:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_HandleStandardRqst(); + 171:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 172:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_RQST_TYPE_CLS: + 173:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_DispatchClassRqst(); + 174:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 175:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_RQST_TYPE_VND: + 176:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_HandleVendorRqst(); + 177:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 178:.\Generated_Source\PSoC5/USBFS_drv.c **** default: + 179:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_FALSE; + 180:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 181:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 182:.\Generated_Source\PSoC5/USBFS_drv.c **** if (requestHandled == USBFS_FALSE) + 183:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 184:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 185:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 186:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 187:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 188:.\Generated_Source\PSoC5/USBFS_drv.c **** + 189:.\Generated_Source\PSoC5/USBFS_drv.c **** + 190:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 191:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_HandleIN + 192:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 193:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 194:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 195:.\Generated_Source\PSoC5/USBFS_drv.c **** * This routine handles EP0 IN transfers. + 196:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 197:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 198:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 199:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 200:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 201:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 202:.\Generated_Source\PSoC5/USBFS_drv.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 5 + + + 203:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 204:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 205:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 206:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 207:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_HandleIN(void) + 208:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 209:.\Generated_Source\PSoC5/USBFS_drv.c **** switch (USBFS_transferState) + 210:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 211:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_IDLE: + 212:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 213:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_CONTROL_READ: + 214:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlReadDataStage(); + 215:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 216:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_CONTROL_WRITE: + 217:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlWriteStatusStage(); + 218:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 219:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_NO_DATA_CONTROL: + 220:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_NoDataControlStatusStage(); + 221:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 222:.\Generated_Source\PSoC5/USBFS_drv.c **** default: /* there are no more states */ + 223:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 224:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 225:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 226:.\Generated_Source\PSoC5/USBFS_drv.c **** + 227:.\Generated_Source\PSoC5/USBFS_drv.c **** + 228:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 229:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_HandleOUT + 230:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 231:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 232:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 233:.\Generated_Source\PSoC5/USBFS_drv.c **** * This routine handles EP0 OUT transfers. + 234:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 235:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 236:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 237:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 238:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 239:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 240:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 241:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 242:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 243:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 244:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 245:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_HandleOUT(void) + 246:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 247:.\Generated_Source\PSoC5/USBFS_drv.c **** switch (USBFS_transferState) + 248:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 249:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_IDLE: + 250:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 251:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_CONTROL_READ: + 252:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlReadStatusStage(); + 253:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 254:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_CONTROL_WRITE: + 255:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlWriteDataStage(); + 256:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 257:.\Generated_Source\PSoC5/USBFS_drv.c **** case USBFS_TRANS_STATE_NO_DATA_CONTROL: + 258:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the completion block */ + 259:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_ERROR); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 6 + + + 260:.\Generated_Source\PSoC5/USBFS_drv.c **** /* We expect no more data, so stall INs and OUTs */ + 261:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 262:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 263:.\Generated_Source\PSoC5/USBFS_drv.c **** default: /* There are no more states */ + 264:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 265:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 266:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 267:.\Generated_Source\PSoC5/USBFS_drv.c **** + 268:.\Generated_Source\PSoC5/USBFS_drv.c **** + 269:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 270:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_LoadEP0 + 271:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 272:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 273:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 274:.\Generated_Source\PSoC5/USBFS_drv.c **** * This routine loads the EP0 data registers for OUT transfers. It uses the + 275:.\Generated_Source\PSoC5/USBFS_drv.c **** * currentTD (previously initialized by the _InitControlWrite function and + 276:.\Generated_Source\PSoC5/USBFS_drv.c **** * updated for each OUT transfer, and the bLastPacketSize) to determine how + 277:.\Generated_Source\PSoC5/USBFS_drv.c **** * many uint8s to transfer on the current OUT. + 278:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 279:.\Generated_Source\PSoC5/USBFS_drv.c **** * If the number of uint8s remaining is zero and the last transfer was full, + 280:.\Generated_Source\PSoC5/USBFS_drv.c **** * we need to send a zero length packet. Otherwise we send the minimum + 281:.\Generated_Source\PSoC5/USBFS_drv.c **** * of the control endpoint size (8) or remaining number of uint8s for the + 282:.\Generated_Source\PSoC5/USBFS_drv.c **** * transaction. + 283:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 284:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 285:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 286:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 287:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 288:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 289:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 290:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 291:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferByteCount - Update the transfer byte count from the + 292:.\Generated_Source\PSoC5/USBFS_drv.c **** * last transaction. + 293:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Count - counts the data loaded to the SIE memory in + 294:.\Generated_Source\PSoC5/USBFS_drv.c **** * current packet. + 295:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_lastPacketSize - remembers the USBFS_ep0Count value for the + 296:.\Generated_Source\PSoC5/USBFS_drv.c **** * next packet. + 297:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferByteCount - sum of the previous bytes transferred + 298:.\Generated_Source\PSoC5/USBFS_drv.c **** * on previous packets(sum of USBFS_lastPacketSize) + 299:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Toggle - inverted + 300:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - prepare for mode register content. + 301:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferState - set to TRANS_STATE_CONTROL_READ + 302:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 303:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 304:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 305:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 306:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 307:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_LoadEP0(void) + 308:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 27 .loc 1 308 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 @ link register save eliminated. + 32 .LVL0: + 309:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 ep0Count = 0u; + 310:.\Generated_Source\PSoC5/USBFS_drv.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 7 + + + 311:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the transfer byte count from the last transaction */ + 312:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferByteCount += USBFS_lastPacketSize; + 33 .loc 1 312 0 + 34 0000 1B4B ldr r3, .L16 + 35 0002 1C49 ldr r1, .L16+4 + 36 0004 1A88 ldrh r2, [r3, #0] + 37 0006 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 38 0008 8218 adds r2, r0, r2 + 39 000a 91B2 uxth r1, r2 + 40 000c 1980 strh r1, [r3, #0] @ movhi + 313:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Now load the next transaction */ + 314:.\Generated_Source\PSoC5/USBFS_drv.c **** while ((USBFS_currentTD.count > 0u) && (ep0Count < 8u)) + 41 .loc 1 314 0 + 42 000e 1A49 ldr r1, .L16+8 + 43 .LVL1: + 44 .L2: + 45 .loc 1 314 0 is_stmt 0 discriminator 1 + 46 0010 1A4B ldr r3, .L16+12 + 47 0012 CAB2 uxtb r2, r1 + 48 0014 1888 ldrh r0, [r3, #0] + 49 0016 80B2 uxth r0, r0 + 50 0018 78B1 cbz r0, .L3 + 51 .loc 1 314 0 discriminator 2 + 52 001a 194A ldr r2, .L16+16 + 53 001c 9142 cmp r1, r2 + 54 001e 0BD0 beq .L15 + 55 .L4: + 315:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 316:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8((reg8 *)(USBFS_EP0_DR0_IND + ep0Count), *USBFS_currentTD.pData); + 56 .loc 1 316 0 is_stmt 1 + 57 0020 5A68 ldr r2, [r3, #4] + 58 0022 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 59 0024 01F8010B strb r0, [r1], #1 + 317:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + 60 .loc 1 317 0 + 61 0028 5A68 ldr r2, [r3, #4] + 62 002a 501C adds r0, r2, #1 + 63 002c 5860 str r0, [r3, #4] + 318:.\Generated_Source\PSoC5/USBFS_drv.c **** ep0Count++; + 319:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.count--; + 64 .loc 1 319 0 + 65 002e 1A88 ldrh r2, [r3, #0] + 66 0030 501E subs r0, r2, #1 + 67 0032 82B2 uxth r2, r0 + 68 0034 1A80 strh r2, [r3, #0] @ movhi + 69 0036 EBE7 b .L2 + 70 .L15: + 314:.\Generated_Source\PSoC5/USBFS_drv.c **** while ((USBFS_currentTD.count > 0u) && (ep0Count < 8u)) + 71 .loc 1 314 0 + 72 0038 0822 movs r2, #8 + 73 .L3: + 320:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 321:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Support zero-length packet*/ + 322:.\Generated_Source\PSoC5/USBFS_drv.c **** if( (USBFS_lastPacketSize == 8u) || (ep0Count > 0u) ) + 74 .loc 1 322 0 + 75 003a 0E49 ldr r1, .L16+4 + 76 003c 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 8 + + + 77 003e 082B cmp r3, #8 + 78 0040 00D0 beq .L5 + 79 .loc 1 322 0 is_stmt 0 discriminator 1 + 80 0042 5AB1 cbz r2, .L6 + 81 .L5: + 323:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 324:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the data toggle */ + 325:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + 82 .loc 1 325 0 is_stmt 1 + 83 0044 0F48 ldr r0, .L16+20 + 84 0046 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 85 0048 81F08003 eor r3, r1, #128 + 326:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set the Mode Register */ + 327:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + 86 .loc 1 327 0 + 87 004c 0E49 ldr r1, .L16+24 + 325:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + 88 .loc 1 325 0 + 89 004e 0370 strb r3, [r0, #0] + 90 .loc 1 327 0 + 91 0050 0F20 movs r0, #15 + 328:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the state (or stay the same) */ + 329:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 92 .loc 1 329 0 + 93 0052 0E4B ldr r3, .L16+28 + 327:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + 94 .loc 1 327 0 + 95 0054 0870 strb r0, [r1, #0] + 96 .loc 1 329 0 + 97 0056 0220 movs r0, #2 + 98 0058 1870 strb r0, [r3, #0] + 99 005a 04E0 b .L7 + 100 .L6: + 330:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 331:.\Generated_Source\PSoC5/USBFS_drv.c **** else + 332:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 333:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Expect Status Stage Out */ + 334:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STATUS_OUT_ONLY; + 101 .loc 1 334 0 + 102 005c 0A49 ldr r1, .L16+24 + 335:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the state (or stay the same) */ + 336:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 103 .loc 1 336 0 + 104 005e 0B4B ldr r3, .L16+28 + 334:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STATUS_OUT_ONLY; + 105 .loc 1 334 0 + 106 0060 0220 movs r0, #2 + 107 0062 0870 strb r0, [r1, #0] + 108 .loc 1 336 0 + 109 0064 1870 strb r0, [r3, #0] + 110 .L7: + 337:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 338:.\Generated_Source\PSoC5/USBFS_drv.c **** + 339:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Save the packet size for next time */ + 340:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_lastPacketSize = ep0Count; + 111 .loc 1 340 0 + 112 0066 0349 ldr r1, .L16+4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 9 + + + 341:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Count = ep0Count; + 113 .loc 1 341 0 + 114 0068 0948 ldr r0, .L16+32 + 340:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_lastPacketSize = ep0Count; + 115 .loc 1 340 0 + 116 006a 0A70 strb r2, [r1, #0] + 117 .loc 1 341 0 + 118 006c 0270 strb r2, [r0, #0] + 119 006e 7047 bx lr + 120 .L17: + 121 .align 2 + 122 .L16: + 123 0070 00000000 .word USBFS_transferByteCount + 124 0074 00000000 .word USBFS_lastPacketSize + 125 0078 00600040 .word 1073766400 + 126 007c 00000000 .word USBFS_currentTD + 127 0080 08600040 .word 1073766408 + 128 0084 00000000 .word USBFS_ep0Toggle + 129 0088 00000000 .word USBFS_ep0Mode + 130 008c 00000000 .word USBFS_transferState + 131 0090 00000000 .word USBFS_ep0Count + 132 .cfi_endproc + 133 .LFE4: + 134 .size USBFS_LoadEP0, .-USBFS_LoadEP0 + 135 .section .text.USBFS_InitZeroLengthControlTransfer,"ax",%progbits + 136 .align 1 + 137 .global USBFS_InitZeroLengthControlTransfer + 138 .thumb + 139 .thumb_func + 140 .type USBFS_InitZeroLengthControlTransfer, %function + 141 USBFS_InitZeroLengthControlTransfer: + 142 .LFB6: + 342:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 343:.\Generated_Source\PSoC5/USBFS_drv.c **** + 344:.\Generated_Source\PSoC5/USBFS_drv.c **** + 345:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 346:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_InitControlRead + 347:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 348:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 349:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 350:.\Generated_Source\PSoC5/USBFS_drv.c **** * Initialize a control read transaction, usable to send data to the host. + 351:.\Generated_Source\PSoC5/USBFS_drv.c **** * The following global variables should be initialized before this function + 352:.\Generated_Source\PSoC5/USBFS_drv.c **** * called. To send zero length packet use InitZeroLengthControlTransfer + 353:.\Generated_Source\PSoC5/USBFS_drv.c **** * function. + 354:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 355:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 356:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 357:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 358:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 359:.\Generated_Source\PSoC5/USBFS_drv.c **** * requestHandled state. + 360:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 361:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 362:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.count - counts of data to be sent. + 363:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.pData - data pointer. + 364:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 365:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 366:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 10 + + + 367:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 368:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 369:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 USBFS_InitControlRead(void) + 370:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 371:.\Generated_Source\PSoC5/USBFS_drv.c **** uint16 xferCount; + 372:.\Generated_Source\PSoC5/USBFS_drv.c **** if(USBFS_currentTD.count == 0u) + 373:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 374:.\Generated_Source\PSoC5/USBFS_drv.c **** (void) USBFS_InitZeroLengthControlTransfer(); + 375:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 376:.\Generated_Source\PSoC5/USBFS_drv.c **** else + 377:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 378:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set up the state machine */ + 379:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 380:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set the toggle, it gets updated in LoadEP */ + 381:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = 0u; + 382:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Initialize the Status Block */ + 383:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_InitializeStatusBlock(); + 384:.\Generated_Source\PSoC5/USBFS_drv.c **** xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + 385:.\Generated_Source\PSoC5/USBFS_drv.c **** + 386:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.count > xferCount) + 387:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 388:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.count = xferCount; + 389:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 390:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_LoadEP0(); + 391:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 392:.\Generated_Source\PSoC5/USBFS_drv.c **** + 393:.\Generated_Source\PSoC5/USBFS_drv.c **** return(USBFS_TRUE); + 394:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 395:.\Generated_Source\PSoC5/USBFS_drv.c **** + 396:.\Generated_Source\PSoC5/USBFS_drv.c **** + 397:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 398:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_InitZeroLengthControlTransfer + 399:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 400:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 401:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 402:.\Generated_Source\PSoC5/USBFS_drv.c **** * Initialize a zero length data IN transfer. + 403:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 404:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 405:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 406:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 407:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 408:.\Generated_Source\PSoC5/USBFS_drv.c **** * requestHandled state. + 409:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 410:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 411:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE + 412:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - prepare for mode register content. + 413:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferState - set to TRANS_STATE_CONTROL_READ + 414:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Count - cleared, means the zero-length packet. + 415:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_lastPacketSize - cleared. + 416:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 417:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 418:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 419:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 420:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 421:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 USBFS_InitZeroLengthControlTransfer(void) + 422:.\Generated_Source\PSoC5/USBFS_drv.c **** + 423:.\Generated_Source\PSoC5/USBFS_drv.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 11 + + + 143 .loc 1 423 0 + 144 .cfi_startproc + 145 @ args = 0, pretend = 0, frame = 0 + 146 @ frame_needed = 0, uses_anonymous_args = 0 + 147 @ link register save eliminated. + 424:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the state */ + 425:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 148 .loc 1 425 0 + 149 0000 074B ldr r3, .L19 + 150 0002 0222 movs r2, #2 + 151 0004 1A70 strb r2, [r3, #0] + 426:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set the data toggle */ + 427:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 152 .loc 1 427 0 + 153 0006 0749 ldr r1, .L19+4 + 428:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set the Mode Register */ + 429:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + 154 .loc 1 429 0 + 155 0008 074B ldr r3, .L19+8 + 427:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 156 .loc 1 427 0 + 157 000a 8020 movs r0, #128 + 158 .loc 1 429 0 + 159 000c 0F22 movs r2, #15 + 427:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 160 .loc 1 427 0 + 161 000e 0870 strb r0, [r1, #0] + 162 .loc 1 429 0 + 163 0010 1A70 strb r2, [r3, #0] + 430:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Save the packet size for next time */ + 431:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_lastPacketSize = 0u; + 164 .loc 1 431 0 + 165 0012 0649 ldr r1, .L19+12 + 432:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Count = 0u; + 166 .loc 1 432 0 + 167 0014 064A ldr r2, .L19+16 + 431:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_lastPacketSize = 0u; + 168 .loc 1 431 0 + 169 0016 0020 movs r0, #0 + 170 0018 0870 strb r0, [r1, #0] + 171 .loc 1 432 0 + 172 001a 1070 strb r0, [r2, #0] + 433:.\Generated_Source\PSoC5/USBFS_drv.c **** + 434:.\Generated_Source\PSoC5/USBFS_drv.c **** return(USBFS_TRUE); + 435:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 173 .loc 1 435 0 + 174 001c 0120 movs r0, #1 + 175 001e 7047 bx lr + 176 .L20: + 177 .align 2 + 178 .L19: + 179 0020 00000000 .word USBFS_transferState + 180 0024 00000000 .word USBFS_ep0Toggle + 181 0028 00000000 .word USBFS_ep0Mode + 182 002c 00000000 .word USBFS_lastPacketSize + 183 0030 00000000 .word USBFS_ep0Count + 184 .cfi_endproc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 12 + + + 185 .LFE6: + 186 .size USBFS_InitZeroLengthControlTransfer, .-USBFS_InitZeroLengthControlTransfer + 187 .section .text.USBFS_ControlReadDataStage,"ax",%progbits + 188 .align 1 + 189 .global USBFS_ControlReadDataStage + 190 .thumb + 191 .thumb_func + 192 .type USBFS_ControlReadDataStage, %function + 193 USBFS_ControlReadDataStage: + 194 .LFB7: + 436:.\Generated_Source\PSoC5/USBFS_drv.c **** + 437:.\Generated_Source\PSoC5/USBFS_drv.c **** + 438:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 439:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_ControlReadDataStage + 440:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 441:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 442:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 443:.\Generated_Source\PSoC5/USBFS_drv.c **** * Handle the Data Stage of a control read transfer. + 444:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 445:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 446:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 447:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 448:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 449:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 450:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 451:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 452:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 453:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 454:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 455:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_ControlReadDataStage(void) + 456:.\Generated_Source\PSoC5/USBFS_drv.c **** + 457:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 195 .loc 1 457 0 + 196 .cfi_startproc + 197 @ args = 0, pretend = 0, frame = 0 + 198 @ frame_needed = 0, uses_anonymous_args = 0 + 199 @ link register save eliminated. + 458:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_LoadEP0(); + 459:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 200 .loc 1 459 0 + 458:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_LoadEP0(); + 201 .loc 1 458 0 + 202 0000 FFF7FEBF b USBFS_LoadEP0 + 203 .LVL2: + 204 .cfi_endproc + 205 .LFE7: + 206 .size USBFS_ControlReadDataStage, .-USBFS_ControlReadDataStage + 207 .section .text.USBFS_ControlWriteDataStage,"ax",%progbits + 208 .align 1 + 209 .global USBFS_ControlWriteDataStage + 210 .thumb + 211 .thumb_func + 212 .type USBFS_ControlWriteDataStage, %function + 213 USBFS_ControlWriteDataStage: + 214 .LFB10: + 460:.\Generated_Source\PSoC5/USBFS_drv.c **** + 461:.\Generated_Source\PSoC5/USBFS_drv.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 13 + + + 462:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 463:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_ControlReadStatusStage + 464:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 465:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 466:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 467:.\Generated_Source\PSoC5/USBFS_drv.c **** * Handle the Status Stage of a control read transfer. + 468:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 469:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 470:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 471:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 472:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 473:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 474:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 475:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 476:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_USBFS_transferByteCount - updated with last packet size. + 477:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferState - set to TRANS_STATE_IDLE. + 478:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - set to MODE_STALL_IN_OUT. + 479:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 480:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 481:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 482:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 483:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 484:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_ControlReadStatusStage(void) + 485:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 486:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the transfer byte count */ + 487:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferByteCount += USBFS_lastPacketSize; + 488:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Go Idle */ + 489:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 490:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the completion block */ + 491:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 492:.\Generated_Source\PSoC5/USBFS_drv.c **** /* We expect no more data, so stall INs and OUTs */ + 493:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 494:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 495:.\Generated_Source\PSoC5/USBFS_drv.c **** + 496:.\Generated_Source\PSoC5/USBFS_drv.c **** + 497:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 498:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_InitControlWrite + 499:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 500:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 501:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 502:.\Generated_Source\PSoC5/USBFS_drv.c **** * Initialize a control write transaction + 503:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 504:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 505:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 506:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 507:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 508:.\Generated_Source\PSoC5/USBFS_drv.c **** * requestHandled state. + 509:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 510:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 511:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_USBFS_transferState - set to TRANS_STATE_CONTROL_WRITE + 512:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE + 513:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - set to MODE_ACK_OUT_STATUS_IN + 514:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 515:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 516:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 517:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 518:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 14 + + + 519:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 USBFS_InitControlWrite(void) + 520:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 521:.\Generated_Source\PSoC5/USBFS_drv.c **** uint16 xferCount; + 522:.\Generated_Source\PSoC5/USBFS_drv.c **** + 523:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Set up the state machine */ + 524:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_WRITE; + 525:.\Generated_Source\PSoC5/USBFS_drv.c **** /* This might not be necessary */ + 526:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 527:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Initialize the Status Block */ + 528:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_InitializeStatusBlock(); + 529:.\Generated_Source\PSoC5/USBFS_drv.c **** + 530:.\Generated_Source\PSoC5/USBFS_drv.c **** xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + 531:.\Generated_Source\PSoC5/USBFS_drv.c **** + 532:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.count > xferCount) + 533:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 534:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.count = xferCount; + 535:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 536:.\Generated_Source\PSoC5/USBFS_drv.c **** + 537:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Expect Data or Status Stage */ + 538:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; + 539:.\Generated_Source\PSoC5/USBFS_drv.c **** + 540:.\Generated_Source\PSoC5/USBFS_drv.c **** return(USBFS_TRUE); + 541:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 542:.\Generated_Source\PSoC5/USBFS_drv.c **** + 543:.\Generated_Source\PSoC5/USBFS_drv.c **** + 544:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 545:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_ControlWriteDataStage + 546:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 547:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 548:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 549:.\Generated_Source\PSoC5/USBFS_drv.c **** * Handle the Data Stage of a control write transfer + 550:.\Generated_Source\PSoC5/USBFS_drv.c **** * 1. Get the data (We assume the destination was validated previously) + 551:.\Generated_Source\PSoC5/USBFS_drv.c **** * 2. Update the count and data toggle + 552:.\Generated_Source\PSoC5/USBFS_drv.c **** * 3. Update the mode register for the next transaction + 553:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 554:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 555:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 556:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 557:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 558:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 559:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 560:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 561:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferByteCount - Update the transfer byte count from the + 562:.\Generated_Source\PSoC5/USBFS_drv.c **** * last transaction. + 563:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Count - counts the data loaded from the SIE memory + 564:.\Generated_Source\PSoC5/USBFS_drv.c **** * in current packet. + 565:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferByteCount - sum of the previous bytes transferred + 566:.\Generated_Source\PSoC5/USBFS_drv.c **** * on previous packets(sum of USBFS_lastPacketSize) + 567:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Toggle - inverted + 568:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - set to MODE_ACK_OUT_STATUS_IN. + 569:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 570:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 571:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 572:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 573:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 574:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_ControlWriteDataStage(void) + 575:.\Generated_Source\PSoC5/USBFS_drv.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 15 + + + 215 .loc 1 575 0 + 216 .cfi_startproc + 217 @ args = 0, pretend = 0, frame = 0 + 218 @ frame_needed = 0, uses_anonymous_args = 0 + 219 .LVL3: + 220 0000 10B5 push {r4, lr} + 221 .LCFI0: + 222 .cfi_def_cfa_offset 8 + 223 .cfi_offset 4, -8 + 224 .cfi_offset 14, -4 + 576:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 ep0Count; + 577:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 regIndex = 0u; + 578:.\Generated_Source\PSoC5/USBFS_drv.c **** + 579:.\Generated_Source\PSoC5/USBFS_drv.c **** ep0Count = (CY_GET_REG8(USBFS_EP0_CNT_PTR) & USBFS_EPX_CNT0_MASK) - + 225 .loc 1 579 0 + 226 0002 154B ldr r3, .L29 + 227 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 580:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_EPX_CNTX_CRC_COUNT; + 581:.\Generated_Source\PSoC5/USBFS_drv.c **** + 582:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferByteCount += ep0Count; + 228 .loc 1 582 0 + 229 0006 154B ldr r3, .L29+4 + 579:.\Generated_Source\PSoC5/USBFS_drv.c **** ep0Count = (CY_GET_REG8(USBFS_EP0_CNT_PTR) & USBFS_EPX_CNT0_MASK) - + 230 .loc 1 579 0 + 231 0008 02F00F00 and r0, r2, #15 + 232 000c 811E subs r1, r0, #2 + 233 .loc 1 582 0 + 234 000e 1888 ldrh r0, [r3, #0] + 579:.\Generated_Source\PSoC5/USBFS_drv.c **** ep0Count = (CY_GET_REG8(USBFS_EP0_CNT_PTR) & USBFS_EPX_CNT0_MASK) - + 235 .loc 1 579 0 + 236 0010 CAB2 uxtb r2, r1 + 237 .LVL4: + 238 .loc 1 582 0 + 239 0012 1118 adds r1, r2, r0 + 240 .LVL5: + 241 0014 88B2 uxth r0, r1 + 583:.\Generated_Source\PSoC5/USBFS_drv.c **** + 584:.\Generated_Source\PSoC5/USBFS_drv.c **** while ((USBFS_currentTD.count > 0u) && (ep0Count > 0u)) + 242 .loc 1 584 0 + 243 0016 1249 ldr r1, .L29+8 + 582:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferByteCount += ep0Count; + 244 .loc 1 582 0 + 245 0018 1880 strh r0, [r3, #0] @ movhi + 246 .LVL6: + 247 .L23: + 248 .loc 1 584 0 discriminator 1 + 249 001a 124B ldr r3, .L29+12 + 250 001c 1888 ldrh r0, [r3, #0] + 251 001e 80B2 uxth r0, r0 + 252 0020 70B1 cbz r0, .L24 + 253 .loc 1 584 0 is_stmt 0 discriminator 2 + 254 0022 6AB1 cbz r2, .L24 + 255 .L25: + 585:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 586:.\Generated_Source\PSoC5/USBFS_drv.c **** *USBFS_currentTD.pData = CY_GET_REG8((reg8 *)(USBFS_EP0_DR0_IND + regIndex)); + 256 .loc 1 586 0 is_stmt 1 + 257 0024 5868 ldr r0, [r3, #4] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 16 + + + 258 0026 11F8014B ldrb r4, [r1], #1 @ zero_extendqisi2 + 587:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + 588:.\Generated_Source\PSoC5/USBFS_drv.c **** regIndex++; + 589:.\Generated_Source\PSoC5/USBFS_drv.c **** ep0Count--; + 259 .loc 1 589 0 + 260 002a 013A subs r2, r2, #1 + 261 .LVL7: + 586:.\Generated_Source\PSoC5/USBFS_drv.c **** *USBFS_currentTD.pData = CY_GET_REG8((reg8 *)(USBFS_EP0_DR0_IND + regIndex)); + 262 .loc 1 586 0 + 263 002c 0470 strb r4, [r0, #0] + 587:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + 264 .loc 1 587 0 + 265 002e 5868 ldr r0, [r3, #4] + 266 .loc 1 589 0 + 267 0030 D2B2 uxtb r2, r2 + 268 .LVL8: + 587:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + 269 .loc 1 587 0 + 270 0032 0130 adds r0, r0, #1 + 271 0034 5860 str r0, [r3, #4] + 272 .LVL9: + 590:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.count--; + 273 .loc 1 590 0 + 274 0036 1888 ldrh r0, [r3, #0] + 275 0038 0138 subs r0, r0, #1 + 276 003a 80B2 uxth r0, r0 + 277 003c 1880 strh r0, [r3, #0] @ movhi + 278 003e ECE7 b .L23 + 279 .L24: + 591:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 592:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Count = ep0Count; + 280 .loc 1 592 0 + 281 0040 0949 ldr r1, .L29+16 + 593:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the data toggle */ + 594:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + 282 .loc 1 594 0 + 283 0042 0A4B ldr r3, .L29+20 + 592:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Count = ep0Count; + 284 .loc 1 592 0 + 285 0044 0A70 strb r2, [r1, #0] + 286 .loc 1 594 0 + 287 0046 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 288 .LVL10: + 595:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Expect Data or Status Stage */ + 596:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; + 289 .loc 1 596 0 + 290 0048 0B21 movs r1, #11 + 594:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + 291 .loc 1 594 0 + 292 004a 82F08000 eor r0, r2, #128 + 293 004e 1870 strb r0, [r3, #0] + 294 .loc 1 596 0 + 295 0050 074B ldr r3, .L29+24 + 296 0052 1970 strb r1, [r3, #0] + 297 0054 10BD pop {r4, pc} + 298 .L30: + 299 0056 00BF .align 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 17 + + + 300 .L29: + 301 0058 29600040 .word 1073766441 + 302 005c 00000000 .word USBFS_transferByteCount + 303 0060 00600040 .word 1073766400 + 304 0064 00000000 .word USBFS_currentTD + 305 0068 00000000 .word USBFS_ep0Count + 306 006c 00000000 .word USBFS_ep0Toggle + 307 0070 00000000 .word USBFS_ep0Mode + 308 .cfi_endproc + 309 .LFE10: + 310 .size USBFS_ControlWriteDataStage, .-USBFS_ControlWriteDataStage + 311 .section .text.USBFS_InitNoDataControlTransfer,"ax",%progbits + 312 .align 1 + 313 .global USBFS_InitNoDataControlTransfer + 314 .thumb + 315 .thumb_func + 316 .type USBFS_InitNoDataControlTransfer, %function + 317 USBFS_InitNoDataControlTransfer: + 318 .LFB12: + 597:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 598:.\Generated_Source\PSoC5/USBFS_drv.c **** + 599:.\Generated_Source\PSoC5/USBFS_drv.c **** + 600:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 601:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_ControlWriteStatusStage + 602:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 603:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 604:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 605:.\Generated_Source\PSoC5/USBFS_drv.c **** * Handle the Status Stage of a control write transfer + 606:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 607:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 608:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 609:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 610:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 611:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 612:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 613:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 614:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferState - set to TRANS_STATE_IDLE. + 615:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_USBFS_ep0Mode - set to MODE_STALL_IN_OUT. + 616:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 617:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 618:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 619:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 620:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 621:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_ControlWriteStatusStage(void) + 622:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 623:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Go Idle */ + 624:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 625:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the completion block */ + 626:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 627:.\Generated_Source\PSoC5/USBFS_drv.c **** /* We expect no more data, so stall INs and OUTs */ + 628:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 629:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 630:.\Generated_Source\PSoC5/USBFS_drv.c **** + 631:.\Generated_Source\PSoC5/USBFS_drv.c **** + 632:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 633:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_InitNoDataControlTransfer + 634:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 18 + + + 635:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 636:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 637:.\Generated_Source\PSoC5/USBFS_drv.c **** * Initialize a no data control transfer + 638:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 639:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 640:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 641:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 642:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 643:.\Generated_Source\PSoC5/USBFS_drv.c **** * requestHandled state. + 644:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 645:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 646:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferState - set to TRANS_STATE_NO_DATA_CONTROL. + 647:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - set to MODE_STATUS_IN_ONLY. + 648:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Count - cleared. + 649:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE + 650:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 651:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 652:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 653:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 654:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 655:.\Generated_Source\PSoC5/USBFS_drv.c **** uint8 USBFS_InitNoDataControlTransfer(void) + 656:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 319 .loc 1 656 0 + 320 .cfi_startproc + 321 @ args = 0, pretend = 0, frame = 0 + 322 @ frame_needed = 0, uses_anonymous_args = 0 + 323 @ link register save eliminated. + 657:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_NO_DATA_CONTROL; + 324 .loc 1 657 0 + 325 0000 064A ldr r2, .L32 + 658:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STATUS_IN_ONLY; + 326 .loc 1 658 0 + 327 0002 0748 ldr r0, .L32+4 + 657:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_NO_DATA_CONTROL; + 328 .loc 1 657 0 + 329 0004 0623 movs r3, #6 + 330 0006 1370 strb r3, [r2, #0] + 331 .loc 1 658 0 + 332 0008 0370 strb r3, [r0, #0] + 659:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 333 .loc 1 659 0 + 334 000a 064B ldr r3, .L32+8 + 660:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Count = 0u; + 335 .loc 1 660 0 + 336 000c 0648 ldr r0, .L32+12 + 659:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 337 .loc 1 659 0 + 338 000e 8021 movs r1, #128 + 339 .loc 1 660 0 + 340 0010 0022 movs r2, #0 + 659:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 341 .loc 1 659 0 + 342 0012 1970 strb r1, [r3, #0] + 343 .loc 1 660 0 + 344 0014 0270 strb r2, [r0, #0] + 661:.\Generated_Source\PSoC5/USBFS_drv.c **** + 662:.\Generated_Source\PSoC5/USBFS_drv.c **** return(USBFS_TRUE); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 19 + + + 663:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 345 .loc 1 663 0 + 346 0016 0120 movs r0, #1 + 347 0018 7047 bx lr + 348 .L33: + 349 001a 00BF .align 2 + 350 .L32: + 351 001c 00000000 .word USBFS_transferState + 352 0020 00000000 .word USBFS_ep0Mode + 353 0024 00000000 .word USBFS_ep0Toggle + 354 0028 00000000 .word USBFS_ep0Count + 355 .cfi_endproc + 356 .LFE12: + 357 .size USBFS_InitNoDataControlTransfer, .-USBFS_InitNoDataControlTransfer + 358 .section .text.USBFS_UpdateStatusBlock,"ax",%progbits + 359 .align 1 + 360 .global USBFS_UpdateStatusBlock + 361 .thumb + 362 .thumb_func + 363 .type USBFS_UpdateStatusBlock, %function + 364 USBFS_UpdateStatusBlock: + 365 .LFB14: + 664:.\Generated_Source\PSoC5/USBFS_drv.c **** + 665:.\Generated_Source\PSoC5/USBFS_drv.c **** + 666:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 667:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_NoDataControlStatusStage + 668:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 669:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 670:.\Generated_Source\PSoC5/USBFS_drv.c **** * Handle the Status Stage of a no data control transfer. + 671:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 672:.\Generated_Source\PSoC5/USBFS_drv.c **** * SET_ADDRESS is special, since we need to receive the status stage with + 673:.\Generated_Source\PSoC5/USBFS_drv.c **** * the old address. + 674:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 675:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 676:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 677:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 678:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 679:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 680:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 681:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 682:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferState - set to TRANS_STATE_IDLE. + 683:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Mode - set to MODE_STALL_IN_OUT. + 684:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE + 685:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_deviceAddress - used to set new address and cleared + 686:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 687:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 688:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 689:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 690:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 691:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_NoDataControlStatusStage(void) + 692:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 693:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Change the USB address register if we got a SET_ADDRESS. */ + 694:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_deviceAddress != 0u) + 695:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 696:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_CR0_PTR, USBFS_deviceAddress | USBFS_CR0_ENABLE); + 697:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_deviceAddress = 0u; + 698:.\Generated_Source\PSoC5/USBFS_drv.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 20 + + + 699:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Go Idle */ + 700:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 701:.\Generated_Source\PSoC5/USBFS_drv.c **** /* Update the completion block */ + 702:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 703:.\Generated_Source\PSoC5/USBFS_drv.c **** /* We expect no more data, so stall INs and OUTs */ + 704:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 705:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 706:.\Generated_Source\PSoC5/USBFS_drv.c **** + 707:.\Generated_Source\PSoC5/USBFS_drv.c **** + 708:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 709:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_UpdateStatusBlock + 710:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 711:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 712:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 713:.\Generated_Source\PSoC5/USBFS_drv.c **** * Update the Completion Status Block for a Request. The block is updated + 714:.\Generated_Source\PSoC5/USBFS_drv.c **** * with the completion code the USBFS_transferByteCount. The + 715:.\Generated_Source\PSoC5/USBFS_drv.c **** * StatusBlock Pointer is set to NULL. + 716:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 717:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 718:.\Generated_Source\PSoC5/USBFS_drv.c **** * completionCode - status. + 719:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 720:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 721:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 722:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 723:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 724:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.pStatusBlock->status - updated by the + 725:.\Generated_Source\PSoC5/USBFS_drv.c **** * completionCode parameter. + 726:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.pStatusBlock->length - updated. + 727:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.pStatusBlock - cleared. + 728:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 729:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 730:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 731:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 732:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 733:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_UpdateStatusBlock(uint8 completionCode) + 734:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 366 .loc 1 734 0 + 367 .cfi_startproc + 368 @ args = 0, pretend = 0, frame = 0 + 369 @ frame_needed = 0, uses_anonymous_args = 0 + 370 @ link register save eliminated. + 371 .LVL11: + 735:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.pStatusBlock != NULL) + 372 .loc 1 735 0 + 373 0000 054B ldr r3, .L39 + 374 0002 9A68 ldr r2, [r3, #8] + 375 0004 3AB1 cbz r2, .L34 + 736:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 737:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pStatusBlock->status = completionCode; + 376 .loc 1 737 0 + 377 0006 9968 ldr r1, [r3, #8] + 738:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pStatusBlock->length = USBFS_transferByteCount; + 378 .loc 1 738 0 + 379 0008 044A ldr r2, .L39+4 + 737:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pStatusBlock->status = completionCode; + 380 .loc 1 737 0 + 381 000a 0870 strb r0, [r1, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 21 + + + 382 .loc 1 738 0 + 383 000c 9868 ldr r0, [r3, #8] + 384 .LVL12: + 385 000e 1188 ldrh r1, [r2, #0] + 386 .LVL13: + 387 0010 4180 strh r1, [r0, #2] @ movhi + 739:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pStatusBlock = NULL; + 388 .loc 1 739 0 + 389 0012 0020 movs r0, #0 + 390 0014 9860 str r0, [r3, #8] + 391 .L34: + 392 0016 7047 bx lr + 393 .L40: + 394 .align 2 + 395 .L39: + 396 0018 00000000 .word USBFS_currentTD + 397 001c 00000000 .word USBFS_transferByteCount + 398 .cfi_endproc + 399 .LFE14: + 400 .size USBFS_UpdateStatusBlock, .-USBFS_UpdateStatusBlock + 401 .section .text.USBFS_NoDataControlStatusStage,"ax",%progbits + 402 .align 1 + 403 .global USBFS_NoDataControlStatusStage + 404 .thumb + 405 .thumb_func + 406 .type USBFS_NoDataControlStatusStage, %function + 407 USBFS_NoDataControlStatusStage: + 408 .LFB13: + 692:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 409 .loc 1 692 0 + 410 .cfi_startproc + 411 @ args = 0, pretend = 0, frame = 0 + 412 @ frame_needed = 0, uses_anonymous_args = 0 + 413 0000 08B5 push {r3, lr} + 414 .LCFI1: + 415 .cfi_def_cfa_offset 8 + 416 .cfi_offset 3, -8 + 417 .cfi_offset 14, -4 + 694:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_deviceAddress != 0u) + 418 .loc 1 694 0 + 419 0002 0A4B ldr r3, .L46 + 420 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 421 0006 32B1 cbz r2, .L42 + 696:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_CR0_PTR, USBFS_deviceAddress | USBFS_CR0_ENABLE); + 422 .loc 1 696 0 + 423 0008 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 424 000a 094A ldr r2, .L46+4 + 425 000c 41F08000 orr r0, r1, #128 + 697:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_deviceAddress = 0u; + 426 .loc 1 697 0 + 427 0010 0021 movs r1, #0 + 696:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_CR0_PTR, USBFS_deviceAddress | USBFS_CR0_ENABLE); + 428 .loc 1 696 0 + 429 0012 1070 strb r0, [r2, #0] + 697:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_deviceAddress = 0u; + 430 .loc 1 697 0 + 431 0014 1970 strb r1, [r3, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 22 + + + 432 .L42: + 700:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 433 .loc 1 700 0 + 434 0016 074B ldr r3, .L46+8 + 435 0018 0020 movs r0, #0 + 436 001a 1870 strb r0, [r3, #0] + 702:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 437 .loc 1 702 0 + 438 001c 0120 movs r0, #1 + 439 001e FFF7FEFF bl USBFS_UpdateStatusBlock + 440 .LVL14: + 704:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 441 .loc 1 704 0 + 442 0022 0549 ldr r1, .L46+12 + 443 0024 0322 movs r2, #3 + 444 0026 0A70 strb r2, [r1, #0] + 445 0028 08BD pop {r3, pc} + 446 .L47: + 447 002a 00BF .align 2 + 448 .L46: + 449 002c 00000000 .word USBFS_deviceAddress + 450 0030 08600040 .word 1073766408 + 451 0034 00000000 .word USBFS_transferState + 452 0038 00000000 .word USBFS_ep0Mode + 453 .cfi_endproc + 454 .LFE13: + 455 .size USBFS_NoDataControlStatusStage, .-USBFS_NoDataControlStatusStage + 456 .section .text.USBFS_ControlWriteStatusStage,"ax",%progbits + 457 .align 1 + 458 .global USBFS_ControlWriteStatusStage + 459 .thumb + 460 .thumb_func + 461 .type USBFS_ControlWriteStatusStage, %function + 462 USBFS_ControlWriteStatusStage: + 463 .LFB11: + 622:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 464 .loc 1 622 0 + 465 .cfi_startproc + 466 @ args = 0, pretend = 0, frame = 0 + 467 @ frame_needed = 0, uses_anonymous_args = 0 + 468 0000 08B5 push {r3, lr} + 469 .LCFI2: + 470 .cfi_def_cfa_offset 8 + 471 .cfi_offset 3, -8 + 472 .cfi_offset 14, -4 + 624:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 473 .loc 1 624 0 + 474 0002 054B ldr r3, .L49 + 475 0004 0022 movs r2, #0 + 626:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 476 .loc 1 626 0 + 477 0006 0120 movs r0, #1 + 624:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 478 .loc 1 624 0 + 479 0008 1A70 strb r2, [r3, #0] + 626:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 480 .loc 1 626 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 23 + + + 481 000a FFF7FEFF bl USBFS_UpdateStatusBlock + 482 .LVL15: + 628:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 483 .loc 1 628 0 + 484 000e 0349 ldr r1, .L49+4 + 485 0010 0320 movs r0, #3 + 486 0012 0870 strb r0, [r1, #0] + 487 0014 08BD pop {r3, pc} + 488 .L50: + 489 0016 00BF .align 2 + 490 .L49: + 491 0018 00000000 .word USBFS_transferState + 492 001c 00000000 .word USBFS_ep0Mode + 493 .cfi_endproc + 494 .LFE11: + 495 .size USBFS_ControlWriteStatusStage, .-USBFS_ControlWriteStatusStage + 496 .section .text.USBFS_HandleIN,"ax",%progbits + 497 .align 1 + 498 .global USBFS_HandleIN + 499 .thumb + 500 .thumb_func + 501 .type USBFS_HandleIN, %function + 502 USBFS_HandleIN: + 503 .LFB2: + 208:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 504 .loc 1 208 0 + 505 .cfi_startproc + 506 @ args = 0, pretend = 0, frame = 0 + 507 @ frame_needed = 0, uses_anonymous_args = 0 + 508 @ link register save eliminated. + 209:.\Generated_Source\PSoC5/USBFS_drv.c **** switch (USBFS_transferState) + 509 .loc 1 209 0 + 510 0000 074B ldr r3, .L57 + 511 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 512 0004 0428 cmp r0, #4 + 513 0006 05D0 beq .L54 + 514 0008 0628 cmp r0, #6 + 515 000a 05D0 beq .L55 + 516 000c 0228 cmp r0, #2 + 517 000e 05D1 bne .L56 + 225:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 518 .loc 1 225 0 + 519 .LBB4: + 520 .LBB5: + 458:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_LoadEP0(); + 521 .loc 1 458 0 + 522 0010 FFF7FEBF b USBFS_LoadEP0 + 523 .LVL16: + 524 .L54: + 525 .LBE5: + 526 .LBE4: + 225:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 527 .loc 1 225 0 + 217:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlWriteStatusStage(); + 528 .loc 1 217 0 + 529 0014 FFF7FEBF b USBFS_ControlWriteStatusStage + 530 .LVL17: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 24 + + + 531 .L55: + 225:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 532 .loc 1 225 0 + 220:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_NoDataControlStatusStage(); + 533 .loc 1 220 0 + 534 0018 FFF7FEBF b USBFS_NoDataControlStatusStage + 535 .LVL18: + 536 .L56: + 537 001c 7047 bx lr + 538 .L58: + 539 001e 00BF .align 2 + 540 .L57: + 541 0020 00000000 .word USBFS_transferState + 542 .cfi_endproc + 543 .LFE2: + 544 .size USBFS_HandleIN, .-USBFS_HandleIN + 545 .section .text.USBFS_ControlReadStatusStage,"ax",%progbits + 546 .align 1 + 547 .global USBFS_ControlReadStatusStage + 548 .thumb + 549 .thumb_func + 550 .type USBFS_ControlReadStatusStage, %function + 551 USBFS_ControlReadStatusStage: + 552 .LFB8: + 485:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 553 .loc 1 485 0 + 554 .cfi_startproc + 555 @ args = 0, pretend = 0, frame = 0 + 556 @ frame_needed = 0, uses_anonymous_args = 0 + 557 0000 08B5 push {r3, lr} + 558 .LCFI3: + 559 .cfi_def_cfa_offset 8 + 560 .cfi_offset 3, -8 + 561 .cfi_offset 14, -4 + 487:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferByteCount += USBFS_lastPacketSize; + 562 .loc 1 487 0 + 563 0002 0849 ldr r1, .L60 + 564 0004 084B ldr r3, .L60+4 + 565 0006 1A88 ldrh r2, [r3, #0] + 566 0008 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 567 000a 8218 adds r2, r0, r2 + 568 000c 91B2 uxth r1, r2 + 569 000e 1980 strh r1, [r3, #0] @ movhi + 489:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_IDLE; + 570 .loc 1 489 0 + 571 0010 064B ldr r3, .L60+8 + 572 0012 0020 movs r0, #0 + 573 0014 1870 strb r0, [r3, #0] + 491:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + 574 .loc 1 491 0 + 575 0016 0120 movs r0, #1 + 576 0018 FFF7FEFF bl USBFS_UpdateStatusBlock + 577 .LVL19: + 493:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 578 .loc 1 493 0 + 579 001c 0449 ldr r1, .L60+12 + 580 001e 0322 movs r2, #3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 25 + + + 581 0020 0A70 strb r2, [r1, #0] + 582 0022 08BD pop {r3, pc} + 583 .L61: + 584 .align 2 + 585 .L60: + 586 0024 00000000 .word USBFS_lastPacketSize + 587 0028 00000000 .word USBFS_transferByteCount + 588 002c 00000000 .word USBFS_transferState + 589 0030 00000000 .word USBFS_ep0Mode + 590 .cfi_endproc + 591 .LFE8: + 592 .size USBFS_ControlReadStatusStage, .-USBFS_ControlReadStatusStage + 593 .section .text.USBFS_HandleOUT,"ax",%progbits + 594 .align 1 + 595 .global USBFS_HandleOUT + 596 .thumb + 597 .thumb_func + 598 .type USBFS_HandleOUT, %function + 599 USBFS_HandleOUT: + 600 .LFB3: + 246:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 601 .loc 1 246 0 + 602 .cfi_startproc + 603 @ args = 0, pretend = 0, frame = 0 + 604 @ frame_needed = 0, uses_anonymous_args = 0 + 605 0000 08B5 push {r3, lr} + 606 .LCFI4: + 607 .cfi_def_cfa_offset 8 + 608 .cfi_offset 3, -8 + 609 .cfi_offset 14, -4 + 247:.\Generated_Source\PSoC5/USBFS_drv.c **** switch (USBFS_transferState) + 610 .loc 1 247 0 + 611 0002 0B4B ldr r3, .L67 + 612 0004 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 613 0006 0428 cmp r0, #4 + 614 0008 07D0 beq .L65 + 615 000a 0628 cmp r0, #6 + 616 000c 09D0 beq .L66 + 617 000e 0228 cmp r0, #2 + 618 0010 0DD1 bne .L62 + 266:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 619 .loc 1 266 0 + 620 0012 BDE80840 pop {r3, lr} + 252:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlReadStatusStage(); + 621 .loc 1 252 0 + 622 0016 FFF7FEBF b USBFS_ControlReadStatusStage + 623 .LVL20: + 624 .L65: + 266:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 625 .loc 1 266 0 + 626 001a BDE80840 pop {r3, lr} + 255:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ControlWriteDataStage(); + 627 .loc 1 255 0 + 628 001e FFF7FEBF b USBFS_ControlWriteDataStage + 629 .LVL21: + 630 .L66: + 259:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_ERROR); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 26 + + + 631 .loc 1 259 0 + 632 0022 0320 movs r0, #3 + 633 0024 FFF7FEFF bl USBFS_UpdateStatusBlock + 634 .LVL22: + 261:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 635 .loc 1 261 0 + 636 0028 0249 ldr r1, .L67+4 + 637 002a 0322 movs r2, #3 + 638 002c 0A70 strb r2, [r1, #0] + 639 .L62: + 640 002e 08BD pop {r3, pc} + 641 .L68: + 642 .align 2 + 643 .L67: + 644 0030 00000000 .word USBFS_transferState + 645 0034 00000000 .word USBFS_ep0Mode + 646 .cfi_endproc + 647 .LFE3: + 648 .size USBFS_HandleOUT, .-USBFS_HandleOUT + 649 .section .text.USBFS_HandleSetup,"ax",%progbits + 650 .align 1 + 651 .global USBFS_HandleSetup + 652 .thumb + 653 .thumb_func + 654 .type USBFS_HandleSetup, %function + 655 USBFS_HandleSetup: + 656 .LFB1: + 152:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 657 .loc 1 152 0 + 658 .cfi_startproc + 659 @ args = 0, pretend = 0, frame = 0 + 660 @ frame_needed = 0, uses_anonymous_args = 0 + 661 0000 08B5 push {r3, lr} + 662 .LCFI5: + 663 .cfi_def_cfa_offset 8 + 664 .cfi_offset 3, -8 + 665 .cfi_offset 14, -4 + 155:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + 666 .loc 1 155 0 + 667 0002 114B ldr r3, .L77 + 668 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 669 .LVL23: + 156:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, requestHandled); /* clear setup bit */ + 670 .loc 1 156 0 + 671 0006 1A70 strb r2, [r3, #0] + 157:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* reread register */ + 672 .loc 1 157 0 + 673 0008 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 674 .LVL24: + 158:.\Generated_Source\PSoC5/USBFS_drv.c **** if((requestHandled & USBFS_MODE_SETUP_RCVD) != 0u) + 675 .loc 1 158 0 + 676 000a 0206 lsls r2, r0, #24 + 677 000c 02D5 bpl .L70 + 160:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = requestHandled; /* if SETUP bit set -> exit without modifying the mo + 678 .loc 1 160 0 + 679 000e 0F4B ldr r3, .L77+4 + 680 0010 1870 strb r0, [r3, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 27 + + + 681 0012 08BD pop {r3, pc} + 682 .L70: + 165:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_UpdateStatusBlock(USBFS_XFER_PREMATURE); + 683 .loc 1 165 0 + 684 0014 0220 movs r0, #2 + 685 .LVL25: + 686 0016 FFF7FEFF bl USBFS_UpdateStatusBlock + 687 .LVL26: + 167:.\Generated_Source\PSoC5/USBFS_drv.c **** switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_TYPE_MASK) + 688 .loc 1 167 0 + 689 001a 0D49 ldr r1, .L77+8 + 690 001c 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 691 001e 03F06002 and r2, r3, #96 + 692 0022 202A cmp r2, #32 + 693 0024 05D0 beq .L74 + 694 0026 402A cmp r2, #64 + 695 0028 06D0 beq .L75 + 696 002a 42B9 cbnz r2, .L72 + 170:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_HandleStandardRqst(); + 697 .loc 1 170 0 + 698 002c FFF7FEFF bl USBFS_HandleStandardRqst + 699 .LVL27: + 171:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 700 .loc 1 171 0 + 701 0030 04E0 b .L76 + 702 .LVL28: + 703 .L74: + 173:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_DispatchClassRqst(); + 704 .loc 1 173 0 + 705 0032 FFF7FEFF bl USBFS_DispatchClassRqst + 706 .LVL29: + 174:.\Generated_Source\PSoC5/USBFS_drv.c **** break; + 707 .loc 1 174 0 + 708 0036 01E0 b .L76 + 709 .LVL30: + 710 .L75: + 176:.\Generated_Source\PSoC5/USBFS_drv.c **** requestHandled = USBFS_HandleVendorRqst(); + 711 .loc 1 176 0 + 712 0038 FFF7FEFF bl USBFS_HandleVendorRqst + 713 .LVL31: + 714 .L76: + 182:.\Generated_Source\PSoC5/USBFS_drv.c **** if (requestHandled == USBFS_FALSE) + 715 .loc 1 182 0 + 716 003c 10B9 cbnz r0, .L69 + 717 .LVL32: + 718 .L72: + 184:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + 719 .loc 1 184 0 + 720 003e 0349 ldr r1, .L77+4 + 721 0040 0320 movs r0, #3 + 722 0042 0870 strb r0, [r1, #0] + 723 .L69: + 724 0044 08BD pop {r3, pc} + 725 .L78: + 726 0046 00BF .align 2 + 727 .L77: + 728 0048 28600040 .word 1073766440 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 28 + + + 729 004c 00000000 .word USBFS_ep0Mode + 730 0050 00600040 .word 1073766400 + 731 .cfi_endproc + 732 .LFE1: + 733 .size USBFS_HandleSetup, .-USBFS_HandleSetup + 734 .section .text.USBFS_EP_0_ISR,"ax",%progbits + 735 .align 1 + 736 .global USBFS_EP_0_ISR + 737 .thumb + 738 .thumb_func + 739 .type USBFS_EP_0_ISR, %function + 740 USBFS_EP_0_ISR: + 741 .LFB0: + 67:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 742 .loc 1 67 0 + 743 .cfi_startproc + 744 @ args = 0, pretend = 0, frame = 0 + 745 @ frame_needed = 0, uses_anonymous_args = 0 + 746 0000 08B5 push {r3, lr} + 747 .LCFI6: + 748 .cfi_def_cfa_offset 8 + 749 .cfi_offset 3, -8 + 750 .cfi_offset 14, -4 + 72:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); + 751 .loc 1 72 0 + 752 0002 224B ldr r3, .L95 + 753 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 754 0006 D0B2 uxtb r0, r2 + 755 .LVL33: + 73:.\Generated_Source\PSoC5/USBFS_drv.c **** if ((bRegTemp & USBFS_MODE_ACKD) != 0u) + 756 .loc 1 73 0 + 757 0008 00F01001 and r1, r0, #16 + 758 000c CBB2 uxtb r3, r1 + 759 000e 002B cmp r3, #0 + 760 0010 3BD0 beq .L79 + 761 .LVL34: + 76:.\Generated_Source\PSoC5/USBFS_drv.c **** if ((bRegTemp & USBFS_MODE_SETUP_RCVD) != 0u) + 762 .loc 1 76 0 + 763 0012 52B2 sxtb r2, r2 + 764 0014 002A cmp r2, #0 + 765 0016 0ADA bge .L82 + 78:.\Generated_Source\PSoC5/USBFS_drv.c **** if((bRegTemp & USBFS_MODE_MASK) != USBFS_MODE_NAK_IN_OUT) + 766 .loc 1 78 0 + 767 0018 00F00F01 and r1, r0, #15 + 768 001c 0129 cmp r1, #1 + 769 001e 34D1 bne .L79 + 84:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_HandleSetup(); + 770 .loc 1 84 0 + 771 0020 FFF7FEFF bl USBFS_HandleSetup + 772 .LVL35: + 85:.\Generated_Source\PSoC5/USBFS_drv.c **** if((USBFS_ep0Mode & USBFS_MODE_SETUP_RCVD) != 0u) + 773 .loc 1 85 0 + 774 0024 1A4B ldr r3, .L95+4 + 775 0026 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 776 0028 0006 lsls r0, r0, #24 + 777 002a 0DD5 bpl .L84 + 778 002c 08BD pop {r3, pc} + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 29 + + + 779 .LVL36: + 780 .L82: + 92:.\Generated_Source\PSoC5/USBFS_drv.c **** else if ((bRegTemp & USBFS_MODE_IN_RCVD) != 0u) + 781 .loc 1 92 0 + 782 002e 00F04001 and r1, r0, #64 + 783 0032 CBB2 uxtb r3, r1 + 784 0034 13B1 cbz r3, .L85 + 94:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_HandleIN(); + 785 .loc 1 94 0 + 786 0036 FFF7FEFF bl USBFS_HandleIN + 787 .LVL37: + 788 003a 05E0 b .L84 + 789 .LVL38: + 790 .L85: + 96:.\Generated_Source\PSoC5/USBFS_drv.c **** else if ((bRegTemp & USBFS_MODE_OUT_RCVD) != 0u) + 791 .loc 1 96 0 + 792 003c 00F02000 and r0, r0, #32 + 793 0040 C2B2 uxtb r2, r0 + 794 .LVL39: + 795 0042 12B3 cbz r2, .L79 + 98:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_HandleOUT(); + 796 .loc 1 98 0 + 797 0044 FFF7FEFF bl USBFS_HandleOUT + 798 .LVL40: + 799 .L84: + 106:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + 800 .loc 1 106 0 + 801 0048 104A ldr r2, .L95 + 802 004a 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 803 .LVL41: + 107:.\Generated_Source\PSoC5/USBFS_drv.c **** if((bRegTemp & USBFS_MODE_SETUP_RCVD) == 0u) /* Check if SETUP bit is not set, otherwi + 804 .loc 1 107 0 + 805 004c 0906 lsls r1, r1, #24 + 806 .LVL42: + 807 004e 1CD4 bmi .L79 + 808 .L89: + 110:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = USBFS_ep0Toggle | USBFS_ep0Count; + 809 .loc 1 110 0 + 810 0050 104B ldr r3, .L95+8 + 811 0052 114A ldr r2, .L95+12 + 812 0054 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 813 0056 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 814 0058 41EA0003 orr r3, r1, r0 + 815 .LVL43: + 111:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_EP0_CNT_PTR, bRegTemp); + 816 .loc 1 111 0 + 817 005c 0F48 ldr r0, .L95+16 + 818 005e 0370 strb r3, [r0, #0] + 112:.\Generated_Source\PSoC5/USBFS_drv.c **** if(bRegTemp == CY_GET_REG8(USBFS_EP0_CNT_PTR)) /* continue if writing was success + 819 .loc 1 112 0 + 820 0060 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 821 0062 9342 cmp r3, r2 + 822 0064 11D1 bne .L79 + 823 .LVL44: + 824 .L92: + 116:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = USBFS_ep0Mode; /* Init temporary variable */ + 825 .loc 1 116 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 30 + + + 826 0066 0A49 ldr r1, .L95+4 + 118:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_SETUP_RCVD; + 827 .loc 1 118 0 + 828 0068 084B ldr r3, .L95 + 116:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = USBFS_ep0Mode; /* Init temporary variable */ + 829 .loc 1 116 0 + 830 006a 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 831 .LVL45: + 118:.\Generated_Source\PSoC5/USBFS_drv.c **** bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_SETUP_RCVD; + 832 .loc 1 118 0 + 833 006c 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 834 .LVL46: + 119:.\Generated_Source\PSoC5/USBFS_drv.c **** if(bRegTemp == 0u) /* Check if SETUP bit is not se + 835 .loc 1 119 0 + 836 006e 00F08000 and r0, r0, #128 + 837 .LVL47: + 838 0072 C0B2 uxtb r0, r0 + 839 0074 20B9 cbnz r0, .L87 + 122:.\Generated_Source\PSoC5/USBFS_drv.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_ep0Mode); + 840 .loc 1 122 0 + 841 0076 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 842 .LVL48: + 843 0078 1A70 strb r2, [r3, #0] + 124:.\Generated_Source\PSoC5/USBFS_drv.c **** modifyReg = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_MASK; + 844 .loc 1 124 0 + 845 007a 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 846 007c 01F00F02 and r2, r1, #15 + 847 .LVL49: + 848 .L87: + 126:.\Generated_Source\PSoC5/USBFS_drv.c **** }while(modifyReg != USBFS_ep0Mode); /* Repeat if writing was not successful */ + 849 .loc 1 126 0 + 850 0080 034B ldr r3, .L95+4 + 851 0082 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 852 0084 8242 cmp r2, r0 + 853 0086 EED1 bne .L92 + 854 0088 08BD pop {r3, pc} + 855 .LVL50: + 856 .L79: + 857 008a 08BD pop {r3, pc} + 858 .L96: + 859 .align 2 + 860 .L95: + 861 008c 28600040 .word 1073766440 + 862 0090 00000000 .word USBFS_ep0Mode + 863 0094 00000000 .word USBFS_ep0Toggle + 864 0098 00000000 .word USBFS_ep0Count + 865 009c 29600040 .word 1073766441 + 866 .cfi_endproc + 867 .LFE0: + 868 .size USBFS_EP_0_ISR, .-USBFS_EP_0_ISR + 869 .section .text.USBFS_InitializeStatusBlock,"ax",%progbits + 870 .align 1 + 871 .global USBFS_InitializeStatusBlock + 872 .thumb + 873 .thumb_func + 874 .type USBFS_InitializeStatusBlock, %function + 875 USBFS_InitializeStatusBlock: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 31 + + + 876 .LFB15: + 740:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 741:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 742:.\Generated_Source\PSoC5/USBFS_drv.c **** + 743:.\Generated_Source\PSoC5/USBFS_drv.c **** + 744:.\Generated_Source\PSoC5/USBFS_drv.c **** /******************************************************************************* + 745:.\Generated_Source\PSoC5/USBFS_drv.c **** * Function Name: USBFS_InitializeStatusBlock + 746:.\Generated_Source\PSoC5/USBFS_drv.c **** ******************************************************************************** + 747:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 748:.\Generated_Source\PSoC5/USBFS_drv.c **** * Summary: + 749:.\Generated_Source\PSoC5/USBFS_drv.c **** * Initialize the Completion Status Block for a Request. The completion + 750:.\Generated_Source\PSoC5/USBFS_drv.c **** * code is set to USB_XFER_IDLE. + 751:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 752:.\Generated_Source\PSoC5/USBFS_drv.c **** * Also, initializes USBFS_transferByteCount. Save some space, + 753:.\Generated_Source\PSoC5/USBFS_drv.c **** * this is the only consumer. + 754:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 755:.\Generated_Source\PSoC5/USBFS_drv.c **** * Parameters: + 756:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 757:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 758:.\Generated_Source\PSoC5/USBFS_drv.c **** * Return: + 759:.\Generated_Source\PSoC5/USBFS_drv.c **** * None. + 760:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 761:.\Generated_Source\PSoC5/USBFS_drv.c **** * Global variables: + 762:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.pStatusBlock->status - set to XFER_IDLE. + 763:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_currentTD.pStatusBlock->length - cleared. + 764:.\Generated_Source\PSoC5/USBFS_drv.c **** * USBFS_transferByteCount - cleared. + 765:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 766:.\Generated_Source\PSoC5/USBFS_drv.c **** * Reentrant: + 767:.\Generated_Source\PSoC5/USBFS_drv.c **** * No. + 768:.\Generated_Source\PSoC5/USBFS_drv.c **** * + 769:.\Generated_Source\PSoC5/USBFS_drv.c **** *******************************************************************************/ + 770:.\Generated_Source\PSoC5/USBFS_drv.c **** void USBFS_InitializeStatusBlock(void) + 771:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 877 .loc 1 771 0 + 878 .cfi_startproc + 879 @ args = 0, pretend = 0, frame = 0 + 880 @ frame_needed = 0, uses_anonymous_args = 0 + 881 @ link register save eliminated. + 772:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferByteCount = 0u; + 882 .loc 1 772 0 + 883 0000 054A ldr r2, .L102 + 884 0002 0023 movs r3, #0 + 885 0004 1380 strh r3, [r2, #0] @ movhi + 773:.\Generated_Source\PSoC5/USBFS_drv.c **** if(USBFS_currentTD.pStatusBlock != NULL) + 886 .loc 1 773 0 + 887 0006 054A ldr r2, .L102+4 + 888 0008 9168 ldr r1, [r2, #8] + 889 000a 19B1 cbz r1, .L97 + 774:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 775:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pStatusBlock->status = USBFS_XFER_IDLE; + 890 .loc 1 775 0 + 891 000c 9168 ldr r1, [r2, #8] + 892 000e 0B70 strb r3, [r1, #0] + 776:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.pStatusBlock->length = 0u; + 893 .loc 1 776 0 + 894 0010 9068 ldr r0, [r2, #8] + 895 0012 4380 strh r3, [r0, #2] @ movhi + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 32 + + + 896 .L97: + 897 0014 7047 bx lr + 898 .L103: + 899 0016 00BF .align 2 + 900 .L102: + 901 0018 00000000 .word USBFS_transferByteCount + 902 001c 00000000 .word USBFS_currentTD + 903 .cfi_endproc + 904 .LFE15: + 905 .size USBFS_InitializeStatusBlock, .-USBFS_InitializeStatusBlock + 906 .section .text.USBFS_InitControlWrite,"ax",%progbits + 907 .align 1 + 908 .global USBFS_InitControlWrite + 909 .thumb + 910 .thumb_func + 911 .type USBFS_InitControlWrite, %function + 912 USBFS_InitControlWrite: + 913 .LFB9: + 520:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 914 .loc 1 520 0 + 915 .cfi_startproc + 916 @ args = 0, pretend = 0, frame = 0 + 917 @ frame_needed = 0, uses_anonymous_args = 0 + 918 0000 08B5 push {r3, lr} + 919 .LCFI7: + 920 .cfi_def_cfa_offset 8 + 921 .cfi_offset 3, -8 + 922 .cfi_offset 14, -4 + 526:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 923 .loc 1 526 0 + 924 0002 0C49 ldr r1, .L106 + 524:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_WRITE; + 925 .loc 1 524 0 + 926 0004 0C4B ldr r3, .L106+4 + 927 0006 0422 movs r2, #4 + 526:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 928 .loc 1 526 0 + 929 0008 8020 movs r0, #128 + 524:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_WRITE; + 930 .loc 1 524 0 + 931 000a 1A70 strb r2, [r3, #0] + 526:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + 932 .loc 1 526 0 + 933 000c 0870 strb r0, [r1, #0] + 528:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_InitializeStatusBlock(); + 934 .loc 1 528 0 + 935 000e FFF7FEFF bl USBFS_InitializeStatusBlock + 936 .LVL51: + 530:.\Generated_Source\PSoC5/USBFS_drv.c **** xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + 937 .loc 1 530 0 + 938 0012 0A4B ldr r3, .L106+8 + 939 0014 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 940 0016 581E subs r0, r3, #1 + 532:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.count > xferCount) + 941 .loc 1 532 0 + 942 0018 094B ldr r3, .L106+12 + 530:.\Generated_Source\PSoC5/USBFS_drv.c **** xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 33 + + + 943 .loc 1 530 0 + 944 001a 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 532:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.count > xferCount) + 945 .loc 1 532 0 + 946 001c 1888 ldrh r0, [r3, #0] + 530:.\Generated_Source\PSoC5/USBFS_drv.c **** xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + 947 .loc 1 530 0 + 948 001e 41EA0222 orr r2, r1, r2, lsl #8 + 949 .LVL52: + 532:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.count > xferCount) + 950 .loc 1 532 0 + 951 0022 81B2 uxth r1, r0 + 952 0024 9142 cmp r1, r2 + 534:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.count = xferCount; + 953 .loc 1 534 0 + 954 0026 88BF it hi + 955 0028 1A80 strhhi r2, [r3, #0] @ movhi + 538:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; + 956 .loc 1 538 0 + 957 002a 064B ldr r3, .L106+16 + 958 002c 0B22 movs r2, #11 + 959 .LVL53: + 960 002e 1A70 strb r2, [r3, #0] + 541:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 961 .loc 1 541 0 + 962 0030 0120 movs r0, #1 + 963 0032 08BD pop {r3, pc} + 964 .L107: + 965 .align 2 + 966 .L106: + 967 0034 00000000 .word USBFS_ep0Toggle + 968 0038 00000000 .word USBFS_transferState + 969 003c 07600040 .word 1073766407 + 970 0040 00000000 .word USBFS_currentTD + 971 0044 00000000 .word USBFS_ep0Mode + 972 .cfi_endproc + 973 .LFE9: + 974 .size USBFS_InitControlWrite, .-USBFS_InitControlWrite + 975 .section .text.USBFS_InitControlRead,"ax",%progbits + 976 .align 1 + 977 .global USBFS_InitControlRead + 978 .thumb + 979 .thumb_func + 980 .type USBFS_InitControlRead, %function + 981 USBFS_InitControlRead: + 982 .LFB5: + 370:.\Generated_Source\PSoC5/USBFS_drv.c **** { + 983 .loc 1 370 0 + 984 .cfi_startproc + 985 @ args = 0, pretend = 0, frame = 0 + 986 @ frame_needed = 0, uses_anonymous_args = 0 + 987 0000 10B5 push {r4, lr} + 988 .LCFI8: + 989 .cfi_def_cfa_offset 8 + 990 .cfi_offset 4, -8 + 991 .cfi_offset 14, -4 + 372:.\Generated_Source\PSoC5/USBFS_drv.c **** if(USBFS_currentTD.count == 0u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 34 + + + 992 .loc 1 372 0 + 993 0002 0F4C ldr r4, .L112 + 994 0004 2388 ldrh r3, [r4, #0] + 995 0006 98B2 uxth r0, r3 + 996 0008 10B9 cbnz r0, .L109 + 374:.\Generated_Source\PSoC5/USBFS_drv.c **** (void) USBFS_InitZeroLengthControlTransfer(); + 997 .loc 1 374 0 + 998 000a FFF7FEFF bl USBFS_InitZeroLengthControlTransfer + 999 .LVL54: + 1000 000e 14E0 b .L110 + 1001 .L109: + 379:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 1002 .loc 1 379 0 + 1003 0010 0C49 ldr r1, .L112+4 + 381:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = 0u; + 1004 .loc 1 381 0 + 1005 0012 0D4B ldr r3, .L112+8 + 379:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 1006 .loc 1 379 0 + 1007 0014 0222 movs r2, #2 + 381:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = 0u; + 1008 .loc 1 381 0 + 1009 0016 0020 movs r0, #0 + 379:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + 1010 .loc 1 379 0 + 1011 0018 0A70 strb r2, [r1, #0] + 381:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_ep0Toggle = 0u; + 1012 .loc 1 381 0 + 1013 001a 1870 strb r0, [r3, #0] + 383:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_InitializeStatusBlock(); + 1014 .loc 1 383 0 + 1015 001c FFF7FEFF bl USBFS_InitializeStatusBlock + 1016 .LVL55: + 384:.\Generated_Source\PSoC5/USBFS_drv.c **** xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + 1017 .loc 1 384 0 + 1018 0020 0A49 ldr r1, .L112+12 + 1019 0022 481E subs r0, r1, #1 + 1020 0024 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 1021 0026 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 1022 0028 43EA0221 orr r1, r3, r2, lsl #8 + 1023 .LVL56: + 386:.\Generated_Source\PSoC5/USBFS_drv.c **** if (USBFS_currentTD.count > xferCount) + 1024 .loc 1 386 0 + 1025 002c 2288 ldrh r2, [r4, #0] + 1026 002e 90B2 uxth r0, r2 + 1027 0030 8842 cmp r0, r1 + 388:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_currentTD.count = xferCount; + 1028 .loc 1 388 0 + 1029 0032 88BF it hi + 1030 0034 2180 strhhi r1, [r4, #0] @ movhi + 390:.\Generated_Source\PSoC5/USBFS_drv.c **** USBFS_LoadEP0(); + 1031 .loc 1 390 0 + 1032 0036 FFF7FEFF bl USBFS_LoadEP0 + 1033 .LVL57: + 1034 .L110: + 394:.\Generated_Source\PSoC5/USBFS_drv.c **** } + 1035 .loc 1 394 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 35 + + + 1036 003a 0120 movs r0, #1 + 1037 003c 10BD pop {r4, pc} + 1038 .L113: + 1039 003e 00BF .align 2 + 1040 .L112: + 1041 0040 00000000 .word USBFS_currentTD + 1042 0044 00000000 .word USBFS_transferState + 1043 0048 00000000 .word USBFS_ep0Toggle + 1044 004c 07600040 .word 1073766407 + 1045 .cfi_endproc + 1046 .LFE5: + 1047 .size USBFS_InitControlRead, .-USBFS_InitControlRead + 1048 .comm USBFS_transferByteCount,2,2 + 1049 .comm USBFS_ep0Count,1,1 + 1050 .comm USBFS_ep0Mode,1,1 + 1051 .comm USBFS_currentTD,12,4 + 1052 .comm USBFS_transferState,1,1 + 1053 .comm USBFS_lastPacketSize,1,1 + 1054 .comm USBFS_ep0Toggle,1,1 + 1055 .comm USBFS_interfaceClass,4,4 + 1056 .comm USBFS_device,1,1 + 1057 .comm USBFS_interfaceStatus,1,1 + 1058 .comm USBFS_interfaceSetting_last,1,1 + 1059 .comm USBFS_interfaceSetting,1,1 + 1060 .comm USBFS_deviceStatus,1,1 + 1061 .comm USBFS_deviceAddress,1,1 + 1062 .comm USBFS_configurationChanged,1,1 + 1063 .comm USBFS_interfaceNumber,1,1 + 1064 .comm USBFS_configuration,1,1 + 1065 .comm USBFS_EP,108,2 + 1066 .text + 1067 .Letext0: + 1068 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 1069 .file 3 ".\\Generated_Source\\PSoC5\\USBFS.h" + 1070 .file 4 ".\\Generated_Source\\PSoC5\\USBFS_pvt.h" + 1071 .section .debug_info,"",%progbits + 1072 .Ldebug_info0: + 1073 0000 B3060000 .4byte 0x6b3 + 1074 0004 0200 .2byte 0x2 + 1075 0006 00000000 .4byte .Ldebug_abbrev0 + 1076 000a 04 .byte 0x4 + 1077 000b 01 .uleb128 0x1 + 1078 000c F8020000 .4byte .LASF74 + 1079 0010 01 .byte 0x1 + 1080 0011 FA000000 .4byte .LASF75 + 1081 0015 35010000 .4byte .LASF76 + 1082 0019 00000000 .4byte .Ldebug_ranges0+0 + 1083 001d 00000000 .4byte 0 + 1084 0021 00000000 .4byte 0 + 1085 0025 00000000 .4byte .Ldebug_line0 + 1086 0029 02 .uleb128 0x2 + 1087 002a 01 .byte 0x1 + 1088 002b 06 .byte 0x6 + 1089 002c AC000000 .4byte .LASF0 + 1090 0030 02 .uleb128 0x2 + 1091 0031 01 .byte 0x1 + 1092 0032 08 .byte 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 36 + + + 1093 0033 5A030000 .4byte .LASF1 + 1094 0037 02 .uleb128 0x2 + 1095 0038 02 .byte 0x2 + 1096 0039 05 .byte 0x5 + 1097 003a 84030000 .4byte .LASF2 + 1098 003e 02 .uleb128 0x2 + 1099 003f 02 .byte 0x2 + 1100 0040 07 .byte 0x7 + 1101 0041 E9010000 .4byte .LASF3 + 1102 0045 02 .uleb128 0x2 + 1103 0046 04 .byte 0x4 + 1104 0047 05 .byte 0x5 + 1105 0048 E2000000 .4byte .LASF4 + 1106 004c 02 .uleb128 0x2 + 1107 004d 04 .byte 0x4 + 1108 004e 07 .byte 0x7 + 1109 004f C9010000 .4byte .LASF5 + 1110 0053 02 .uleb128 0x2 + 1111 0054 08 .byte 0x8 + 1112 0055 05 .byte 0x5 + 1113 0056 9E000000 .4byte .LASF6 + 1114 005a 02 .uleb128 0x2 + 1115 005b 08 .byte 0x8 + 1116 005c 07 .byte 0x7 + 1117 005d 67000000 .4byte .LASF7 + 1118 0061 03 .uleb128 0x3 + 1119 0062 04 .byte 0x4 + 1120 0063 05 .byte 0x5 + 1121 0064 696E7400 .ascii "int\000" + 1122 0068 02 .uleb128 0x2 + 1123 0069 04 .byte 0x4 + 1124 006a 07 .byte 0x7 + 1125 006b A4010000 .4byte .LASF8 + 1126 006f 04 .uleb128 0x4 + 1127 0070 EB000000 .4byte .LASF9 + 1128 0074 02 .byte 0x2 + 1129 0075 5B .byte 0x5b + 1130 0076 30000000 .4byte 0x30 + 1131 007a 04 .uleb128 0x4 + 1132 007b 13000000 .4byte .LASF10 + 1133 007f 02 .byte 0x2 + 1134 0080 5C .byte 0x5c + 1135 0081 3E000000 .4byte 0x3e + 1136 0085 02 .uleb128 0x2 + 1137 0086 04 .byte 0x4 + 1138 0087 04 .byte 0x4 + 1139 0088 DE020000 .4byte .LASF11 + 1140 008c 02 .uleb128 0x2 + 1141 008d 08 .byte 0x8 + 1142 008e 04 .byte 0x4 + 1143 008f 1F010000 .4byte .LASF12 + 1144 0093 02 .uleb128 0x2 + 1145 0094 01 .byte 0x1 + 1146 0095 08 .byte 0x8 + 1147 0096 59040000 .4byte .LASF13 + 1148 009a 04 .uleb128 0x4 + 1149 009b 41030000 .4byte .LASF14 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 37 + + + 1150 009f 02 .byte 0x2 + 1151 00a0 F0 .byte 0xf0 + 1152 00a1 A5000000 .4byte 0xa5 + 1153 00a5 05 .uleb128 0x5 + 1154 00a6 6F000000 .4byte 0x6f + 1155 00aa 05 .uleb128 0x5 + 1156 00ab 7A000000 .4byte 0x7a + 1157 00af 02 .uleb128 0x2 + 1158 00b0 04 .byte 0x4 + 1159 00b1 07 .byte 0x7 + 1160 00b2 70020000 .4byte .LASF15 + 1161 00b6 06 .uleb128 0x6 + 1162 00b7 0C .byte 0xc + 1163 00b8 03 .byte 0x3 + 1164 00b9 79 .byte 0x79 + 1165 00ba 3D010000 .4byte 0x13d + 1166 00be 07 .uleb128 0x7 + 1167 00bf 97020000 .4byte .LASF16 + 1168 00c3 03 .byte 0x3 + 1169 00c4 7B .byte 0x7b + 1170 00c5 6F000000 .4byte 0x6f + 1171 00c9 02 .byte 0x2 + 1172 00ca 23 .byte 0x23 + 1173 00cb 00 .uleb128 0 + 1174 00cc 07 .uleb128 0x7 + 1175 00cd E4020000 .4byte .LASF17 + 1176 00d1 03 .byte 0x3 + 1177 00d2 7C .byte 0x7c + 1178 00d3 6F000000 .4byte 0x6f + 1179 00d7 02 .byte 0x2 + 1180 00d8 23 .byte 0x23 + 1181 00d9 01 .uleb128 0x1 + 1182 00da 07 .uleb128 0x7 + 1183 00db 66020000 .4byte .LASF18 + 1184 00df 03 .byte 0x3 + 1185 00e0 7D .byte 0x7d + 1186 00e1 6F000000 .4byte 0x6f + 1187 00e5 02 .byte 0x2 + 1188 00e6 23 .byte 0x23 + 1189 00e7 02 .uleb128 0x2 + 1190 00e8 07 .uleb128 0x7 + 1191 00e9 F1000000 .4byte .LASF19 + 1192 00ed 03 .byte 0x3 + 1193 00ee 7E .byte 0x7e + 1194 00ef 6F000000 .4byte 0x6f + 1195 00f3 02 .byte 0x2 + 1196 00f4 23 .byte 0x23 + 1197 00f5 03 .uleb128 0x3 + 1198 00f6 07 .uleb128 0x7 + 1199 00f7 7E000000 .4byte .LASF20 + 1200 00fb 03 .byte 0x3 + 1201 00fc 7F .byte 0x7f + 1202 00fd 6F000000 .4byte 0x6f + 1203 0101 02 .byte 0x2 + 1204 0102 23 .byte 0x23 + 1205 0103 04 .uleb128 0x4 + 1206 0104 07 .uleb128 0x7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 38 + + + 1207 0105 69010000 .4byte .LASF21 + 1208 0109 03 .byte 0x3 + 1209 010a 80 .byte 0x80 + 1210 010b 6F000000 .4byte 0x6f + 1211 010f 02 .byte 0x2 + 1212 0110 23 .byte 0x23 + 1213 0111 05 .uleb128 0x5 + 1214 0112 07 .uleb128 0x7 + 1215 0113 78040000 .4byte .LASF22 + 1216 0117 03 .byte 0x3 + 1217 0118 81 .byte 0x81 + 1218 0119 7A000000 .4byte 0x7a + 1219 011d 02 .byte 0x2 + 1220 011e 23 .byte 0x23 + 1221 011f 06 .uleb128 0x6 + 1222 0120 07 .uleb128 0x7 + 1223 0121 5E040000 .4byte .LASF23 + 1224 0125 03 .byte 0x3 + 1225 0126 82 .byte 0x82 + 1226 0127 7A000000 .4byte 0x7a + 1227 012b 02 .byte 0x2 + 1228 012c 23 .byte 0x23 + 1229 012d 08 .uleb128 0x8 + 1230 012e 07 .uleb128 0x7 + 1231 012f 2C020000 .4byte .LASF24 + 1232 0133 03 .byte 0x3 + 1233 0134 83 .byte 0x83 + 1234 0135 6F000000 .4byte 0x6f + 1235 0139 02 .byte 0x2 + 1236 013a 23 .byte 0x23 + 1237 013b 0A .uleb128 0xa + 1238 013c 00 .byte 0 + 1239 013d 04 .uleb128 0x4 + 1240 013e C5030000 .4byte .LASF25 + 1241 0142 03 .byte 0x3 + 1242 0143 84 .byte 0x84 + 1243 0144 B6000000 .4byte 0xb6 + 1244 0148 06 .uleb128 0x6 + 1245 0149 04 .byte 0x4 + 1246 014a 03 .byte 0x3 + 1247 014b 90 .byte 0x90 + 1248 014c 6D010000 .4byte 0x16d + 1249 0150 07 .uleb128 0x7 + 1250 0151 CE040000 .4byte .LASF26 + 1251 0155 03 .byte 0x3 + 1252 0156 92 .byte 0x92 + 1253 0157 6F000000 .4byte 0x6f + 1254 015b 02 .byte 0x2 + 1255 015c 23 .byte 0x23 + 1256 015d 00 .uleb128 0 + 1257 015e 07 .uleb128 0x7 + 1258 015f 52040000 .4byte .LASF27 + 1259 0163 03 .byte 0x3 + 1260 0164 93 .byte 0x93 + 1261 0165 7A000000 .4byte 0x7a + 1262 0169 02 .byte 0x2 + 1263 016a 23 .byte 0x23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 39 + + + 1264 016b 02 .uleb128 0x2 + 1265 016c 00 .byte 0 + 1266 016d 04 .uleb128 0x4 + 1267 016e AD020000 .4byte .LASF28 + 1268 0172 03 .byte 0x3 + 1269 0173 94 .byte 0x94 + 1270 0174 48010000 .4byte 0x148 + 1271 0178 06 .uleb128 0x6 + 1272 0179 0C .byte 0xc + 1273 017a 03 .byte 0x3 + 1274 017b 96 .byte 0x96 + 1275 017c AB010000 .4byte 0x1ab + 1276 0180 07 .uleb128 0x7 + 1277 0181 0D000000 .4byte .LASF29 + 1278 0185 03 .byte 0x3 + 1279 0186 98 .byte 0x98 + 1280 0187 7A000000 .4byte 0x7a + 1281 018b 02 .byte 0x2 + 1282 018c 23 .byte 0x23 + 1283 018d 00 .uleb128 0 + 1284 018e 07 .uleb128 0x7 + 1285 018f 2F010000 .4byte .LASF30 + 1286 0193 03 .byte 0x3 + 1287 0194 99 .byte 0x99 + 1288 0195 AB010000 .4byte 0x1ab + 1289 0199 02 .byte 0x2 + 1290 019a 23 .byte 0x23 + 1291 019b 04 .uleb128 0x4 + 1292 019c 07 .uleb128 0x7 + 1293 019d 00000000 .4byte .LASF31 + 1294 01a1 03 .byte 0x3 + 1295 01a2 9A .byte 0x9a + 1296 01a3 B1010000 .4byte 0x1b1 + 1297 01a7 02 .byte 0x2 + 1298 01a8 23 .byte 0x23 + 1299 01a9 08 .uleb128 0x8 + 1300 01aa 00 .byte 0 + 1301 01ab 08 .uleb128 0x8 + 1302 01ac 04 .byte 0x4 + 1303 01ad A5000000 .4byte 0xa5 + 1304 01b1 08 .uleb128 0x8 + 1305 01b2 04 .byte 0x4 + 1306 01b3 6D010000 .4byte 0x16d + 1307 01b7 04 .uleb128 0x4 + 1308 01b8 8E030000 .4byte .LASF32 + 1309 01bc 03 .byte 0x3 + 1310 01bd 9B .byte 0x9b + 1311 01be 78010000 .4byte 0x178 + 1312 01c2 09 .uleb128 0x9 + 1313 01c3 01 .byte 0x1 + 1314 01c4 83000000 .4byte .LASF77 + 1315 01c8 01 .byte 0x1 + 1316 01c9 C701 .2byte 0x1c7 + 1317 01cb 01 .byte 0x1 + 1318 01cc 01 .byte 0x1 + 1319 01cd 0A .uleb128 0xa + 1320 01ce 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 40 + + + 1321 01cf DB010000 .4byte .LASF33 + 1322 01d3 01 .byte 0x1 + 1323 01d4 3301 .2byte 0x133 + 1324 01d6 01 .byte 0x1 + 1325 01d7 00000000 .4byte .LFB4 + 1326 01db 94000000 .4byte .LFE4 + 1327 01df 02 .byte 0x2 + 1328 01e0 7D .byte 0x7d + 1329 01e1 00 .sleb128 0 + 1330 01e2 01 .byte 0x1 + 1331 01e3 F8010000 .4byte 0x1f8 + 1332 01e7 0B .uleb128 0xb + 1333 01e8 0B020000 .4byte .LASF35 + 1334 01ec 01 .byte 0x1 + 1335 01ed 3501 .2byte 0x135 + 1336 01ef 6F000000 .4byte 0x6f + 1337 01f3 00000000 .4byte .LLST0 + 1338 01f7 00 .byte 0 + 1339 01f8 0C .uleb128 0xc + 1340 01f9 01 .byte 0x1 + 1341 01fa 26050000 .4byte .LASF37 + 1342 01fe 01 .byte 0x1 + 1343 01ff A501 .2byte 0x1a5 + 1344 0201 01 .byte 0x1 + 1345 0202 6F000000 .4byte 0x6f + 1346 0206 00000000 .4byte .LFB6 + 1347 020a 34000000 .4byte .LFE6 + 1348 020e 02 .byte 0x2 + 1349 020f 7D .byte 0x7d + 1350 0210 00 .sleb128 0 + 1351 0211 01 .byte 0x1 + 1352 0212 0D .uleb128 0xd + 1353 0213 C2010000 .4byte 0x1c2 + 1354 0217 00000000 .4byte .LFB7 + 1355 021b 04000000 .4byte .LFE7 + 1356 021f 02 .byte 0x2 + 1357 0220 7D .byte 0x7d + 1358 0221 00 .sleb128 0 + 1359 0222 01 .byte 0x1 + 1360 0223 32020000 .4byte 0x232 + 1361 0227 0E .uleb128 0xe + 1362 0228 04000000 .4byte .LVL2 + 1363 022c 01 .byte 0x1 + 1364 022d CD010000 .4byte 0x1cd + 1365 0231 00 .byte 0 + 1366 0232 0F .uleb128 0xf + 1367 0233 01 .byte 0x1 + 1368 0234 36040000 .4byte .LASF34 + 1369 0238 01 .byte 0x1 + 1370 0239 3E02 .2byte 0x23e + 1371 023b 01 .byte 0x1 + 1372 023c 00000000 .4byte .LFB10 + 1373 0240 74000000 .4byte .LFE10 + 1374 0244 14000000 .4byte .LLST1 + 1375 0248 01 .byte 0x1 + 1376 0249 6E020000 .4byte 0x26e + 1377 024d 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 41 + + + 1378 024e 0B020000 .4byte .LASF35 + 1379 0252 01 .byte 0x1 + 1380 0253 4002 .2byte 0x240 + 1381 0255 6F000000 .4byte 0x6f + 1382 0259 34000000 .4byte .LLST2 + 1383 025d 0B .uleb128 0xb + 1384 025e 39000000 .4byte .LASF36 + 1385 0262 01 .byte 0x1 + 1386 0263 4102 .2byte 0x241 + 1387 0265 6F000000 .4byte 0x6f + 1388 0269 6A000000 .4byte .LLST3 + 1389 026d 00 .byte 0 + 1390 026e 0C .uleb128 0xc + 1391 026f 01 .byte 0x1 + 1392 0270 F9030000 .4byte .LASF38 + 1393 0274 01 .byte 0x1 + 1394 0275 8F02 .2byte 0x28f + 1395 0277 01 .byte 0x1 + 1396 0278 6F000000 .4byte 0x6f + 1397 027c 00000000 .4byte .LFB12 + 1398 0280 2C000000 .4byte .LFE12 + 1399 0284 02 .byte 0x2 + 1400 0285 7D .byte 0x7d + 1401 0286 00 .sleb128 0 + 1402 0287 01 .byte 0x1 + 1403 0288 0A .uleb128 0xa + 1404 0289 01 .byte 0x1 + 1405 028a B1010000 .4byte .LASF39 + 1406 028e 01 .byte 0x1 + 1407 028f DD02 .2byte 0x2dd + 1408 0291 01 .byte 0x1 + 1409 0292 00000000 .4byte .LFB14 + 1410 0296 20000000 .4byte .LFE14 + 1411 029a 02 .byte 0x2 + 1412 029b 7D .byte 0x7d + 1413 029c 00 .sleb128 0 + 1414 029d 01 .byte 0x1 + 1415 029e B3020000 .4byte 0x2b3 + 1416 02a2 10 .uleb128 0x10 + 1417 02a3 42000000 .4byte .LASF78 + 1418 02a7 01 .byte 0x1 + 1419 02a8 DD02 .2byte 0x2dd + 1420 02aa 6F000000 .4byte 0x6f + 1421 02ae 7E000000 .4byte .LLST4 + 1422 02b2 00 .byte 0 + 1423 02b3 0F .uleb128 0xf + 1424 02b4 01 .byte 0x1 + 1425 02b5 DA030000 .4byte .LASF40 + 1426 02b9 01 .byte 0x1 + 1427 02ba B302 .2byte 0x2b3 + 1428 02bc 01 .byte 0x1 + 1429 02bd 00000000 .4byte .LFB13 + 1430 02c1 3C000000 .4byte .LFE13 + 1431 02c5 AB000000 .4byte .LLST5 + 1432 02c9 01 .byte 0x1 + 1433 02ca DE020000 .4byte 0x2de + 1434 02ce 11 .uleb128 0x11 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 42 + + + 1435 02cf 22000000 .4byte .LVL14 + 1436 02d3 88020000 .4byte 0x288 + 1437 02d7 12 .uleb128 0x12 + 1438 02d8 01 .byte 0x1 + 1439 02d9 50 .byte 0x50 + 1440 02da 01 .byte 0x1 + 1441 02db 31 .byte 0x31 + 1442 02dc 00 .byte 0 + 1443 02dd 00 .byte 0 + 1444 02de 0F .uleb128 0xf + 1445 02df 01 .byte 0x1 + 1446 02e0 4A050000 .4byte .LASF41 + 1447 02e4 01 .byte 0x1 + 1448 02e5 6D02 .2byte 0x26d + 1449 02e7 01 .byte 0x1 + 1450 02e8 00000000 .4byte .LFB11 + 1451 02ec 20000000 .4byte .LFE11 + 1452 02f0 CB000000 .4byte .LLST6 + 1453 02f4 01 .byte 0x1 + 1454 02f5 09030000 .4byte 0x309 + 1455 02f9 11 .uleb128 0x11 + 1456 02fa 0E000000 .4byte .LVL15 + 1457 02fe 88020000 .4byte 0x288 + 1458 0302 12 .uleb128 0x12 + 1459 0303 01 .byte 0x1 + 1460 0304 50 .byte 0x50 + 1461 0305 01 .byte 0x1 + 1462 0306 31 .byte 0x31 + 1463 0307 00 .byte 0 + 1464 0308 00 .byte 0 + 1465 0309 13 .uleb128 0x13 + 1466 030a 01 .byte 0x1 + 1467 030b FC010000 .4byte .LASF42 + 1468 030f 01 .byte 0x1 + 1469 0310 CF .byte 0xcf + 1470 0311 01 .byte 0x1 + 1471 0312 00000000 .4byte .LFB2 + 1472 0316 24000000 .4byte .LFE2 + 1473 031a 02 .byte 0x2 + 1474 031b 7D .byte 0x7d + 1475 031c 00 .sleb128 0 + 1476 031d 01 .byte 0x1 + 1477 031e 55030000 .4byte 0x355 + 1478 0322 14 .uleb128 0x14 + 1479 0323 C2010000 .4byte 0x1c2 + 1480 0327 10000000 .4byte .LBB4 + 1481 032b 14000000 .4byte .LBE4 + 1482 032f 01 .byte 0x1 + 1483 0330 D6 .byte 0xd6 + 1484 0331 40030000 .4byte 0x340 + 1485 0335 0E .uleb128 0xe + 1486 0336 14000000 .4byte .LVL16 + 1487 033a 01 .byte 0x1 + 1488 033b CD010000 .4byte 0x1cd + 1489 033f 00 .byte 0 + 1490 0340 0E .uleb128 0xe + 1491 0341 18000000 .4byte .LVL17 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 43 + + + 1492 0345 01 .byte 0x1 + 1493 0346 DE020000 .4byte 0x2de + 1494 034a 0E .uleb128 0xe + 1495 034b 1C000000 .4byte .LVL18 + 1496 034f 01 .byte 0x1 + 1497 0350 B3020000 .4byte 0x2b3 + 1498 0354 00 .byte 0 + 1499 0355 0F .uleb128 0xf + 1500 0356 01 .byte 0x1 + 1501 0357 87010000 .4byte .LASF43 + 1502 035b 01 .byte 0x1 + 1503 035c E401 .2byte 0x1e4 + 1504 035e 01 .byte 0x1 + 1505 035f 00000000 .4byte .LFB8 + 1506 0363 34000000 .4byte .LFE8 + 1507 0367 EB000000 .4byte .LLST7 + 1508 036b 01 .byte 0x1 + 1509 036c 80030000 .4byte 0x380 + 1510 0370 11 .uleb128 0x11 + 1511 0371 1C000000 .4byte .LVL19 + 1512 0375 88020000 .4byte 0x288 + 1513 0379 12 .uleb128 0x12 + 1514 037a 01 .byte 0x1 + 1515 037b 50 .byte 0x50 + 1516 037c 01 .byte 0x1 + 1517 037d 31 .byte 0x31 + 1518 037e 00 .byte 0 + 1519 037f 00 .byte 0 + 1520 0380 15 .uleb128 0x15 + 1521 0381 01 .byte 0x1 + 1522 0382 79020000 .4byte .LASF44 + 1523 0386 01 .byte 0x1 + 1524 0387 F5 .byte 0xf5 + 1525 0388 01 .byte 0x1 + 1526 0389 00000000 .4byte .LFB3 + 1527 038d 38000000 .4byte .LFE3 + 1528 0391 0B010000 .4byte .LLST8 + 1529 0395 01 .byte 0x1 + 1530 0396 BE030000 .4byte 0x3be + 1531 039a 0E .uleb128 0xe + 1532 039b 1A000000 .4byte .LVL20 + 1533 039f 01 .byte 0x1 + 1534 03a0 55030000 .4byte 0x355 + 1535 03a4 0E .uleb128 0xe + 1536 03a5 22000000 .4byte .LVL21 + 1537 03a9 01 .byte 0x1 + 1538 03aa 32020000 .4byte 0x232 + 1539 03ae 11 .uleb128 0x11 + 1540 03af 28000000 .4byte .LVL22 + 1541 03b3 88020000 .4byte 0x288 + 1542 03b7 12 .uleb128 0x12 + 1543 03b8 01 .byte 0x1 + 1544 03b9 50 .byte 0x50 + 1545 03ba 01 .byte 0x1 + 1546 03bb 33 .byte 0x33 + 1547 03bc 00 .byte 0 + 1548 03bd 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 44 + + + 1549 03be 15 .uleb128 0x15 + 1550 03bf 01 .byte 0x1 + 1551 03c0 BC040000 .4byte .LASF45 + 1552 03c4 01 .byte 0x1 + 1553 03c5 97 .byte 0x97 + 1554 03c6 01 .byte 0x1 + 1555 03c7 00000000 .4byte .LFB1 + 1556 03cb 54000000 .4byte .LFE1 + 1557 03cf 2B010000 .4byte .LLST9 + 1558 03d3 01 .byte 0x1 + 1559 03d4 16040000 .4byte 0x416 + 1560 03d8 16 .uleb128 0x16 + 1561 03d9 69040000 .4byte .LASF46 + 1562 03dd 01 .byte 0x1 + 1563 03de 99 .byte 0x99 + 1564 03df 6F000000 .4byte 0x6f + 1565 03e3 4B010000 .4byte .LLST10 + 1566 03e7 17 .uleb128 0x17 + 1567 03e8 1A000000 .4byte .LVL26 + 1568 03ec 88020000 .4byte 0x288 + 1569 03f0 FA030000 .4byte 0x3fa + 1570 03f4 12 .uleb128 0x12 + 1571 03f5 01 .byte 0x1 + 1572 03f6 50 .byte 0x50 + 1573 03f7 01 .byte 0x1 + 1574 03f8 32 .byte 0x32 + 1575 03f9 00 .byte 0 + 1576 03fa 18 .uleb128 0x18 + 1577 03fb 30000000 .4byte .LVL27 + 1578 03ff 8C060000 .4byte 0x68c + 1579 0403 18 .uleb128 0x18 + 1580 0404 36000000 .4byte .LVL29 + 1581 0408 9A060000 .4byte 0x69a + 1582 040c 18 .uleb128 0x18 + 1583 040d 3C000000 .4byte .LVL31 + 1584 0411 A8060000 .4byte 0x6a8 + 1585 0415 00 .byte 0 + 1586 0416 15 .uleb128 0x15 + 1587 0417 01 .byte 0x1 + 1588 0418 1A000000 .4byte .LASF47 + 1589 041c 01 .byte 0x1 + 1590 041d 42 .byte 0x42 + 1591 041e 01 .byte 0x1 + 1592 041f 00000000 .4byte .LFB0 + 1593 0423 A0000000 .4byte .LFE0 + 1594 0427 8A010000 .4byte .LLST11 + 1595 042b 01 .byte 0x1 + 1596 042c 6A040000 .4byte 0x46a + 1597 0430 16 .uleb128 0x16 + 1598 0431 26010000 .4byte .LASF48 + 1599 0435 01 .byte 0x1 + 1600 0436 44 .byte 0x44 + 1601 0437 6F000000 .4byte 0x6f + 1602 043b AA010000 .4byte .LLST12 + 1603 043f 16 .uleb128 0x16 + 1604 0440 B2040000 .4byte .LASF49 + 1605 0444 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 45 + + + 1606 0445 45 .byte 0x45 + 1607 0446 6F000000 .4byte 0x6f + 1608 044a F9010000 .4byte .LLST13 + 1609 044e 18 .uleb128 0x18 + 1610 044f 24000000 .4byte .LVL35 + 1611 0453 BE030000 .4byte 0x3be + 1612 0457 18 .uleb128 0x18 + 1613 0458 3A000000 .4byte .LVL37 + 1614 045c 09030000 .4byte 0x309 + 1615 0460 18 .uleb128 0x18 + 1616 0461 48000000 .4byte .LVL40 + 1617 0465 80030000 .4byte 0x380 + 1618 0469 00 .byte 0 + 1619 046a 19 .uleb128 0x19 + 1620 046b 01 .byte 0x1 + 1621 046c 68030000 .4byte .LASF79 + 1622 0470 01 .byte 0x1 + 1623 0471 0203 .2byte 0x302 + 1624 0473 01 .byte 0x1 + 1625 0474 00000000 .4byte .LFB15 + 1626 0478 20000000 .4byte .LFE15 + 1627 047c 02 .byte 0x2 + 1628 047d 7D .byte 0x7d + 1629 047e 00 .sleb128 0 + 1630 047f 01 .byte 0x1 + 1631 0480 1A .uleb128 0x1a + 1632 0481 01 .byte 0x1 + 1633 0482 C7020000 .4byte .LASF51 + 1634 0486 01 .byte 0x1 + 1635 0487 0702 .2byte 0x207 + 1636 0489 01 .byte 0x1 + 1637 048a 6F000000 .4byte 0x6f + 1638 048e 00000000 .4byte .LFB9 + 1639 0492 48000000 .4byte .LFE9 + 1640 0496 23020000 .4byte .LLST14 + 1641 049a 01 .byte 0x1 + 1642 049b B9040000 .4byte 0x4b9 + 1643 049f 0B .uleb128 0xb + 1644 04a0 ED040000 .4byte .LASF50 + 1645 04a4 01 .byte 0x1 + 1646 04a5 0902 .2byte 0x209 + 1647 04a7 7A000000 .4byte 0x7a + 1648 04ab 43020000 .4byte .LLST15 + 1649 04af 18 .uleb128 0x18 + 1650 04b0 12000000 .4byte .LVL51 + 1651 04b4 6A040000 .4byte 0x46a + 1652 04b8 00 .byte 0 + 1653 04b9 1A .uleb128 0x1a + 1654 04ba 01 .byte 0x1 + 1655 04bb 51000000 .4byte .LASF52 + 1656 04bf 01 .byte 0x1 + 1657 04c0 7101 .2byte 0x171 + 1658 04c2 01 .byte 0x1 + 1659 04c3 6F000000 .4byte 0x6f + 1660 04c7 00000000 .4byte .LFB5 + 1661 04cb 50000000 .4byte .LFE5 + 1662 04cf 56020000 .4byte .LLST16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 46 + + + 1663 04d3 01 .byte 0x1 + 1664 04d4 04050000 .4byte 0x504 + 1665 04d8 0B .uleb128 0xb + 1666 04d9 ED040000 .4byte .LASF50 + 1667 04dd 01 .byte 0x1 + 1668 04de 7301 .2byte 0x173 + 1669 04e0 7A000000 .4byte 0x7a + 1670 04e4 76020000 .4byte .LLST17 + 1671 04e8 18 .uleb128 0x18 + 1672 04e9 0E000000 .4byte .LVL54 + 1673 04ed F8010000 .4byte 0x1f8 + 1674 04f1 18 .uleb128 0x18 + 1675 04f2 20000000 .4byte .LVL55 + 1676 04f6 6A040000 .4byte 0x46a + 1677 04fa 18 .uleb128 0x18 + 1678 04fb 3A000000 .4byte .LVL57 + 1679 04ff CD010000 .4byte 0x1cd + 1680 0503 00 .byte 0 + 1681 0504 1B .uleb128 0x1b + 1682 0505 19040000 .4byte .LASF53 + 1683 0509 01 .byte 0x1 + 1684 050a 22 .byte 0x22 + 1685 050b A5000000 .4byte 0xa5 + 1686 050f 01 .byte 0x1 + 1687 0510 05 .byte 0x5 + 1688 0511 03 .byte 0x3 + 1689 0512 00000000 .4byte USBFS_device + 1690 0516 1B .uleb128 0x1b + 1691 0517 46030000 .4byte .LASF54 + 1692 051b 01 .byte 0x1 + 1693 051c 2C .byte 0x2c + 1694 051d A5000000 .4byte 0xa5 + 1695 0521 01 .byte 0x1 + 1696 0522 05 .byte 0x5 + 1697 0523 03 .byte 0x3 + 1698 0524 00000000 .4byte USBFS_transferState + 1699 0528 1B .uleb128 0x1b + 1700 0529 55010000 .4byte .LASF55 + 1701 052d 01 .byte 0x1 + 1702 052e 1A .byte 0x1a + 1703 052f A5000000 .4byte 0xa5 + 1704 0533 01 .byte 0x1 + 1705 0534 05 .byte 0x5 + 1706 0535 03 .byte 0x3 + 1707 0536 00000000 .4byte USBFS_configuration + 1708 053a 1B .uleb128 0x1b + 1709 053b 36020000 .4byte .LASF56 + 1710 053f 01 .byte 0x1 + 1711 0540 1C .byte 0x1c + 1712 0541 A5000000 .4byte 0xa5 + 1713 0545 01 .byte 0x1 + 1714 0546 05 .byte 0x5 + 1715 0547 03 .byte 0x3 + 1716 0548 00000000 .4byte USBFS_configurationChanged + 1717 054c 1B .uleb128 0x1b + 1718 054d 83040000 .4byte .LASF57 + 1719 0551 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 47 + + + 1720 0552 1E .byte 0x1e + 1721 0553 A5000000 .4byte 0xa5 + 1722 0557 01 .byte 0x1 + 1723 0558 05 .byte 0x5 + 1724 0559 03 .byte 0x3 + 1725 055a 00000000 .4byte USBFS_deviceStatus + 1726 055e 1B .uleb128 0x1b + 1727 055f CC000000 .4byte .LASF58 + 1728 0563 01 .byte 0x1 + 1729 0564 1B .byte 0x1b + 1730 0565 A5000000 .4byte 0xa5 + 1731 0569 01 .byte 0x1 + 1732 056a 05 .byte 0x5 + 1733 056b 03 .byte 0x3 + 1734 056c 00000000 .4byte USBFS_interfaceNumber + 1735 0570 1C .uleb128 0x1c + 1736 0571 6F000000 .4byte 0x6f + 1737 0575 80050000 .4byte 0x580 + 1738 0579 1D .uleb128 0x1d + 1739 057a AF000000 .4byte 0xaf + 1740 057e 00 .byte 0 + 1741 057f 00 .byte 0 + 1742 0580 1B .uleb128 0x1b + 1743 0581 AE030000 .4byte .LASF59 + 1744 0585 01 .byte 0x1 + 1745 0586 1F .byte 0x1f + 1746 0587 92050000 .4byte 0x592 + 1747 058b 01 .byte 0x1 + 1748 058c 05 .byte 0x5 + 1749 058d 03 .byte 0x3 + 1750 058e 00000000 .4byte USBFS_interfaceSetting + 1751 0592 05 .uleb128 0x5 + 1752 0593 70050000 .4byte 0x570 + 1753 0597 1B .uleb128 0x1b + 1754 0598 96040000 .4byte .LASF60 + 1755 059c 01 .byte 0x1 + 1756 059d 20 .byte 0x20 + 1757 059e A9050000 .4byte 0x5a9 + 1758 05a2 01 .byte 0x1 + 1759 05a3 05 .byte 0x5 + 1760 05a4 03 .byte 0x3 + 1761 05a5 00000000 .4byte USBFS_interfaceSetting_last + 1762 05a9 05 .uleb128 0x5 + 1763 05aa 70050000 .4byte 0x570 + 1764 05ae 1B .uleb128 0x1b + 1765 05af B8000000 .4byte .LASF61 + 1766 05b3 01 .byte 0x1 + 1767 05b4 1D .byte 0x1d + 1768 05b5 A5000000 .4byte 0xa5 + 1769 05b9 01 .byte 0x1 + 1770 05ba 05 .byte 0x5 + 1771 05bb 03 .byte 0x3 + 1772 05bc 00000000 .4byte USBFS_deviceAddress + 1773 05c0 1B .uleb128 0x1b + 1774 05c1 F7040000 .4byte .LASF62 + 1775 05c5 01 .byte 0x1 + 1776 05c6 21 .byte 0x21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 48 + + + 1777 05c7 D2050000 .4byte 0x5d2 + 1778 05cb 01 .byte 0x1 + 1779 05cc 05 .byte 0x5 + 1780 05cd 03 .byte 0x3 + 1781 05ce 00000000 .4byte USBFS_interfaceStatus + 1782 05d2 05 .uleb128 0x5 + 1783 05d3 70050000 .4byte 0x570 + 1784 05d7 1B .uleb128 0x1b + 1785 05d8 51020000 .4byte .LASF63 + 1786 05dc 01 .byte 0x1 + 1787 05dd 23 .byte 0x23 + 1788 05de E9050000 .4byte 0x5e9 + 1789 05e2 01 .byte 0x1 + 1790 05e3 05 .byte 0x5 + 1791 05e4 03 .byte 0x3 + 1792 05e5 00000000 .4byte USBFS_interfaceClass + 1793 05e9 08 .uleb128 0x8 + 1794 05ea 04 .byte 0x4 + 1795 05eb EF050000 .4byte 0x5ef + 1796 05ef 1E .uleb128 0x1e + 1797 05f0 6F000000 .4byte 0x6f + 1798 05f4 1C .uleb128 0x1c + 1799 05f5 3D010000 .4byte 0x13d + 1800 05f9 04060000 .4byte 0x604 + 1801 05fd 1D .uleb128 0x1d + 1802 05fe AF000000 .4byte 0xaf + 1803 0602 08 .byte 0x8 + 1804 0603 00 .byte 0 + 1805 0604 1B .uleb128 0x1b + 1806 0605 EF020000 .4byte .LASF64 + 1807 0609 01 .byte 0x1 + 1808 060a 19 .byte 0x19 + 1809 060b 16060000 .4byte 0x616 + 1810 060f 01 .byte 0x1 + 1811 0610 05 .byte 0x5 + 1812 0611 03 .byte 0x3 + 1813 0612 00000000 .4byte USBFS_EP + 1814 0616 05 .uleb128 0x5 + 1815 0617 F4050000 .4byte 0x5f4 + 1816 061b 1B .uleb128 0x1b + 1817 061c 29000000 .4byte .LASF65 + 1818 0620 01 .byte 0x1 + 1819 0621 2D .byte 0x2d + 1820 0622 2D060000 .4byte 0x62d + 1821 0626 01 .byte 0x1 + 1822 0627 05 .byte 0x5 + 1823 0628 03 .byte 0x3 + 1824 0629 00000000 .4byte USBFS_currentTD + 1825 062d 05 .uleb128 0x5 + 1826 062e B7010000 .4byte 0x1b7 + 1827 0632 1B .uleb128 0x1b + 1828 0633 26040000 .4byte .LASF66 + 1829 0637 01 .byte 0x1 + 1830 0638 2A .byte 0x2a + 1831 0639 A5000000 .4byte 0xa5 + 1832 063d 01 .byte 0x1 + 1833 063e 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 49 + + + 1834 063f 03 .byte 0x3 + 1835 0640 00000000 .4byte USBFS_ep0Toggle + 1836 0644 1B .uleb128 0x1b + 1837 0645 99030000 .4byte .LASF67 + 1838 0649 01 .byte 0x1 + 1839 064a 2B .byte 0x2b + 1840 064b A5000000 .4byte 0xa5 + 1841 064f 01 .byte 0x1 + 1842 0650 05 .byte 0x5 + 1843 0651 03 .byte 0x3 + 1844 0652 00000000 .4byte USBFS_lastPacketSize + 1845 0656 1B .uleb128 0x1b + 1846 0657 89020000 .4byte .LASF68 + 1847 065b 01 .byte 0x1 + 1848 065c 2E .byte 0x2e + 1849 065d A5000000 .4byte 0xa5 + 1850 0661 01 .byte 0x1 + 1851 0662 05 .byte 0x5 + 1852 0663 03 .byte 0x3 + 1853 0664 00000000 .4byte USBFS_ep0Mode + 1854 0668 1B .uleb128 0x1b + 1855 0669 9E020000 .4byte .LASF69 + 1856 066d 01 .byte 0x1 + 1857 066e 2F .byte 0x2f + 1858 066f A5000000 .4byte 0xa5 + 1859 0673 01 .byte 0x1 + 1860 0674 05 .byte 0x5 + 1861 0675 03 .byte 0x3 + 1862 0676 00000000 .4byte USBFS_ep0Count + 1863 067a 1B .uleb128 0x1b + 1864 067b 14020000 .4byte .LASF70 + 1865 067f 01 .byte 0x1 + 1866 0680 30 .byte 0x30 + 1867 0681 AA000000 .4byte 0xaa + 1868 0685 01 .byte 0x1 + 1869 0686 05 .byte 0x5 + 1870 0687 03 .byte 0x3 + 1871 0688 00000000 .4byte USBFS_transferByteCount + 1872 068c 1F .uleb128 0x1f + 1873 068d 01 .byte 0x1 + 1874 068e 0D050000 .4byte .LASF71 + 1875 0692 04 .byte 0x4 + 1876 0693 B1 .byte 0xb1 + 1877 0694 01 .byte 0x1 + 1878 0695 6F000000 .4byte 0x6f + 1879 0699 01 .byte 0x1 + 1880 069a 1F .uleb128 0x1f + 1881 069b 01 .byte 0x1 + 1882 069c D5040000 .4byte .LASF72 + 1883 06a0 04 .byte 0x4 + 1884 06a1 B2 .byte 0xb2 + 1885 06a2 01 .byte 0x1 + 1886 06a3 6F000000 .4byte 0x6f + 1887 06a7 01 .byte 0x1 + 1888 06a8 1F .uleb128 0x1f + 1889 06a9 01 .byte 0x1 + 1890 06aa 70010000 .4byte .LASF73 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 50 + + + 1891 06ae 04 .byte 0x4 + 1892 06af B3 .byte 0xb3 + 1893 06b0 01 .byte 0x1 + 1894 06b1 6F000000 .4byte 0x6f + 1895 06b5 01 .byte 0x1 + 1896 06b6 00 .byte 0 + 1897 .section .debug_abbrev,"",%progbits + 1898 .Ldebug_abbrev0: + 1899 0000 01 .uleb128 0x1 + 1900 0001 11 .uleb128 0x11 + 1901 0002 01 .byte 0x1 + 1902 0003 25 .uleb128 0x25 + 1903 0004 0E .uleb128 0xe + 1904 0005 13 .uleb128 0x13 + 1905 0006 0B .uleb128 0xb + 1906 0007 03 .uleb128 0x3 + 1907 0008 0E .uleb128 0xe + 1908 0009 1B .uleb128 0x1b + 1909 000a 0E .uleb128 0xe + 1910 000b 55 .uleb128 0x55 + 1911 000c 06 .uleb128 0x6 + 1912 000d 11 .uleb128 0x11 + 1913 000e 01 .uleb128 0x1 + 1914 000f 52 .uleb128 0x52 + 1915 0010 01 .uleb128 0x1 + 1916 0011 10 .uleb128 0x10 + 1917 0012 06 .uleb128 0x6 + 1918 0013 00 .byte 0 + 1919 0014 00 .byte 0 + 1920 0015 02 .uleb128 0x2 + 1921 0016 24 .uleb128 0x24 + 1922 0017 00 .byte 0 + 1923 0018 0B .uleb128 0xb + 1924 0019 0B .uleb128 0xb + 1925 001a 3E .uleb128 0x3e + 1926 001b 0B .uleb128 0xb + 1927 001c 03 .uleb128 0x3 + 1928 001d 0E .uleb128 0xe + 1929 001e 00 .byte 0 + 1930 001f 00 .byte 0 + 1931 0020 03 .uleb128 0x3 + 1932 0021 24 .uleb128 0x24 + 1933 0022 00 .byte 0 + 1934 0023 0B .uleb128 0xb + 1935 0024 0B .uleb128 0xb + 1936 0025 3E .uleb128 0x3e + 1937 0026 0B .uleb128 0xb + 1938 0027 03 .uleb128 0x3 + 1939 0028 08 .uleb128 0x8 + 1940 0029 00 .byte 0 + 1941 002a 00 .byte 0 + 1942 002b 04 .uleb128 0x4 + 1943 002c 16 .uleb128 0x16 + 1944 002d 00 .byte 0 + 1945 002e 03 .uleb128 0x3 + 1946 002f 0E .uleb128 0xe + 1947 0030 3A .uleb128 0x3a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 51 + + + 1948 0031 0B .uleb128 0xb + 1949 0032 3B .uleb128 0x3b + 1950 0033 0B .uleb128 0xb + 1951 0034 49 .uleb128 0x49 + 1952 0035 13 .uleb128 0x13 + 1953 0036 00 .byte 0 + 1954 0037 00 .byte 0 + 1955 0038 05 .uleb128 0x5 + 1956 0039 35 .uleb128 0x35 + 1957 003a 00 .byte 0 + 1958 003b 49 .uleb128 0x49 + 1959 003c 13 .uleb128 0x13 + 1960 003d 00 .byte 0 + 1961 003e 00 .byte 0 + 1962 003f 06 .uleb128 0x6 + 1963 0040 13 .uleb128 0x13 + 1964 0041 01 .byte 0x1 + 1965 0042 0B .uleb128 0xb + 1966 0043 0B .uleb128 0xb + 1967 0044 3A .uleb128 0x3a + 1968 0045 0B .uleb128 0xb + 1969 0046 3B .uleb128 0x3b + 1970 0047 0B .uleb128 0xb + 1971 0048 01 .uleb128 0x1 + 1972 0049 13 .uleb128 0x13 + 1973 004a 00 .byte 0 + 1974 004b 00 .byte 0 + 1975 004c 07 .uleb128 0x7 + 1976 004d 0D .uleb128 0xd + 1977 004e 00 .byte 0 + 1978 004f 03 .uleb128 0x3 + 1979 0050 0E .uleb128 0xe + 1980 0051 3A .uleb128 0x3a + 1981 0052 0B .uleb128 0xb + 1982 0053 3B .uleb128 0x3b + 1983 0054 0B .uleb128 0xb + 1984 0055 49 .uleb128 0x49 + 1985 0056 13 .uleb128 0x13 + 1986 0057 38 .uleb128 0x38 + 1987 0058 0A .uleb128 0xa + 1988 0059 00 .byte 0 + 1989 005a 00 .byte 0 + 1990 005b 08 .uleb128 0x8 + 1991 005c 0F .uleb128 0xf + 1992 005d 00 .byte 0 + 1993 005e 0B .uleb128 0xb + 1994 005f 0B .uleb128 0xb + 1995 0060 49 .uleb128 0x49 + 1996 0061 13 .uleb128 0x13 + 1997 0062 00 .byte 0 + 1998 0063 00 .byte 0 + 1999 0064 09 .uleb128 0x9 + 2000 0065 2E .uleb128 0x2e + 2001 0066 00 .byte 0 + 2002 0067 3F .uleb128 0x3f + 2003 0068 0C .uleb128 0xc + 2004 0069 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 52 + + + 2005 006a 0E .uleb128 0xe + 2006 006b 3A .uleb128 0x3a + 2007 006c 0B .uleb128 0xb + 2008 006d 3B .uleb128 0x3b + 2009 006e 05 .uleb128 0x5 + 2010 006f 27 .uleb128 0x27 + 2011 0070 0C .uleb128 0xc + 2012 0071 20 .uleb128 0x20 + 2013 0072 0B .uleb128 0xb + 2014 0073 00 .byte 0 + 2015 0074 00 .byte 0 + 2016 0075 0A .uleb128 0xa + 2017 0076 2E .uleb128 0x2e + 2018 0077 01 .byte 0x1 + 2019 0078 3F .uleb128 0x3f + 2020 0079 0C .uleb128 0xc + 2021 007a 03 .uleb128 0x3 + 2022 007b 0E .uleb128 0xe + 2023 007c 3A .uleb128 0x3a + 2024 007d 0B .uleb128 0xb + 2025 007e 3B .uleb128 0x3b + 2026 007f 05 .uleb128 0x5 + 2027 0080 27 .uleb128 0x27 + 2028 0081 0C .uleb128 0xc + 2029 0082 11 .uleb128 0x11 + 2030 0083 01 .uleb128 0x1 + 2031 0084 12 .uleb128 0x12 + 2032 0085 01 .uleb128 0x1 + 2033 0086 40 .uleb128 0x40 + 2034 0087 0A .uleb128 0xa + 2035 0088 9742 .uleb128 0x2117 + 2036 008a 0C .uleb128 0xc + 2037 008b 01 .uleb128 0x1 + 2038 008c 13 .uleb128 0x13 + 2039 008d 00 .byte 0 + 2040 008e 00 .byte 0 + 2041 008f 0B .uleb128 0xb + 2042 0090 34 .uleb128 0x34 + 2043 0091 00 .byte 0 + 2044 0092 03 .uleb128 0x3 + 2045 0093 0E .uleb128 0xe + 2046 0094 3A .uleb128 0x3a + 2047 0095 0B .uleb128 0xb + 2048 0096 3B .uleb128 0x3b + 2049 0097 05 .uleb128 0x5 + 2050 0098 49 .uleb128 0x49 + 2051 0099 13 .uleb128 0x13 + 2052 009a 02 .uleb128 0x2 + 2053 009b 06 .uleb128 0x6 + 2054 009c 00 .byte 0 + 2055 009d 00 .byte 0 + 2056 009e 0C .uleb128 0xc + 2057 009f 2E .uleb128 0x2e + 2058 00a0 00 .byte 0 + 2059 00a1 3F .uleb128 0x3f + 2060 00a2 0C .uleb128 0xc + 2061 00a3 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 53 + + + 2062 00a4 0E .uleb128 0xe + 2063 00a5 3A .uleb128 0x3a + 2064 00a6 0B .uleb128 0xb + 2065 00a7 3B .uleb128 0x3b + 2066 00a8 05 .uleb128 0x5 + 2067 00a9 27 .uleb128 0x27 + 2068 00aa 0C .uleb128 0xc + 2069 00ab 49 .uleb128 0x49 + 2070 00ac 13 .uleb128 0x13 + 2071 00ad 11 .uleb128 0x11 + 2072 00ae 01 .uleb128 0x1 + 2073 00af 12 .uleb128 0x12 + 2074 00b0 01 .uleb128 0x1 + 2075 00b1 40 .uleb128 0x40 + 2076 00b2 0A .uleb128 0xa + 2077 00b3 9742 .uleb128 0x2117 + 2078 00b5 0C .uleb128 0xc + 2079 00b6 00 .byte 0 + 2080 00b7 00 .byte 0 + 2081 00b8 0D .uleb128 0xd + 2082 00b9 2E .uleb128 0x2e + 2083 00ba 01 .byte 0x1 + 2084 00bb 31 .uleb128 0x31 + 2085 00bc 13 .uleb128 0x13 + 2086 00bd 11 .uleb128 0x11 + 2087 00be 01 .uleb128 0x1 + 2088 00bf 12 .uleb128 0x12 + 2089 00c0 01 .uleb128 0x1 + 2090 00c1 40 .uleb128 0x40 + 2091 00c2 0A .uleb128 0xa + 2092 00c3 9742 .uleb128 0x2117 + 2093 00c5 0C .uleb128 0xc + 2094 00c6 01 .uleb128 0x1 + 2095 00c7 13 .uleb128 0x13 + 2096 00c8 00 .byte 0 + 2097 00c9 00 .byte 0 + 2098 00ca 0E .uleb128 0xe + 2099 00cb 898201 .uleb128 0x4109 + 2100 00ce 00 .byte 0 + 2101 00cf 11 .uleb128 0x11 + 2102 00d0 01 .uleb128 0x1 + 2103 00d1 9542 .uleb128 0x2115 + 2104 00d3 0C .uleb128 0xc + 2105 00d4 31 .uleb128 0x31 + 2106 00d5 13 .uleb128 0x13 + 2107 00d6 00 .byte 0 + 2108 00d7 00 .byte 0 + 2109 00d8 0F .uleb128 0xf + 2110 00d9 2E .uleb128 0x2e + 2111 00da 01 .byte 0x1 + 2112 00db 3F .uleb128 0x3f + 2113 00dc 0C .uleb128 0xc + 2114 00dd 03 .uleb128 0x3 + 2115 00de 0E .uleb128 0xe + 2116 00df 3A .uleb128 0x3a + 2117 00e0 0B .uleb128 0xb + 2118 00e1 3B .uleb128 0x3b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 54 + + + 2119 00e2 05 .uleb128 0x5 + 2120 00e3 27 .uleb128 0x27 + 2121 00e4 0C .uleb128 0xc + 2122 00e5 11 .uleb128 0x11 + 2123 00e6 01 .uleb128 0x1 + 2124 00e7 12 .uleb128 0x12 + 2125 00e8 01 .uleb128 0x1 + 2126 00e9 40 .uleb128 0x40 + 2127 00ea 06 .uleb128 0x6 + 2128 00eb 9742 .uleb128 0x2117 + 2129 00ed 0C .uleb128 0xc + 2130 00ee 01 .uleb128 0x1 + 2131 00ef 13 .uleb128 0x13 + 2132 00f0 00 .byte 0 + 2133 00f1 00 .byte 0 + 2134 00f2 10 .uleb128 0x10 + 2135 00f3 05 .uleb128 0x5 + 2136 00f4 00 .byte 0 + 2137 00f5 03 .uleb128 0x3 + 2138 00f6 0E .uleb128 0xe + 2139 00f7 3A .uleb128 0x3a + 2140 00f8 0B .uleb128 0xb + 2141 00f9 3B .uleb128 0x3b + 2142 00fa 05 .uleb128 0x5 + 2143 00fb 49 .uleb128 0x49 + 2144 00fc 13 .uleb128 0x13 + 2145 00fd 02 .uleb128 0x2 + 2146 00fe 06 .uleb128 0x6 + 2147 00ff 00 .byte 0 + 2148 0100 00 .byte 0 + 2149 0101 11 .uleb128 0x11 + 2150 0102 898201 .uleb128 0x4109 + 2151 0105 01 .byte 0x1 + 2152 0106 11 .uleb128 0x11 + 2153 0107 01 .uleb128 0x1 + 2154 0108 31 .uleb128 0x31 + 2155 0109 13 .uleb128 0x13 + 2156 010a 00 .byte 0 + 2157 010b 00 .byte 0 + 2158 010c 12 .uleb128 0x12 + 2159 010d 8A8201 .uleb128 0x410a + 2160 0110 00 .byte 0 + 2161 0111 02 .uleb128 0x2 + 2162 0112 0A .uleb128 0xa + 2163 0113 9142 .uleb128 0x2111 + 2164 0115 0A .uleb128 0xa + 2165 0116 00 .byte 0 + 2166 0117 00 .byte 0 + 2167 0118 13 .uleb128 0x13 + 2168 0119 2E .uleb128 0x2e + 2169 011a 01 .byte 0x1 + 2170 011b 3F .uleb128 0x3f + 2171 011c 0C .uleb128 0xc + 2172 011d 03 .uleb128 0x3 + 2173 011e 0E .uleb128 0xe + 2174 011f 3A .uleb128 0x3a + 2175 0120 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 55 + + + 2176 0121 3B .uleb128 0x3b + 2177 0122 0B .uleb128 0xb + 2178 0123 27 .uleb128 0x27 + 2179 0124 0C .uleb128 0xc + 2180 0125 11 .uleb128 0x11 + 2181 0126 01 .uleb128 0x1 + 2182 0127 12 .uleb128 0x12 + 2183 0128 01 .uleb128 0x1 + 2184 0129 40 .uleb128 0x40 + 2185 012a 0A .uleb128 0xa + 2186 012b 9742 .uleb128 0x2117 + 2187 012d 0C .uleb128 0xc + 2188 012e 01 .uleb128 0x1 + 2189 012f 13 .uleb128 0x13 + 2190 0130 00 .byte 0 + 2191 0131 00 .byte 0 + 2192 0132 14 .uleb128 0x14 + 2193 0133 1D .uleb128 0x1d + 2194 0134 01 .byte 0x1 + 2195 0135 31 .uleb128 0x31 + 2196 0136 13 .uleb128 0x13 + 2197 0137 11 .uleb128 0x11 + 2198 0138 01 .uleb128 0x1 + 2199 0139 12 .uleb128 0x12 + 2200 013a 01 .uleb128 0x1 + 2201 013b 58 .uleb128 0x58 + 2202 013c 0B .uleb128 0xb + 2203 013d 59 .uleb128 0x59 + 2204 013e 0B .uleb128 0xb + 2205 013f 01 .uleb128 0x1 + 2206 0140 13 .uleb128 0x13 + 2207 0141 00 .byte 0 + 2208 0142 00 .byte 0 + 2209 0143 15 .uleb128 0x15 + 2210 0144 2E .uleb128 0x2e + 2211 0145 01 .byte 0x1 + 2212 0146 3F .uleb128 0x3f + 2213 0147 0C .uleb128 0xc + 2214 0148 03 .uleb128 0x3 + 2215 0149 0E .uleb128 0xe + 2216 014a 3A .uleb128 0x3a + 2217 014b 0B .uleb128 0xb + 2218 014c 3B .uleb128 0x3b + 2219 014d 0B .uleb128 0xb + 2220 014e 27 .uleb128 0x27 + 2221 014f 0C .uleb128 0xc + 2222 0150 11 .uleb128 0x11 + 2223 0151 01 .uleb128 0x1 + 2224 0152 12 .uleb128 0x12 + 2225 0153 01 .uleb128 0x1 + 2226 0154 40 .uleb128 0x40 + 2227 0155 06 .uleb128 0x6 + 2228 0156 9742 .uleb128 0x2117 + 2229 0158 0C .uleb128 0xc + 2230 0159 01 .uleb128 0x1 + 2231 015a 13 .uleb128 0x13 + 2232 015b 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 56 + + + 2233 015c 00 .byte 0 + 2234 015d 16 .uleb128 0x16 + 2235 015e 34 .uleb128 0x34 + 2236 015f 00 .byte 0 + 2237 0160 03 .uleb128 0x3 + 2238 0161 0E .uleb128 0xe + 2239 0162 3A .uleb128 0x3a + 2240 0163 0B .uleb128 0xb + 2241 0164 3B .uleb128 0x3b + 2242 0165 0B .uleb128 0xb + 2243 0166 49 .uleb128 0x49 + 2244 0167 13 .uleb128 0x13 + 2245 0168 02 .uleb128 0x2 + 2246 0169 06 .uleb128 0x6 + 2247 016a 00 .byte 0 + 2248 016b 00 .byte 0 + 2249 016c 17 .uleb128 0x17 + 2250 016d 898201 .uleb128 0x4109 + 2251 0170 01 .byte 0x1 + 2252 0171 11 .uleb128 0x11 + 2253 0172 01 .uleb128 0x1 + 2254 0173 31 .uleb128 0x31 + 2255 0174 13 .uleb128 0x13 + 2256 0175 01 .uleb128 0x1 + 2257 0176 13 .uleb128 0x13 + 2258 0177 00 .byte 0 + 2259 0178 00 .byte 0 + 2260 0179 18 .uleb128 0x18 + 2261 017a 898201 .uleb128 0x4109 + 2262 017d 00 .byte 0 + 2263 017e 11 .uleb128 0x11 + 2264 017f 01 .uleb128 0x1 + 2265 0180 31 .uleb128 0x31 + 2266 0181 13 .uleb128 0x13 + 2267 0182 00 .byte 0 + 2268 0183 00 .byte 0 + 2269 0184 19 .uleb128 0x19 + 2270 0185 2E .uleb128 0x2e + 2271 0186 00 .byte 0 + 2272 0187 3F .uleb128 0x3f + 2273 0188 0C .uleb128 0xc + 2274 0189 03 .uleb128 0x3 + 2275 018a 0E .uleb128 0xe + 2276 018b 3A .uleb128 0x3a + 2277 018c 0B .uleb128 0xb + 2278 018d 3B .uleb128 0x3b + 2279 018e 05 .uleb128 0x5 + 2280 018f 27 .uleb128 0x27 + 2281 0190 0C .uleb128 0xc + 2282 0191 11 .uleb128 0x11 + 2283 0192 01 .uleb128 0x1 + 2284 0193 12 .uleb128 0x12 + 2285 0194 01 .uleb128 0x1 + 2286 0195 40 .uleb128 0x40 + 2287 0196 0A .uleb128 0xa + 2288 0197 9742 .uleb128 0x2117 + 2289 0199 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 57 + + + 2290 019a 00 .byte 0 + 2291 019b 00 .byte 0 + 2292 019c 1A .uleb128 0x1a + 2293 019d 2E .uleb128 0x2e + 2294 019e 01 .byte 0x1 + 2295 019f 3F .uleb128 0x3f + 2296 01a0 0C .uleb128 0xc + 2297 01a1 03 .uleb128 0x3 + 2298 01a2 0E .uleb128 0xe + 2299 01a3 3A .uleb128 0x3a + 2300 01a4 0B .uleb128 0xb + 2301 01a5 3B .uleb128 0x3b + 2302 01a6 05 .uleb128 0x5 + 2303 01a7 27 .uleb128 0x27 + 2304 01a8 0C .uleb128 0xc + 2305 01a9 49 .uleb128 0x49 + 2306 01aa 13 .uleb128 0x13 + 2307 01ab 11 .uleb128 0x11 + 2308 01ac 01 .uleb128 0x1 + 2309 01ad 12 .uleb128 0x12 + 2310 01ae 01 .uleb128 0x1 + 2311 01af 40 .uleb128 0x40 + 2312 01b0 06 .uleb128 0x6 + 2313 01b1 9742 .uleb128 0x2117 + 2314 01b3 0C .uleb128 0xc + 2315 01b4 01 .uleb128 0x1 + 2316 01b5 13 .uleb128 0x13 + 2317 01b6 00 .byte 0 + 2318 01b7 00 .byte 0 + 2319 01b8 1B .uleb128 0x1b + 2320 01b9 34 .uleb128 0x34 + 2321 01ba 00 .byte 0 + 2322 01bb 03 .uleb128 0x3 + 2323 01bc 0E .uleb128 0xe + 2324 01bd 3A .uleb128 0x3a + 2325 01be 0B .uleb128 0xb + 2326 01bf 3B .uleb128 0x3b + 2327 01c0 0B .uleb128 0xb + 2328 01c1 49 .uleb128 0x49 + 2329 01c2 13 .uleb128 0x13 + 2330 01c3 3F .uleb128 0x3f + 2331 01c4 0C .uleb128 0xc + 2332 01c5 02 .uleb128 0x2 + 2333 01c6 0A .uleb128 0xa + 2334 01c7 00 .byte 0 + 2335 01c8 00 .byte 0 + 2336 01c9 1C .uleb128 0x1c + 2337 01ca 01 .uleb128 0x1 + 2338 01cb 01 .byte 0x1 + 2339 01cc 49 .uleb128 0x49 + 2340 01cd 13 .uleb128 0x13 + 2341 01ce 01 .uleb128 0x1 + 2342 01cf 13 .uleb128 0x13 + 2343 01d0 00 .byte 0 + 2344 01d1 00 .byte 0 + 2345 01d2 1D .uleb128 0x1d + 2346 01d3 21 .uleb128 0x21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 58 + + + 2347 01d4 00 .byte 0 + 2348 01d5 49 .uleb128 0x49 + 2349 01d6 13 .uleb128 0x13 + 2350 01d7 2F .uleb128 0x2f + 2351 01d8 0B .uleb128 0xb + 2352 01d9 00 .byte 0 + 2353 01da 00 .byte 0 + 2354 01db 1E .uleb128 0x1e + 2355 01dc 26 .uleb128 0x26 + 2356 01dd 00 .byte 0 + 2357 01de 49 .uleb128 0x49 + 2358 01df 13 .uleb128 0x13 + 2359 01e0 00 .byte 0 + 2360 01e1 00 .byte 0 + 2361 01e2 1F .uleb128 0x1f + 2362 01e3 2E .uleb128 0x2e + 2363 01e4 00 .byte 0 + 2364 01e5 3F .uleb128 0x3f + 2365 01e6 0C .uleb128 0xc + 2366 01e7 03 .uleb128 0x3 + 2367 01e8 0E .uleb128 0xe + 2368 01e9 3A .uleb128 0x3a + 2369 01ea 0B .uleb128 0xb + 2370 01eb 3B .uleb128 0x3b + 2371 01ec 0B .uleb128 0xb + 2372 01ed 27 .uleb128 0x27 + 2373 01ee 0C .uleb128 0xc + 2374 01ef 49 .uleb128 0x49 + 2375 01f0 13 .uleb128 0x13 + 2376 01f1 3C .uleb128 0x3c + 2377 01f2 0C .uleb128 0xc + 2378 01f3 00 .byte 0 + 2379 01f4 00 .byte 0 + 2380 01f5 00 .byte 0 + 2381 .section .debug_loc,"",%progbits + 2382 .Ldebug_loc0: + 2383 .LLST0: + 2384 0000 00000000 .4byte .LVL0 + 2385 0004 10000000 .4byte .LVL1 + 2386 0008 0200 .2byte 0x2 + 2387 000a 30 .byte 0x30 + 2388 000b 9F .byte 0x9f + 2389 000c 00000000 .4byte 0 + 2390 0010 00000000 .4byte 0 + 2391 .LLST1: + 2392 0014 00000000 .4byte .LFB10 + 2393 0018 02000000 .4byte .LCFI0 + 2394 001c 0200 .2byte 0x2 + 2395 001e 7D .byte 0x7d + 2396 001f 00 .sleb128 0 + 2397 0020 02000000 .4byte .LCFI0 + 2398 0024 74000000 .4byte .LFE10 + 2399 0028 0200 .2byte 0x2 + 2400 002a 7D .byte 0x7d + 2401 002b 08 .sleb128 8 + 2402 002c 00000000 .4byte 0 + 2403 0030 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 59 + + + 2404 .LLST2: + 2405 0034 12000000 .4byte .LVL4 + 2406 0038 14000000 .4byte .LVL5 + 2407 003c 0100 .2byte 0x1 + 2408 003e 51 .byte 0x51 + 2409 003f 14000000 .4byte .LVL5 + 2410 0043 2C000000 .4byte .LVL7 + 2411 0047 0100 .2byte 0x1 + 2412 0049 52 .byte 0x52 + 2413 004a 2C000000 .4byte .LVL7 + 2414 004e 32000000 .4byte .LVL8 + 2415 0052 0300 .2byte 0x3 + 2416 0054 72 .byte 0x72 + 2417 0055 01 .sleb128 1 + 2418 0056 9F .byte 0x9f + 2419 0057 36000000 .4byte .LVL9 + 2420 005b 48000000 .4byte .LVL10 + 2421 005f 0100 .2byte 0x1 + 2422 0061 52 .byte 0x52 + 2423 0062 00000000 .4byte 0 + 2424 0066 00000000 .4byte 0 + 2425 .LLST3: + 2426 006a 00000000 .4byte .LVL3 + 2427 006e 1A000000 .4byte .LVL6 + 2428 0072 0200 .2byte 0x2 + 2429 0074 30 .byte 0x30 + 2430 0075 9F .byte 0x9f + 2431 0076 00000000 .4byte 0 + 2432 007a 00000000 .4byte 0 + 2433 .LLST4: + 2434 007e 00000000 .4byte .LVL11 + 2435 0082 0E000000 .4byte .LVL12 + 2436 0086 0100 .2byte 0x1 + 2437 0088 50 .byte 0x50 + 2438 0089 0E000000 .4byte .LVL12 + 2439 008d 10000000 .4byte .LVL13 + 2440 0091 0200 .2byte 0x2 + 2441 0093 71 .byte 0x71 + 2442 0094 00 .sleb128 0 + 2443 0095 10000000 .4byte .LVL13 + 2444 0099 20000000 .4byte .LFE14 + 2445 009d 0400 .2byte 0x4 + 2446 009f F3 .byte 0xf3 + 2447 00a0 01 .uleb128 0x1 + 2448 00a1 50 .byte 0x50 + 2449 00a2 9F .byte 0x9f + 2450 00a3 00000000 .4byte 0 + 2451 00a7 00000000 .4byte 0 + 2452 .LLST5: + 2453 00ab 00000000 .4byte .LFB13 + 2454 00af 02000000 .4byte .LCFI1 + 2455 00b3 0200 .2byte 0x2 + 2456 00b5 7D .byte 0x7d + 2457 00b6 00 .sleb128 0 + 2458 00b7 02000000 .4byte .LCFI1 + 2459 00bb 3C000000 .4byte .LFE13 + 2460 00bf 0200 .2byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 60 + + + 2461 00c1 7D .byte 0x7d + 2462 00c2 08 .sleb128 8 + 2463 00c3 00000000 .4byte 0 + 2464 00c7 00000000 .4byte 0 + 2465 .LLST6: + 2466 00cb 00000000 .4byte .LFB11 + 2467 00cf 02000000 .4byte .LCFI2 + 2468 00d3 0200 .2byte 0x2 + 2469 00d5 7D .byte 0x7d + 2470 00d6 00 .sleb128 0 + 2471 00d7 02000000 .4byte .LCFI2 + 2472 00db 20000000 .4byte .LFE11 + 2473 00df 0200 .2byte 0x2 + 2474 00e1 7D .byte 0x7d + 2475 00e2 08 .sleb128 8 + 2476 00e3 00000000 .4byte 0 + 2477 00e7 00000000 .4byte 0 + 2478 .LLST7: + 2479 00eb 00000000 .4byte .LFB8 + 2480 00ef 02000000 .4byte .LCFI3 + 2481 00f3 0200 .2byte 0x2 + 2482 00f5 7D .byte 0x7d + 2483 00f6 00 .sleb128 0 + 2484 00f7 02000000 .4byte .LCFI3 + 2485 00fb 34000000 .4byte .LFE8 + 2486 00ff 0200 .2byte 0x2 + 2487 0101 7D .byte 0x7d + 2488 0102 08 .sleb128 8 + 2489 0103 00000000 .4byte 0 + 2490 0107 00000000 .4byte 0 + 2491 .LLST8: + 2492 010b 00000000 .4byte .LFB3 + 2493 010f 02000000 .4byte .LCFI4 + 2494 0113 0200 .2byte 0x2 + 2495 0115 7D .byte 0x7d + 2496 0116 00 .sleb128 0 + 2497 0117 02000000 .4byte .LCFI4 + 2498 011b 38000000 .4byte .LFE3 + 2499 011f 0200 .2byte 0x2 + 2500 0121 7D .byte 0x7d + 2501 0122 08 .sleb128 8 + 2502 0123 00000000 .4byte 0 + 2503 0127 00000000 .4byte 0 + 2504 .LLST9: + 2505 012b 00000000 .4byte .LFB1 + 2506 012f 02000000 .4byte .LCFI5 + 2507 0133 0200 .2byte 0x2 + 2508 0135 7D .byte 0x7d + 2509 0136 00 .sleb128 0 + 2510 0137 02000000 .4byte .LCFI5 + 2511 013b 54000000 .4byte .LFE1 + 2512 013f 0200 .2byte 0x2 + 2513 0141 7D .byte 0x7d + 2514 0142 08 .sleb128 8 + 2515 0143 00000000 .4byte 0 + 2516 0147 00000000 .4byte 0 + 2517 .LLST10: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 61 + + + 2518 014b 06000000 .4byte .LVL23 + 2519 014f 0A000000 .4byte .LVL24 + 2520 0153 0100 .2byte 0x1 + 2521 0155 52 .byte 0x52 + 2522 0156 0A000000 .4byte .LVL24 + 2523 015a 16000000 .4byte .LVL25 + 2524 015e 0100 .2byte 0x1 + 2525 0160 50 .byte 0x50 + 2526 0161 30000000 .4byte .LVL27 + 2527 0165 32000000 .4byte .LVL28 + 2528 0169 0100 .2byte 0x1 + 2529 016b 50 .byte 0x50 + 2530 016c 36000000 .4byte .LVL29 + 2531 0170 38000000 .4byte .LVL30 + 2532 0174 0100 .2byte 0x1 + 2533 0176 50 .byte 0x50 + 2534 0177 3C000000 .4byte .LVL31 + 2535 017b 3E000000 .4byte .LVL32 + 2536 017f 0100 .2byte 0x1 + 2537 0181 50 .byte 0x50 + 2538 0182 00000000 .4byte 0 + 2539 0186 00000000 .4byte 0 + 2540 .LLST11: + 2541 018a 00000000 .4byte .LFB0 + 2542 018e 02000000 .4byte .LCFI6 + 2543 0192 0200 .2byte 0x2 + 2544 0194 7D .byte 0x7d + 2545 0195 00 .sleb128 0 + 2546 0196 02000000 .4byte .LCFI6 + 2547 019a A0000000 .4byte .LFE0 + 2548 019e 0200 .2byte 0x2 + 2549 01a0 7D .byte 0x7d + 2550 01a1 08 .sleb128 8 + 2551 01a2 00000000 .4byte 0 + 2552 01a6 00000000 .4byte 0 + 2553 .LLST12: + 2554 01aa 08000000 .4byte .LVL33 + 2555 01ae 23000000 .4byte .LVL35-1 + 2556 01b2 0100 .2byte 0x1 + 2557 01b4 52 .byte 0x52 + 2558 01b5 2E000000 .4byte .LVL36 + 2559 01b9 39000000 .4byte .LVL37-1 + 2560 01bd 0100 .2byte 0x1 + 2561 01bf 52 .byte 0x52 + 2562 01c0 3C000000 .4byte .LVL38 + 2563 01c4 42000000 .4byte .LVL39 + 2564 01c8 0100 .2byte 0x1 + 2565 01ca 52 .byte 0x52 + 2566 01cb 4C000000 .4byte .LVL41 + 2567 01cf 4E000000 .4byte .LVL42 + 2568 01d3 0100 .2byte 0x1 + 2569 01d5 51 .byte 0x51 + 2570 01d6 5C000000 .4byte .LVL43 + 2571 01da 66000000 .4byte .LVL44 + 2572 01de 0100 .2byte 0x1 + 2573 01e0 53 .byte 0x53 + 2574 01e1 6E000000 .4byte .LVL46 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 62 + + + 2575 01e5 72000000 .4byte .LVL47 + 2576 01e9 0600 .2byte 0x6 + 2577 01eb 70 .byte 0x70 + 2578 01ec 00 .sleb128 0 + 2579 01ed 09 .byte 0x9 + 2580 01ee 80 .byte 0x80 + 2581 01ef 1A .byte 0x1a + 2582 01f0 9F .byte 0x9f + 2583 01f1 00000000 .4byte 0 + 2584 01f5 00000000 .4byte 0 + 2585 .LLST13: + 2586 01f9 12000000 .4byte .LVL34 + 2587 01fd 66000000 .4byte .LVL44 + 2588 0201 0200 .2byte 0x2 + 2589 0203 31 .byte 0x31 + 2590 0204 9F .byte 0x9f + 2591 0205 6C000000 .4byte .LVL45 + 2592 0209 78000000 .4byte .LVL48 + 2593 020d 0100 .2byte 0x1 + 2594 020f 52 .byte 0x52 + 2595 0210 80000000 .4byte .LVL49 + 2596 0214 8A000000 .4byte .LVL50 + 2597 0218 0100 .2byte 0x1 + 2598 021a 52 .byte 0x52 + 2599 021b 00000000 .4byte 0 + 2600 021f 00000000 .4byte 0 + 2601 .LLST14: + 2602 0223 00000000 .4byte .LFB9 + 2603 0227 02000000 .4byte .LCFI7 + 2604 022b 0200 .2byte 0x2 + 2605 022d 7D .byte 0x7d + 2606 022e 00 .sleb128 0 + 2607 022f 02000000 .4byte .LCFI7 + 2608 0233 48000000 .4byte .LFE9 + 2609 0237 0200 .2byte 0x2 + 2610 0239 7D .byte 0x7d + 2611 023a 08 .sleb128 8 + 2612 023b 00000000 .4byte 0 + 2613 023f 00000000 .4byte 0 + 2614 .LLST15: + 2615 0243 22000000 .4byte .LVL52 + 2616 0247 2E000000 .4byte .LVL53 + 2617 024b 0100 .2byte 0x1 + 2618 024d 52 .byte 0x52 + 2619 024e 00000000 .4byte 0 + 2620 0252 00000000 .4byte 0 + 2621 .LLST16: + 2622 0256 00000000 .4byte .LFB5 + 2623 025a 02000000 .4byte .LCFI8 + 2624 025e 0200 .2byte 0x2 + 2625 0260 7D .byte 0x7d + 2626 0261 00 .sleb128 0 + 2627 0262 02000000 .4byte .LCFI8 + 2628 0266 50000000 .4byte .LFE5 + 2629 026a 0200 .2byte 0x2 + 2630 026c 7D .byte 0x7d + 2631 026d 08 .sleb128 8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 63 + + + 2632 026e 00000000 .4byte 0 + 2633 0272 00000000 .4byte 0 + 2634 .LLST17: + 2635 0276 2C000000 .4byte .LVL56 + 2636 027a 39000000 .4byte .LVL57-1 + 2637 027e 0100 .2byte 0x1 + 2638 0280 51 .byte 0x51 + 2639 0281 00000000 .4byte 0 + 2640 0285 00000000 .4byte 0 + 2641 .section .debug_aranges,"",%progbits + 2642 0000 94000000 .4byte 0x94 + 2643 0004 0200 .2byte 0x2 + 2644 0006 00000000 .4byte .Ldebug_info0 + 2645 000a 04 .byte 0x4 + 2646 000b 00 .byte 0 + 2647 000c 0000 .2byte 0 + 2648 000e 0000 .2byte 0 + 2649 0010 00000000 .4byte .LFB4 + 2650 0014 94000000 .4byte .LFE4-.LFB4 + 2651 0018 00000000 .4byte .LFB6 + 2652 001c 34000000 .4byte .LFE6-.LFB6 + 2653 0020 00000000 .4byte .LFB7 + 2654 0024 04000000 .4byte .LFE7-.LFB7 + 2655 0028 00000000 .4byte .LFB10 + 2656 002c 74000000 .4byte .LFE10-.LFB10 + 2657 0030 00000000 .4byte .LFB12 + 2658 0034 2C000000 .4byte .LFE12-.LFB12 + 2659 0038 00000000 .4byte .LFB14 + 2660 003c 20000000 .4byte .LFE14-.LFB14 + 2661 0040 00000000 .4byte .LFB13 + 2662 0044 3C000000 .4byte .LFE13-.LFB13 + 2663 0048 00000000 .4byte .LFB11 + 2664 004c 20000000 .4byte .LFE11-.LFB11 + 2665 0050 00000000 .4byte .LFB2 + 2666 0054 24000000 .4byte .LFE2-.LFB2 + 2667 0058 00000000 .4byte .LFB8 + 2668 005c 34000000 .4byte .LFE8-.LFB8 + 2669 0060 00000000 .4byte .LFB3 + 2670 0064 38000000 .4byte .LFE3-.LFB3 + 2671 0068 00000000 .4byte .LFB1 + 2672 006c 54000000 .4byte .LFE1-.LFB1 + 2673 0070 00000000 .4byte .LFB0 + 2674 0074 A0000000 .4byte .LFE0-.LFB0 + 2675 0078 00000000 .4byte .LFB15 + 2676 007c 20000000 .4byte .LFE15-.LFB15 + 2677 0080 00000000 .4byte .LFB9 + 2678 0084 48000000 .4byte .LFE9-.LFB9 + 2679 0088 00000000 .4byte .LFB5 + 2680 008c 50000000 .4byte .LFE5-.LFB5 + 2681 0090 00000000 .4byte 0 + 2682 0094 00000000 .4byte 0 + 2683 .section .debug_ranges,"",%progbits + 2684 .Ldebug_ranges0: + 2685 0000 00000000 .4byte .LFB4 + 2686 0004 94000000 .4byte .LFE4 + 2687 0008 00000000 .4byte .LFB6 + 2688 000c 34000000 .4byte .LFE6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 64 + + + 2689 0010 00000000 .4byte .LFB7 + 2690 0014 04000000 .4byte .LFE7 + 2691 0018 00000000 .4byte .LFB10 + 2692 001c 74000000 .4byte .LFE10 + 2693 0020 00000000 .4byte .LFB12 + 2694 0024 2C000000 .4byte .LFE12 + 2695 0028 00000000 .4byte .LFB14 + 2696 002c 20000000 .4byte .LFE14 + 2697 0030 00000000 .4byte .LFB13 + 2698 0034 3C000000 .4byte .LFE13 + 2699 0038 00000000 .4byte .LFB11 + 2700 003c 20000000 .4byte .LFE11 + 2701 0040 00000000 .4byte .LFB2 + 2702 0044 24000000 .4byte .LFE2 + 2703 0048 00000000 .4byte .LFB8 + 2704 004c 34000000 .4byte .LFE8 + 2705 0050 00000000 .4byte .LFB3 + 2706 0054 38000000 .4byte .LFE3 + 2707 0058 00000000 .4byte .LFB1 + 2708 005c 54000000 .4byte .LFE1 + 2709 0060 00000000 .4byte .LFB0 + 2710 0064 A0000000 .4byte .LFE0 + 2711 0068 00000000 .4byte .LFB15 + 2712 006c 20000000 .4byte .LFE15 + 2713 0070 00000000 .4byte .LFB9 + 2714 0074 48000000 .4byte .LFE9 + 2715 0078 00000000 .4byte .LFB5 + 2716 007c 50000000 .4byte .LFE5 + 2717 0080 00000000 .4byte 0 + 2718 0084 00000000 .4byte 0 + 2719 .section .debug_line,"",%progbits + 2720 .Ldebug_line0: + 2721 0000 49020000 .section .debug_str,"MS",%progbits,1 + 2721 02006200 + 2721 00000201 + 2721 FB0E0D00 + 2721 01010101 + 2722 .LASF31: + 2723 0000 70537461 .ascii "pStatusBlock\000" + 2723 74757342 + 2723 6C6F636B + 2723 00 + 2724 .LASF29: + 2725 000d 636F756E .ascii "count\000" + 2725 7400 + 2726 .LASF10: + 2727 0013 75696E74 .ascii "uint16\000" + 2727 313600 + 2728 .LASF47: + 2729 001a 55534246 .ascii "USBFS_EP_0_ISR\000" + 2729 535F4550 + 2729 5F305F49 + 2729 535200 + 2730 .LASF65: + 2731 0029 55534246 .ascii "USBFS_currentTD\000" + 2731 535F6375 + 2731 7272656E + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 65 + + + 2731 74544400 + 2732 .LASF36: + 2733 0039 72656749 .ascii "regIndex\000" + 2733 6E646578 + 2733 00 + 2734 .LASF78: + 2735 0042 636F6D70 .ascii "completionCode\000" + 2735 6C657469 + 2735 6F6E436F + 2735 646500 + 2736 .LASF52: + 2737 0051 55534246 .ascii "USBFS_InitControlRead\000" + 2737 535F496E + 2737 6974436F + 2737 6E74726F + 2737 6C526561 + 2738 .LASF7: + 2739 0067 6C6F6E67 .ascii "long long unsigned int\000" + 2739 206C6F6E + 2739 6720756E + 2739 7369676E + 2739 65642069 + 2740 .LASF20: + 2741 007e 61646472 .ascii "addr\000" + 2741 00 + 2742 .LASF77: + 2743 0083 55534246 .ascii "USBFS_ControlReadDataStage\000" + 2743 535F436F + 2743 6E74726F + 2743 6C526561 + 2743 64446174 + 2744 .LASF6: + 2745 009e 6C6F6E67 .ascii "long long int\000" + 2745 206C6F6E + 2745 6720696E + 2745 7400 + 2746 .LASF0: + 2747 00ac 7369676E .ascii "signed char\000" + 2747 65642063 + 2747 68617200 + 2748 .LASF61: + 2749 00b8 55534246 .ascii "USBFS_deviceAddress\000" + 2749 535F6465 + 2749 76696365 + 2749 41646472 + 2749 65737300 + 2750 .LASF58: + 2751 00cc 55534246 .ascii "USBFS_interfaceNumber\000" + 2751 535F696E + 2751 74657266 + 2751 6163654E + 2751 756D6265 + 2752 .LASF4: + 2753 00e2 6C6F6E67 .ascii "long int\000" + 2753 20696E74 + 2753 00 + 2754 .LASF9: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 66 + + + 2755 00eb 75696E74 .ascii "uint8\000" + 2755 3800 + 2756 .LASF19: + 2757 00f1 6570546F .ascii "epToggle\000" + 2757 67676C65 + 2757 00 + 2758 .LASF75: + 2759 00fa 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_drv.c\000" + 2759 6E657261 + 2759 7465645F + 2759 536F7572 + 2759 63655C50 + 2760 .LASF12: + 2761 011f 646F7562 .ascii "double\000" + 2761 6C6500 + 2762 .LASF48: + 2763 0126 62526567 .ascii "bRegTemp\000" + 2763 54656D70 + 2763 00 + 2764 .LASF30: + 2765 012f 70446174 .ascii "pData\000" + 2765 6100 + 2766 .LASF76: + 2767 0135 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 2767 43534932 + 2767 53445C55 + 2767 53425F42 + 2767 6F6F746C + 2768 .LASF55: + 2769 0155 55534246 .ascii "USBFS_configuration\000" + 2769 535F636F + 2769 6E666967 + 2769 75726174 + 2769 696F6E00 + 2770 .LASF21: + 2771 0169 65704D6F .ascii "epMode\000" + 2771 646500 + 2772 .LASF73: + 2773 0170 55534246 .ascii "USBFS_HandleVendorRqst\000" + 2773 535F4861 + 2773 6E646C65 + 2773 56656E64 + 2773 6F725271 + 2774 .LASF43: + 2775 0187 55534246 .ascii "USBFS_ControlReadStatusStage\000" + 2775 535F436F + 2775 6E74726F + 2775 6C526561 + 2775 64537461 + 2776 .LASF8: + 2777 01a4 756E7369 .ascii "unsigned int\000" + 2777 676E6564 + 2777 20696E74 + 2777 00 + 2778 .LASF39: + 2779 01b1 55534246 .ascii "USBFS_UpdateStatusBlock\000" + 2779 535F5570 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 67 + + + 2779 64617465 + 2779 53746174 + 2779 7573426C + 2780 .LASF5: + 2781 01c9 6C6F6E67 .ascii "long unsigned int\000" + 2781 20756E73 + 2781 69676E65 + 2781 6420696E + 2781 7400 + 2782 .LASF33: + 2783 01db 55534246 .ascii "USBFS_LoadEP0\000" + 2783 535F4C6F + 2783 61644550 + 2783 3000 + 2784 .LASF3: + 2785 01e9 73686F72 .ascii "short unsigned int\000" + 2785 7420756E + 2785 7369676E + 2785 65642069 + 2785 6E7400 + 2786 .LASF42: + 2787 01fc 55534246 .ascii "USBFS_HandleIN\000" + 2787 535F4861 + 2787 6E646C65 + 2787 494E00 + 2788 .LASF35: + 2789 020b 65703043 .ascii "ep0Count\000" + 2789 6F756E74 + 2789 00 + 2790 .LASF70: + 2791 0214 55534246 .ascii "USBFS_transferByteCount\000" + 2791 535F7472 + 2791 616E7366 + 2791 65724279 + 2791 7465436F + 2792 .LASF24: + 2793 022c 696E7465 .ascii "interface\000" + 2793 72666163 + 2793 6500 + 2794 .LASF56: + 2795 0236 55534246 .ascii "USBFS_configurationChanged\000" + 2795 535F636F + 2795 6E666967 + 2795 75726174 + 2795 696F6E43 + 2796 .LASF63: + 2797 0251 55534246 .ascii "USBFS_interfaceClass\000" + 2797 535F696E + 2797 74657266 + 2797 61636543 + 2797 6C617373 + 2798 .LASF18: + 2799 0266 68774570 .ascii "hwEpState\000" + 2799 53746174 + 2799 6500 + 2800 .LASF15: + 2801 0270 73697A65 .ascii "sizetype\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 68 + + + 2801 74797065 + 2801 00 + 2802 .LASF44: + 2803 0279 55534246 .ascii "USBFS_HandleOUT\000" + 2803 535F4861 + 2803 6E646C65 + 2803 4F555400 + 2804 .LASF68: + 2805 0289 55534246 .ascii "USBFS_ep0Mode\000" + 2805 535F6570 + 2805 304D6F64 + 2805 6500 + 2806 .LASF16: + 2807 0297 61747472 .ascii "attrib\000" + 2807 696200 + 2808 .LASF69: + 2809 029e 55534246 .ascii "USBFS_ep0Count\000" + 2809 535F6570 + 2809 30436F75 + 2809 6E7400 + 2810 .LASF28: + 2811 02ad 545F5553 .ascii "T_USBFS_XFER_STATUS_BLOCK\000" + 2811 4246535F + 2811 58464552 + 2811 5F535441 + 2811 5455535F + 2812 .LASF51: + 2813 02c7 55534246 .ascii "USBFS_InitControlWrite\000" + 2813 535F496E + 2813 6974436F + 2813 6E74726F + 2813 6C577269 + 2814 .LASF11: + 2815 02de 666C6F61 .ascii "float\000" + 2815 7400 + 2816 .LASF17: + 2817 02e4 61706945 .ascii "apiEpState\000" + 2817 70537461 + 2817 746500 + 2818 .LASF64: + 2819 02ef 55534246 .ascii "USBFS_EP\000" + 2819 535F4550 + 2819 00 + 2820 .LASF74: + 2821 02f8 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 2821 4320342E + 2821 372E3320 + 2821 32303133 + 2821 30333132 + 2822 032b 616E6368 .ascii "anch revision 196615]\000" + 2822 20726576 + 2822 6973696F + 2822 6E203139 + 2822 36363135 + 2823 .LASF14: + 2824 0341 72656738 .ascii "reg8\000" + 2824 00 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 69 + + + 2825 .LASF54: + 2826 0346 55534246 .ascii "USBFS_transferState\000" + 2826 535F7472 + 2826 616E7366 + 2826 65725374 + 2826 61746500 + 2827 .LASF1: + 2828 035a 756E7369 .ascii "unsigned char\000" + 2828 676E6564 + 2828 20636861 + 2828 7200 + 2829 .LASF79: + 2830 0368 55534246 .ascii "USBFS_InitializeStatusBlock\000" + 2830 535F496E + 2830 69746961 + 2830 6C697A65 + 2830 53746174 + 2831 .LASF2: + 2832 0384 73686F72 .ascii "short int\000" + 2832 7420696E + 2832 7400 + 2833 .LASF32: + 2834 038e 545F5553 .ascii "T_USBFS_TD\000" + 2834 4246535F + 2834 544400 + 2835 .LASF67: + 2836 0399 55534246 .ascii "USBFS_lastPacketSize\000" + 2836 535F6C61 + 2836 73745061 + 2836 636B6574 + 2836 53697A65 + 2837 .LASF59: + 2838 03ae 55534246 .ascii "USBFS_interfaceSetting\000" + 2838 535F696E + 2838 74657266 + 2838 61636553 + 2838 65747469 + 2839 .LASF25: + 2840 03c5 545F5553 .ascii "T_USBFS_EP_CTL_BLOCK\000" + 2840 4246535F + 2840 45505F43 + 2840 544C5F42 + 2840 4C4F434B + 2841 .LASF40: + 2842 03da 55534246 .ascii "USBFS_NoDataControlStatusStage\000" + 2842 535F4E6F + 2842 44617461 + 2842 436F6E74 + 2842 726F6C53 + 2843 .LASF38: + 2844 03f9 55534246 .ascii "USBFS_InitNoDataControlTransfer\000" + 2844 535F496E + 2844 69744E6F + 2844 44617461 + 2844 436F6E74 + 2845 .LASF53: + 2846 0419 55534246 .ascii "USBFS_device\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 70 + + + 2846 535F6465 + 2846 76696365 + 2846 00 + 2847 .LASF66: + 2848 0426 55534246 .ascii "USBFS_ep0Toggle\000" + 2848 535F6570 + 2848 30546F67 + 2848 676C6500 + 2849 .LASF34: + 2850 0436 55534246 .ascii "USBFS_ControlWriteDataStage\000" + 2850 535F436F + 2850 6E74726F + 2850 6C577269 + 2850 74654461 + 2851 .LASF27: + 2852 0452 6C656E67 .ascii "length\000" + 2852 746800 + 2853 .LASF13: + 2854 0459 63686172 .ascii "char\000" + 2854 00 + 2855 .LASF23: + 2856 045e 62756666 .ascii "bufferSize\000" + 2856 65725369 + 2856 7A6500 + 2857 .LASF46: + 2858 0469 72657175 .ascii "requestHandled\000" + 2858 65737448 + 2858 616E646C + 2858 656400 + 2859 .LASF22: + 2860 0478 62756666 .ascii "buffOffset\000" + 2860 4F666673 + 2860 657400 + 2861 .LASF57: + 2862 0483 55534246 .ascii "USBFS_deviceStatus\000" + 2862 535F6465 + 2862 76696365 + 2862 53746174 + 2862 757300 + 2863 .LASF60: + 2864 0496 55534246 .ascii "USBFS_interfaceSetting_last\000" + 2864 535F696E + 2864 74657266 + 2864 61636553 + 2864 65747469 + 2865 .LASF49: + 2866 04b2 6D6F6469 .ascii "modifyReg\000" + 2866 66795265 + 2866 6700 + 2867 .LASF45: + 2868 04bc 55534246 .ascii "USBFS_HandleSetup\000" + 2868 535F4861 + 2868 6E646C65 + 2868 53657475 + 2868 7000 + 2869 .LASF26: + 2870 04ce 73746174 .ascii "status\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccU0u4Ba.s page 71 + + + 2870 757300 + 2871 .LASF72: + 2872 04d5 55534246 .ascii "USBFS_DispatchClassRqst\000" + 2872 535F4469 + 2872 73706174 + 2872 6368436C + 2872 61737352 + 2873 .LASF50: + 2874 04ed 78666572 .ascii "xferCount\000" + 2874 436F756E + 2874 7400 + 2875 .LASF62: + 2876 04f7 55534246 .ascii "USBFS_interfaceStatus\000" + 2876 535F696E + 2876 74657266 + 2876 61636553 + 2876 74617475 + 2877 .LASF71: + 2878 050d 55534246 .ascii "USBFS_HandleStandardRqst\000" + 2878 535F4861 + 2878 6E646C65 + 2878 5374616E + 2878 64617264 + 2879 .LASF37: + 2880 0526 55534246 .ascii "USBFS_InitZeroLengthControlTransfer\000" + 2880 535F496E + 2880 69745A65 + 2880 726F4C65 + 2880 6E677468 + 2881 .LASF41: + 2882 054a 55534246 .ascii "USBFS_ControlWriteStatusStage\000" + 2882 535F436F + 2882 6E74726F + 2882 6C577269 + 2882 74655374 + 2883 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_drv.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_drv.o new file mode 100755 index 0000000000000000000000000000000000000000..fedff10b8a2f8e9c4e4a41bcf4187b65e506b8a4 GIT binary patch literal 15588 zcmc&*dvqMtdA~EWyIQSeJ#Dj+WqGtxKw!|rHpVeV=wX9o84#8Xj&YDz+SP-|(t5RW zWH3Y+pGI&Jz~F#^H1TOmP7_jyMcsr30-;GsLlR6*LQ?WLv~>=Tkj6=xCV{l{_q+4W z?(7(o{?T)Kk7j@KefPV+``z!pX78*%vT4g@hG8f-8LCm`B&8;n>zv>k4UOs|wMH%L zt?8+r56>Qm9$KiHtL~}p{pLb+er{p*Sk>l3okb^hjFrtAzc-3@jP=!Q-&H-|S9@Tg zdhX}1hGQi?MYG|R>coy?rQOEtt*7R!k{&BnYM1neQ>GnF1zw5t6!n^RI2BDQq5bLGPSh8VjeYQ=y*FW(B6Qlwo@~JcZu*-G4t_ zc6>>1_3V;f^Hiz2wKh8c&4u#l{6a-fbgrV8ZAu-x;n?=E^4}Z1#`-{N``C9EjF#=Y zqVvYOxrON5o39r3M0z8s>e-^Y1E=OxG*!@Bl`4BmJ$PT5Cx<=PWI9)bU>j#bSTZtj2w zs;(?m1uqn$Y1nAtJHZ|+7D~9B^wUnJ0yh7is1KFc*S((Th*L7H%iAi^py`E zFGpLeQ_)#7WPZQ2Hwte}ZMg{J$~v{nw9gBkXg@D>qVoy1DDVnD4gFd6M6P{}Zl3_d z$7A3;v7k%yVV`KPPrLcK+pA%=}sNGfpS0J-dV>6ZHWBMW6Z za*JOn?pcHxrR)f1BHI_~>8_2;TC-hmn02xFyBFrcSvSWV!{&H*If>+bJfxJVl>y`T zxG~;>aG`f)(U~wZLZ8R2X@qxJ05f<{L&58?H3PwaWnQ4*pD2ZcpQ02l7zJ2?;P_;Q zd4Gkxz|Wa;T?;+~mAu{A#?L_Dr>NTu2Uf7W5&l2``5mm_)WgURPVwVLZCQ|lWvJNN zcL_`j?uTIA#B8%(6`Z8B-;s=h5lS~Zl3DO8*jTqXl2vdErH?pLpkN$S)|?{+3uaNd zb*rweK2&f$r2~!>E;yf@w>g#(75F+_v=06q$U*_@?%nk0JG8&Yu}}qDDc$RoHdWwn zSp0A|?9hVy9-;jrdUC|EPzBBOcZVQdu zpf;Yv?hrA41ckBM*(VM|ZQiV~!Yfr&gqn>D4f>^1v2k_~num?7Y7O)>U-T^Onc%us ztU}Qe3dXmYQ);Y*V6>UqECbDA=1s!NsioayEu<@YKXW>?d;@M(vGE7^ZQNyMYds%Y z)*3O`OyegGt1a5cL0Z-c0U0D^x50szN%FX3x&fL;a2XsymmWagN|s&<-O_PpJiws!LU$I)SnXTjV{lffC@0~^F#V%zaq}Q=7|XxL4S03s zotpP36nBvK2J)WGyN%@i9PXAbu$oO2{{S)CfEzj=U5^`vIogdIHe6L1V*tanV5gLg zixI9io@4t9awCET>ZyAI)J4A_f1!CJlwD9pD{w!O{0D58oy%%2xWJ?SA_^`$n>C*I zu*Zi7*oKu}&Fy4t@@hUsO|w_Cn3|T)<#<*Yi-K_Mm|h%=6~^~bQDDK9Qgr%pM^Z+) z@xGGEBCBLs$+l3rvDGMpmu3T2pf)J6G|FulWtJ+dWPQ=aMLpPXf)^@6sM07ZqRxPT zCD=7s7r_Es3Kkj_HmOUCbx!b{V!KGGNRyFMlp7UBd*uGkVp0^XaVUa4l_nf1_Ll6a z%$h2-sL5!}$t+rO`vF6h8=vSbZiQ5OS`{IwqAZwKMLCKg2rN@^2N=xAp-wnonJr&* zPI0zg*0XrI)V#gu1G?EuPBV3@S}LtU6xf=x+^R?ub=+mWRo+%+>%z>;5+iQXdY5`x zj{4GK6AsrHMo%S)m)D_Zni1vNvvn7($g-m)J(U}ZF;1Ac5}T^!(^`-Z3?%4o)(LWOg?9pgL4yfN4 zeP?uwODkN=x&=|Y>$+|KiTpKxBA;8wd+`tW^3ksf;b)r(OZ9CZw*-a-O=M6=id*{5 z$28pHGn>3oKMJk4dbYD37wDS+`Z+*tB~hoN-2%8$-(I~FFrsh!Cjn_(A(c{vbJf{s zR)~qIT0cF3`&nF|o&((B+~PBvypFM7y^h5o(<5JBdyBA<-Xa_;P6N2(=yVvLEW%!Q z6Ln1NDJC~3RL~Y=%b4=|1oC06{r~l&2f;Db z5IixBw@Vf&Jey+mM$oY-?7TcVnM}ts$;4p))J%FfxwEf-s_R0fw)J;j)<2j??`;~U zSjOTuWWu6uMRUDb?8*BE^2$xqqxnj}XlPx2>GJxPT5`u& zS7>u6cIooESZ(VLvedSj6`m4z1&vA&*P-4Ob@gVEC+jE3YJ>I?v%sT$JZQw)dSTnv zW-@lJqrX6PEw!;0v(Te_!7wVVvIExZhGE@*OOrKgtX+gvJ6GzYWyJP^$=j^o8b+N} zdB93HStU)@Ow+11GwdmHzhTtF-rM4%;+dK0&WWkv52@j)8GM_Z!B@)GwK8Hh^$oTR_VjNRad;-3PEKY9HmY=Tv}ZDr zoJFDCsflD}d}^|5Dv=bsp2_h{*VJStJvFg48BeH*smW1Wf6PoykB?3!6E?rN#uJIO zl<-(@jA!C-Yc%O8Mr$cJJQjBgC6ar`hm##(Nls4-5iFDGk@#@(%9-6m$+W}4;%wJC zl}rsxjgC$v)tTnXPVZDnOwA0zc4%vIbRfAqrBd`wUAul~e^-Ccs{V~M9_*Z&%1lhf z6UlVb@V>A4afwsh$M;1tZH>7(tjwTcSPUxD5qi4oGyh#tVB>M2iB$pnsb1J`T+knXML5C@x$)v}Ja!SX6 zfkDT$?U!xZI@mwZF|e(Fuye~*UApHex(VWEiNhFeq3)T!?biok9F+66cG2*7t zpLXl}*RE~7@PnKO>!f-&V(gZr%7)rAUg_X?d;&4>cAe8T9HMRmF~1y75xq=beE37j zOg{>VGTRUR$xLQ^ax_~EleKGLOI}E>oZ^U;$>mgvPfRU`pAO}j3`nm_zB9o@KRC1mXS_o^7f5e8AoMGJBH@GP^H5HH=kk zDm{Jf|HZiM;G|=c&4f6w{zwI zGci7i2Ph{OgH$!)A#gXI3sn=Av?gcsp2_61jHKhclNj{>%d`x3TsQb#nu&ASo1AZm zO4UJ0JDif~b`pxc-z~3vB%L9)`|MT<4g$h85~N=i^|r^OYXowe+`B+Oa;a z0baguK=%6R@@<6P%l9Ps8lfW}W8m`b#l4sBkCF$_$&CxY>3jK3f{*@?kLdC}gnKU^ zg$#6Va{ap>3NPQA9{+gA;qrYI_g=mX1jfouE+1dOdHEJ2@HTYxk87&Srw{ibyW`*} z_-x2-a`|3`!ppY?d}Yv)uLPINw+Q9&m*sl~f_qv3wYDP`Lht3<=<$!dF5g-oA76CX zB#`9#x5~$t03Y`X`o|L(m+yKXUky66LAVGm-wq$&evf~PJ$xVb@wJ2R-NxUvkMEP< zbH^VKuw4H><>SkMk9(<`T>l>Q@qNw1$9B7XU-I#N9()5Xnb=YMTOZ$>9zGr>x_saB z@o~Z*C4nT@zY{*b#dxCyO5GndK$q_qKE9*ivxSS`^6^z|-gp6D8S>e`wPMG4SBdt) zG&lah*A3ZCE?+6^ynF-TbNj=FvFqO&A72<5eJ+{UQEc(??f0}dCU*31K=@dOX*>A7 z=uSS!^qr~C$M<>g@zo34+kngUZ5{WE7Qbop-f@%wChAFro; zcEgyAdt4*2q1`{i4ns5LW_=HKt6f3tD84LwZdSNTsr|0=P|`o9mtg1Zx07gx8y9|6 zaobUjd(@jv2Qj%{MOinw)I^V31zCppA400@^zv=QW^{>1;qt*WFM0I= z^{aQhnO1i6I9e;u6Z-F|045f^;ZG5ADWHAJ1~(fe$HKzukZ&K-_I8%QGa;d~u%qYO zb0+7Kg|`v;`4P>xJ!`@pJeMr&!1?yY+Mes8lek0Yl7$^M-@ZiKW5`J24xCFCa`WxW zw0-V5fjyT?7Iw&d`wAaFchFq2@KP$@ezCTWwuqwPPIHOch^RTg%be1B@Sea;K+K)GZo z+h=cU``mMZoioOrrD8t&n6_`vTE((=ys`40SSVw1dXlAA>YT#TE3H@NN|{TRUg^AY zv#vikO1OgLlBM6XdF5QsP;04Hg}0)579R#yVE)wsxt{PUSHFJ8c`4=CP`(m+t|=V( zl&=SJjo?Lj(kJit$#?r?zJ6m_#=HXa{U1bT9Tk|*KNUHn<%oJqWX_Qacu|eQEN^SM zMDh8O@&+xJsjEe%j{YS@wsn4mx=mz`@e0hBdqj5pS*jird7aLWs;5OB&~mkU5we@u z4{!Knyw}Ml=2t0A1Ck3M?Oye z=?6WI{*1>vPWHRK;qjh>cn@lv64~P&NAxu3Ot!h#58eYDFB?kw4}(uXUG^3@?bS~9 z_{Xt&_d}mtp0|lIvit`;j&b$~+j-IADDzJ3vldSCg)OIb-XS<=>po8R{eqV@k7d~V zit7w-faEot!;x1&T*2EIq!cc*-8p+OJMauixl^fw#4hJq+uVpB)luH0)ZN6(l(I!` zAi}PZ2s^Hm>~Un*&q%-Xi5L2cPoBhATANa*AHc)viA|OiJvcees`fA{xl+#8Z|GJXrh*{5&WRwhXhlC zHwn%Q-X(aSAkWiS&w}97g5MVWk>KlszY`1^PPt0KIzj$unS5=6+}9}&3Qh{%DtMRR zp9}JQh4x<)r%?aKi`g@3YXuD7FFGc?-5&XwR=J#83sZw7R zl4ChJnca|Blkt`*cjsE1vz$k!54&meIH zesLo>N<=+-L_R=--R;DfQXdyQM1iGxncz7@@U=?*#Ui(hyjkR{h$zSNB4S){f(YIWaV36TCU`*f zcM_YFx<`<|&1HQ@CI1nTzaaTv7X5R?Rh{z8UvA8@Y@{>gD z7he_mJH(wz{ZQne5qBsR#KNcjB@Sb~6!~0YC(dR>ZYOprwMpcy#15sd6*)oNsMNT~ zdx@7Rb(6^R#CE0b6#0{apA%F_TrUttjohy|-=l)IV1uCEhmfz=e;}52Z4VbUZWhFr zr)6vb8qp;hZxEamyoHE$;DF%mf*%+Bgx~{$j}Vt4-hy8g{IcNlM63fZ2>w7&uhY=~ zoHFL`F9r2_4f$=6`7Z^Wx21w-2`&@lKMinx>UA7=k;oSd@*fD8f0f`hf;``+J}$`b zZ;f%LEq-))O)R&k<}ETrJooc)8#;M9ljgfgO9^3uXAL=NnMB1AcFy9@tI<*+#)`BHFP#M159`-)-5_y=A3BbZQAGQr;|5vB4Swl3LGBj+ zb`c@#_QOxzUdXz=@OMt~4-(<`Cq+I=g#TX>`AK4pQr{5yTSTHvbGb9i%9Inaa^3*^*RMLhGo_}Yp-hW zY-h$!j6+DFMdhJtD^Yj=p$e%|Rf$UFiB_uUkC57`4^$P;CTZ2d@}A#;z&E zar2Eo>^!-9-T1vP4o~{ldGm_7`-x#R8Z((k=q$UXfXe4u)90G>*@Dkj=<^@xGZ^t{ z%-p>3$!mW_Ifl$`2^X|*!Mqx+Q7U01;{Re>k+dN(j97^|Bhk;C6?=y{EAc#Y$=D=w z$pp{bv|_b-z`Bp2vudolbdt$;*mn6|{{E;sfTchMY(Yz`%SgQ;_u8o8CrIeb(~GV^Gp0D#Sy3k7e~Y^X*@G?UhBxQaJu+F>=i4ZY1-h&6Cuc7Ip zSKN`=mohU4GZ*8%Vo~gkBh^t#rS63gbt@9P&*~>LGm-joYIYy`e2N(2`$Q_mG65#h z(=-_GM&=xyNrxWC(!HWjob7&YDoxbYl*iiS-8rG`qjCbPK15E*7WG6-!Q|=6ksnKJ6>p!FI#(b8E~< zZG?WUYHqiWbnwPxf4bjIko&F`W(fxmcZU=8NO_ZfnLLaB&=o8nXT7? zg1gah>kiJMzvg;DcC+r+R_jhB%g1x66q-&ilnP68rK!33!u@I{Qx}V+#p&YoQfaoh zNY!=M4#;UNxvQ(|4mE?Utl1uYUQ?Fad*V5(k*>q7%bs0dS<8CPR?V-u^=$s`)2H*N9-;>(mWzer>`3uGZe3~0 zbp!Oh;$TN@SNu95o8wjO6;&Wt(45GKnuuImSuxEN3KQ8Ou5QV7*ZpkO^|GiXJFRNT zE_m*W@=aMq&tLtbh&YTp7MFO7ZT*g)XDd+AnSqx0(aufVfQz zT1Rj)aKh;(h9Ki=Cfy@>h~(fy&3ZjLc|JH%y}S-`{`N*-mnr85UWjX&LNNj5Dt2H~ zuI&4i!y4sSm}|B%+z+3j2>Tng*1NUSxc%fvZN({Xu9j-`s*CiJw##KKe^`N%U{kC* zs;E%(X~}x6?&uJh73EgkjSW8BIV@~0JSUq$dz7kYZ#cSzUx)``fn_1QI^@t7t74lM z>tP7k&Qyv{JJ#_yh6gX4FSO%tgU-CsnEI-}6LwvA^muSj82>g1*oGg@H;X44Zw>rs z#hZpa8t;)vJnn&x_i#tN7r^V649F(E)nWG{cwKwc&I1$8yB5jIa-H`X(7KoG{}P@z zBLYqS5b|ie2I5_TlKX<`CZWL!~2lObtvMI1!f&TA1Ty!PhpdM3o<>l-3O|HH6h`4P|7X9O9RA;tnIexSf=A#*zw+P!gGAy}vt^K3B z6q=a0z1r>L9rpTm)Aq>@`(z{+=kMy!M^}RNe8O78q@ET7$|ODstzpW}MtRk40)`h)jqKu0UBuaWRIs^6+DdH5C$<@)^@a_w);P}cbc%XhzlgR7D285|7X zRR)T}7A9jq5uX7@?g1n3X9F$1gAso=q(9_*9$7!3a8luUh4Tu(uJ9p+-&EL8xTElU z3V)zb{*^_1`S%#e|3Nw5uND4L;advdQ}|DXyg+Q9QFutiL@_#IOIe7~~a)5`B9W%r8GOTT`VA9lRg4e&BQ;*Tkw P-(OtUeTqNNh&=xVt6C|? literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.lst new file mode 100755 index 0000000..03d1ea4 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.lst @@ -0,0 +1,2705 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_hid.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.USBFS_UpdateHIDTimer,"ax",%progbits + 19 .align 1 + 20 .global USBFS_UpdateHIDTimer + 21 .thumb + 22 .thumb_func + 23 .type USBFS_UpdateHIDTimer, %function + 24 USBFS_UpdateHIDTimer: + 25 .LFB0: + 26 .file 1 ".\\Generated_Source\\PSoC5\\USBFS_hid.c" + 1:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/USBFS_hid.c **** * File Name: USBFS_hid.c + 3:.\Generated_Source\PSoC5/USBFS_hid.c **** * Version 2.60 + 4:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 5:.\Generated_Source\PSoC5/USBFS_hid.c **** * Description: + 6:.\Generated_Source\PSoC5/USBFS_hid.c **** * USB HID Class request handler. + 7:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 8:.\Generated_Source\PSoC5/USBFS_hid.c **** * Note: + 9:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 10:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/USBFS_hid.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/USBFS_hid.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/USBFS_hid.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/USBFS_hid.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/USBFS_hid.c **** + 17:.\Generated_Source\PSoC5/USBFS_hid.c **** #include "USBFS.h" + 18:.\Generated_Source\PSoC5/USBFS_hid.c **** + 19:.\Generated_Source\PSoC5/USBFS_hid.c **** #if defined(USBFS_ENABLE_HID_CLASS) + 20:.\Generated_Source\PSoC5/USBFS_hid.c **** + 21:.\Generated_Source\PSoC5/USBFS_hid.c **** #include "USBFS_pvt.h" + 22:.\Generated_Source\PSoC5/USBFS_hid.c **** #include "USBFS_hid.h" + 23:.\Generated_Source\PSoC5/USBFS_hid.c **** + 24:.\Generated_Source\PSoC5/USBFS_hid.c **** + 25:.\Generated_Source\PSoC5/USBFS_hid.c **** /*************************************** + 26:.\Generated_Source\PSoC5/USBFS_hid.c **** * HID Variables + 27:.\Generated_Source\PSoC5/USBFS_hid.c **** ***************************************/ + 28:.\Generated_Source\PSoC5/USBFS_hid.c **** + 29:.\Generated_Source\PSoC5/USBFS_hid.c **** volatile uint8 USBFS_hidProtocol[USBFS_MAX_INTERFACES_NUMBER]; /* HID device protocol status */ + 30:.\Generated_Source\PSoC5/USBFS_hid.c **** volatile uint8 USBFS_hidIdleRate[USBFS_MAX_INTERFACES_NUMBER]; /* HID device idle reload value */ + 31:.\Generated_Source\PSoC5/USBFS_hid.c **** volatile uint8 USBFS_hidIdleTimer[USBFS_MAX_INTERFACES_NUMBER]; /* HID device idle rate value */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 2 + + + 32:.\Generated_Source\PSoC5/USBFS_hid.c **** + 33:.\Generated_Source\PSoC5/USBFS_hid.c **** + 34:.\Generated_Source\PSoC5/USBFS_hid.c **** /*************************************** + 35:.\Generated_Source\PSoC5/USBFS_hid.c **** * Custom Declarations + 36:.\Generated_Source\PSoC5/USBFS_hid.c **** ***************************************/ + 37:.\Generated_Source\PSoC5/USBFS_hid.c **** + 38:.\Generated_Source\PSoC5/USBFS_hid.c **** /* `#START HID_CUSTOM_DECLARATIONS` Place your declaration here */ + 39:.\Generated_Source\PSoC5/USBFS_hid.c **** + 40:.\Generated_Source\PSoC5/USBFS_hid.c **** /* `#END` */ + 41:.\Generated_Source\PSoC5/USBFS_hid.c **** + 42:.\Generated_Source\PSoC5/USBFS_hid.c **** + 43:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 44:.\Generated_Source\PSoC5/USBFS_hid.c **** * Function Name: USBFS_UpdateHIDTimer + 45:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 46:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 47:.\Generated_Source\PSoC5/USBFS_hid.c **** * Summary: + 48:.\Generated_Source\PSoC5/USBFS_hid.c **** * Updates the HID report timer and reloads it if expired + 49:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 50:.\Generated_Source\PSoC5/USBFS_hid.c **** * Parameters: + 51:.\Generated_Source\PSoC5/USBFS_hid.c **** * interface: Interface Number. + 52:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 53:.\Generated_Source\PSoC5/USBFS_hid.c **** * Return: + 54:.\Generated_Source\PSoC5/USBFS_hid.c **** * status. + 55:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 56:.\Generated_Source\PSoC5/USBFS_hid.c **** * Reentrant: + 57:.\Generated_Source\PSoC5/USBFS_hid.c **** * No. + 58:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 59:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 60:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 USBFS_UpdateHIDTimer(uint8 interface) + 61:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 27 .loc 1 61 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 @ link register save eliminated. + 32 .LVL0: + 62:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 stat = USBFS_IDLE_TIMER_INDEFINITE; + 63:.\Generated_Source\PSoC5/USBFS_hid.c **** + 64:.\Generated_Source\PSoC5/USBFS_hid.c **** if(USBFS_hidIdleRate[interface] != 0u) + 33 .loc 1 64 0 + 34 0000 084A ldr r2, .L6 + 35 0002 135C ldrb r3, [r2, r0] @ zero_extendqisi2 + 36 0004 63B1 cbz r3, .L4 + 65:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 66:.\Generated_Source\PSoC5/USBFS_hid.c **** if(USBFS_hidIdleTimer[interface] > 0u) + 37 .loc 1 66 0 + 38 0006 084B ldr r3, .L6+4 + 39 0008 195C ldrb r1, [r3, r0] @ zero_extendqisi2 + 40 000a 29B1 cbz r1, .L3 + 67:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 68:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interface]--; + 41 .loc 1 68 0 + 42 000c 195C ldrb r1, [r3, r0] @ zero_extendqisi2 + 43 000e 4A1E subs r2, r1, #1 + 44 0010 D1B2 uxtb r1, r2 + 45 0012 1954 strb r1, [r3, r0] + 46 .LVL1: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 3 + + + 69:.\Generated_Source\PSoC5/USBFS_hid.c **** stat = USBFS_IDLE_TIMER_RUNNING; + 47 .loc 1 69 0 + 48 0014 0220 movs r0, #2 + 49 .LVL2: + 50 0016 7047 bx lr + 51 .LVL3: + 52 .L3: + 70:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 71:.\Generated_Source\PSoC5/USBFS_hid.c **** else + 72:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 73:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interface] = USBFS_hidIdleRate[interface]; + 53 .loc 1 73 0 + 54 0018 125C ldrb r2, [r2, r0] @ zero_extendqisi2 + 55 001a 1A54 strb r2, [r3, r0] + 56 .LVL4: + 74:.\Generated_Source\PSoC5/USBFS_hid.c **** stat = USBFS_IDLE_TIMER_EXPIRED; + 57 .loc 1 74 0 + 58 001c 0120 movs r0, #1 + 59 .LVL5: + 60 001e 7047 bx lr + 61 .LVL6: + 62 .L4: + 62:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 stat = USBFS_IDLE_TIMER_INDEFINITE; + 63 .loc 1 62 0 + 64 0020 1846 mov r0, r3 + 65 .LVL7: + 75:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 76:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 77:.\Generated_Source\PSoC5/USBFS_hid.c **** + 78:.\Generated_Source\PSoC5/USBFS_hid.c **** return(stat); + 79:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 66 .loc 1 79 0 + 67 0022 7047 bx lr + 68 .L7: + 69 .align 2 + 70 .L6: + 71 0024 00000000 .word USBFS_hidIdleRate + 72 0028 00000000 .word USBFS_hidIdleTimer + 73 .cfi_endproc + 74 .LFE0: + 75 .size USBFS_UpdateHIDTimer, .-USBFS_UpdateHIDTimer + 76 .section .text.USBFS_GetProtocol,"ax",%progbits + 77 .align 1 + 78 .global USBFS_GetProtocol + 79 .thumb + 80 .thumb_func + 81 .type USBFS_GetProtocol, %function + 82 USBFS_GetProtocol: + 83 .LFB1: + 80:.\Generated_Source\PSoC5/USBFS_hid.c **** + 81:.\Generated_Source\PSoC5/USBFS_hid.c **** + 82:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 83:.\Generated_Source\PSoC5/USBFS_hid.c **** * Function Name: USBFS_GetProtocol + 84:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 85:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 86:.\Generated_Source\PSoC5/USBFS_hid.c **** * Summary: + 87:.\Generated_Source\PSoC5/USBFS_hid.c **** * Returns the selected protocol value to the application + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 4 + + + 88:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 89:.\Generated_Source\PSoC5/USBFS_hid.c **** * Parameters: + 90:.\Generated_Source\PSoC5/USBFS_hid.c **** * interface: Interface Number. + 91:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 92:.\Generated_Source\PSoC5/USBFS_hid.c **** * Return: + 93:.\Generated_Source\PSoC5/USBFS_hid.c **** * Interface protocol. + 94:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 95:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 96:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 USBFS_GetProtocol(uint8 interface) + 97:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 84 .loc 1 97 0 + 85 .cfi_startproc + 86 @ args = 0, pretend = 0, frame = 0 + 87 @ frame_needed = 0, uses_anonymous_args = 0 + 88 @ link register save eliminated. + 89 .LVL8: + 98:.\Generated_Source\PSoC5/USBFS_hid.c **** return(USBFS_hidProtocol[interface]); + 90 .loc 1 98 0 + 91 0000 014B ldr r3, .L9 + 92 0002 185C ldrb r0, [r3, r0] @ zero_extendqisi2 + 93 .LVL9: + 99:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 94 .loc 1 99 0 + 95 0004 7047 bx lr + 96 .L10: + 97 0006 00BF .align 2 + 98 .L9: + 99 0008 00000000 .word USBFS_hidProtocol + 100 .cfi_endproc + 101 .LFE1: + 102 .size USBFS_GetProtocol, .-USBFS_GetProtocol + 103 .section .text.USBFS_FindHidClassDecriptor,"ax",%progbits + 104 .align 1 + 105 .global USBFS_FindHidClassDecriptor + 106 .thumb + 107 .thumb_func + 108 .type USBFS_FindHidClassDecriptor, %function + 109 USBFS_FindHidClassDecriptor: + 110 .LFB3: + 100:.\Generated_Source\PSoC5/USBFS_hid.c **** + 101:.\Generated_Source\PSoC5/USBFS_hid.c **** + 102:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 103:.\Generated_Source\PSoC5/USBFS_hid.c **** * Function Name: USBFS_DispatchHIDClassRqst + 104:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 105:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 106:.\Generated_Source\PSoC5/USBFS_hid.c **** * Summary: + 107:.\Generated_Source\PSoC5/USBFS_hid.c **** * This routine dispatches class requests + 108:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 109:.\Generated_Source\PSoC5/USBFS_hid.c **** * Parameters: + 110:.\Generated_Source\PSoC5/USBFS_hid.c **** * None. + 111:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 112:.\Generated_Source\PSoC5/USBFS_hid.c **** * Return: + 113:.\Generated_Source\PSoC5/USBFS_hid.c **** * requestHandled + 114:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 115:.\Generated_Source\PSoC5/USBFS_hid.c **** * Reentrant: + 116:.\Generated_Source\PSoC5/USBFS_hid.c **** * No. + 117:.\Generated_Source\PSoC5/USBFS_hid.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 5 + + + 118:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 119:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 USBFS_DispatchHIDClassRqst(void) + 120:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 121:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 requestHandled = USBFS_FALSE; + 122:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 interfaceNumber; + 123:.\Generated_Source\PSoC5/USBFS_hid.c **** + 124:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + 125:.\Generated_Source\PSoC5/USBFS_hid.c **** if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + 126:.\Generated_Source\PSoC5/USBFS_hid.c **** { /* Control Read */ + 127:.\Generated_Source\PSoC5/USBFS_hid.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 128:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 129:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_GET_DESCRIPTOR: + 130:.\Generated_Source\PSoC5/USBFS_hid.c **** if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_CLASS) + 131:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 132:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindHidClassDecriptor(); + 133:.\Generated_Source\PSoC5/USBFS_hid.c **** if (USBFS_currentTD.count != 0u) + 134:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 135:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlRead(); + 136:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 137:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 138:.\Generated_Source\PSoC5/USBFS_hid.c **** else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_REPORT) + 139:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 140:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindReportDescriptor(); + 141:.\Generated_Source\PSoC5/USBFS_hid.c **** if (USBFS_currentTD.count != 0u) + 142:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 143:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlRead(); + 144:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 145:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 146:.\Generated_Source\PSoC5/USBFS_hid.c **** else + 147:.\Generated_Source\PSoC5/USBFS_hid.c **** { /* requestHandled is initialezed as FALSE by default */ + 148:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 149:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 150:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_HID_GET_REPORT: + 151:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindReport(); + 152:.\Generated_Source\PSoC5/USBFS_hid.c **** if (USBFS_currentTD.count != 0u) + 153:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 154:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlRead(); + 155:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 156:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 157:.\Generated_Source\PSoC5/USBFS_hid.c **** + 158:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_HID_GET_IDLE: + 159:.\Generated_Source\PSoC5/USBFS_hid.c **** /* This function does not support multiple reports per interface*/ + 160:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Validate interfaceNumber and Report ID (should be 0) */ + 161:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 162:.\Generated_Source\PSoC5/USBFS_hid.c **** (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + 163:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 164:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 1u; + 165:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = &USBFS_hidIdleRate[interfaceNumber]; + 166:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlRead(); + 167:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 168:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 169:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_HID_GET_PROTOCOL: + 170:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Validate interfaceNumber */ + 171:.\Generated_Source\PSoC5/USBFS_hid.c **** if( interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) + 172:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 173:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 1u; + 174:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = &USBFS_hidProtocol[interfaceNumber]; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 6 + + + 175:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlRead(); + 176:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 177:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 178:.\Generated_Source\PSoC5/USBFS_hid.c **** default: /* requestHandled is initialized as FALSE by default */ + 179:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 180:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 181:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 182:.\Generated_Source\PSoC5/USBFS_hid.c **** else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == + 183:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_RQST_DIR_H2D) + 184:.\Generated_Source\PSoC5/USBFS_hid.c **** { /* Control Write */ + 185:.\Generated_Source\PSoC5/USBFS_hid.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 186:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 187:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_HID_SET_REPORT: + 188:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindReport(); + 189:.\Generated_Source\PSoC5/USBFS_hid.c **** if (USBFS_currentTD.count != 0u) + 190:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 191:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlWrite(); + 192:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 193:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 194:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_HID_SET_IDLE: + 195:.\Generated_Source\PSoC5/USBFS_hid.c **** /* This function does not support multiple reports per interface */ + 196:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Validate interfaceNumber and Report ID (should be 0) */ + 197:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 198:.\Generated_Source\PSoC5/USBFS_hid.c **** (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + 199:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 200:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleRate[interfaceNumber] = CY_GET_REG8(USBFS_wValueHi); + 201:.\Generated_Source\PSoC5/USBFS_hid.c **** /* With regards to HID spec: "7.2.4 Set_Idle Request" + 202:.\Generated_Source\PSoC5/USBFS_hid.c **** * Latency. If the current period has gone past the + 203:.\Generated_Source\PSoC5/USBFS_hid.c **** * newly proscribed time duration, then a report + 204:.\Generated_Source\PSoC5/USBFS_hid.c **** * will be generated immediately. + 205:.\Generated_Source\PSoC5/USBFS_hid.c **** */ + 206:.\Generated_Source\PSoC5/USBFS_hid.c **** if(USBFS_hidIdleRate[interfaceNumber] < + 207:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber]) + 208:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 209:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Set the timer to zero and let the UpdateHIDTimer() API return IDLE_TIMER + 210:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber] = 0u; + 211:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 212:.\Generated_Source\PSoC5/USBFS_hid.c **** /* If the new request is received within 4 milliseconds + 213:.\Generated_Source\PSoC5/USBFS_hid.c **** * (1 count) of the end of the current period, then the + 214:.\Generated_Source\PSoC5/USBFS_hid.c **** * new request will have no effect until after the report. + 215:.\Generated_Source\PSoC5/USBFS_hid.c **** */ + 216:.\Generated_Source\PSoC5/USBFS_hid.c **** else if(USBFS_hidIdleTimer[interfaceNumber] <= 1u) + 217:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 218:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Do nothing. + 219:.\Generated_Source\PSoC5/USBFS_hid.c **** * Let the UpdateHIDTimer() API continue to work and + 220:.\Generated_Source\PSoC5/USBFS_hid.c **** * return IDLE_TIMER_EXPIRED status + 221:.\Generated_Source\PSoC5/USBFS_hid.c **** */ + 222:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 223:.\Generated_Source\PSoC5/USBFS_hid.c **** else + 224:.\Generated_Source\PSoC5/USBFS_hid.c **** { /* Reload the timer*/ + 225:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber] = + 226:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleRate[interfaceNumber]; + 227:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 228:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 229:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 230:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 231:.\Generated_Source\PSoC5/USBFS_hid.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 7 + + + 232:.\Generated_Source\PSoC5/USBFS_hid.c **** case USBFS_HID_SET_PROTOCOL: + 233:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Validate interfaceNumber and protocol (must be 0 or 1) */ + 234:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 235:.\Generated_Source\PSoC5/USBFS_hid.c **** (CY_GET_REG8(USBFS_wValueLo) <= 1u) ) + 236:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 237:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidProtocol[interfaceNumber] = CY_GET_REG8(USBFS_wValueLo); + 238:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 239:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 240:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 241:.\Generated_Source\PSoC5/USBFS_hid.c **** default: /* requestHandled is initialized as FALSE by default */ + 242:.\Generated_Source\PSoC5/USBFS_hid.c **** break; + 243:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 244:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 245:.\Generated_Source\PSoC5/USBFS_hid.c **** else + 246:.\Generated_Source\PSoC5/USBFS_hid.c **** { /* requestHandled is initialized as FALSE by default */ + 247:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 248:.\Generated_Source\PSoC5/USBFS_hid.c **** + 249:.\Generated_Source\PSoC5/USBFS_hid.c **** return(requestHandled); + 250:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 251:.\Generated_Source\PSoC5/USBFS_hid.c **** + 252:.\Generated_Source\PSoC5/USBFS_hid.c **** + 253:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 254:.\Generated_Source\PSoC5/USBFS_hid.c **** * Function Name: USB_FindHidClassDescriptor + 255:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 256:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 257:.\Generated_Source\PSoC5/USBFS_hid.c **** * Summary: + 258:.\Generated_Source\PSoC5/USBFS_hid.c **** * This routine find Hid Class Descriptor pointer based on the Interface number + 259:.\Generated_Source\PSoC5/USBFS_hid.c **** * and Alternate setting then loads the currentTD structure with the address of + 260:.\Generated_Source\PSoC5/USBFS_hid.c **** * the buffer and the size. + 261:.\Generated_Source\PSoC5/USBFS_hid.c **** * The HID Class Descriptor resides inside the config descriptor. + 262:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 263:.\Generated_Source\PSoC5/USBFS_hid.c **** * Parameters: + 264:.\Generated_Source\PSoC5/USBFS_hid.c **** * None. + 265:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 266:.\Generated_Source\PSoC5/USBFS_hid.c **** * Return: + 267:.\Generated_Source\PSoC5/USBFS_hid.c **** * currentTD + 268:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 269:.\Generated_Source\PSoC5/USBFS_hid.c **** * Reentrant: + 270:.\Generated_Source\PSoC5/USBFS_hid.c **** * No. + 271:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 272:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 273:.\Generated_Source\PSoC5/USBFS_hid.c **** void USBFS_FindHidClassDecriptor(void) + 274:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 111 .loc 1 274 0 + 112 .cfi_startproc + 113 @ args = 0, pretend = 0, frame = 0 + 114 @ frame_needed = 0, uses_anonymous_args = 0 + 115 0000 08B5 push {r3, lr} + 116 .LCFI0: + 117 .cfi_def_cfa_offset 8 + 118 .cfi_offset 3, -8 + 119 .cfi_offset 14, -4 + 275:.\Generated_Source\PSoC5/USBFS_hid.c **** const T_USBFS_LUT CYCODE *pTmp; + 276:.\Generated_Source\PSoC5/USBFS_hid.c **** volatile uint8 *pDescr; + 277:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 interfaceN; + 278:.\Generated_Source\PSoC5/USBFS_hid.c **** + 279:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 8 + + + 120 .loc 1 279 0 + 121 0002 0B4B ldr r3, .L12 + 122 0004 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 123 0006 411E subs r1, r0, #1 + 124 0008 C8B2 uxtb r0, r1 + 125 000a FFF7FEFF bl USBFS_GetConfigTablePtr + 126 .LVL10: + 280:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceN = CY_GET_REG8(USBFS_wIndexLo); + 127 .loc 1 280 0 + 128 000e 094A ldr r2, .L12+4 + 281:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Third entry in the LUT starts the Interface Table pointers */ + 282:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Now use the request interface number*/ + 283:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[interfaceN + 2u]; + 284:.\Generated_Source\PSoC5/USBFS_hid.c **** /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + 285:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 286:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Now use Alternate setting number */ + 287:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + 129 .loc 1 287 0 + 130 0010 0949 ldr r1, .L12+8 + 280:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceN = CY_GET_REG8(USBFS_wIndexLo); + 131 .loc 1 280 0 + 132 0012 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 133 .LVL11: + 283:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[interfaceN + 2u]; + 134 .loc 1 283 0 + 135 0014 00EBC300 add r0, r0, r3, lsl #3 + 136 .LVL12: + 285:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 137 .loc 1 285 0 + 138 0018 4269 ldr r2, [r0, #20] + 139 .LVL13: + 140 .loc 1 287 0 + 141 001a CB5C ldrb r3, [r1, r3] @ zero_extendqisi2 + 142 .LVL14: + 143 001c 02EBC300 add r0, r2, r3, lsl #3 + 144 .LVL15: + 288:.\Generated_Source\PSoC5/USBFS_hid.c **** /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + 289:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 290:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Fifth entry in the LUT points to Hid Class Descriptor in Configuration Descriptor */ + 291:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[4u]; + 292:.\Generated_Source\PSoC5/USBFS_hid.c **** pDescr = (volatile uint8 *)pTmp->p_list; + 145 .loc 1 292 0 + 146 0020 4268 ldr r2, [r0, #4] + 293:.\Generated_Source\PSoC5/USBFS_hid.c **** /* The first byte contains the descriptor length */ + 294:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = *pDescr; + 147 .loc 1 294 0 + 148 0022 064B ldr r3, .L12+12 + 292:.\Generated_Source\PSoC5/USBFS_hid.c **** pDescr = (volatile uint8 *)pTmp->p_list; + 149 .loc 1 292 0 + 150 0024 506A ldr r0, [r2, #36] + 151 .LVL16: + 152 .loc 1 294 0 + 153 0026 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 154 0028 1980 strh r1, [r3, #0] @ movhi + 295:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = pDescr; + 155 .loc 1 295 0 + 156 002a 5860 str r0, [r3, #4] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 9 + + + 157 002c 08BD pop {r3, pc} + 158 .L13: + 159 002e 00BF .align 2 + 160 .L12: + 161 0030 00000000 .word USBFS_configuration + 162 0034 04600040 .word 1073766404 + 163 0038 00000000 .word USBFS_interfaceSetting + 164 003c 00000000 .word USBFS_currentTD + 165 .cfi_endproc + 166 .LFE3: + 167 .size USBFS_FindHidClassDecriptor, .-USBFS_FindHidClassDecriptor + 168 .section .text.USBFS_FindReportDescriptor,"ax",%progbits + 169 .align 1 + 170 .global USBFS_FindReportDescriptor + 171 .thumb + 172 .thumb_func + 173 .type USBFS_FindReportDescriptor, %function + 174 USBFS_FindReportDescriptor: + 175 .LFB4: + 296:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 297:.\Generated_Source\PSoC5/USBFS_hid.c **** + 298:.\Generated_Source\PSoC5/USBFS_hid.c **** + 299:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 300:.\Generated_Source\PSoC5/USBFS_hid.c **** * Function Name: USB_FindReportDescriptor + 301:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 302:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 303:.\Generated_Source\PSoC5/USBFS_hid.c **** * Summary: + 304:.\Generated_Source\PSoC5/USBFS_hid.c **** * This routine find Hid Report Descriptor pointer based on the Interface + 305:.\Generated_Source\PSoC5/USBFS_hid.c **** * number, then loads the currentTD structure with the address of the buffer + 306:.\Generated_Source\PSoC5/USBFS_hid.c **** * and the size. + 307:.\Generated_Source\PSoC5/USBFS_hid.c **** * Hid Report Descriptor is located after IN/OUT/FEATURE reports. + 308:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 309:.\Generated_Source\PSoC5/USBFS_hid.c **** * Parameters: + 310:.\Generated_Source\PSoC5/USBFS_hid.c **** * void + 311:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 312:.\Generated_Source\PSoC5/USBFS_hid.c **** * Return: + 313:.\Generated_Source\PSoC5/USBFS_hid.c **** * currentTD + 314:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 315:.\Generated_Source\PSoC5/USBFS_hid.c **** * Reentrant: + 316:.\Generated_Source\PSoC5/USBFS_hid.c **** * No. + 317:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 318:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 319:.\Generated_Source\PSoC5/USBFS_hid.c **** void USBFS_FindReportDescriptor(void) + 320:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 176 .loc 1 320 0 + 177 .cfi_startproc + 178 @ args = 0, pretend = 0, frame = 0 + 179 @ frame_needed = 0, uses_anonymous_args = 0 + 180 0000 08B5 push {r3, lr} + 181 .LCFI1: + 182 .cfi_def_cfa_offset 8 + 183 .cfi_offset 3, -8 + 184 .cfi_offset 14, -4 + 321:.\Generated_Source\PSoC5/USBFS_hid.c **** const T_USBFS_LUT CYCODE *pTmp; + 322:.\Generated_Source\PSoC5/USBFS_hid.c **** volatile uint8 *pDescr; + 323:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 interfaceN; + 324:.\Generated_Source\PSoC5/USBFS_hid.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 10 + + + 325:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 185 .loc 1 325 0 + 186 0002 0D4B ldr r3, .L15 + 187 0004 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 188 0006 411E subs r1, r0, #1 + 189 0008 C8B2 uxtb r0, r1 + 190 000a FFF7FEFF bl USBFS_GetConfigTablePtr + 191 .LVL17: + 326:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceN = CY_GET_REG8(USBFS_wIndexLo); + 192 .loc 1 326 0 + 193 000e 0B4A ldr r2, .L15+4 + 327:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Third entry in the LUT starts the Interface Table pointers */ + 328:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Now use the request interface number */ + 329:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[interfaceN + 2u]; + 330:.\Generated_Source\PSoC5/USBFS_hid.c **** /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + 331:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 332:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Now use Alternate setting number */ + 333:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + 194 .loc 1 333 0 + 195 0010 0B49 ldr r1, .L15+8 + 326:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceN = CY_GET_REG8(USBFS_wIndexLo); + 196 .loc 1 326 0 + 197 0012 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 198 .LVL18: + 329:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[interfaceN + 2u]; + 199 .loc 1 329 0 + 200 0014 00EBC300 add r0, r0, r3, lsl #3 + 201 .LVL19: + 331:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 202 .loc 1 331 0 + 203 0018 4269 ldr r2, [r0, #20] + 204 .LVL20: + 205 .loc 1 333 0 + 206 001a CB5C ldrb r3, [r1, r3] @ zero_extendqisi2 + 207 .LVL21: + 208 001c 02EBC300 add r0, r2, r3, lsl #3 + 209 .LVL22: + 334:.\Generated_Source\PSoC5/USBFS_hid.c **** /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + 335:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 336:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Fourth entry in the LUT starts the Hid Report Descriptor */ + 337:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[3u]; + 338:.\Generated_Source\PSoC5/USBFS_hid.c **** pDescr = (volatile uint8 *)pTmp->p_list; + 210 .loc 1 338 0 + 211 0020 4268 ldr r2, [r0, #4] + 212 0022 D369 ldr r3, [r2, #28] + 213 .LVL23: + 339:.\Generated_Source\PSoC5/USBFS_hid.c **** /* The 1st and 2nd bytes of descriptor contain its length. LSB is 1st. */ + 340:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = (((uint16)pDescr[1u] << 8u) | pDescr[0u]); + 214 .loc 1 340 0 + 215 0024 074A ldr r2, .L15+12 + 216 0026 5978 ldrb r1, [r3, #1] @ zero_extendqisi2 + 217 0028 13F8020B ldrb r0, [r3], #2 @ zero_extendqisi2 + 218 .LVL24: + 219 002c 40EA0121 orr r1, r0, r1, lsl #8 + 220 0030 1180 strh r1, [r2, #0] @ movhi + 341:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = &pDescr[2u]; + 221 .loc 1 341 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 11 + + + 222 0032 5360 str r3, [r2, #4] + 223 0034 08BD pop {r3, pc} + 224 .L16: + 225 0036 00BF .align 2 + 226 .L15: + 227 0038 00000000 .word USBFS_configuration + 228 003c 04600040 .word 1073766404 + 229 0040 00000000 .word USBFS_interfaceSetting + 230 0044 00000000 .word USBFS_currentTD + 231 .cfi_endproc + 232 .LFE4: + 233 .size USBFS_FindReportDescriptor, .-USBFS_FindReportDescriptor + 234 .section .text.USBFS_FindReport,"ax",%progbits + 235 .align 1 + 236 .global USBFS_FindReport + 237 .thumb + 238 .thumb_func + 239 .type USBFS_FindReport, %function + 240 USBFS_FindReport: + 241 .LFB5: + 342:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 343:.\Generated_Source\PSoC5/USBFS_hid.c **** + 344:.\Generated_Source\PSoC5/USBFS_hid.c **** + 345:.\Generated_Source\PSoC5/USBFS_hid.c **** /******************************************************************************* + 346:.\Generated_Source\PSoC5/USBFS_hid.c **** * Function Name: USBFS_FindReport + 347:.\Generated_Source\PSoC5/USBFS_hid.c **** ******************************************************************************** + 348:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 349:.\Generated_Source\PSoC5/USBFS_hid.c **** * Summary: + 350:.\Generated_Source\PSoC5/USBFS_hid.c **** * This routine sets up a transfer based on the Interface number, Report Type + 351:.\Generated_Source\PSoC5/USBFS_hid.c **** * and Report ID, then loads the currentTD structure with the address of the + 352:.\Generated_Source\PSoC5/USBFS_hid.c **** * buffer and the size. The caller has to decide if it is a control read or + 353:.\Generated_Source\PSoC5/USBFS_hid.c **** * control write. + 354:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 355:.\Generated_Source\PSoC5/USBFS_hid.c **** * Parameters: + 356:.\Generated_Source\PSoC5/USBFS_hid.c **** * None. + 357:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 358:.\Generated_Source\PSoC5/USBFS_hid.c **** * Return: + 359:.\Generated_Source\PSoC5/USBFS_hid.c **** * currentTD + 360:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 361:.\Generated_Source\PSoC5/USBFS_hid.c **** * Reentrant: + 362:.\Generated_Source\PSoC5/USBFS_hid.c **** * No. + 363:.\Generated_Source\PSoC5/USBFS_hid.c **** * + 364:.\Generated_Source\PSoC5/USBFS_hid.c **** *******************************************************************************/ + 365:.\Generated_Source\PSoC5/USBFS_hid.c **** void USBFS_FindReport(void) + 366:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 242 .loc 1 366 0 + 243 .cfi_startproc + 244 @ args = 0, pretend = 0, frame = 0 + 245 @ frame_needed = 0, uses_anonymous_args = 0 + 246 0000 10B5 push {r4, lr} + 247 .LCFI2: + 248 .cfi_def_cfa_offset 8 + 249 .cfi_offset 4, -8 + 250 .cfi_offset 14, -4 + 367:.\Generated_Source\PSoC5/USBFS_hid.c **** const T_USBFS_LUT CYCODE *pTmp; + 368:.\Generated_Source\PSoC5/USBFS_hid.c **** T_USBFS_TD *pTD; + 369:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 interfaceN; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 12 + + + 370:.\Generated_Source\PSoC5/USBFS_hid.c **** uint8 reportType; + 371:.\Generated_Source\PSoC5/USBFS_hid.c **** + 372:.\Generated_Source\PSoC5/USBFS_hid.c **** /* `#START HID_FINDREPORT` Place custom handling here */ + 373:.\Generated_Source\PSoC5/USBFS_hid.c **** + 374:.\Generated_Source\PSoC5/USBFS_hid.c **** /* `#END` */ + 375:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 0u; /* Init not supported condition */ + 251 .loc 1 375 0 + 252 0002 164C ldr r4, .L19 + 253 0004 0023 movs r3, #0 + 376:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 254 .loc 1 376 0 + 255 0006 1648 ldr r0, .L19+4 + 375:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 0u; /* Init not supported condition */ + 256 .loc 1 375 0 + 257 0008 2380 strh r3, [r4, #0] @ movhi + 258 .loc 1 376 0 + 259 000a 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 260 000c 4A1E subs r2, r1, #1 + 261 000e D0B2 uxtb r0, r2 + 262 0010 FFF7FEFF bl USBFS_GetConfigTablePtr + 263 .LVL25: + 377:.\Generated_Source\PSoC5/USBFS_hid.c **** reportType = CY_GET_REG8(USBFS_wValueHi); + 378:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceN = CY_GET_REG8(USBFS_wIndexLo); + 264 .loc 1 378 0 + 265 0014 1349 ldr r1, .L19+8 + 377:.\Generated_Source\PSoC5/USBFS_hid.c **** reportType = CY_GET_REG8(USBFS_wValueHi); + 266 .loc 1 377 0 + 267 0016 144B ldr r3, .L19+12 + 268 0018 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 269 .LVL26: + 270 .loc 1 378 0 + 271 001a 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 272 .LVL27: + 379:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Third entry in the LUT COnfiguration Table starts the Interface Table pointers */ + 380:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Now use the request interface number */ + 381:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[interfaceN + 2u]; + 273 .loc 1 381 0 + 274 001c 00EBC200 add r0, r0, r2, lsl #3 + 275 .LVL28: + 382:.\Generated_Source\PSoC5/USBFS_hid.c **** /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE*/ + 383:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 276 .loc 1 383 0 + 277 0020 4169 ldr r1, [r0, #20] + 278 .LVL29: + 384:.\Generated_Source\PSoC5/USBFS_hid.c **** if(interfaceN < USBFS_MAX_INTERFACES_NUMBER) + 279 .loc 1 384 0 + 280 0022 D2B9 cbnz r2, .L17 + 385:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 386:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Now use Alternate setting number */ + 387:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + 281 .loc 1 387 0 + 282 0024 114A ldr r2, .L19+16 + 283 .LVL30: + 388:.\Generated_Source\PSoC5/USBFS_hid.c **** /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + 389:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 390:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Validate reportType to comply with "7.2.1 Get_Report Request" */ + 391:.\Generated_Source\PSoC5/USBFS_hid.c **** if((reportType >= USBFS_HID_GET_REPORT_INPUT) && + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 13 + + + 284 .loc 1 391 0 + 285 0026 013B subs r3, r3, #1 + 286 .LVL31: + 387:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + 287 .loc 1 387 0 + 288 0028 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 289 .loc 1 391 0 + 290 002a DAB2 uxtb r2, r3 + 387:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + 291 .loc 1 387 0 + 292 002c 01EBC001 add r1, r1, r0, lsl #3 + 293 .LVL32: + 294 .loc 1 391 0 + 295 0030 022A cmp r2, #2 + 389:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + 296 .loc 1 389 0 + 297 0032 4968 ldr r1, [r1, #4] + 298 .LVL33: + 299 .loc 1 391 0 + 300 0034 11D8 bhi .L17 + 392:.\Generated_Source\PSoC5/USBFS_hid.c **** (reportType <= USBFS_HID_GET_REPORT_FEATURE)) + 393:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 394:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Get the entry proper TD (IN, OUT or Feature Report Table)*/ + 395:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[reportType - 1u]; + 396:.\Generated_Source\PSoC5/USBFS_hid.c **** reportType = CY_GET_REG8(USBFS_wValueLo); /* Get reportID */ + 301 .loc 1 396 0 + 302 0036 0E4A ldr r2, .L19+20 + 395:.\Generated_Source\PSoC5/USBFS_hid.c **** pTmp = &pTmp[reportType - 1u]; + 303 .loc 1 395 0 + 304 0038 01EBC300 add r0, r1, r3, lsl #3 + 305 .LVL34: + 306 .loc 1 396 0 + 307 003c 1278 ldrb r2, [r2, #0] @ zero_extendqisi2 + 308 .LVL35: + 397:.\Generated_Source\PSoC5/USBFS_hid.c **** /* Validate table support by the HID descriptor, compare table count with reportID */ + 398:.\Generated_Source\PSoC5/USBFS_hid.c **** if(pTmp->c >= reportType) + 309 .loc 1 398 0 + 310 003e 11F83310 ldrb r1, [r1, r3, lsl #3] @ zero_extendqisi2 + 311 0042 9142 cmp r1, r2 + 312 0044 09D3 bcc .L17 + 399:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 400:.\Generated_Source\PSoC5/USBFS_hid.c **** pTD = (T_USBFS_TD *) pTmp->p_list; + 401:.\Generated_Source\PSoC5/USBFS_hid.c **** pTD = &pTD[reportType]; /* select entry depend on report I + 313 .loc 1 401 0 + 314 0046 0C23 movs r3, #12 + 315 0048 5A43 muls r2, r3, r2 + 316 .LVL36: + 400:.\Generated_Source\PSoC5/USBFS_hid.c **** pTD = (T_USBFS_TD *) pTmp->p_list; + 317 .loc 1 400 0 + 318 004a 4168 ldr r1, [r0, #4] + 319 .LVL37: + 320 .loc 1 401 0 + 321 004c 8B18 adds r3, r1, r2 + 322 .LVL38: + 402:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = pTD->pData; /* Buffer pointer */ + 323 .loc 1 402 0 + 324 004e 5868 ldr r0, [r3, #4] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 14 + + + 325 .LVL39: + 403:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = pTD->count; /* Buffer Size */ + 326 .loc 1 403 0 + 327 0050 8A5A ldrh r2, [r1, r2] + 404:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pStatusBlock = pTD->pStatusBlock; + 328 .loc 1 404 0 + 329 0052 9968 ldr r1, [r3, #8] + 402:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = pTD->pData; /* Buffer pointer */ + 330 .loc 1 402 0 + 331 0054 6060 str r0, [r4, #4] + 403:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = pTD->count; /* Buffer Size */ + 332 .loc 1 403 0 + 333 0056 2280 strh r2, [r4, #0] @ movhi + 334 .loc 1 404 0 + 335 0058 A160 str r1, [r4, #8] + 336 .LVL40: + 337 .L17: + 338 005a 10BD pop {r4, pc} + 339 .L20: + 340 .align 2 + 341 .L19: + 342 005c 00000000 .word USBFS_currentTD + 343 0060 00000000 .word USBFS_configuration + 344 0064 04600040 .word 1073766404 + 345 0068 03600040 .word 1073766403 + 346 006c 00000000 .word USBFS_interfaceSetting + 347 0070 02600040 .word 1073766402 + 348 .cfi_endproc + 349 .LFE5: + 350 .size USBFS_FindReport, .-USBFS_FindReport + 351 .section .text.USBFS_DispatchHIDClassRqst,"ax",%progbits + 352 .align 1 + 353 .global USBFS_DispatchHIDClassRqst + 354 .thumb + 355 .thumb_func + 356 .type USBFS_DispatchHIDClassRqst, %function + 357 USBFS_DispatchHIDClassRqst: + 358 .LFB2: + 120:.\Generated_Source\PSoC5/USBFS_hid.c **** { + 359 .loc 1 120 0 + 360 .cfi_startproc + 361 @ args = 0, pretend = 0, frame = 0 + 362 @ frame_needed = 0, uses_anonymous_args = 0 + 363 .LVL41: + 364 0000 10B5 push {r4, lr} + 365 .LCFI3: + 366 .cfi_def_cfa_offset 8 + 367 .cfi_offset 4, -8 + 368 .cfi_offset 14, -4 + 124:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + 369 .loc 1 124 0 + 370 0002 3A4B ldr r3, .L57 + 125:.\Generated_Source\PSoC5/USBFS_hid.c **** if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + 371 .loc 1 125 0 + 372 0004 3A4A ldr r2, .L57+4 + 124:.\Generated_Source\PSoC5/USBFS_hid.c **** interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + 373 .loc 1 124 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 15 + + + 374 0006 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 375 .LVL42: + 125:.\Generated_Source\PSoC5/USBFS_hid.c **** if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + 376 .loc 1 125 0 + 377 0008 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 378 000a 0906 lsls r1, r1, #24 + 379 000c 34D5 bpl .L22 + 127:.\Generated_Source\PSoC5/USBFS_hid.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 380 .loc 1 127 0 + 381 000e 511C adds r1, r2, #1 + 382 0010 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 383 0012 5A1E subs r2, r3, #1 + 384 0014 052A cmp r2, #5 + 385 0016 67D8 bhi .L23 + 386 0018 DFE802F0 tbb [pc, r2] + 387 .L28: + 388 001c 10 .byte (.L24-.L28)/2 + 389 001d 18 .byte (.L25-.L28)/2 + 390 001e 27 .byte (.L26-.L28)/2 + 391 001f 66 .byte (.L23-.L28)/2 + 392 0020 66 .byte (.L23-.L28)/2 + 393 0021 03 .byte (.L27-.L28)/2 + 394 .align 1 + 395 .L27: + 130:.\Generated_Source\PSoC5/USBFS_hid.c **** if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_CLASS) + 396 .loc 1 130 0 + 397 0022 3448 ldr r0, .L57+8 + 398 .LVL43: + 399 0024 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 400 0026 2129 cmp r1, #33 + 401 0028 02D1 bne .L29 + 132:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindHidClassDecriptor(); + 402 .loc 1 132 0 + 403 002a FFF7FEFF bl USBFS_FindHidClassDecriptor + 404 .LVL44: + 405 002e 07E0 b .L54 + 406 .L29: + 138:.\Generated_Source\PSoC5/USBFS_hid.c **** else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_REPORT) + 407 .loc 1 138 0 + 408 0030 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 409 0032 222B cmp r3, #34 + 410 0034 58D1 bne .L23 + 140:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindReportDescriptor(); + 411 .loc 1 140 0 + 412 0036 FFF7FEFF bl USBFS_FindReportDescriptor + 413 .LVL45: + 414 003a 01E0 b .L54 + 415 .LVL46: + 416 .L24: + 151:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindReport(); + 417 .loc 1 151 0 + 418 003c FFF7FEFF bl USBFS_FindReport + 419 .LVL47: + 420 .L54: + 152:.\Generated_Source\PSoC5/USBFS_hid.c **** if (USBFS_currentTD.count != 0u) + 421 .loc 1 152 0 + 422 0040 2D49 ldr r1, .L57+12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 16 + + + 423 0042 0B88 ldrh r3, [r1, #0] + 424 0044 98B2 uxth r0, r3 + 425 0046 0028 cmp r0, #0 + 426 0048 4ED0 beq .L23 + 427 004a 0AE0 b .L51 + 428 .LVL48: + 429 .L25: + 161:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 430 .loc 1 161 0 + 431 004c 0028 cmp r0, #0 + 432 004e 4BD1 bne .L23 + 162:.\Generated_Source\PSoC5/USBFS_hid.c **** (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + 433 .loc 1 162 0 discriminator 1 + 434 0050 2A4B ldr r3, .L57+16 + 435 0052 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 436 .LVL49: + 161:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 437 .loc 1 161 0 discriminator 1 + 438 0054 0028 cmp r0, #0 + 439 0056 47D1 bne .L23 + 164:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 1u; + 440 .loc 1 164 0 + 441 0058 2748 ldr r0, .L57+12 + 442 005a 0122 movs r2, #1 + 165:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = &USBFS_hidIdleRate[interfaceNumber]; + 443 .loc 1 165 0 + 444 005c 2849 ldr r1, .L57+20 + 164:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 1u; + 445 .loc 1 164 0 + 446 005e 0280 strh r2, [r0, #0] @ movhi + 447 .L52: + 165:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = &USBFS_hidIdleRate[interfaceNumber]; + 448 .loc 1 165 0 + 449 0060 4160 str r1, [r0, #4] + 450 .L51: + 250:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 451 .loc 1 250 0 + 452 0062 BDE81040 pop {r4, lr} + 166:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlRead(); + 453 .loc 1 166 0 + 454 0066 FFF7FEBF b USBFS_InitControlRead + 455 .LVL50: + 456 .L26: + 171:.\Generated_Source\PSoC5/USBFS_hid.c **** if( interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) + 457 .loc 1 171 0 + 458 006a 0028 cmp r0, #0 + 459 006c 3CD1 bne .L23 + 173:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.count = 1u; + 460 .loc 1 173 0 + 461 006e 2248 ldr r0, .L57+12 + 462 .LVL51: + 463 0070 0122 movs r2, #1 + 464 0072 0280 strh r2, [r0, #0] @ movhi + 174:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_currentTD.pData = &USBFS_hidProtocol[interfaceNumber]; + 465 .loc 1 174 0 + 466 0074 2349 ldr r1, .L57+24 + 467 0076 F3E7 b .L52 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 17 + + + 468 .LVL52: + 469 .L22: + 182:.\Generated_Source\PSoC5/USBFS_hid.c **** else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == + 470 .loc 1 182 0 + 471 0078 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 472 007a 1A06 lsls r2, r3, #24 + 473 007c 34D4 bmi .L23 + 185:.\Generated_Source\PSoC5/USBFS_hid.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 474 .loc 1 185 0 + 475 007e 224A ldr r2, .L57+28 + 476 0080 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 477 0082 0929 cmp r1, #9 + 478 0084 05D0 beq .L32 + 479 0086 2FD3 bcc .L23 + 480 0088 0A29 cmp r1, #10 + 481 008a 0DD0 beq .L33 + 482 008c 0B29 cmp r1, #11 + 483 008e 2BD1 bne .L23 + 484 0090 22E0 b .L56 + 485 .L32: + 188:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_FindReport(); + 486 .loc 1 188 0 + 487 0092 FFF7FEFF bl USBFS_FindReport + 488 .LVL53: + 189:.\Generated_Source\PSoC5/USBFS_hid.c **** if (USBFS_currentTD.count != 0u) + 489 .loc 1 189 0 + 490 0096 184B ldr r3, .L57+12 + 491 0098 1A88 ldrh r2, [r3, #0] + 492 009a 90B2 uxth r0, r2 + 493 009c 0028 cmp r0, #0 + 494 009e 23D0 beq .L23 + 250:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 495 .loc 1 250 0 + 496 00a0 BDE81040 pop {r4, lr} + 191:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitControlWrite(); + 497 .loc 1 191 0 + 498 00a4 FFF7FEBF b USBFS_InitControlWrite + 499 .LVL54: + 500 .L33: + 197:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 501 .loc 1 197 0 + 502 00a8 F0B9 cbnz r0, .L23 + 198:.\Generated_Source\PSoC5/USBFS_hid.c **** (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + 503 .loc 1 198 0 discriminator 1 + 504 00aa 1448 ldr r0, .L57+16 + 505 .LVL55: + 506 00ac 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 197:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 507 .loc 1 197 0 discriminator 1 + 508 00ae D9B9 cbnz r1, .L23 + 200:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleRate[interfaceNumber] = CY_GET_REG8(USBFS_wValueHi); + 509 .loc 1 200 0 + 510 00b0 441C adds r4, r0, #1 + 511 00b2 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 512 00b4 124A ldr r2, .L57+20 + 513 00b6 1370 strb r3, [r2, #0] + 207:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber]) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 18 + + + 514 .loc 1 207 0 + 515 00b8 144B ldr r3, .L57+32 + 206:.\Generated_Source\PSoC5/USBFS_hid.c **** if(USBFS_hidIdleRate[interfaceNumber] < + 516 .loc 1 206 0 + 517 00ba 1478 ldrb r4, [r2, #0] @ zero_extendqisi2 + 207:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber]) + 518 .loc 1 207 0 + 519 00bc 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 206:.\Generated_Source\PSoC5/USBFS_hid.c **** if(USBFS_hidIdleRate[interfaceNumber] < + 520 .loc 1 206 0 + 521 00be 8442 cmp r4, r0 + 522 00c0 01D2 bcs .L35 + 210:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber] = 0u; + 523 .loc 1 210 0 + 524 00c2 1970 strb r1, [r3, #0] + 525 00c4 04E0 b .L36 + 526 .L35: + 216:.\Generated_Source\PSoC5/USBFS_hid.c **** else if(USBFS_hidIdleTimer[interfaceNumber] <= 1u) + 527 .loc 1 216 0 + 528 00c6 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 529 00c8 0129 cmp r1, #1 + 530 00ca 01D9 bls .L36 + 226:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleRate[interfaceNumber]; + 531 .loc 1 226 0 + 532 00cc 1278 ldrb r2, [r2, #0] @ zero_extendqisi2 + 533 .L50: + 225:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidIdleTimer[interfaceNumber] = + 534 .loc 1 225 0 + 535 00ce 1A70 strb r2, [r3, #0] + 536 .L36: + 250:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 537 .loc 1 250 0 + 538 00d0 BDE81040 pop {r4, lr} + 228:.\Generated_Source\PSoC5/USBFS_hid.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 539 .loc 1 228 0 + 540 00d4 FFF7FEBF b USBFS_InitNoDataControlTransfer + 541 .LVL56: + 542 .L56: + 234:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 543 .loc 1 234 0 + 544 00d8 30B9 cbnz r0, .L23 + 235:.\Generated_Source\PSoC5/USBFS_hid.c **** (CY_GET_REG8(USBFS_wValueLo) <= 1u) ) + 545 .loc 1 235 0 discriminator 1 + 546 00da 0848 ldr r0, .L57+16 + 547 .LVL57: + 548 00dc 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 234:.\Generated_Source\PSoC5/USBFS_hid.c **** if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + 549 .loc 1 234 0 discriminator 1 + 550 00de 012B cmp r3, #1 + 551 00e0 02D8 bhi .L23 + 237:.\Generated_Source\PSoC5/USBFS_hid.c **** USBFS_hidProtocol[interfaceNumber] = CY_GET_REG8(USBFS_wValueLo); + 552 .loc 1 237 0 + 553 00e2 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 554 00e4 074B ldr r3, .L57+24 + 555 00e6 F2E7 b .L50 + 556 .L23: + 557 .LVL58: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 19 + + + 250:.\Generated_Source\PSoC5/USBFS_hid.c **** } + 558 .loc 1 250 0 + 559 00e8 0020 movs r0, #0 + 560 00ea 10BD pop {r4, pc} + 561 .L58: + 562 .align 2 + 563 .L57: + 564 00ec 04600040 .word 1073766404 + 565 00f0 00600040 .word 1073766400 + 566 00f4 03600040 .word 1073766403 + 567 00f8 00000000 .word USBFS_currentTD + 568 00fc 02600040 .word 1073766402 + 569 0100 00000000 .word USBFS_hidIdleRate + 570 0104 00000000 .word USBFS_hidProtocol + 571 0108 01600040 .word 1073766401 + 572 010c 00000000 .word USBFS_hidIdleTimer + 573 .cfi_endproc + 574 .LFE2: + 575 .size USBFS_DispatchHIDClassRqst, .-USBFS_DispatchHIDClassRqst + 576 .comm USBFS_hidIdleTimer,1,1 + 577 .comm USBFS_hidIdleRate,1,1 + 578 .comm USBFS_hidProtocol,1,1 + 579 .text + 580 .Letext0: + 581 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 582 .file 3 ".\\Generated_Source\\PSoC5\\USBFS.h" + 583 .file 4 ".\\Generated_Source\\PSoC5\\USBFS_pvt.h" + 584 .section .debug_info,"",%progbits + 585 .Ldebug_info0: + 586 0000 2C040000 .4byte 0x42c + 587 0004 0200 .2byte 0x2 + 588 0006 00000000 .4byte .Ldebug_abbrev0 + 589 000a 04 .byte 0x4 + 590 000b 01 .uleb128 0x1 + 591 000c 84020000 .4byte .LASF48 + 592 0010 01 .byte 0x1 + 593 0011 0D000000 .4byte .LASF49 + 594 0015 CD020000 .4byte .LASF50 + 595 0019 00000000 .4byte .Ldebug_ranges0+0 + 596 001d 00000000 .4byte 0 + 597 0021 00000000 .4byte 0 + 598 0025 00000000 .4byte .Ldebug_line0 + 599 0029 02 .uleb128 0x2 + 600 002a 01 .byte 0x1 + 601 002b 06 .byte 0x6 + 602 002c 00030000 .4byte .LASF0 + 603 0030 02 .uleb128 0x2 + 604 0031 01 .byte 0x1 + 605 0032 08 .byte 0x8 + 606 0033 DE000000 .4byte .LASF1 + 607 0037 02 .uleb128 0x2 + 608 0038 02 .byte 0x2 + 609 0039 05 .byte 0x5 + 610 003a 81010000 .4byte .LASF2 + 611 003e 02 .uleb128 0x2 + 612 003f 02 .byte 0x2 + 613 0040 07 .byte 0x7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 20 + + + 614 0041 67000000 .4byte .LASF3 + 615 0045 02 .uleb128 0x2 + 616 0046 04 .byte 0x4 + 617 0047 05 .byte 0x5 + 618 0048 F7020000 .4byte .LASF4 + 619 004c 02 .uleb128 0x2 + 620 004d 04 .byte 0x4 + 621 004e 07 .byte 0x7 + 622 004f 0B010000 .4byte .LASF5 + 623 0053 02 .uleb128 0x2 + 624 0054 08 .byte 0x8 + 625 0055 05 .byte 0x5 + 626 0056 22020000 .4byte .LASF6 + 627 005a 02 .uleb128 0x2 + 628 005b 08 .byte 0x8 + 629 005c 07 .byte 0x7 + 630 005d D9010000 .4byte .LASF7 + 631 0061 03 .uleb128 0x3 + 632 0062 04 .byte 0x4 + 633 0063 05 .byte 0x5 + 634 0064 696E7400 .ascii "int\000" + 635 0068 02 .uleb128 0x2 + 636 0069 04 .byte 0x4 + 637 006a 07 .byte 0x7 + 638 006b B1010000 .4byte .LASF8 + 639 006f 04 .uleb128 0x4 + 640 0070 1D010000 .4byte .LASF9 + 641 0074 02 .byte 0x2 + 642 0075 5B .byte 0x5b + 643 0076 30000000 .4byte 0x30 + 644 007a 04 .uleb128 0x4 + 645 007b 8B010000 .4byte .LASF10 + 646 007f 02 .byte 0x2 + 647 0080 5C .byte 0x5c + 648 0081 3E000000 .4byte 0x3e + 649 0085 02 .uleb128 0x2 + 650 0086 04 .byte 0x4 + 651 0087 04 .byte 0x4 + 652 0088 B9000000 .4byte .LASF11 + 653 008c 02 .uleb128 0x2 + 654 008d 08 .byte 0x8 + 655 008e 04 .byte 0x4 + 656 008f 64010000 .4byte .LASF12 + 657 0093 02 .uleb128 0x2 + 658 0094 01 .byte 0x1 + 659 0095 08 .byte 0x8 + 660 0096 3C020000 .4byte .LASF13 + 661 009a 04 .uleb128 0x4 + 662 009b EC000000 .4byte .LASF14 + 663 009f 02 .byte 0x2 + 664 00a0 F0 .byte 0xf0 + 665 00a1 A5000000 .4byte 0xa5 + 666 00a5 05 .uleb128 0x5 + 667 00a6 6F000000 .4byte 0x6f + 668 00aa 02 .uleb128 0x2 + 669 00ab 04 .byte 0x4 + 670 00ac 07 .byte 0x7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 21 + + + 671 00ad 19020000 .4byte .LASF15 + 672 00b1 06 .uleb128 0x6 + 673 00b2 04 .byte 0x4 + 674 00b3 03 .byte 0x3 + 675 00b4 90 .byte 0x90 + 676 00b5 D6000000 .4byte 0xd6 + 677 00b9 07 .uleb128 0x7 + 678 00ba 85000000 .4byte .LASF16 + 679 00be 03 .byte 0x3 + 680 00bf 92 .byte 0x92 + 681 00c0 6F000000 .4byte 0x6f + 682 00c4 02 .byte 0x2 + 683 00c5 23 .byte 0x23 + 684 00c6 00 .uleb128 0 + 685 00c7 07 .uleb128 0x7 + 686 00c8 31030000 .4byte .LASF17 + 687 00cc 03 .byte 0x3 + 688 00cd 93 .byte 0x93 + 689 00ce 7A000000 .4byte 0x7a + 690 00d2 02 .byte 0x2 + 691 00d3 23 .byte 0x23 + 692 00d4 02 .uleb128 0x2 + 693 00d5 00 .byte 0 + 694 00d6 04 .uleb128 0x4 + 695 00d7 F1000000 .4byte .LASF18 + 696 00db 03 .byte 0x3 + 697 00dc 94 .byte 0x94 + 698 00dd B1000000 .4byte 0xb1 + 699 00e1 06 .uleb128 0x6 + 700 00e2 0C .byte 0xc + 701 00e3 03 .byte 0x3 + 702 00e4 96 .byte 0x96 + 703 00e5 14010000 .4byte 0x114 + 704 00e9 07 .uleb128 0x7 + 705 00ea 46000000 .4byte .LASF19 + 706 00ee 03 .byte 0x3 + 707 00ef 98 .byte 0x98 + 708 00f0 7A000000 .4byte 0x7a + 709 00f4 02 .byte 0x2 + 710 00f5 23 .byte 0x23 + 711 00f6 00 .uleb128 0 + 712 00f7 07 .uleb128 0x7 + 713 00f8 8C000000 .4byte .LASF20 + 714 00fc 03 .byte 0x3 + 715 00fd 99 .byte 0x99 + 716 00fe 14010000 .4byte 0x114 + 717 0102 02 .byte 0x2 + 718 0103 23 .byte 0x23 + 719 0104 04 .uleb128 0x4 + 720 0105 07 .uleb128 0x7 + 721 0106 00000000 .4byte .LASF21 + 722 010a 03 .byte 0x3 + 723 010b 9A .byte 0x9a + 724 010c 1A010000 .4byte 0x11a + 725 0110 02 .byte 0x2 + 726 0111 23 .byte 0x23 + 727 0112 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 22 + + + 728 0113 00 .byte 0 + 729 0114 08 .uleb128 0x8 + 730 0115 04 .byte 0x4 + 731 0116 A5000000 .4byte 0xa5 + 732 011a 08 .uleb128 0x8 + 733 011b 04 .byte 0x4 + 734 011c D6000000 .4byte 0xd6 + 735 0120 04 .uleb128 0x4 + 736 0121 AE000000 .4byte .LASF22 + 737 0125 03 .byte 0x3 + 738 0126 9B .byte 0x9b + 739 0127 E1000000 .4byte 0xe1 + 740 012b 06 .uleb128 0x6 + 741 012c 08 .byte 0x8 + 742 012d 03 .byte 0x3 + 743 012e 9E .byte 0x9e + 744 012f 4E010000 .4byte 0x14e + 745 0133 09 .uleb128 0x9 + 746 0134 6300 .ascii "c\000" + 747 0136 03 .byte 0x3 + 748 0137 A0 .byte 0xa0 + 749 0138 6F000000 .4byte 0x6f + 750 013c 02 .byte 0x2 + 751 013d 23 .byte 0x23 + 752 013e 00 .uleb128 0 + 753 013f 07 .uleb128 0x7 + 754 0140 F0010000 .4byte .LASF23 + 755 0144 03 .byte 0x3 + 756 0145 A1 .byte 0xa1 + 757 0146 4E010000 .4byte 0x14e + 758 014a 02 .byte 0x2 + 759 014b 23 .byte 0x23 + 760 014c 04 .uleb128 0x4 + 761 014d 00 .byte 0 + 762 014e 08 .uleb128 0x8 + 763 014f 04 .byte 0x4 + 764 0150 54010000 .4byte 0x154 + 765 0154 0A .uleb128 0xa + 766 0155 04 .uleb128 0x4 + 767 0156 30020000 .4byte .LASF24 + 768 015a 03 .byte 0x3 + 769 015b A2 .byte 0xa2 + 770 015c 2B010000 .4byte 0x12b + 771 0160 0B .uleb128 0xb + 772 0161 01 .byte 0x1 + 773 0162 6F020000 .4byte .LASF25 + 774 0166 01 .byte 0x1 + 775 0167 3C .byte 0x3c + 776 0168 01 .byte 0x1 + 777 0169 6F000000 .4byte 0x6f + 778 016d 00000000 .4byte .LFB0 + 779 0171 2C000000 .4byte .LFE0 + 780 0175 02 .byte 0x2 + 781 0176 7D .byte 0x7d + 782 0177 00 .sleb128 0 + 783 0178 01 .byte 0x1 + 784 0179 9C010000 .4byte 0x19c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 23 + + + 785 017d 0C .uleb128 0xc + 786 017e ED020000 .4byte .LASF27 + 787 0182 01 .byte 0x1 + 788 0183 3C .byte 0x3c + 789 0184 6F000000 .4byte 0x6f + 790 0188 00000000 .4byte .LLST0 + 791 018c 0D .uleb128 0xd + 792 018d 32000000 .4byte .LASF28 + 793 0191 01 .byte 0x1 + 794 0192 3E .byte 0x3e + 795 0193 6F000000 .4byte 0x6f + 796 0197 53000000 .4byte .LLST1 + 797 019b 00 .byte 0 + 798 019c 0B .uleb128 0xb + 799 019d 01 .byte 0x1 + 800 019e 07020000 .4byte .LASF26 + 801 01a2 01 .byte 0x1 + 802 01a3 60 .byte 0x60 + 803 01a4 01 .byte 0x1 + 804 01a5 6F000000 .4byte 0x6f + 805 01a9 00000000 .4byte .LFB1 + 806 01ad 0C000000 .4byte .LFE1 + 807 01b1 02 .byte 0x2 + 808 01b2 7D .byte 0x7d + 809 01b3 00 .sleb128 0 + 810 01b4 01 .byte 0x1 + 811 01b5 C9010000 .4byte 0x1c9 + 812 01b9 0C .uleb128 0xc + 813 01ba ED020000 .4byte .LASF27 + 814 01be 01 .byte 0x1 + 815 01bf 60 .byte 0x60 + 816 01c0 6F000000 .4byte 0x6f + 817 01c4 A2000000 .4byte .LLST2 + 818 01c8 00 .byte 0 + 819 01c9 0E .uleb128 0xe + 820 01ca 01 .byte 0x1 + 821 01cb 92000000 .4byte .LASF32 + 822 01cf 01 .byte 0x1 + 823 01d0 1101 .2byte 0x111 + 824 01d2 01 .byte 0x1 + 825 01d3 00000000 .4byte .LFB3 + 826 01d7 40000000 .4byte .LFE3 + 827 01db C3000000 .4byte .LLST3 + 828 01df 01 .byte 0x1 + 829 01e0 1C020000 .4byte 0x21c + 830 01e4 0F .uleb128 0xf + 831 01e5 41020000 .4byte .LASF29 + 832 01e9 01 .byte 0x1 + 833 01ea 1301 .2byte 0x113 + 834 01ec 1C020000 .4byte 0x21c + 835 01f0 E3000000 .4byte .LLST4 + 836 01f4 10 .uleb128 0x10 + 837 01f5 AA010000 .4byte .LASF30 + 838 01f9 01 .byte 0x1 + 839 01fa 1401 .2byte 0x114 + 840 01fc 14010000 .4byte 0x114 + 841 0200 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 24 + + + 842 0201 50 .byte 0x50 + 843 0202 0F .uleb128 0xf + 844 0203 7A000000 .4byte .LASF31 + 845 0207 01 .byte 0x1 + 846 0208 1501 .2byte 0x115 + 847 020a 6F000000 .4byte 0x6f + 848 020e 1E010000 .4byte .LLST5 + 849 0212 11 .uleb128 0x11 + 850 0213 0E000000 .4byte .LVL10 + 851 0217 ED030000 .4byte 0x3ed + 852 021b 00 .byte 0 + 853 021c 08 .uleb128 0x8 + 854 021d 04 .byte 0x4 + 855 021e 22020000 .4byte 0x222 + 856 0222 12 .uleb128 0x12 + 857 0223 55010000 .4byte 0x155 + 858 0227 0E .uleb128 0xe + 859 0228 01 .byte 0x1 + 860 0229 4C000000 .4byte .LASF33 + 861 022d 01 .byte 0x1 + 862 022e 3F01 .2byte 0x13f + 863 0230 01 .byte 0x1 + 864 0231 00000000 .4byte .LFB4 + 865 0235 48000000 .4byte .LFE4 + 866 0239 31010000 .4byte .LLST6 + 867 023d 01 .byte 0x1 + 868 023e 7C020000 .4byte 0x27c + 869 0242 0F .uleb128 0xf + 870 0243 41020000 .4byte .LASF29 + 871 0247 01 .byte 0x1 + 872 0248 4101 .2byte 0x141 + 873 024a 1C020000 .4byte 0x21c + 874 024e 51010000 .4byte .LLST7 + 875 0252 0F .uleb128 0xf + 876 0253 AA010000 .4byte .LASF30 + 877 0257 01 .byte 0x1 + 878 0258 4201 .2byte 0x142 + 879 025a 14010000 .4byte 0x114 + 880 025e 7F010000 .4byte .LLST8 + 881 0262 0F .uleb128 0xf + 882 0263 7A000000 .4byte .LASF31 + 883 0267 01 .byte 0x1 + 884 0268 4301 .2byte 0x143 + 885 026a 6F000000 .4byte 0x6f + 886 026e 9F010000 .4byte .LLST9 + 887 0272 11 .uleb128 0x11 + 888 0273 0E000000 .4byte .LVL17 + 889 0277 ED030000 .4byte 0x3ed + 890 027b 00 .byte 0 + 891 027c 0E .uleb128 0xe + 892 027d 01 .byte 0x1 + 893 027e 53010000 .4byte .LASF34 + 894 0282 01 .byte 0x1 + 895 0283 6D01 .2byte 0x16d + 896 0285 01 .byte 0x1 + 897 0286 00000000 .4byte .LFB5 + 898 028a 74000000 .4byte .LFE5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 25 + + + 899 028e B2010000 .4byte .LLST10 + 900 0292 01 .byte 0x1 + 901 0293 E1020000 .4byte 0x2e1 + 902 0297 0F .uleb128 0xf + 903 0298 41020000 .4byte .LASF29 + 904 029c 01 .byte 0x1 + 905 029d 6F01 .2byte 0x16f + 906 029f 1C020000 .4byte 0x21c + 907 02a3 D2010000 .4byte .LLST11 + 908 02a7 13 .uleb128 0x13 + 909 02a8 70544400 .ascii "pTD\000" + 910 02ac 01 .byte 0x1 + 911 02ad 7001 .2byte 0x170 + 912 02af E1020000 .4byte 0x2e1 + 913 02b3 FB010000 .4byte .LLST12 + 914 02b7 0F .uleb128 0xf + 915 02b8 7A000000 .4byte .LASF31 + 916 02bc 01 .byte 0x1 + 917 02bd 7101 .2byte 0x171 + 918 02bf 6F000000 .4byte 0x6f + 919 02c3 19020000 .4byte .LLST13 + 920 02c7 0F .uleb128 0xf + 921 02c8 BF000000 .4byte .LASF35 + 922 02cc 01 .byte 0x1 + 923 02cd 7201 .2byte 0x172 + 924 02cf 6F000000 .4byte 0x6f + 925 02d3 2C020000 .4byte .LLST14 + 926 02d7 11 .uleb128 0x11 + 927 02d8 14000000 .4byte .LVL25 + 928 02dc ED030000 .4byte 0x3ed + 929 02e0 00 .byte 0 + 930 02e1 08 .uleb128 0x8 + 931 02e2 04 .byte 0x4 + 932 02e3 20010000 .4byte 0x120 + 933 02e7 14 .uleb128 0x14 + 934 02e8 01 .byte 0x1 + 935 02e9 BE010000 .4byte .LASF36 + 936 02ed 01 .byte 0x1 + 937 02ee 77 .byte 0x77 + 938 02ef 01 .byte 0x1 + 939 02f0 6F000000 .4byte 0x6f + 940 02f4 00000000 .4byte .LFB2 + 941 02f8 10010000 .4byte .LFE2 + 942 02fc 57020000 .4byte .LLST15 + 943 0300 01 .byte 0x1 + 944 0301 66030000 .4byte 0x366 + 945 0305 0D .uleb128 0xd + 946 0306 37000000 .4byte .LASF37 + 947 030a 01 .byte 0x1 + 948 030b 79 .byte 0x79 + 949 030c 6F000000 .4byte 0x6f + 950 0310 77020000 .4byte .LLST16 + 951 0314 0D .uleb128 0xd + 952 0315 23010000 .4byte .LASF38 + 953 0319 01 .byte 0x1 + 954 031a 7A .byte 0x7a + 955 031b 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 26 + + + 956 031f 8B020000 .4byte .LLST17 + 957 0323 11 .uleb128 0x11 + 958 0324 2E000000 .4byte .LVL44 + 959 0328 C9010000 .4byte 0x1c9 + 960 032c 11 .uleb128 0x11 + 961 032d 3A000000 .4byte .LVL45 + 962 0331 27020000 .4byte 0x227 + 963 0335 11 .uleb128 0x11 + 964 0336 40000000 .4byte .LVL47 + 965 033a 7C020000 .4byte 0x27c + 966 033e 15 .uleb128 0x15 + 967 033f 6A000000 .4byte .LVL50 + 968 0343 01 .byte 0x1 + 969 0344 05040000 .4byte 0x405 + 970 0348 11 .uleb128 0x11 + 971 0349 96000000 .4byte .LVL53 + 972 034d 7C020000 .4byte 0x27c + 973 0351 15 .uleb128 0x15 + 974 0352 A8000000 .4byte .LVL54 + 975 0356 01 .byte 0x1 + 976 0357 13040000 .4byte 0x413 + 977 035b 15 .uleb128 0x15 + 978 035c D8000000 .4byte .LVL56 + 979 0360 01 .byte 0x1 + 980 0361 21040000 .4byte 0x421 + 981 0365 00 .byte 0 + 982 0366 16 .uleb128 0x16 + 983 0367 CA000000 .4byte .LASF39 + 984 036b 03 .byte 0x3 + 985 036c 1A02 .2byte 0x21a + 986 036e A5000000 .4byte 0xa5 + 987 0372 01 .byte 0x1 + 988 0373 01 .byte 0x1 + 989 0374 17 .uleb128 0x17 + 990 0375 6F000000 .4byte 0x6f + 991 0379 84030000 .4byte 0x384 + 992 037d 18 .uleb128 0x18 + 993 037e AA000000 .4byte 0xaa + 994 0382 00 .byte 0 + 995 0383 00 .byte 0 + 996 0384 19 .uleb128 0x19 + 997 0385 5D020000 .4byte .LASF40 + 998 0389 01 .byte 0x1 + 999 038a 1D .byte 0x1d + 1000 038b 96030000 .4byte 0x396 + 1001 038f 01 .byte 0x1 + 1002 0390 05 .byte 0x5 + 1003 0391 03 .byte 0x3 + 1004 0392 00000000 .4byte USBFS_hidProtocol + 1005 0396 05 .uleb128 0x5 + 1006 0397 74030000 .4byte 0x374 + 1007 039b 19 .uleb128 0x19 + 1008 039c 0C030000 .4byte .LASF41 + 1009 03a0 01 .byte 0x1 + 1010 03a1 1E .byte 0x1e + 1011 03a2 AD030000 .4byte 0x3ad + 1012 03a6 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 27 + + + 1013 03a7 05 .byte 0x5 + 1014 03a8 03 .byte 0x3 + 1015 03a9 00000000 .4byte USBFS_hidIdleRate + 1016 03ad 05 .uleb128 0x5 + 1017 03ae 74030000 .4byte 0x374 + 1018 03b2 19 .uleb128 0x19 + 1019 03b3 1E030000 .4byte .LASF42 + 1020 03b7 01 .byte 0x1 + 1021 03b8 1F .byte 0x1f + 1022 03b9 C4030000 .4byte 0x3c4 + 1023 03bd 01 .byte 0x1 + 1024 03be 05 .byte 0x5 + 1025 03bf 03 .byte 0x3 + 1026 03c0 00000000 .4byte USBFS_hidIdleTimer + 1027 03c4 05 .uleb128 0x5 + 1028 03c5 74030000 .4byte 0x374 + 1029 03c9 1A .uleb128 0x1a + 1030 03ca 46020000 .4byte .LASF43 + 1031 03ce 04 .byte 0x4 + 1032 03cf 39 .byte 0x39 + 1033 03d0 D6030000 .4byte 0x3d6 + 1034 03d4 01 .byte 0x1 + 1035 03d5 01 .byte 0x1 + 1036 03d6 05 .uleb128 0x5 + 1037 03d7 74030000 .4byte 0x374 + 1038 03db 1A .uleb128 0x1a + 1039 03dc F7010000 .4byte .LASF44 + 1040 03e0 04 .byte 0x4 + 1041 03e1 40 .byte 0x40 + 1042 03e2 E8030000 .4byte 0x3e8 + 1043 03e6 01 .byte 0x1 + 1044 03e7 01 .byte 0x1 + 1045 03e8 05 .uleb128 0x5 + 1046 03e9 20010000 .4byte 0x120 + 1047 03ed 1B .uleb128 0x1b + 1048 03ee 01 .byte 0x1 + 1049 03ef 92010000 .4byte .LASF51 + 1050 03f3 04 .byte 0x4 + 1051 03f4 6D .byte 0x6d + 1052 03f5 01 .byte 0x1 + 1053 03f6 1C020000 .4byte 0x21c + 1054 03fa 01 .byte 0x1 + 1055 03fb 05040000 .4byte 0x405 + 1056 03ff 1C .uleb128 0x1c + 1057 0400 6F000000 .4byte 0x6f + 1058 0404 00 .byte 0 + 1059 0405 1D .uleb128 0x1d + 1060 0406 01 .byte 0x1 + 1061 0407 6B010000 .4byte .LASF45 + 1062 040b 04 .byte 0x4 + 1063 040c 56 .byte 0x56 + 1064 040d 01 .byte 0x1 + 1065 040e 6F000000 .4byte 0x6f + 1066 0412 01 .byte 0x1 + 1067 0413 1D .uleb128 0x1d + 1068 0414 01 .byte 0x1 + 1069 0415 38030000 .4byte .LASF46 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 28 + + + 1070 0419 04 .byte 0x4 + 1071 041a 5C .byte 0x5c + 1072 041b 01 .byte 0x1 + 1073 041c 6F000000 .4byte 0x6f + 1074 0420 01 .byte 0x1 + 1075 0421 1D .uleb128 0x1d + 1076 0422 01 .byte 0x1 + 1077 0423 33010000 .4byte .LASF47 + 1078 0427 04 .byte 0x4 + 1079 0428 63 .byte 0x63 + 1080 0429 01 .byte 0x1 + 1081 042a 6F000000 .4byte 0x6f + 1082 042e 01 .byte 0x1 + 1083 042f 00 .byte 0 + 1084 .section .debug_abbrev,"",%progbits + 1085 .Ldebug_abbrev0: + 1086 0000 01 .uleb128 0x1 + 1087 0001 11 .uleb128 0x11 + 1088 0002 01 .byte 0x1 + 1089 0003 25 .uleb128 0x25 + 1090 0004 0E .uleb128 0xe + 1091 0005 13 .uleb128 0x13 + 1092 0006 0B .uleb128 0xb + 1093 0007 03 .uleb128 0x3 + 1094 0008 0E .uleb128 0xe + 1095 0009 1B .uleb128 0x1b + 1096 000a 0E .uleb128 0xe + 1097 000b 55 .uleb128 0x55 + 1098 000c 06 .uleb128 0x6 + 1099 000d 11 .uleb128 0x11 + 1100 000e 01 .uleb128 0x1 + 1101 000f 52 .uleb128 0x52 + 1102 0010 01 .uleb128 0x1 + 1103 0011 10 .uleb128 0x10 + 1104 0012 06 .uleb128 0x6 + 1105 0013 00 .byte 0 + 1106 0014 00 .byte 0 + 1107 0015 02 .uleb128 0x2 + 1108 0016 24 .uleb128 0x24 + 1109 0017 00 .byte 0 + 1110 0018 0B .uleb128 0xb + 1111 0019 0B .uleb128 0xb + 1112 001a 3E .uleb128 0x3e + 1113 001b 0B .uleb128 0xb + 1114 001c 03 .uleb128 0x3 + 1115 001d 0E .uleb128 0xe + 1116 001e 00 .byte 0 + 1117 001f 00 .byte 0 + 1118 0020 03 .uleb128 0x3 + 1119 0021 24 .uleb128 0x24 + 1120 0022 00 .byte 0 + 1121 0023 0B .uleb128 0xb + 1122 0024 0B .uleb128 0xb + 1123 0025 3E .uleb128 0x3e + 1124 0026 0B .uleb128 0xb + 1125 0027 03 .uleb128 0x3 + 1126 0028 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 29 + + + 1127 0029 00 .byte 0 + 1128 002a 00 .byte 0 + 1129 002b 04 .uleb128 0x4 + 1130 002c 16 .uleb128 0x16 + 1131 002d 00 .byte 0 + 1132 002e 03 .uleb128 0x3 + 1133 002f 0E .uleb128 0xe + 1134 0030 3A .uleb128 0x3a + 1135 0031 0B .uleb128 0xb + 1136 0032 3B .uleb128 0x3b + 1137 0033 0B .uleb128 0xb + 1138 0034 49 .uleb128 0x49 + 1139 0035 13 .uleb128 0x13 + 1140 0036 00 .byte 0 + 1141 0037 00 .byte 0 + 1142 0038 05 .uleb128 0x5 + 1143 0039 35 .uleb128 0x35 + 1144 003a 00 .byte 0 + 1145 003b 49 .uleb128 0x49 + 1146 003c 13 .uleb128 0x13 + 1147 003d 00 .byte 0 + 1148 003e 00 .byte 0 + 1149 003f 06 .uleb128 0x6 + 1150 0040 13 .uleb128 0x13 + 1151 0041 01 .byte 0x1 + 1152 0042 0B .uleb128 0xb + 1153 0043 0B .uleb128 0xb + 1154 0044 3A .uleb128 0x3a + 1155 0045 0B .uleb128 0xb + 1156 0046 3B .uleb128 0x3b + 1157 0047 0B .uleb128 0xb + 1158 0048 01 .uleb128 0x1 + 1159 0049 13 .uleb128 0x13 + 1160 004a 00 .byte 0 + 1161 004b 00 .byte 0 + 1162 004c 07 .uleb128 0x7 + 1163 004d 0D .uleb128 0xd + 1164 004e 00 .byte 0 + 1165 004f 03 .uleb128 0x3 + 1166 0050 0E .uleb128 0xe + 1167 0051 3A .uleb128 0x3a + 1168 0052 0B .uleb128 0xb + 1169 0053 3B .uleb128 0x3b + 1170 0054 0B .uleb128 0xb + 1171 0055 49 .uleb128 0x49 + 1172 0056 13 .uleb128 0x13 + 1173 0057 38 .uleb128 0x38 + 1174 0058 0A .uleb128 0xa + 1175 0059 00 .byte 0 + 1176 005a 00 .byte 0 + 1177 005b 08 .uleb128 0x8 + 1178 005c 0F .uleb128 0xf + 1179 005d 00 .byte 0 + 1180 005e 0B .uleb128 0xb + 1181 005f 0B .uleb128 0xb + 1182 0060 49 .uleb128 0x49 + 1183 0061 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 30 + + + 1184 0062 00 .byte 0 + 1185 0063 00 .byte 0 + 1186 0064 09 .uleb128 0x9 + 1187 0065 0D .uleb128 0xd + 1188 0066 00 .byte 0 + 1189 0067 03 .uleb128 0x3 + 1190 0068 08 .uleb128 0x8 + 1191 0069 3A .uleb128 0x3a + 1192 006a 0B .uleb128 0xb + 1193 006b 3B .uleb128 0x3b + 1194 006c 0B .uleb128 0xb + 1195 006d 49 .uleb128 0x49 + 1196 006e 13 .uleb128 0x13 + 1197 006f 38 .uleb128 0x38 + 1198 0070 0A .uleb128 0xa + 1199 0071 00 .byte 0 + 1200 0072 00 .byte 0 + 1201 0073 0A .uleb128 0xa + 1202 0074 26 .uleb128 0x26 + 1203 0075 00 .byte 0 + 1204 0076 00 .byte 0 + 1205 0077 00 .byte 0 + 1206 0078 0B .uleb128 0xb + 1207 0079 2E .uleb128 0x2e + 1208 007a 01 .byte 0x1 + 1209 007b 3F .uleb128 0x3f + 1210 007c 0C .uleb128 0xc + 1211 007d 03 .uleb128 0x3 + 1212 007e 0E .uleb128 0xe + 1213 007f 3A .uleb128 0x3a + 1214 0080 0B .uleb128 0xb + 1215 0081 3B .uleb128 0x3b + 1216 0082 0B .uleb128 0xb + 1217 0083 27 .uleb128 0x27 + 1218 0084 0C .uleb128 0xc + 1219 0085 49 .uleb128 0x49 + 1220 0086 13 .uleb128 0x13 + 1221 0087 11 .uleb128 0x11 + 1222 0088 01 .uleb128 0x1 + 1223 0089 12 .uleb128 0x12 + 1224 008a 01 .uleb128 0x1 + 1225 008b 40 .uleb128 0x40 + 1226 008c 0A .uleb128 0xa + 1227 008d 9742 .uleb128 0x2117 + 1228 008f 0C .uleb128 0xc + 1229 0090 01 .uleb128 0x1 + 1230 0091 13 .uleb128 0x13 + 1231 0092 00 .byte 0 + 1232 0093 00 .byte 0 + 1233 0094 0C .uleb128 0xc + 1234 0095 05 .uleb128 0x5 + 1235 0096 00 .byte 0 + 1236 0097 03 .uleb128 0x3 + 1237 0098 0E .uleb128 0xe + 1238 0099 3A .uleb128 0x3a + 1239 009a 0B .uleb128 0xb + 1240 009b 3B .uleb128 0x3b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 31 + + + 1241 009c 0B .uleb128 0xb + 1242 009d 49 .uleb128 0x49 + 1243 009e 13 .uleb128 0x13 + 1244 009f 02 .uleb128 0x2 + 1245 00a0 06 .uleb128 0x6 + 1246 00a1 00 .byte 0 + 1247 00a2 00 .byte 0 + 1248 00a3 0D .uleb128 0xd + 1249 00a4 34 .uleb128 0x34 + 1250 00a5 00 .byte 0 + 1251 00a6 03 .uleb128 0x3 + 1252 00a7 0E .uleb128 0xe + 1253 00a8 3A .uleb128 0x3a + 1254 00a9 0B .uleb128 0xb + 1255 00aa 3B .uleb128 0x3b + 1256 00ab 0B .uleb128 0xb + 1257 00ac 49 .uleb128 0x49 + 1258 00ad 13 .uleb128 0x13 + 1259 00ae 02 .uleb128 0x2 + 1260 00af 06 .uleb128 0x6 + 1261 00b0 00 .byte 0 + 1262 00b1 00 .byte 0 + 1263 00b2 0E .uleb128 0xe + 1264 00b3 2E .uleb128 0x2e + 1265 00b4 01 .byte 0x1 + 1266 00b5 3F .uleb128 0x3f + 1267 00b6 0C .uleb128 0xc + 1268 00b7 03 .uleb128 0x3 + 1269 00b8 0E .uleb128 0xe + 1270 00b9 3A .uleb128 0x3a + 1271 00ba 0B .uleb128 0xb + 1272 00bb 3B .uleb128 0x3b + 1273 00bc 05 .uleb128 0x5 + 1274 00bd 27 .uleb128 0x27 + 1275 00be 0C .uleb128 0xc + 1276 00bf 11 .uleb128 0x11 + 1277 00c0 01 .uleb128 0x1 + 1278 00c1 12 .uleb128 0x12 + 1279 00c2 01 .uleb128 0x1 + 1280 00c3 40 .uleb128 0x40 + 1281 00c4 06 .uleb128 0x6 + 1282 00c5 9742 .uleb128 0x2117 + 1283 00c7 0C .uleb128 0xc + 1284 00c8 01 .uleb128 0x1 + 1285 00c9 13 .uleb128 0x13 + 1286 00ca 00 .byte 0 + 1287 00cb 00 .byte 0 + 1288 00cc 0F .uleb128 0xf + 1289 00cd 34 .uleb128 0x34 + 1290 00ce 00 .byte 0 + 1291 00cf 03 .uleb128 0x3 + 1292 00d0 0E .uleb128 0xe + 1293 00d1 3A .uleb128 0x3a + 1294 00d2 0B .uleb128 0xb + 1295 00d3 3B .uleb128 0x3b + 1296 00d4 05 .uleb128 0x5 + 1297 00d5 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 32 + + + 1298 00d6 13 .uleb128 0x13 + 1299 00d7 02 .uleb128 0x2 + 1300 00d8 06 .uleb128 0x6 + 1301 00d9 00 .byte 0 + 1302 00da 00 .byte 0 + 1303 00db 10 .uleb128 0x10 + 1304 00dc 34 .uleb128 0x34 + 1305 00dd 00 .byte 0 + 1306 00de 03 .uleb128 0x3 + 1307 00df 0E .uleb128 0xe + 1308 00e0 3A .uleb128 0x3a + 1309 00e1 0B .uleb128 0xb + 1310 00e2 3B .uleb128 0x3b + 1311 00e3 05 .uleb128 0x5 + 1312 00e4 49 .uleb128 0x49 + 1313 00e5 13 .uleb128 0x13 + 1314 00e6 02 .uleb128 0x2 + 1315 00e7 0A .uleb128 0xa + 1316 00e8 00 .byte 0 + 1317 00e9 00 .byte 0 + 1318 00ea 11 .uleb128 0x11 + 1319 00eb 898201 .uleb128 0x4109 + 1320 00ee 00 .byte 0 + 1321 00ef 11 .uleb128 0x11 + 1322 00f0 01 .uleb128 0x1 + 1323 00f1 31 .uleb128 0x31 + 1324 00f2 13 .uleb128 0x13 + 1325 00f3 00 .byte 0 + 1326 00f4 00 .byte 0 + 1327 00f5 12 .uleb128 0x12 + 1328 00f6 26 .uleb128 0x26 + 1329 00f7 00 .byte 0 + 1330 00f8 49 .uleb128 0x49 + 1331 00f9 13 .uleb128 0x13 + 1332 00fa 00 .byte 0 + 1333 00fb 00 .byte 0 + 1334 00fc 13 .uleb128 0x13 + 1335 00fd 34 .uleb128 0x34 + 1336 00fe 00 .byte 0 + 1337 00ff 03 .uleb128 0x3 + 1338 0100 08 .uleb128 0x8 + 1339 0101 3A .uleb128 0x3a + 1340 0102 0B .uleb128 0xb + 1341 0103 3B .uleb128 0x3b + 1342 0104 05 .uleb128 0x5 + 1343 0105 49 .uleb128 0x49 + 1344 0106 13 .uleb128 0x13 + 1345 0107 02 .uleb128 0x2 + 1346 0108 06 .uleb128 0x6 + 1347 0109 00 .byte 0 + 1348 010a 00 .byte 0 + 1349 010b 14 .uleb128 0x14 + 1350 010c 2E .uleb128 0x2e + 1351 010d 01 .byte 0x1 + 1352 010e 3F .uleb128 0x3f + 1353 010f 0C .uleb128 0xc + 1354 0110 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 33 + + + 1355 0111 0E .uleb128 0xe + 1356 0112 3A .uleb128 0x3a + 1357 0113 0B .uleb128 0xb + 1358 0114 3B .uleb128 0x3b + 1359 0115 0B .uleb128 0xb + 1360 0116 27 .uleb128 0x27 + 1361 0117 0C .uleb128 0xc + 1362 0118 49 .uleb128 0x49 + 1363 0119 13 .uleb128 0x13 + 1364 011a 11 .uleb128 0x11 + 1365 011b 01 .uleb128 0x1 + 1366 011c 12 .uleb128 0x12 + 1367 011d 01 .uleb128 0x1 + 1368 011e 40 .uleb128 0x40 + 1369 011f 06 .uleb128 0x6 + 1370 0120 9742 .uleb128 0x2117 + 1371 0122 0C .uleb128 0xc + 1372 0123 01 .uleb128 0x1 + 1373 0124 13 .uleb128 0x13 + 1374 0125 00 .byte 0 + 1375 0126 00 .byte 0 + 1376 0127 15 .uleb128 0x15 + 1377 0128 898201 .uleb128 0x4109 + 1378 012b 00 .byte 0 + 1379 012c 11 .uleb128 0x11 + 1380 012d 01 .uleb128 0x1 + 1381 012e 9542 .uleb128 0x2115 + 1382 0130 0C .uleb128 0xc + 1383 0131 31 .uleb128 0x31 + 1384 0132 13 .uleb128 0x13 + 1385 0133 00 .byte 0 + 1386 0134 00 .byte 0 + 1387 0135 16 .uleb128 0x16 + 1388 0136 34 .uleb128 0x34 + 1389 0137 00 .byte 0 + 1390 0138 03 .uleb128 0x3 + 1391 0139 0E .uleb128 0xe + 1392 013a 3A .uleb128 0x3a + 1393 013b 0B .uleb128 0xb + 1394 013c 3B .uleb128 0x3b + 1395 013d 05 .uleb128 0x5 + 1396 013e 49 .uleb128 0x49 + 1397 013f 13 .uleb128 0x13 + 1398 0140 3F .uleb128 0x3f + 1399 0141 0C .uleb128 0xc + 1400 0142 3C .uleb128 0x3c + 1401 0143 0C .uleb128 0xc + 1402 0144 00 .byte 0 + 1403 0145 00 .byte 0 + 1404 0146 17 .uleb128 0x17 + 1405 0147 01 .uleb128 0x1 + 1406 0148 01 .byte 0x1 + 1407 0149 49 .uleb128 0x49 + 1408 014a 13 .uleb128 0x13 + 1409 014b 01 .uleb128 0x1 + 1410 014c 13 .uleb128 0x13 + 1411 014d 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 34 + + + 1412 014e 00 .byte 0 + 1413 014f 18 .uleb128 0x18 + 1414 0150 21 .uleb128 0x21 + 1415 0151 00 .byte 0 + 1416 0152 49 .uleb128 0x49 + 1417 0153 13 .uleb128 0x13 + 1418 0154 2F .uleb128 0x2f + 1419 0155 0B .uleb128 0xb + 1420 0156 00 .byte 0 + 1421 0157 00 .byte 0 + 1422 0158 19 .uleb128 0x19 + 1423 0159 34 .uleb128 0x34 + 1424 015a 00 .byte 0 + 1425 015b 03 .uleb128 0x3 + 1426 015c 0E .uleb128 0xe + 1427 015d 3A .uleb128 0x3a + 1428 015e 0B .uleb128 0xb + 1429 015f 3B .uleb128 0x3b + 1430 0160 0B .uleb128 0xb + 1431 0161 49 .uleb128 0x49 + 1432 0162 13 .uleb128 0x13 + 1433 0163 3F .uleb128 0x3f + 1434 0164 0C .uleb128 0xc + 1435 0165 02 .uleb128 0x2 + 1436 0166 0A .uleb128 0xa + 1437 0167 00 .byte 0 + 1438 0168 00 .byte 0 + 1439 0169 1A .uleb128 0x1a + 1440 016a 34 .uleb128 0x34 + 1441 016b 00 .byte 0 + 1442 016c 03 .uleb128 0x3 + 1443 016d 0E .uleb128 0xe + 1444 016e 3A .uleb128 0x3a + 1445 016f 0B .uleb128 0xb + 1446 0170 3B .uleb128 0x3b + 1447 0171 0B .uleb128 0xb + 1448 0172 49 .uleb128 0x49 + 1449 0173 13 .uleb128 0x13 + 1450 0174 3F .uleb128 0x3f + 1451 0175 0C .uleb128 0xc + 1452 0176 3C .uleb128 0x3c + 1453 0177 0C .uleb128 0xc + 1454 0178 00 .byte 0 + 1455 0179 00 .byte 0 + 1456 017a 1B .uleb128 0x1b + 1457 017b 2E .uleb128 0x2e + 1458 017c 01 .byte 0x1 + 1459 017d 3F .uleb128 0x3f + 1460 017e 0C .uleb128 0xc + 1461 017f 03 .uleb128 0x3 + 1462 0180 0E .uleb128 0xe + 1463 0181 3A .uleb128 0x3a + 1464 0182 0B .uleb128 0xb + 1465 0183 3B .uleb128 0x3b + 1466 0184 0B .uleb128 0xb + 1467 0185 27 .uleb128 0x27 + 1468 0186 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 35 + + + 1469 0187 49 .uleb128 0x49 + 1470 0188 13 .uleb128 0x13 + 1471 0189 3C .uleb128 0x3c + 1472 018a 0C .uleb128 0xc + 1473 018b 01 .uleb128 0x1 + 1474 018c 13 .uleb128 0x13 + 1475 018d 00 .byte 0 + 1476 018e 00 .byte 0 + 1477 018f 1C .uleb128 0x1c + 1478 0190 05 .uleb128 0x5 + 1479 0191 00 .byte 0 + 1480 0192 49 .uleb128 0x49 + 1481 0193 13 .uleb128 0x13 + 1482 0194 00 .byte 0 + 1483 0195 00 .byte 0 + 1484 0196 1D .uleb128 0x1d + 1485 0197 2E .uleb128 0x2e + 1486 0198 00 .byte 0 + 1487 0199 3F .uleb128 0x3f + 1488 019a 0C .uleb128 0xc + 1489 019b 03 .uleb128 0x3 + 1490 019c 0E .uleb128 0xe + 1491 019d 3A .uleb128 0x3a + 1492 019e 0B .uleb128 0xb + 1493 019f 3B .uleb128 0x3b + 1494 01a0 0B .uleb128 0xb + 1495 01a1 27 .uleb128 0x27 + 1496 01a2 0C .uleb128 0xc + 1497 01a3 49 .uleb128 0x49 + 1498 01a4 13 .uleb128 0x13 + 1499 01a5 3C .uleb128 0x3c + 1500 01a6 0C .uleb128 0xc + 1501 01a7 00 .byte 0 + 1502 01a8 00 .byte 0 + 1503 01a9 00 .byte 0 + 1504 .section .debug_loc,"",%progbits + 1505 .Ldebug_loc0: + 1506 .LLST0: + 1507 0000 00000000 .4byte .LVL0 + 1508 0004 16000000 .4byte .LVL2 + 1509 0008 0100 .2byte 0x1 + 1510 000a 50 .byte 0x50 + 1511 000b 16000000 .4byte .LVL2 + 1512 000f 18000000 .4byte .LVL3 + 1513 0013 0400 .2byte 0x4 + 1514 0015 F3 .byte 0xf3 + 1515 0016 01 .uleb128 0x1 + 1516 0017 50 .byte 0x50 + 1517 0018 9F .byte 0x9f + 1518 0019 18000000 .4byte .LVL3 + 1519 001d 1E000000 .4byte .LVL5 + 1520 0021 0100 .2byte 0x1 + 1521 0023 50 .byte 0x50 + 1522 0024 1E000000 .4byte .LVL5 + 1523 0028 20000000 .4byte .LVL6 + 1524 002c 0400 .2byte 0x4 + 1525 002e F3 .byte 0xf3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 36 + + + 1526 002f 01 .uleb128 0x1 + 1527 0030 50 .byte 0x50 + 1528 0031 9F .byte 0x9f + 1529 0032 20000000 .4byte .LVL6 + 1530 0036 22000000 .4byte .LVL7 + 1531 003a 0100 .2byte 0x1 + 1532 003c 50 .byte 0x50 + 1533 003d 22000000 .4byte .LVL7 + 1534 0041 2C000000 .4byte .LFE0 + 1535 0045 0400 .2byte 0x4 + 1536 0047 F3 .byte 0xf3 + 1537 0048 01 .uleb128 0x1 + 1538 0049 50 .byte 0x50 + 1539 004a 9F .byte 0x9f + 1540 004b 00000000 .4byte 0 + 1541 004f 00000000 .4byte 0 + 1542 .LLST1: + 1543 0053 00000000 .4byte .LVL0 + 1544 0057 14000000 .4byte .LVL1 + 1545 005b 0200 .2byte 0x2 + 1546 005d 30 .byte 0x30 + 1547 005e 9F .byte 0x9f + 1548 005f 14000000 .4byte .LVL1 + 1549 0063 18000000 .4byte .LVL3 + 1550 0067 0200 .2byte 0x2 + 1551 0069 32 .byte 0x32 + 1552 006a 9F .byte 0x9f + 1553 006b 18000000 .4byte .LVL3 + 1554 006f 1C000000 .4byte .LVL4 + 1555 0073 0200 .2byte 0x2 + 1556 0075 30 .byte 0x30 + 1557 0076 9F .byte 0x9f + 1558 0077 1C000000 .4byte .LVL4 + 1559 007b 20000000 .4byte .LVL6 + 1560 007f 0200 .2byte 0x2 + 1561 0081 31 .byte 0x31 + 1562 0082 9F .byte 0x9f + 1563 0083 20000000 .4byte .LVL6 + 1564 0087 22000000 .4byte .LVL7 + 1565 008b 0200 .2byte 0x2 + 1566 008d 30 .byte 0x30 + 1567 008e 9F .byte 0x9f + 1568 008f 22000000 .4byte .LVL7 + 1569 0093 2C000000 .4byte .LFE0 + 1570 0097 0100 .2byte 0x1 + 1571 0099 50 .byte 0x50 + 1572 009a 00000000 .4byte 0 + 1573 009e 00000000 .4byte 0 + 1574 .LLST2: + 1575 00a2 00000000 .4byte .LVL8 + 1576 00a6 04000000 .4byte .LVL9 + 1577 00aa 0100 .2byte 0x1 + 1578 00ac 50 .byte 0x50 + 1579 00ad 04000000 .4byte .LVL9 + 1580 00b1 0C000000 .4byte .LFE1 + 1581 00b5 0400 .2byte 0x4 + 1582 00b7 F3 .byte 0xf3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 37 + + + 1583 00b8 01 .uleb128 0x1 + 1584 00b9 50 .byte 0x50 + 1585 00ba 9F .byte 0x9f + 1586 00bb 00000000 .4byte 0 + 1587 00bf 00000000 .4byte 0 + 1588 .LLST3: + 1589 00c3 00000000 .4byte .LFB3 + 1590 00c7 02000000 .4byte .LCFI0 + 1591 00cb 0200 .2byte 0x2 + 1592 00cd 7D .byte 0x7d + 1593 00ce 00 .sleb128 0 + 1594 00cf 02000000 .4byte .LCFI0 + 1595 00d3 40000000 .4byte .LFE3 + 1596 00d7 0200 .2byte 0x2 + 1597 00d9 7D .byte 0x7d + 1598 00da 08 .sleb128 8 + 1599 00db 00000000 .4byte 0 + 1600 00df 00000000 .4byte 0 + 1601 .LLST4: + 1602 00e3 0E000000 .4byte .LVL10 + 1603 00e7 18000000 .4byte .LVL12 + 1604 00eb 0100 .2byte 0x1 + 1605 00ed 50 .byte 0x50 + 1606 00ee 1A000000 .4byte .LVL13 + 1607 00f2 20000000 .4byte .LVL15 + 1608 00f6 0100 .2byte 0x1 + 1609 00f8 52 .byte 0x52 + 1610 00f9 20000000 .4byte .LVL15 + 1611 00fd 26000000 .4byte .LVL16 + 1612 0101 0600 .2byte 0x6 + 1613 0103 70 .byte 0x70 + 1614 0104 04 .sleb128 4 + 1615 0105 06 .byte 0x6 + 1616 0106 23 .byte 0x23 + 1617 0107 20 .uleb128 0x20 + 1618 0108 9F .byte 0x9f + 1619 0109 26000000 .4byte .LVL16 + 1620 010d 40000000 .4byte .LFE3 + 1621 0111 0300 .2byte 0x3 + 1622 0113 72 .byte 0x72 + 1623 0114 20 .sleb128 32 + 1624 0115 9F .byte 0x9f + 1625 0116 00000000 .4byte 0 + 1626 011a 00000000 .4byte 0 + 1627 .LLST5: + 1628 011e 14000000 .4byte .LVL11 + 1629 0122 1C000000 .4byte .LVL14 + 1630 0126 0100 .2byte 0x1 + 1631 0128 53 .byte 0x53 + 1632 0129 00000000 .4byte 0 + 1633 012d 00000000 .4byte 0 + 1634 .LLST6: + 1635 0131 00000000 .4byte .LFB4 + 1636 0135 02000000 .4byte .LCFI1 + 1637 0139 0200 .2byte 0x2 + 1638 013b 7D .byte 0x7d + 1639 013c 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 38 + + + 1640 013d 02000000 .4byte .LCFI1 + 1641 0141 48000000 .4byte .LFE4 + 1642 0145 0200 .2byte 0x2 + 1643 0147 7D .byte 0x7d + 1644 0148 08 .sleb128 8 + 1645 0149 00000000 .4byte 0 + 1646 014d 00000000 .4byte 0 + 1647 .LLST7: + 1648 0151 0E000000 .4byte .LVL17 + 1649 0155 18000000 .4byte .LVL19 + 1650 0159 0100 .2byte 0x1 + 1651 015b 50 .byte 0x50 + 1652 015c 1A000000 .4byte .LVL20 + 1653 0160 20000000 .4byte .LVL22 + 1654 0164 0100 .2byte 0x1 + 1655 0166 52 .byte 0x52 + 1656 0167 20000000 .4byte .LVL22 + 1657 016b 2C000000 .4byte .LVL24 + 1658 016f 0600 .2byte 0x6 + 1659 0171 70 .byte 0x70 + 1660 0172 04 .sleb128 4 + 1661 0173 06 .byte 0x6 + 1662 0174 23 .byte 0x23 + 1663 0175 18 .uleb128 0x18 + 1664 0176 9F .byte 0x9f + 1665 0177 00000000 .4byte 0 + 1666 017b 00000000 .4byte 0 + 1667 .LLST8: + 1668 017f 24000000 .4byte .LVL23 + 1669 0183 2C000000 .4byte .LVL24 + 1670 0187 0100 .2byte 0x1 + 1671 0189 53 .byte 0x53 + 1672 018a 2C000000 .4byte .LVL24 + 1673 018e 48000000 .4byte .LFE4 + 1674 0192 0300 .2byte 0x3 + 1675 0194 73 .byte 0x73 + 1676 0195 7E .sleb128 -2 + 1677 0196 9F .byte 0x9f + 1678 0197 00000000 .4byte 0 + 1679 019b 00000000 .4byte 0 + 1680 .LLST9: + 1681 019f 14000000 .4byte .LVL18 + 1682 01a3 1C000000 .4byte .LVL21 + 1683 01a7 0100 .2byte 0x1 + 1684 01a9 53 .byte 0x53 + 1685 01aa 00000000 .4byte 0 + 1686 01ae 00000000 .4byte 0 + 1687 .LLST10: + 1688 01b2 00000000 .4byte .LFB5 + 1689 01b6 02000000 .4byte .LCFI2 + 1690 01ba 0200 .2byte 0x2 + 1691 01bc 7D .byte 0x7d + 1692 01bd 00 .sleb128 0 + 1693 01be 02000000 .4byte .LCFI2 + 1694 01c2 74000000 .4byte .LFE5 + 1695 01c6 0200 .2byte 0x2 + 1696 01c8 7D .byte 0x7d + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 39 + + + 1697 01c9 08 .sleb128 8 + 1698 01ca 00000000 .4byte 0 + 1699 01ce 00000000 .4byte 0 + 1700 .LLST11: + 1701 01d2 14000000 .4byte .LVL25 + 1702 01d6 20000000 .4byte .LVL28 + 1703 01da 0100 .2byte 0x1 + 1704 01dc 50 .byte 0x50 + 1705 01dd 22000000 .4byte .LVL29 + 1706 01e1 3C000000 .4byte .LVL34 + 1707 01e5 0100 .2byte 0x1 + 1708 01e7 51 .byte 0x51 + 1709 01e8 3C000000 .4byte .LVL34 + 1710 01ec 50000000 .4byte .LVL39 + 1711 01f0 0100 .2byte 0x1 + 1712 01f2 50 .byte 0x50 + 1713 01f3 00000000 .4byte 0 + 1714 01f7 00000000 .4byte 0 + 1715 .LLST12: + 1716 01fb 4C000000 .4byte .LVL37 + 1717 01ff 4E000000 .4byte .LVL38 + 1718 0203 0100 .2byte 0x1 + 1719 0205 51 .byte 0x51 + 1720 0206 4E000000 .4byte .LVL38 + 1721 020a 5A000000 .4byte .LVL40 + 1722 020e 0100 .2byte 0x1 + 1723 0210 53 .byte 0x53 + 1724 0211 00000000 .4byte 0 + 1725 0215 00000000 .4byte 0 + 1726 .LLST13: + 1727 0219 1C000000 .4byte .LVL27 + 1728 021d 26000000 .4byte .LVL30 + 1729 0221 0100 .2byte 0x1 + 1730 0223 52 .byte 0x52 + 1731 0224 00000000 .4byte 0 + 1732 0228 00000000 .4byte 0 + 1733 .LLST14: + 1734 022c 1A000000 .4byte .LVL26 + 1735 0230 28000000 .4byte .LVL31 + 1736 0234 0100 .2byte 0x1 + 1737 0236 53 .byte 0x53 + 1738 0237 28000000 .4byte .LVL31 + 1739 023b 3E000000 .4byte .LVL35 + 1740 023f 0300 .2byte 0x3 + 1741 0241 73 .byte 0x73 + 1742 0242 01 .sleb128 1 + 1743 0243 9F .byte 0x9f + 1744 0244 3E000000 .4byte .LVL35 + 1745 0248 4A000000 .4byte .LVL36 + 1746 024c 0100 .2byte 0x1 + 1747 024e 52 .byte 0x52 + 1748 024f 00000000 .4byte 0 + 1749 0253 00000000 .4byte 0 + 1750 .LLST15: + 1751 0257 00000000 .4byte .LFB2 + 1752 025b 02000000 .4byte .LCFI3 + 1753 025f 0200 .2byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 40 + + + 1754 0261 7D .byte 0x7d + 1755 0262 00 .sleb128 0 + 1756 0263 02000000 .4byte .LCFI3 + 1757 0267 10010000 .4byte .LFE2 + 1758 026b 0200 .2byte 0x2 + 1759 026d 7D .byte 0x7d + 1760 026e 08 .sleb128 8 + 1761 026f 00000000 .4byte 0 + 1762 0273 00000000 .4byte 0 + 1763 .LLST16: + 1764 0277 00000000 .4byte .LVL41 + 1765 027b E8000000 .4byte .LVL58 + 1766 027f 0200 .2byte 0x2 + 1767 0281 30 .byte 0x30 + 1768 0282 9F .byte 0x9f + 1769 0283 00000000 .4byte 0 + 1770 0287 00000000 .4byte 0 + 1771 .LLST17: + 1772 028b 08000000 .4byte .LVL42 + 1773 028f 24000000 .4byte .LVL43 + 1774 0293 0100 .2byte 0x1 + 1775 0295 50 .byte 0x50 + 1776 0296 3C000000 .4byte .LVL46 + 1777 029a 3F000000 .4byte .LVL47-1 + 1778 029e 0100 .2byte 0x1 + 1779 02a0 50 .byte 0x50 + 1780 02a1 4C000000 .4byte .LVL48 + 1781 02a5 54000000 .4byte .LVL49 + 1782 02a9 0100 .2byte 0x1 + 1783 02ab 50 .byte 0x50 + 1784 02ac 6A000000 .4byte .LVL50 + 1785 02b0 70000000 .4byte .LVL51 + 1786 02b4 0100 .2byte 0x1 + 1787 02b6 50 .byte 0x50 + 1788 02b7 78000000 .4byte .LVL52 + 1789 02bb 95000000 .4byte .LVL53-1 + 1790 02bf 0100 .2byte 0x1 + 1791 02c1 50 .byte 0x50 + 1792 02c2 A8000000 .4byte .LVL54 + 1793 02c6 AC000000 .4byte .LVL55 + 1794 02ca 0100 .2byte 0x1 + 1795 02cc 50 .byte 0x50 + 1796 02cd D8000000 .4byte .LVL56 + 1797 02d1 DC000000 .4byte .LVL57 + 1798 02d5 0100 .2byte 0x1 + 1799 02d7 50 .byte 0x50 + 1800 02d8 00000000 .4byte 0 + 1801 02dc 00000000 .4byte 0 + 1802 .section .debug_aranges,"",%progbits + 1803 0000 44000000 .4byte 0x44 + 1804 0004 0200 .2byte 0x2 + 1805 0006 00000000 .4byte .Ldebug_info0 + 1806 000a 04 .byte 0x4 + 1807 000b 00 .byte 0 + 1808 000c 0000 .2byte 0 + 1809 000e 0000 .2byte 0 + 1810 0010 00000000 .4byte .LFB0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 41 + + + 1811 0014 2C000000 .4byte .LFE0-.LFB0 + 1812 0018 00000000 .4byte .LFB1 + 1813 001c 0C000000 .4byte .LFE1-.LFB1 + 1814 0020 00000000 .4byte .LFB3 + 1815 0024 40000000 .4byte .LFE3-.LFB3 + 1816 0028 00000000 .4byte .LFB4 + 1817 002c 48000000 .4byte .LFE4-.LFB4 + 1818 0030 00000000 .4byte .LFB5 + 1819 0034 74000000 .4byte .LFE5-.LFB5 + 1820 0038 00000000 .4byte .LFB2 + 1821 003c 10010000 .4byte .LFE2-.LFB2 + 1822 0040 00000000 .4byte 0 + 1823 0044 00000000 .4byte 0 + 1824 .section .debug_ranges,"",%progbits + 1825 .Ldebug_ranges0: + 1826 0000 00000000 .4byte .LFB0 + 1827 0004 2C000000 .4byte .LFE0 + 1828 0008 00000000 .4byte .LFB1 + 1829 000c 0C000000 .4byte .LFE1 + 1830 0010 00000000 .4byte .LFB3 + 1831 0014 40000000 .4byte .LFE3 + 1832 0018 00000000 .4byte .LFB4 + 1833 001c 48000000 .4byte .LFE4 + 1834 0020 00000000 .4byte .LFB5 + 1835 0024 74000000 .4byte .LFE5 + 1836 0028 00000000 .4byte .LFB2 + 1837 002c 10010000 .4byte .LFE2 + 1838 0030 00000000 .4byte 0 + 1839 0034 00000000 .4byte 0 + 1840 .section .debug_line,"",%progbits + 1841 .Ldebug_line0: + 1842 0000 6E010000 .section .debug_str,"MS",%progbits,1 + 1842 02006200 + 1842 00000201 + 1842 FB0E0D00 + 1842 01010101 + 1843 .LASF21: + 1844 0000 70537461 .ascii "pStatusBlock\000" + 1844 74757342 + 1844 6C6F636B + 1844 00 + 1845 .LASF49: + 1846 000d 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_hid.c\000" + 1846 6E657261 + 1846 7465645F + 1846 536F7572 + 1846 63655C50 + 1847 .LASF28: + 1848 0032 73746174 .ascii "stat\000" + 1848 00 + 1849 .LASF37: + 1850 0037 72657175 .ascii "requestHandled\000" + 1850 65737448 + 1850 616E646C + 1850 656400 + 1851 .LASF19: + 1852 0046 636F756E .ascii "count\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 42 + + + 1852 7400 + 1853 .LASF33: + 1854 004c 55534246 .ascii "USBFS_FindReportDescriptor\000" + 1854 535F4669 + 1854 6E645265 + 1854 706F7274 + 1854 44657363 + 1855 .LASF3: + 1856 0067 73686F72 .ascii "short unsigned int\000" + 1856 7420756E + 1856 7369676E + 1856 65642069 + 1856 6E7400 + 1857 .LASF31: + 1858 007a 696E7465 .ascii "interfaceN\000" + 1858 72666163 + 1858 654E00 + 1859 .LASF16: + 1860 0085 73746174 .ascii "status\000" + 1860 757300 + 1861 .LASF20: + 1862 008c 70446174 .ascii "pData\000" + 1862 6100 + 1863 .LASF32: + 1864 0092 55534246 .ascii "USBFS_FindHidClassDecriptor\000" + 1864 535F4669 + 1864 6E644869 + 1864 64436C61 + 1864 73734465 + 1865 .LASF22: + 1866 00ae 545F5553 .ascii "T_USBFS_TD\000" + 1866 4246535F + 1866 544400 + 1867 .LASF11: + 1868 00b9 666C6F61 .ascii "float\000" + 1868 7400 + 1869 .LASF35: + 1870 00bf 7265706F .ascii "reportType\000" + 1870 72745479 + 1870 706500 + 1871 .LASF39: + 1872 00ca 55534246 .ascii "USBFS_configuration\000" + 1872 535F636F + 1872 6E666967 + 1872 75726174 + 1872 696F6E00 + 1873 .LASF1: + 1874 00de 756E7369 .ascii "unsigned char\000" + 1874 676E6564 + 1874 20636861 + 1874 7200 + 1875 .LASF14: + 1876 00ec 72656738 .ascii "reg8\000" + 1876 00 + 1877 .LASF18: + 1878 00f1 545F5553 .ascii "T_USBFS_XFER_STATUS_BLOCK\000" + 1878 4246535F + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 43 + + + 1878 58464552 + 1878 5F535441 + 1878 5455535F + 1879 .LASF5: + 1880 010b 6C6F6E67 .ascii "long unsigned int\000" + 1880 20756E73 + 1880 69676E65 + 1880 6420696E + 1880 7400 + 1881 .LASF9: + 1882 011d 75696E74 .ascii "uint8\000" + 1882 3800 + 1883 .LASF38: + 1884 0123 696E7465 .ascii "interfaceNumber\000" + 1884 72666163 + 1884 654E756D + 1884 62657200 + 1885 .LASF47: + 1886 0133 55534246 .ascii "USBFS_InitNoDataControlTransfer\000" + 1886 535F496E + 1886 69744E6F + 1886 44617461 + 1886 436F6E74 + 1887 .LASF34: + 1888 0153 55534246 .ascii "USBFS_FindReport\000" + 1888 535F4669 + 1888 6E645265 + 1888 706F7274 + 1888 00 + 1889 .LASF12: + 1890 0164 646F7562 .ascii "double\000" + 1890 6C6500 + 1891 .LASF45: + 1892 016b 55534246 .ascii "USBFS_InitControlRead\000" + 1892 535F496E + 1892 6974436F + 1892 6E74726F + 1892 6C526561 + 1893 .LASF2: + 1894 0181 73686F72 .ascii "short int\000" + 1894 7420696E + 1894 7400 + 1895 .LASF10: + 1896 018b 75696E74 .ascii "uint16\000" + 1896 313600 + 1897 .LASF51: + 1898 0192 55534246 .ascii "USBFS_GetConfigTablePtr\000" + 1898 535F4765 + 1898 74436F6E + 1898 66696754 + 1898 61626C65 + 1899 .LASF30: + 1900 01aa 70446573 .ascii "pDescr\000" + 1900 637200 + 1901 .LASF8: + 1902 01b1 756E7369 .ascii "unsigned int\000" + 1902 676E6564 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 44 + + + 1902 20696E74 + 1902 00 + 1903 .LASF36: + 1904 01be 55534246 .ascii "USBFS_DispatchHIDClassRqst\000" + 1904 535F4469 + 1904 73706174 + 1904 63684849 + 1904 44436C61 + 1905 .LASF7: + 1906 01d9 6C6F6E67 .ascii "long long unsigned int\000" + 1906 206C6F6E + 1906 6720756E + 1906 7369676E + 1906 65642069 + 1907 .LASF23: + 1908 01f0 705F6C69 .ascii "p_list\000" + 1908 737400 + 1909 .LASF44: + 1910 01f7 55534246 .ascii "USBFS_currentTD\000" + 1910 535F6375 + 1910 7272656E + 1910 74544400 + 1911 .LASF26: + 1912 0207 55534246 .ascii "USBFS_GetProtocol\000" + 1912 535F4765 + 1912 7450726F + 1912 746F636F + 1912 6C00 + 1913 .LASF15: + 1914 0219 73697A65 .ascii "sizetype\000" + 1914 74797065 + 1914 00 + 1915 .LASF6: + 1916 0222 6C6F6E67 .ascii "long long int\000" + 1916 206C6F6E + 1916 6720696E + 1916 7400 + 1917 .LASF24: + 1918 0230 545F5553 .ascii "T_USBFS_LUT\000" + 1918 4246535F + 1918 4C555400 + 1919 .LASF13: + 1920 023c 63686172 .ascii "char\000" + 1920 00 + 1921 .LASF29: + 1922 0241 70546D70 .ascii "pTmp\000" + 1922 00 + 1923 .LASF43: + 1924 0246 55534246 .ascii "USBFS_interfaceSetting\000" + 1924 535F696E + 1924 74657266 + 1924 61636553 + 1924 65747469 + 1925 .LASF40: + 1926 025d 55534246 .ascii "USBFS_hidProtocol\000" + 1926 535F6869 + 1926 6450726F + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 45 + + + 1926 746F636F + 1926 6C00 + 1927 .LASF25: + 1928 026f 55534246 .ascii "USBFS_UpdateHIDTimer\000" + 1928 535F5570 + 1928 64617465 + 1928 48494454 + 1928 696D6572 + 1929 .LASF48: + 1930 0284 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 1930 4320342E + 1930 372E3320 + 1930 32303133 + 1930 30333132 + 1931 02b7 616E6368 .ascii "anch revision 196615]\000" + 1931 20726576 + 1931 6973696F + 1931 6E203139 + 1931 36363135 + 1932 .LASF50: + 1933 02cd 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 1933 43534932 + 1933 53445C55 + 1933 53425F42 + 1933 6F6F746C + 1934 .LASF27: + 1935 02ed 696E7465 .ascii "interface\000" + 1935 72666163 + 1935 6500 + 1936 .LASF4: + 1937 02f7 6C6F6E67 .ascii "long int\000" + 1937 20696E74 + 1937 00 + 1938 .LASF0: + 1939 0300 7369676E .ascii "signed char\000" + 1939 65642063 + 1939 68617200 + 1940 .LASF41: + 1941 030c 55534246 .ascii "USBFS_hidIdleRate\000" + 1941 535F6869 + 1941 6449646C + 1941 65526174 + 1941 6500 + 1942 .LASF42: + 1943 031e 55534246 .ascii "USBFS_hidIdleTimer\000" + 1943 535F6869 + 1943 6449646C + 1943 6554696D + 1943 657200 + 1944 .LASF17: + 1945 0331 6C656E67 .ascii "length\000" + 1945 746800 + 1946 .LASF46: + 1947 0338 55534246 .ascii "USBFS_InitControlWrite\000" + 1947 535F496E + 1947 6974436F + 1947 6E74726F + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc8K7Q7i.s page 46 + + + 1947 6C577269 + 1948 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.o new file mode 100755 index 0000000000000000000000000000000000000000..23c4ba90c93e55c942cda6c8ed8675a2e9cdcbf4 GIT binary patch literal 9672 zcmb_i3vgW3c|P~vm9)}IvR*clY)iY6z!J7s4+~?Au=T>$!!|~e!8M}NYPFIUq}^qA z71lt&Eu?iIB*AGMk}xqQB||chshuH>(^4{!X=yT<+BhMmO)gI}F26PBB!o%1KJ~S87XMr-o+LsQk)1R5>0# z;T^6SZ#hvjK2&$+WX+iAPwcE1uN^ah)jJY9vwXwVNN&MMp!O-ty zD&IGK-M=sb<%dQu%TxCJs$Hsa)j;DRBQsQYT4z%^ST(#lQ-pk8Qa#aEPn8cD*Oz7f z_N4L4?-}ORgLCEQN{0;OSdl4ze^c3Gy@h9s8xQpL%so=Qf9~M}U!0qqY&dj$vg`#X zSLSU=?k2G8`K`lShpIE>nZo?epWM90mpM?EclGSKf4pG6Q&zohX2$9qFfxG_^9;wP z;JlS-SifJ_jq}uO9P}N2{G@8$bGqofY92mwHAX@;?>w_^z-VY5G!OMmzHp(e8=fz! z=B_gh(3*!D2Y>x$Wu`X2^T!QCXtyQrbnDromXg!Hmi1>EG;8&6?cvXYwehqQ{m!W> z2~fWNH(Rf7>bVfR!=Uo9E0-!SK6p zDu3Avdd`;h-x(J}#$DN`#w#zUwWB@ljOYaCyVrjf5K_!{1SfNYw1zlY^%ngz3z zJbCXS#Pk&SsPyJFQ1TYM4$1Q5MPq5&PC)XkrNeD)2=Y9_>i9Mt?>R;AdZwu}yj_U* zyhYVJPvbK$uAK^Mpw9PLpM4Et!6)!x9nqFXRnU&ytk2y;zeZDeensOSoxo>)v30Zx ztOYx$dffI<1)rkyMH#6mq`;0j1Mwh_=mJPVfk1OK|7>nQ5Hpf)b0h_Un;wUb} z7SKMKjV*?fv45S7ouup7ehqCM&h&QV62iUuU zLt?DdjnqL?UJChb3@3WSd92t6WvQ`?UfXT<0o2%!20bmgmeB_}mUlx}(n#NXC_X~2ASxX4@~x<>qox}b z_I>3Ys2F+JL*+*u)iYF8LbdW7YXQ{MsvqFPsx`T;4C4wiKZJIxzk!NUHMfJxSbG#2 zBaZ{G^3s5sVk+vMTduC7qW=5K)U`W6;<@fMstp}q`yO)kbYHMF9EH`!5vmsSu2_QD;CXD9Ji(DZ+AF0TwzHLhrQ$sV}+;Df)uO_F|iE zUGZRLxlv(s7k#0(m^{UKSsoMFlyoi;OS6%A>xy+;zQnB%$GpKx#+A85G+X}3-#1jb z(T)ZxuF}a@!hv~-e^r*)mrco`UTFt^zPFh1t8&cSi@UIkuP}_kN~T^N0CTGklPxY7 zfbGR5ny+;$7_)k5b6vXYYw~nvYlF%?I&{}%6+R8Mmr#w%qke7dJ;qv{Z6Nq@*#_1c za3O-Q0het+C0n?$Ox1RbNG4NtXvQ_7C;D~0{TPu<^miCR4o$XjcR)p8(wDYpLTjpz z<5H^Iu48T4g(nqr06{Ilud5>jE}49l@Z>l8kFnm3%Th`IF`zC?pQ#%5QEMyNQm!?g za%lM@w8oLLTdU4C&;%XzXn;U6OQr75+CM@9X~eh_V2B@=%ukoD-b~<-x(0R^#67l^ za6nf_@u>^D%`q|5h^@e4L#0`(MhUzpBU$4ZD??hJb%nHKlz5Q3lcfEE_G!!Z)eD*9 zffSkTcDu?f$L#7IfP`Jm06uS5p8-5;SI+@{W>@j4OFuq2U0gIy;YhO-+n}eN)d7(? zqAX0>UH&g|G2QVg(3r*tr6o9Z^?eLlBRIY@5{o25>B#iNXnZjlj*RaejrU!n)V|T) z9itO-(dl3qqoKkF(+3lgRB(=hwz;YkiI1gi5yz+Qsnuny+_r7Fqt!|Wt#ZGahejRK zdE9hbO^-|Sm}y)&yUsf3Z)|JmX{c*xHGMAYi*9S%;u722rePKOTk8V{>RTJz0*y^; zn$~pGHC$QW+OT_L0HrPvFiTxz?9d28@Rnlcvp|!+lI+R{a z_0Gq`cd8E?<8k*8rx2i$k-HWnsq{c7Ha#DiR^j*}o=0u39nskINF)(Yru!qQa59=m z$CD~G2id5z+MAUfSQA4;YABM!~jgxv-n zTxRCuIuAB9h6$Ira6C2>on6E_iN<3p+hBMultj|ATa-hwf5(j@6Qg52WBW!YdUxH_ zH>~F4vDu|5E+WS*u0$6XrXopcXfPH{?};9_=nUVkePc=wYGQ05 zA>pvwGddbcr=zi1QDbLjS?zZAC8n{Dk?vS@0Ry&k&pv;jzcYAkaFf5It$kD5ruGhh zb22g?38f+}{@Z#+cDF__^wZOk>5ZKe*KVA`P>1LI$;ii|DNM7!{kqMY+poD@-MV#r zv~P5crw-U|Iy_hzTbo* zp{b}cno-T`!+wZlHtyb}@{0;~7n&8GD!hnXVO1M7My*ljX)yFjei6k`=6$8bCE1gm zr#DY+=cK31Iv)p|(v3<_ski$Xb<4Sh?iAeK)^1*cLe6~rB!XL>_jv+6GsPiJv23iHEvVb8XVJZF@%jU8>~YQFrsrL+-}q6o-#r`MCKGgU=5g`N-?=J%+lQ?^(zLxSZnfJqm@J?->^#-h{Gz z-$vcd_Z!IEt4?wFPD0`4d&R}a@p1AygSwk<4ubp1(Bb>hGQJDo+Yem;7hgdfzW+d- zd``(=A+KRNHzg%&OQ`O(&I7;9MCgHrZ zh#@i-R-mkihP$Ldq4l-lKkXF=t_ZZYyPXCY0SRQuZi*C7mlCy+@d*U7S&}B?~9o zQvZDI&vVHx&Z%!p7EY|C{$B0h=D6z?g%f!xf3fx-&$_rz zZ1%TwnSZJF=S#a?Y&+-5kvUgyIifz1vI6*M%@s>kkX4Y(>W-_1bEyvo(P7x}fTTiz`)N3H_%J+aKr zJxTv=TostVM}baZo=-ahkTQRJa0=zqjsT>59vAs|23BDHKM7K)hlz8PYt6jM zer_=#aQ7BnZQl#b_4a+r#KP>N-^QGs`m5Y*#et{1t9h`5b{n+3NE4hoJ4jtkBb!TSlpBgAHUBUkp{4c>mu5<8}2=bR>%J|AgBYz&&>syfbK*~LW z{H2&Oe_SSx3r-5o3i5|Z+8+>nQ1D?vez8OQF~P42o+M)3ep`?~|5AQQ@KwRr1pi*} z4Z#b7Zwc~8XU1O?%;&m?TqMXJp((Ew=zsm^3WDatx}huAkLCprpAtE*ej@nvego=u z;H>XoKxe-^Oh52FK?IM!zaaiKi7(*1!C$u%kam!l=7p?z5#LFF$U1-U>=k*82)=od O6GY^5SmaL;LH<92bMagN literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.lst new file mode 100755 index 0000000..cebf97b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.lst @@ -0,0 +1,238 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccF9uT4s.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_midi.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .Letext0: + 19 .section .debug_info,"",%progbits + 20 .Ldebug_info0: + 21 0000 7C000000 .4byte 0x7c + 22 0004 0200 .2byte 0x2 + 23 0006 00000000 .4byte .Ldebug_abbrev0 + 24 000a 04 .byte 0x4 + 25 000b 01 .uleb128 0x1 + 26 000c 1B000000 .4byte .LASF13 + 27 0010 01 .byte 0x1 + 28 0011 CF000000 .4byte .LASF14 + 29 0015 05010000 .4byte .LASF15 + 30 0019 00000000 .4byte .Ldebug_line0 + 31 001d 02 .uleb128 0x2 + 32 001e 01 .byte 0x1 + 33 001f 06 .byte 0x6 + 34 0020 C3000000 .4byte .LASF0 + 35 0024 02 .uleb128 0x2 + 36 0025 01 .byte 0x1 + 37 0026 08 .byte 0x8 + 38 0027 8D000000 .4byte .LASF1 + 39 002b 02 .uleb128 0x2 + 40 002c 02 .byte 0x2 + 41 002d 05 .byte 0x5 + 42 002e FB000000 .4byte .LASF2 + 43 0032 02 .uleb128 0x2 + 44 0033 02 .byte 0x2 + 45 0034 07 .byte 0x7 + 46 0035 B0000000 .4byte .LASF3 + 47 0039 02 .uleb128 0x2 + 48 003a 04 .byte 0x4 + 49 003b 05 .byte 0x5 + 50 003c A0000000 .4byte .LASF4 + 51 0040 02 .uleb128 0x2 + 52 0041 04 .byte 0x4 + 53 0042 07 .byte 0x7 + 54 0043 64000000 .4byte .LASF5 + 55 0047 02 .uleb128 0x2 + 56 0048 08 .byte 0x8 + 57 0049 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccF9uT4s.s page 2 + + + 58 004a 00000000 .4byte .LASF6 + 59 004e 02 .uleb128 0x2 + 60 004f 08 .byte 0x8 + 61 0050 07 .byte 0x7 + 62 0051 76000000 .4byte .LASF7 + 63 0055 03 .uleb128 0x3 + 64 0056 04 .byte 0x4 + 65 0057 05 .byte 0x5 + 66 0058 696E7400 .ascii "int\000" + 67 005c 02 .uleb128 0x2 + 68 005d 04 .byte 0x4 + 69 005e 07 .byte 0x7 + 70 005f 0E000000 .4byte .LASF8 + 71 0063 02 .uleb128 0x2 + 72 0064 04 .byte 0x4 + 73 0065 04 .byte 0x4 + 74 0066 F5000000 .4byte .LASF9 + 75 006a 02 .uleb128 0x2 + 76 006b 08 .byte 0x8 + 77 006c 04 .byte 0x4 + 78 006d A9000000 .4byte .LASF10 + 79 0071 02 .uleb128 0x2 + 80 0072 01 .byte 0x1 + 81 0073 08 .byte 0x8 + 82 0074 9B000000 .4byte .LASF11 + 83 0078 02 .uleb128 0x2 + 84 0079 04 .byte 0x4 + 85 007a 07 .byte 0x7 + 86 007b 25010000 .4byte .LASF12 + 87 007f 00 .byte 0 + 88 .section .debug_abbrev,"",%progbits + 89 .Ldebug_abbrev0: + 90 0000 01 .uleb128 0x1 + 91 0001 11 .uleb128 0x11 + 92 0002 01 .byte 0x1 + 93 0003 25 .uleb128 0x25 + 94 0004 0E .uleb128 0xe + 95 0005 13 .uleb128 0x13 + 96 0006 0B .uleb128 0xb + 97 0007 03 .uleb128 0x3 + 98 0008 0E .uleb128 0xe + 99 0009 1B .uleb128 0x1b + 100 000a 0E .uleb128 0xe + 101 000b 10 .uleb128 0x10 + 102 000c 06 .uleb128 0x6 + 103 000d 00 .byte 0 + 104 000e 00 .byte 0 + 105 000f 02 .uleb128 0x2 + 106 0010 24 .uleb128 0x24 + 107 0011 00 .byte 0 + 108 0012 0B .uleb128 0xb + 109 0013 0B .uleb128 0xb + 110 0014 3E .uleb128 0x3e + 111 0015 0B .uleb128 0xb + 112 0016 03 .uleb128 0x3 + 113 0017 0E .uleb128 0xe + 114 0018 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccF9uT4s.s page 3 + + + 115 0019 00 .byte 0 + 116 001a 03 .uleb128 0x3 + 117 001b 24 .uleb128 0x24 + 118 001c 00 .byte 0 + 119 001d 0B .uleb128 0xb + 120 001e 0B .uleb128 0xb + 121 001f 3E .uleb128 0x3e + 122 0020 0B .uleb128 0xb + 123 0021 03 .uleb128 0x3 + 124 0022 08 .uleb128 0x8 + 125 0023 00 .byte 0 + 126 0024 00 .byte 0 + 127 0025 00 .byte 0 + 128 .section .debug_aranges,"",%progbits + 129 0000 14000000 .4byte 0x14 + 130 0004 0200 .2byte 0x2 + 131 0006 00000000 .4byte .Ldebug_info0 + 132 000a 04 .byte 0x4 + 133 000b 00 .byte 0 + 134 000c 0000 .2byte 0 + 135 000e 0000 .2byte 0 + 136 0010 00000000 .4byte 0 + 137 0014 00000000 .4byte 0 + 138 .section .debug_line,"",%progbits + 139 .Ldebug_line0: + 140 0000 19000000 .section .debug_str,"MS",%progbits,1 + 140 02001300 + 140 00000201 + 140 FB0E0D00 + 140 01010101 + 141 .LASF6: + 142 0000 6C6F6E67 .ascii "long long int\000" + 142 206C6F6E + 142 6720696E + 142 7400 + 143 .LASF8: + 144 000e 756E7369 .ascii "unsigned int\000" + 144 676E6564 + 144 20696E74 + 144 00 + 145 .LASF13: + 146 001b 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 146 4320342E + 146 372E3320 + 146 32303133 + 146 30333132 + 147 004e 616E6368 .ascii "anch revision 196615]\000" + 147 20726576 + 147 6973696F + 147 6E203139 + 147 36363135 + 148 .LASF5: + 149 0064 6C6F6E67 .ascii "long unsigned int\000" + 149 20756E73 + 149 69676E65 + 149 6420696E + 149 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccF9uT4s.s page 4 + + + 150 .LASF7: + 151 0076 6C6F6E67 .ascii "long long unsigned int\000" + 151 206C6F6E + 151 6720756E + 151 7369676E + 151 65642069 + 152 .LASF1: + 153 008d 756E7369 .ascii "unsigned char\000" + 153 676E6564 + 153 20636861 + 153 7200 + 154 .LASF11: + 155 009b 63686172 .ascii "char\000" + 155 00 + 156 .LASF4: + 157 00a0 6C6F6E67 .ascii "long int\000" + 157 20696E74 + 157 00 + 158 .LASF10: + 159 00a9 646F7562 .ascii "double\000" + 159 6C6500 + 160 .LASF3: + 161 00b0 73686F72 .ascii "short unsigned int\000" + 161 7420756E + 161 7369676E + 161 65642069 + 161 6E7400 + 162 .LASF0: + 163 00c3 7369676E .ascii "signed char\000" + 163 65642063 + 163 68617200 + 164 .LASF14: + 165 00cf 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_midi.c\000" + 165 6E657261 + 165 7465645F + 165 536F7572 + 165 63655C50 + 166 .LASF9: + 167 00f5 666C6F61 .ascii "float\000" + 167 7400 + 168 .LASF2: + 169 00fb 73686F72 .ascii "short int\000" + 169 7420696E + 169 7400 + 170 .LASF15: + 171 0105 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 171 43534932 + 171 53445C55 + 171 53425F42 + 171 6F6F746C + 172 .LASF12: + 173 0125 73697A65 .ascii "sizetype\000" + 173 74797065 + 173 00 + 174 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.o new file mode 100755 index 0000000000000000000000000000000000000000..96eedfbe5c42bd7a164e2df67afc890f5f4467c7 GIT binary patch literal 1880 zcmb_c%Wl&^6ulEWO`$ZDmv~elgD3=vnzm^{AV4aitw0nN0%Ac?WXIFiQeqd|L6nE! z2awpaVao<}!3QAm0qoiF2Y|$?3pjT?lQwK%!Ikcub072Yy)%9>b7NKrK}G~sXwxEE zwN#`ysh~nbG)T|D3(B^|Fi?EPP}H*uqVE&OMgJ>?LUBVuX`R6?zEw~P82XDC0i{O( z%PxjdhLlp7owof`#q4(sqW_I@mPU9Nu}h4U_Y7F&;qp))qQ_}q;4(}sqG>;}_VNrU zk*!8E90V!Q9v;O;d64k+YEi69)QY2JM-6Aa z>iC3HNn}fUsXXP}pT0eRMz(z!1Tq+%Y@8eQ6EAA6IEj1|rePd8NuF+ia5>i9=XhGcZTawb1ILVxDBA-(qS*5#+b25^Nm&u?}k2^_IE-uvL+SKCR z`jy#wqa6mJ+oYvd>|vf-idVRIVX zwwLC&6?WTu#Xhm$Iv@^;L*j^iOpu$dwKLBLW=W1KhGA+(Zs27exqh0Gi*CAs^gGLq zFj|TcQrz=>G;wRxLu;2MCgcgNFp`F2ZE~A&yDd>k7sYVBEK5SalOg}#MZGJe<9;j2 z-=8)B`OPLe3eMLzf2Kr#=5dVWB$Qvp-}1(gW9ERW^ZSJ*tPQvl6 zJF?yTr?tAb*UaY*ZHm>?NuIxbGYDqUz#lfgxaZH6zmEdGm1|Kz5xB05`!uS*Juaf7 pZGHzFcQsCF~H`8 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.lst new file mode 100755 index 0000000..96c2cd6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.lst @@ -0,0 +1,1949 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_pm.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.USBFS_DP_ISR,"ax",%progbits + 19 .align 1 + 20 .global USBFS_DP_ISR + 21 .thumb + 22 .thumb_func + 23 .type USBFS_DP_ISR, %function + 24 USBFS_DP_ISR: + 25 .LFB56: + 26 .file 1 ".\\Generated_Source\\PSoC5\\USBFS_pm.c" + 1:.\Generated_Source\PSoC5/USBFS_pm.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/USBFS_pm.c **** * File Name: USBFS_pm.c + 3:.\Generated_Source\PSoC5/USBFS_pm.c **** * Version 2.60 + 4:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 5:.\Generated_Source\PSoC5/USBFS_pm.c **** * Description: + 6:.\Generated_Source\PSoC5/USBFS_pm.c **** * This file provides Suspend/Resume APIs functionality. + 7:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 8:.\Generated_Source\PSoC5/USBFS_pm.c **** * Note: + 9:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 10:.\Generated_Source\PSoC5/USBFS_pm.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/USBFS_pm.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/USBFS_pm.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/USBFS_pm.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/USBFS_pm.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/USBFS_pm.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/USBFS_pm.c **** + 17:.\Generated_Source\PSoC5/USBFS_pm.c **** #include "project.h" + 18:.\Generated_Source\PSoC5/USBFS_pm.c **** #include "USBFS.h" + 19:.\Generated_Source\PSoC5/USBFS_pm.c **** #include "USBFS_pvt.h" + 20:.\Generated_Source\PSoC5/USBFS_pm.c **** + 21:.\Generated_Source\PSoC5/USBFS_pm.c **** + 22:.\Generated_Source\PSoC5/USBFS_pm.c **** /*************************************** + 23:.\Generated_Source\PSoC5/USBFS_pm.c **** * Custom Declarations + 24:.\Generated_Source\PSoC5/USBFS_pm.c **** ***************************************/ + 25:.\Generated_Source\PSoC5/USBFS_pm.c **** /* `#START PM_CUSTOM_DECLARATIONS` Place your declaration here */ + 26:.\Generated_Source\PSoC5/USBFS_pm.c **** + 27:.\Generated_Source\PSoC5/USBFS_pm.c **** /* `#END` */ + 28:.\Generated_Source\PSoC5/USBFS_pm.c **** + 29:.\Generated_Source\PSoC5/USBFS_pm.c **** + 30:.\Generated_Source\PSoC5/USBFS_pm.c **** /*************************************** + 31:.\Generated_Source\PSoC5/USBFS_pm.c **** * Local data allocation + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 2 + + + 32:.\Generated_Source\PSoC5/USBFS_pm.c **** ***************************************/ + 33:.\Generated_Source\PSoC5/USBFS_pm.c **** + 34:.\Generated_Source\PSoC5/USBFS_pm.c **** static USBFS_BACKUP_STRUCT USBFS_backup; + 35:.\Generated_Source\PSoC5/USBFS_pm.c **** + 36:.\Generated_Source\PSoC5/USBFS_pm.c **** + 37:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_DP_ISR_REMOVE == 0u) + 38:.\Generated_Source\PSoC5/USBFS_pm.c **** + 39:.\Generated_Source\PSoC5/USBFS_pm.c **** + 40:.\Generated_Source\PSoC5/USBFS_pm.c **** /******************************************************************************* + 41:.\Generated_Source\PSoC5/USBFS_pm.c **** * Function Name: USBFS_DP_Interrupt + 42:.\Generated_Source\PSoC5/USBFS_pm.c **** ******************************************************************************** + 43:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 44:.\Generated_Source\PSoC5/USBFS_pm.c **** * Summary: + 45:.\Generated_Source\PSoC5/USBFS_pm.c **** * This Interrupt Service Routine handles DP pin changes for wake-up from + 46:.\Generated_Source\PSoC5/USBFS_pm.c **** * the sleep mode. + 47:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 48:.\Generated_Source\PSoC5/USBFS_pm.c **** * Parameters: + 49:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 50:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 51:.\Generated_Source\PSoC5/USBFS_pm.c **** * Return: + 52:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 53:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 54:.\Generated_Source\PSoC5/USBFS_pm.c **** *******************************************************************************/ + 55:.\Generated_Source\PSoC5/USBFS_pm.c **** CY_ISR(USBFS_DP_ISR) + 56:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 27 .loc 1 56 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 @ link register save eliminated. + 57:.\Generated_Source\PSoC5/USBFS_pm.c **** /* `#START DP_USER_CODE` Place your code here */ + 58:.\Generated_Source\PSoC5/USBFS_pm.c **** + 59:.\Generated_Source\PSoC5/USBFS_pm.c **** /* `#END` */ + 60:.\Generated_Source\PSoC5/USBFS_pm.c **** + 61:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Clears active interrupt */ + 62:.\Generated_Source\PSoC5/USBFS_pm.c **** CY_GET_REG8(USBFS_DP_INTSTAT_PTR); + 32 .loc 1 62 0 + 33 0000 014B ldr r3, .L2 + 34 0002 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 35 0004 7047 bx lr + 36 .L3: + 37 0006 00BF .align 2 + 38 .L2: + 39 0008 8F450040 .word 1073759631 + 40 .cfi_endproc + 41 .LFE56: + 42 .size USBFS_DP_ISR, .-USBFS_DP_ISR + 43 .section .text.USBFS_SaveConfig,"ax",%progbits + 44 .align 1 + 45 .global USBFS_SaveConfig + 46 .thumb + 47 .thumb_func + 48 .type USBFS_SaveConfig, %function + 49 USBFS_SaveConfig: + 50 .LFB57: + 63:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 64:.\Generated_Source\PSoC5/USBFS_pm.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 3 + + + 65:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* (USBFS_DP_ISR_REMOVE == 0u) */ + 66:.\Generated_Source\PSoC5/USBFS_pm.c **** + 67:.\Generated_Source\PSoC5/USBFS_pm.c **** + 68:.\Generated_Source\PSoC5/USBFS_pm.c **** /******************************************************************************* + 69:.\Generated_Source\PSoC5/USBFS_pm.c **** * Function Name: USBFS_SaveConfig + 70:.\Generated_Source\PSoC5/USBFS_pm.c **** ******************************************************************************** + 71:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 72:.\Generated_Source\PSoC5/USBFS_pm.c **** * Summary: + 73:.\Generated_Source\PSoC5/USBFS_pm.c **** * Saves the current user configuration. + 74:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 75:.\Generated_Source\PSoC5/USBFS_pm.c **** * Parameters: + 76:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 77:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 78:.\Generated_Source\PSoC5/USBFS_pm.c **** * Return: + 79:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 80:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 81:.\Generated_Source\PSoC5/USBFS_pm.c **** * Reentrant: + 82:.\Generated_Source\PSoC5/USBFS_pm.c **** * No. + 83:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 84:.\Generated_Source\PSoC5/USBFS_pm.c **** *******************************************************************************/ + 85:.\Generated_Source\PSoC5/USBFS_pm.c **** void USBFS_SaveConfig(void) + 86:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 51 .loc 1 86 0 + 52 .cfi_startproc + 53 @ args = 0, pretend = 0, frame = 0 + 54 @ frame_needed = 0, uses_anonymous_args = 0 + 55 @ link register save eliminated. + 56 0000 7047 bx lr + 57 .cfi_endproc + 58 .LFE57: + 59 .size USBFS_SaveConfig, .-USBFS_SaveConfig + 60 .section .text.USBFS_RestoreConfig,"ax",%progbits + 61 .align 1 + 62 .global USBFS_RestoreConfig + 63 .thumb + 64 .thumb_func + 65 .type USBFS_RestoreConfig, %function + 66 USBFS_RestoreConfig: + 67 .LFB58: + 87:.\Generated_Source\PSoC5/USBFS_pm.c **** + 88:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 89:.\Generated_Source\PSoC5/USBFS_pm.c **** + 90:.\Generated_Source\PSoC5/USBFS_pm.c **** + 91:.\Generated_Source\PSoC5/USBFS_pm.c **** /******************************************************************************* + 92:.\Generated_Source\PSoC5/USBFS_pm.c **** * Function Name: USBFS_RestoreConfig + 93:.\Generated_Source\PSoC5/USBFS_pm.c **** ******************************************************************************** + 94:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 95:.\Generated_Source\PSoC5/USBFS_pm.c **** * Summary: + 96:.\Generated_Source\PSoC5/USBFS_pm.c **** * Restores the current user configuration. + 97:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 98:.\Generated_Source\PSoC5/USBFS_pm.c **** * Parameters: + 99:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 100:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 101:.\Generated_Source\PSoC5/USBFS_pm.c **** * Return: + 102:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 103:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 104:.\Generated_Source\PSoC5/USBFS_pm.c **** * Reentrant: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 4 + + + 105:.\Generated_Source\PSoC5/USBFS_pm.c **** * No. + 106:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 107:.\Generated_Source\PSoC5/USBFS_pm.c **** *******************************************************************************/ + 108:.\Generated_Source\PSoC5/USBFS_pm.c **** void USBFS_RestoreConfig(void) + 109:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 68 .loc 1 109 0 + 69 .cfi_startproc + 70 @ args = 0, pretend = 0, frame = 0 + 71 @ frame_needed = 0, uses_anonymous_args = 0 + 72 @ link register save eliminated. + 110:.\Generated_Source\PSoC5/USBFS_pm.c **** if(USBFS_configuration != 0u) + 73 .loc 1 110 0 + 74 0000 024B ldr r3, .L8 + 75 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 76 0004 08B1 cbz r0, .L5 + 111:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 112:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_ConfigReg(); + 113:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 114:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 77 .loc 1 114 0 + 112:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_ConfigReg(); + 78 .loc 1 112 0 + 79 0006 FFF7FEBF b USBFS_ConfigReg + 80 .LVL0: + 81 .L5: + 82 000a 7047 bx lr + 83 .L9: + 84 .align 2 + 85 .L8: + 86 000c 00000000 .word USBFS_configuration + 87 .cfi_endproc + 88 .LFE58: + 89 .size USBFS_RestoreConfig, .-USBFS_RestoreConfig + 90 .section .text.USBFS_Suspend,"ax",%progbits + 91 .align 1 + 92 .global USBFS_Suspend + 93 .thumb + 94 .thumb_func + 95 .type USBFS_Suspend, %function + 96 USBFS_Suspend: + 97 .LFB59: + 115:.\Generated_Source\PSoC5/USBFS_pm.c **** + 116:.\Generated_Source\PSoC5/USBFS_pm.c **** + 117:.\Generated_Source\PSoC5/USBFS_pm.c **** /******************************************************************************* + 118:.\Generated_Source\PSoC5/USBFS_pm.c **** * Function Name: USBFS_Suspend + 119:.\Generated_Source\PSoC5/USBFS_pm.c **** ******************************************************************************** + 120:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 121:.\Generated_Source\PSoC5/USBFS_pm.c **** * Summary: + 122:.\Generated_Source\PSoC5/USBFS_pm.c **** * This function disables the USBFS block and prepares for power donwn mode. + 123:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 124:.\Generated_Source\PSoC5/USBFS_pm.c **** * Parameters: + 125:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 126:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 127:.\Generated_Source\PSoC5/USBFS_pm.c **** * Return: + 128:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 129:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 130:.\Generated_Source\PSoC5/USBFS_pm.c **** * Global variables: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 5 + + + 131:.\Generated_Source\PSoC5/USBFS_pm.c **** * USBFS_backup.enable: modified. + 132:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 133:.\Generated_Source\PSoC5/USBFS_pm.c **** * Reentrant: + 134:.\Generated_Source\PSoC5/USBFS_pm.c **** * No. + 135:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 136:.\Generated_Source\PSoC5/USBFS_pm.c **** *******************************************************************************/ + 137:.\Generated_Source\PSoC5/USBFS_pm.c **** void USBFS_Suspend(void) + 138:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 98 .loc 1 138 0 + 99 .cfi_startproc + 100 @ args = 0, pretend = 0, frame = 0 + 101 @ frame_needed = 0, uses_anonymous_args = 0 + 102 0000 2DE9F041 push {r4, r5, r6, r7, r8, lr} + 103 .LCFI0: + 104 .cfi_def_cfa_offset 24 + 105 .cfi_offset 4, -24 + 106 .cfi_offset 5, -20 + 107 .cfi_offset 6, -16 + 108 .cfi_offset 7, -12 + 109 .cfi_offset 8, -8 + 110 .cfi_offset 14, -4 + 139:.\Generated_Source\PSoC5/USBFS_pm.c **** uint8 enableInterrupts; + 140:.\Generated_Source\PSoC5/USBFS_pm.c **** enableInterrupts = CyEnterCriticalSection(); + 111 .loc 1 140 0 + 112 0004 FFF7FEFF bl CyEnterCriticalSection + 113 .LVL1: + 141:.\Generated_Source\PSoC5/USBFS_pm.c **** + 142:.\Generated_Source\PSoC5/USBFS_pm.c **** if((CY_GET_REG8(USBFS_CR0_PTR) & USBFS_CR0_ENABLE) != 0u) + 114 .loc 1 142 0 + 115 0008 294F ldr r7, .L13 + 140:.\Generated_Source\PSoC5/USBFS_pm.c **** enableInterrupts = CyEnterCriticalSection(); + 116 .loc 1 140 0 + 117 000a 8046 mov r8, r0 + 118 .LVL2: + 119 .loc 1 142 0 + 120 000c 3B78 ldrb r3, [r7, #0] @ zero_extendqisi2 + 121 000e 294D ldr r5, .L13+4 + 122 0010 13F0800F tst r3, #128 + 123 0014 36D0 beq .L11 + 143:.\Generated_Source\PSoC5/USBFS_pm.c **** { /* USB block is enabled */ + 144:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_backup.enableState = 1u; + 124 .loc 1 144 0 + 125 0016 0126 movs r6, #1 + 145:.\Generated_Source\PSoC5/USBFS_pm.c **** + 146:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 147:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_Stop_DMA(USBFS_MAX_EP); /* Stop all DMAs */ + 148:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 149:.\Generated_Source\PSoC5/USBFS_pm.c **** + 150:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled + 151:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_USBIO_CR0_REG &= (uint8)~USBFS_USBIO_CR0_TEN; + 126 .loc 1 151 0 + 127 0018 2749 ldr r1, .L13+8 + 144:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_backup.enableState = 1u; + 128 .loc 1 144 0 + 129 001a 2E70 strb r6, [r5, #0] + 130 .loc 1 151 0 + 131 001c 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 6 + + + 152:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(0u); /*~50ns delay */ + 132 .loc 1 152 0 + 133 001e 0020 movs r0, #0 + 134 .LVL3: + 151:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_USBIO_CR0_REG &= (uint8)~USBFS_USBIO_CR0_TEN; + 135 .loc 1 151 0 + 136 0020 02F07F04 and r4, r2, #127 + 137 0024 0C70 strb r4, [r1, #0] + 153:.\Generated_Source\PSoC5/USBFS_pm.c **** + 154:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) and pd_pullup_hv(Invert + 155:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG &= + 138 .loc 1 155 0 + 139 0026 254C ldr r4, .L13+12 + 152:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(0u); /*~50ns delay */ + 140 .loc 1 152 0 + 141 0028 FFF7FEFF bl CyDelayUs + 142 .LVL4: + 143 .loc 1 155 0 + 144 002c 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 145 002e 03F0F900 and r0, r3, #249 + 146 0032 2070 strb r0, [r4, #0] + 156:.\Generated_Source\PSoC5/USBFS_pm.c **** (uint8)~(USBFS_PM_USB_CR0_PD_N | USBFS_PM_USB_CR0_PD_PULLUP_N); + 157:.\Generated_Source\PSoC5/USBFS_pm.c **** + 158:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Disable the SIE */ + 159:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_CR0_REG &= (uint8)~USBFS_CR0_ENABLE; + 147 .loc 1 159 0 + 148 0034 3978 ldrb r1, [r7, #0] @ zero_extendqisi2 + 160:.\Generated_Source\PSoC5/USBFS_pm.c **** + 161:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(0u); /*~50ns delay */ + 149 .loc 1 161 0 + 150 0036 0020 movs r0, #0 + 159:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_CR0_REG &= (uint8)~USBFS_CR0_ENABLE; + 151 .loc 1 159 0 + 152 0038 01F07F02 and r2, r1, #127 + 153 003c 3A70 strb r2, [r7, #0] + 154 .loc 1 161 0 + 155 003e FFF7FEFF bl CyDelayUs + 156 .LVL5: + 162:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Store mode and Disable VRegulator*/ + 163:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_backup.mode = USBFS_CR1_REG & USBFS_CR1_REG_ENABLE; + 157 .loc 1 163 0 + 158 0042 1F4B ldr r3, .L13+16 + 159 0044 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 160 0046 3040 ands r0, r0, r6 + 161 0048 6870 strb r0, [r5, #1] + 164:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_CR1_REG &= (uint8)~USBFS_CR1_REG_ENABLE; + 162 .loc 1 164 0 + 163 004a 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 165:.\Generated_Source\PSoC5/USBFS_pm.c **** + 166:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(1u); /* 0.5 us min delay */ + 164 .loc 1 166 0 + 165 004c 3046 mov r0, r6 + 164:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_CR1_REG &= (uint8)~USBFS_CR1_REG_ENABLE; + 166 .loc 1 164 0 + 167 004e 01F0FE02 and r2, r1, #254 + 168 0052 1A70 strb r2, [r3, #0] + 169 .loc 1 166 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 7 + + + 170 0054 FFF7FEFF bl CyDelayUs + 171 .LVL6: + 167:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Disable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + 168:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG &= (uint8)~USBFS_PM_USB_CR0_REF_EN; + 172 .loc 1 168 0 + 173 0058 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 169:.\Generated_Source\PSoC5/USBFS_pm.c **** + 170:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Switch DP and DM terminals to GPIO mode and disconnect 1.5k pullup*/ + 171:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_USBIO_CR1_REG |= USBFS_USBIO_CR1_IOMODE; + 174 .loc 1 171 0 + 175 005a 1A49 ldr r1, .L13+20 + 168:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG &= (uint8)~USBFS_PM_USB_CR0_REF_EN; + 176 .loc 1 168 0 + 177 005c 03F0FE00 and r0, r3, #254 + 178 0060 2070 strb r0, [r4, #0] + 179 .loc 1 171 0 + 180 0062 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 172:.\Generated_Source\PSoC5/USBFS_pm.c **** + 173:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Disable USB in ACT PM */ + 174:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_ACT_CFG_REG &= (uint8)~USBFS_PM_ACT_EN_FSUSB; + 181 .loc 1 174 0 + 182 0064 1848 ldr r0, .L13+24 + 171:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_USBIO_CR1_REG |= USBFS_USBIO_CR1_IOMODE; + 183 .loc 1 171 0 + 184 0066 42F02003 orr r3, r2, #32 + 185 006a 0B70 strb r3, [r1, #0] + 186 .loc 1 174 0 + 187 006c 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 188 006e 01F0FE02 and r2, r1, #254 + 189 0072 0270 strb r2, [r0, #0] + 175:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Disable USB block for Standby Power Mode */ + 176:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_STBY_CFG_REG &= (uint8)~USBFS_PM_STBY_EN_FSUSB; + 190 .loc 1 176 0 + 191 0074 037C ldrb r3, [r0, #16] @ zero_extendqisi2 + 192 0076 03F0FE01 and r1, r3, #254 + 193 007a 0174 strb r1, [r0, #16] + 177:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(1u); /* min 0.5us delay required */ + 194 .loc 1 177 0 + 195 007c 3046 mov r0, r6 + 196 007e FFF7FEFF bl CyDelayUs + 197 .LVL7: + 198 0082 01E0 b .L12 + 199 .LVL8: + 200 .L11: + 178:.\Generated_Source\PSoC5/USBFS_pm.c **** + 179:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 180:.\Generated_Source\PSoC5/USBFS_pm.c **** else + 181:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 182:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_backup.enableState = 0u; + 201 .loc 1 182 0 + 202 0084 0020 movs r0, #0 + 203 .LVL9: + 204 0086 2870 strb r0, [r5, #0] + 205 .L12: + 183:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 184:.\Generated_Source\PSoC5/USBFS_pm.c **** CyExitCriticalSection(enableInterrupts); + 206 .loc 1 184 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 8 + + + 207 0088 4046 mov r0, r8 + 208 008a FFF7FEFF bl CyExitCriticalSection + 209 .LVL10: + 185:.\Generated_Source\PSoC5/USBFS_pm.c **** + 186:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Set the DP Interrupt for wake-up from sleep mode. */ + 187:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_DP_ISR_REMOVE == 0u) + 188:.\Generated_Source\PSoC5/USBFS_pm.c **** (void) CyIntSetVector(USBFS_DP_INTC_VECT_NUM, &USBFS_DP_ISR); + 210 .loc 1 188 0 + 211 008e 0F49 ldr r1, .L13+28 + 212 0090 0C20 movs r0, #12 + 213 0092 FFF7FEFF bl CyIntSetVector + 214 .LVL11: + 189:.\Generated_Source\PSoC5/USBFS_pm.c **** CyIntSetPriority(USBFS_DP_INTC_VECT_NUM, USBFS_DP_INTC_PRIOR); + 215 .loc 1 189 0 + 216 0096 0C20 movs r0, #12 + 217 0098 0721 movs r1, #7 + 218 009a FFF7FEFF bl CyIntSetPriority + 219 .LVL12: + 190:.\Generated_Source\PSoC5/USBFS_pm.c **** CyIntClearPending(USBFS_DP_INTC_VECT_NUM); + 220 .loc 1 190 0 + 221 009e 0C4A ldr r2, .L13+32 + 222 00a0 4FF48050 mov r0, #4096 + 191:.\Generated_Source\PSoC5/USBFS_pm.c **** CyIntEnable(USBFS_DP_INTC_VECT_NUM); + 223 .loc 1 191 0 + 224 00a4 A2F5C073 sub r3, r2, #384 + 190:.\Generated_Source\PSoC5/USBFS_pm.c **** CyIntClearPending(USBFS_DP_INTC_VECT_NUM); + 225 .loc 1 190 0 + 226 00a8 1060 str r0, [r2, #0] + 227 .loc 1 191 0 + 228 00aa 1860 str r0, [r3, #0] + 229 00ac BDE8F081 pop {r4, r5, r6, r7, r8, pc} + 230 .L14: + 231 .align 2 + 232 .L13: + 233 00b0 08600040 .word 1073766408 + 234 00b4 00000000 .word .LANCHOR0 + 235 00b8 10600040 .word 1073766416 + 236 00bc 94430040 .word 1073759124 + 237 00c0 09600040 .word 1073766409 + 238 00c4 12600040 .word 1073766418 + 239 00c8 A5430040 .word 1073759141 + 240 00cc 00000000 .word USBFS_DP_ISR + 241 00d0 80E200E0 .word -536812928 + 242 .cfi_endproc + 243 .LFE59: + 244 .size USBFS_Suspend, .-USBFS_Suspend + 245 .section .text.USBFS_Resume,"ax",%progbits + 246 .align 1 + 247 .global USBFS_Resume + 248 .thumb + 249 .thumb_func + 250 .type USBFS_Resume, %function + 251 USBFS_Resume: + 252 .LFB60: + 192:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* (USBFS_DP_ISR_REMOVE == 0u) */ + 193:.\Generated_Source\PSoC5/USBFS_pm.c **** + 194:.\Generated_Source\PSoC5/USBFS_pm.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 9 + + + 195:.\Generated_Source\PSoC5/USBFS_pm.c **** + 196:.\Generated_Source\PSoC5/USBFS_pm.c **** + 197:.\Generated_Source\PSoC5/USBFS_pm.c **** /******************************************************************************* + 198:.\Generated_Source\PSoC5/USBFS_pm.c **** * Function Name: USBFS_Resume + 199:.\Generated_Source\PSoC5/USBFS_pm.c **** ******************************************************************************** + 200:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 201:.\Generated_Source\PSoC5/USBFS_pm.c **** * Summary: + 202:.\Generated_Source\PSoC5/USBFS_pm.c **** * This function enables the USBFS block after power down mode. + 203:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 204:.\Generated_Source\PSoC5/USBFS_pm.c **** * Parameters: + 205:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 206:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 207:.\Generated_Source\PSoC5/USBFS_pm.c **** * Return: + 208:.\Generated_Source\PSoC5/USBFS_pm.c **** * None. + 209:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 210:.\Generated_Source\PSoC5/USBFS_pm.c **** * Global variables: + 211:.\Generated_Source\PSoC5/USBFS_pm.c **** * USBFS_backup - checked. + 212:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 213:.\Generated_Source\PSoC5/USBFS_pm.c **** * Reentrant: + 214:.\Generated_Source\PSoC5/USBFS_pm.c **** * No. + 215:.\Generated_Source\PSoC5/USBFS_pm.c **** * + 216:.\Generated_Source\PSoC5/USBFS_pm.c **** *******************************************************************************/ + 217:.\Generated_Source\PSoC5/USBFS_pm.c **** void USBFS_Resume(void) + 218:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 253 .loc 1 218 0 + 254 .cfi_startproc + 255 @ args = 0, pretend = 0, frame = 0 + 256 @ frame_needed = 0, uses_anonymous_args = 0 + 257 0000 70B5 push {r4, r5, r6, lr} + 258 .LCFI1: + 259 .cfi_def_cfa_offset 16 + 260 .cfi_offset 4, -16 + 261 .cfi_offset 5, -12 + 262 .cfi_offset 6, -8 + 263 .cfi_offset 14, -4 + 219:.\Generated_Source\PSoC5/USBFS_pm.c **** uint8 enableInterrupts; + 220:.\Generated_Source\PSoC5/USBFS_pm.c **** enableInterrupts = CyEnterCriticalSection(); + 264 .loc 1 220 0 + 265 0002 FFF7FEFF bl CyEnterCriticalSection + 266 .LVL13: + 221:.\Generated_Source\PSoC5/USBFS_pm.c **** + 222:.\Generated_Source\PSoC5/USBFS_pm.c **** if(USBFS_backup.enableState != 0u) + 267 .loc 1 222 0 + 268 0006 244D ldr r5, .L20 + 220:.\Generated_Source\PSoC5/USBFS_pm.c **** enableInterrupts = CyEnterCriticalSection(); + 269 .loc 1 220 0 + 270 0008 0646 mov r6, r0 + 271 .LVL14: + 272 .loc 1 222 0 + 273 000a 2B78 ldrb r3, [r5, #0] @ zero_extendqisi2 + 274 000c 002B cmp r3, #0 + 275 000e 3ED0 beq .L16 + 223:.\Generated_Source\PSoC5/USBFS_pm.c **** { + 224:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_DP_ISR_REMOVE == 0u) + 225:.\Generated_Source\PSoC5/USBFS_pm.c **** CyIntDisable(USBFS_DP_INTC_VECT_NUM); + 276 .loc 1 225 0 + 277 0010 2248 ldr r0, .L20+4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 10 + + + 278 .LVL15: + 226:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* End USBFS_DP_ISR_REMOVE */ + 227:.\Generated_Source\PSoC5/USBFS_pm.c **** + 228:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Enable USB block */ + 229:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + 279 .loc 1 229 0 + 280 0012 2349 ldr r1, .L20+8 + 225:.\Generated_Source\PSoC5/USBFS_pm.c **** CyIntDisable(USBFS_DP_INTC_VECT_NUM); + 281 .loc 1 225 0 + 282 0014 4FF48052 mov r2, #4096 + 283 0018 0260 str r2, [r0, #0] + 284 .loc 1 229 0 + 285 001a 0C78 ldrb r4, [r1, #0] @ zero_extendqisi2 + 286 001c 44F00103 orr r3, r4, #1 + 287 0020 0B70 strb r3, [r1, #0] + 230:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Enable USB block for Standby Power Mode */ + 231:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + 288 .loc 1 231 0 + 289 0022 0A7C ldrb r2, [r1, #16] @ zero_extendqisi2 + 290 0024 42F00100 orr r0, r2, #1 + 291 0028 0874 strb r0, [r1, #16] + 232:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Enable core clock */ + 233:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_USB_CLK_EN_REG |= USBFS_USB_CLK_ENABLE; + 292 .loc 1 233 0 + 293 002a 1E49 ldr r1, .L20+12 + 294 002c 0C78 ldrb r4, [r1, #0] @ zero_extendqisi2 + 295 002e 44F00103 orr r3, r4, #1 + 234:.\Generated_Source\PSoC5/USBFS_pm.c **** + 235:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + 236:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + 296 .loc 1 236 0 + 297 0032 1D4C ldr r4, .L20+16 + 233:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_USB_CLK_EN_REG |= USBFS_USB_CLK_ENABLE; + 298 .loc 1 233 0 + 299 0034 0B70 strb r3, [r1, #0] + 300 .loc 1 236 0 + 301 0036 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 302 0038 42F00100 orr r0, r2, #1 + 303 003c 2070 strb r0, [r4, #0] + 237:.\Generated_Source\PSoC5/USBFS_pm.c **** /* The reference will be available ~40us after power restored */ + 238:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(40u); + 304 .loc 1 238 0 + 305 003e 2820 movs r0, #40 + 306 0040 FFF7FEFF bl CyDelayUs + 307 .LVL16: + 239:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Return VRegulator*/ + 240:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_CR1_REG |= USBFS_backup.mode; + 308 .loc 1 240 0 + 309 0044 194B ldr r3, .L20+20 + 310 0046 6A78 ldrb r2, [r5, #1] @ zero_extendqisi2 + 311 0048 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 312 004a 41EA0200 orr r0, r1, r2 + 313 004e 1870 strb r0, [r3, #0] + 241:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(0u); /*~50ns delay */ + 314 .loc 1 241 0 + 315 0050 0020 movs r0, #0 + 316 0052 FFF7FEFF bl CyDelayUs + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 11 + + + 317 .LVL17: + 242:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Enable USBIO */ + 243:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + 318 .loc 1 243 0 + 319 0056 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 244:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayUs(2u); + 320 .loc 1 244 0 + 321 0058 0220 movs r0, #2 + 243:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + 322 .loc 1 243 0 + 323 005a 43F00201 orr r1, r3, #2 + 324 005e 2170 strb r1, [r4, #0] + 325 .loc 1 244 0 + 326 0060 FFF7FEFF bl CyDelayUs + 327 .LVL18: + 245:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Set the USBIO pull-up enable */ + 246:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + 328 .loc 1 246 0 + 329 0064 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 247:.\Generated_Source\PSoC5/USBFS_pm.c **** + 248:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Reinit Arbiter configuration for DMA transfers */ + 249:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 250:.\Generated_Source\PSoC5/USBFS_pm.c **** /* usb arb interrupt enable */ + 251:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_ARB_INT_EN_REG = USBFS_ARB_INT_MASK; + 252:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + 253:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_ARB_CFG_REG = USBFS_ARB_CFG_MANUAL_DMA; + 254:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + 255:.\Generated_Source\PSoC5/USBFS_pm.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 256:.\Generated_Source\PSoC5/USBFS_pm.c **** /*Set cfg cmplt this rises DMA request when the full configuration is done */ + 257:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + 258:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 259:.\Generated_Source\PSoC5/USBFS_pm.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 260:.\Generated_Source\PSoC5/USBFS_pm.c **** + 261:.\Generated_Source\PSoC5/USBFS_pm.c **** /* STALL_IN_OUT */ + 262:.\Generated_Source\PSoC5/USBFS_pm.c **** CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + 330 .loc 1 262 0 + 331 0066 124B ldr r3, .L20+24 + 246:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + 332 .loc 1 246 0 + 333 0068 42F00400 orr r0, r2, #4 + 334 .loc 1 262 0 + 335 006c 0321 movs r1, #3 + 246:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + 336 .loc 1 246 0 + 337 006e 2070 strb r0, [r4, #0] + 338 .loc 1 262 0 + 339 0070 1970 strb r1, [r3, #0] + 263:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Enable the SIE with a last address */ + 264:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_CR0_REG |= USBFS_CR0_ENABLE; + 340 .loc 1 264 0 + 341 0072 13F8202C ldrb r2, [r3, #-32] @ zero_extendqisi2 + 342 0076 42F08000 orr r0, r2, #128 + 343 007a 03F8200C strb r0, [r3, #-32] + 265:.\Generated_Source\PSoC5/USBFS_pm.c **** CyDelayCycles(1u); + 344 .loc 1 265 0 + 345 007e 0120 movs r0, #1 + 346 0080 FFF7FEFF bl CyDelayCycles + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 12 + + + 347 .LVL19: + 266:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Finally, Enable d+ pullup and select iomode to USB mode*/ + 267:.\Generated_Source\PSoC5/USBFS_pm.c **** CY_SET_REG8(USBFS_USBIO_CR1_PTR, USBFS_USBIO_CR1_USBPUEN); + 348 .loc 1 267 0 + 349 0084 0B4B ldr r3, .L20+28 + 350 0086 0421 movs r1, #4 + 351 0088 1970 strb r1, [r3, #0] + 268:.\Generated_Source\PSoC5/USBFS_pm.c **** + 269:.\Generated_Source\PSoC5/USBFS_pm.c **** /* Restore USB register settings */ + 270:.\Generated_Source\PSoC5/USBFS_pm.c **** USBFS_RestoreConfig(); + 352 .loc 1 270 0 + 353 008a FFF7FEFF bl USBFS_RestoreConfig + 354 .LVL20: + 355 .L16: + 271:.\Generated_Source\PSoC5/USBFS_pm.c **** + 272:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 273:.\Generated_Source\PSoC5/USBFS_pm.c **** CyExitCriticalSection(enableInterrupts); + 356 .loc 1 273 0 + 357 008e 3046 mov r0, r6 + 274:.\Generated_Source\PSoC5/USBFS_pm.c **** } + 358 .loc 1 274 0 + 359 0090 BDE87040 pop {r4, r5, r6, lr} + 273:.\Generated_Source\PSoC5/USBFS_pm.c **** CyExitCriticalSection(enableInterrupts); + 360 .loc 1 273 0 + 361 0094 FFF7FEBF b CyExitCriticalSection + 362 .LVL21: + 363 .L21: + 364 .align 2 + 365 .L20: + 366 0098 00000000 .word .LANCHOR0 + 367 009c 80E100E0 .word -536813184 + 368 00a0 A5430040 .word 1073759141 + 369 00a4 9D600040 .word 1073766557 + 370 00a8 94430040 .word 1073759124 + 371 00ac 09600040 .word 1073766409 + 372 00b0 28600040 .word 1073766440 + 373 00b4 12600040 .word 1073766418 + 374 .cfi_endproc + 375 .LFE60: + 376 .size USBFS_Resume, .-USBFS_Resume + 377 .bss + 378 .set .LANCHOR0,. + 0 + 379 .type USBFS_backup, %object + 380 .size USBFS_backup, 2 + 381 USBFS_backup: + 382 0000 0000 .space 2 + 383 .text + 384 .Letext0: + 385 .file 2 "c:\\program files (x86)\\cypress\\psoc creator\\3.0\\psoc creator\\import\\gnu_cs\\arm\\4 + 386 .file 3 "./Generated_Source/PSoC5/cytypes.h" + 387 .file 4 "./Generated_Source/PSoC5/USBFS.h" + 388 .file 5 "./Generated_Source/PSoC5/core_cm3.h" + 389 .file 6 "./Generated_Source/PSoC5/CyLib.h" + 390 .file 7 "./Generated_Source/PSoC5/USBFS_pvt.h" + 391 .section .debug_info,"",%progbits + 392 .Ldebug_info0: + 393 0000 7D030000 .4byte 0x37d + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 13 + + + 394 0004 0200 .2byte 0x2 + 395 0006 00000000 .4byte .Ldebug_abbrev0 + 396 000a 04 .byte 0x4 + 397 000b 01 .uleb128 0x1 + 398 000c E2010000 .4byte .LASF35 + 399 0010 01 .byte 0x1 + 400 0011 B8010000 .4byte .LASF36 + 401 0015 2B020000 .4byte .LASF37 + 402 0019 00000000 .4byte .Ldebug_ranges0+0 + 403 001d 00000000 .4byte 0 + 404 0021 00000000 .4byte 0 + 405 0025 00000000 .4byte .Ldebug_line0 + 406 0029 02 .uleb128 0x2 + 407 002a 01 .byte 0x1 + 408 002b 06 .byte 0x6 + 409 002c 6D020000 .4byte .LASF0 + 410 0030 02 .uleb128 0x2 + 411 0031 01 .byte 0x1 + 412 0032 08 .byte 0x8 + 413 0033 75000000 .4byte .LASF1 + 414 0037 02 .uleb128 0x2 + 415 0038 02 .byte 0x2 + 416 0039 05 .byte 0x5 + 417 003a AE010000 .4byte .LASF2 + 418 003e 02 .uleb128 0x2 + 419 003f 02 .byte 0x2 + 420 0040 07 .byte 0x7 + 421 0041 38000000 .4byte .LASF3 + 422 0045 03 .uleb128 0x3 + 423 0046 76010000 .4byte .LASF9 + 424 004a 02 .byte 0x2 + 425 004b 4F .byte 0x4f + 426 004c 50000000 .4byte 0x50 + 427 0050 02 .uleb128 0x2 + 428 0051 04 .byte 0x4 + 429 0052 05 .byte 0x5 + 430 0053 58020000 .4byte .LASF4 + 431 0057 02 .uleb128 0x2 + 432 0058 04 .byte 0x4 + 433 0059 07 .byte 0x7 + 434 005a 88000000 .4byte .LASF5 + 435 005e 02 .uleb128 0x2 + 436 005f 08 .byte 0x8 + 437 0060 05 .byte 0x5 + 438 0061 87010000 .4byte .LASF6 + 439 0065 02 .uleb128 0x2 + 440 0066 08 .byte 0x8 + 441 0067 07 .byte 0x7 + 442 0068 28010000 .4byte .LASF7 + 443 006c 04 .uleb128 0x4 + 444 006d 04 .byte 0x4 + 445 006e 05 .byte 0x5 + 446 006f 696E7400 .ascii "int\000" + 447 0073 02 .uleb128 0x2 + 448 0074 04 .byte 0x4 + 449 0075 07 .byte 0x7 + 450 0076 1B010000 .4byte .LASF8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 14 + + + 451 007a 03 .uleb128 0x3 + 452 007b DC010000 .4byte .LASF10 + 453 007f 03 .byte 0x3 + 454 0080 5B .byte 0x5b + 455 0081 30000000 .4byte 0x30 + 456 0085 03 .uleb128 0x3 + 457 0086 CC000000 .4byte .LASF11 + 458 008a 03 .byte 0x3 + 459 008b 5C .byte 0x5c + 460 008c 3E000000 .4byte 0x3e + 461 0090 03 .uleb128 0x3 + 462 0091 EE000000 .4byte .LASF12 + 463 0095 03 .byte 0x3 + 464 0096 5D .byte 0x5d + 465 0097 57000000 .4byte 0x57 + 466 009b 02 .uleb128 0x2 + 467 009c 04 .byte 0x4 + 468 009d 04 .byte 0x4 + 469 009e 5B000000 .4byte .LASF13 + 470 00a2 02 .uleb128 0x2 + 471 00a3 08 .byte 0x8 + 472 00a4 04 .byte 0x4 + 473 00a5 C5000000 .4byte .LASF14 + 474 00a9 02 .uleb128 0x2 + 475 00aa 01 .byte 0x1 + 476 00ab 08 .byte 0x8 + 477 00ac 95010000 .4byte .LASF15 + 478 00b0 03 .uleb128 0x3 + 479 00b1 83000000 .4byte .LASF16 + 480 00b5 03 .byte 0x3 + 481 00b6 F0 .byte 0xf0 + 482 00b7 BB000000 .4byte 0xbb + 483 00bb 05 .uleb128 0x5 + 484 00bc 7A000000 .4byte 0x7a + 485 00c0 03 .uleb128 0x3 + 486 00c1 0E000000 .4byte .LASF17 + 487 00c5 03 .byte 0x3 + 488 00c6 F2 .byte 0xf2 + 489 00c7 CB000000 .4byte 0xcb + 490 00cb 05 .uleb128 0x5 + 491 00cc 90000000 .4byte 0x90 + 492 00d0 06 .uleb128 0x6 + 493 00d1 69010000 .4byte .LASF18 + 494 00d5 03 .byte 0x3 + 495 00d6 0201 .2byte 0x102 + 496 00d8 DC000000 .4byte 0xdc + 497 00dc 07 .uleb128 0x7 + 498 00dd 04 .byte 0x4 + 499 00de E2000000 .4byte 0xe2 + 500 00e2 08 .uleb128 0x8 + 501 00e3 01 .byte 0x1 + 502 00e4 02 .uleb128 0x2 + 503 00e5 04 .byte 0x4 + 504 00e6 07 .byte 0x7 + 505 00e7 7E010000 .4byte .LASF19 + 506 00eb 09 .uleb128 0x9 + 507 00ec 02 .byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 15 + + + 508 00ed 04 .byte 0x4 + 509 00ee A5 .byte 0xa5 + 510 00ef 10010000 .4byte 0x110 + 511 00f3 0A .uleb128 0xa + 512 00f4 61020000 .4byte .LASF20 + 513 00f8 04 .byte 0x4 + 514 00f9 A7 .byte 0xa7 + 515 00fa 7A000000 .4byte 0x7a + 516 00fe 02 .byte 0x2 + 517 00ff 23 .byte 0x23 + 518 0100 00 .uleb128 0 + 519 0101 0A .uleb128 0xa + 520 0102 50010000 .4byte .LASF21 + 521 0106 04 .byte 0x4 + 522 0107 A8 .byte 0xa8 + 523 0108 7A000000 .4byte 0x7a + 524 010c 02 .byte 0x2 + 525 010d 23 .byte 0x23 + 526 010e 01 .uleb128 0x1 + 527 010f 00 .byte 0 + 528 0110 03 .uleb128 0x3 + 529 0111 55010000 .4byte .LASF22 + 530 0115 04 .byte 0x4 + 531 0116 A9 .byte 0xa9 + 532 0117 EB000000 .4byte 0xeb + 533 011b 0B .uleb128 0xb + 534 011c 01 .byte 0x1 + 535 011d 79020000 .4byte .LASF23 + 536 0121 01 .byte 0x1 + 537 0122 37 .byte 0x37 + 538 0123 01 .byte 0x1 + 539 0124 00000000 .4byte .LFB56 + 540 0128 0C000000 .4byte .LFE56 + 541 012c 02 .byte 0x2 + 542 012d 7D .byte 0x7d + 543 012e 00 .sleb128 0 + 544 012f 01 .byte 0x1 + 545 0130 0B .uleb128 0xb + 546 0131 01 .byte 0x1 + 547 0132 D3000000 .4byte .LASF24 + 548 0136 01 .byte 0x1 + 549 0137 55 .byte 0x55 + 550 0138 01 .byte 0x1 + 551 0139 00000000 .4byte .LFB57 + 552 013d 02000000 .4byte .LFE57 + 553 0141 02 .byte 0x2 + 554 0142 7D .byte 0x7d + 555 0143 00 .sleb128 0 + 556 0144 01 .byte 0x1 + 557 0145 0C .uleb128 0xc + 558 0146 01 .byte 0x1 + 559 0147 9A010000 .4byte .LASF25 + 560 014b 01 .byte 0x1 + 561 014c 6C .byte 0x6c + 562 014d 01 .byte 0x1 + 563 014e 00000000 .4byte .LFB58 + 564 0152 10000000 .4byte .LFE58 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 16 + + + 565 0156 02 .byte 0x2 + 566 0157 7D .byte 0x7d + 567 0158 00 .sleb128 0 + 568 0159 01 .byte 0x1 + 569 015a 69010000 .4byte 0x169 + 570 015e 0D .uleb128 0xd + 571 015f 0A000000 .4byte .LVL0 + 572 0163 01 .byte 0x1 + 573 0164 FA020000 .4byte 0x2fa + 574 0168 00 .byte 0 + 575 0169 0E .uleb128 0xe + 576 016a 01 .byte 0x1 + 577 016b 14000000 .4byte .LASF26 + 578 016f 01 .byte 0x1 + 579 0170 89 .byte 0x89 + 580 0171 01 .byte 0x1 + 581 0172 00000000 .4byte .LFB59 + 582 0176 D4000000 .4byte .LFE59 + 583 017a 00000000 .4byte .LLST0 + 584 017e 01 .byte 0x1 + 585 017f 2E020000 .4byte 0x22e + 586 0183 0F .uleb128 0xf + 587 0184 B4000000 .4byte .LASF28 + 588 0188 01 .byte 0x1 + 589 0189 8B .byte 0x8b + 590 018a 7A000000 .4byte 0x7a + 591 018e 20000000 .4byte .LLST1 + 592 0192 10 .uleb128 0x10 + 593 0193 08000000 .4byte .LVL1 + 594 0197 04030000 .4byte 0x304 + 595 019b 11 .uleb128 0x11 + 596 019c 2C000000 .4byte .LVL4 + 597 01a0 12030000 .4byte 0x312 + 598 01a4 AE010000 .4byte 0x1ae + 599 01a8 12 .uleb128 0x12 + 600 01a9 01 .byte 0x1 + 601 01aa 50 .byte 0x50 + 602 01ab 01 .byte 0x1 + 603 01ac 30 .byte 0x30 + 604 01ad 00 .byte 0 + 605 01ae 11 .uleb128 0x11 + 606 01af 42000000 .4byte .LVL5 + 607 01b3 12030000 .4byte 0x312 + 608 01b7 C1010000 .4byte 0x1c1 + 609 01bb 12 .uleb128 0x12 + 610 01bc 01 .byte 0x1 + 611 01bd 50 .byte 0x50 + 612 01be 01 .byte 0x1 + 613 01bf 30 .byte 0x30 + 614 01c0 00 .byte 0 + 615 01c1 11 .uleb128 0x11 + 616 01c2 58000000 .4byte .LVL6 + 617 01c6 12030000 .4byte 0x312 + 618 01ca D5010000 .4byte 0x1d5 + 619 01ce 12 .uleb128 0x12 + 620 01cf 01 .byte 0x1 + 621 01d0 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 17 + + + 622 01d1 02 .byte 0x2 + 623 01d2 76 .byte 0x76 + 624 01d3 00 .sleb128 0 + 625 01d4 00 .byte 0 + 626 01d5 11 .uleb128 0x11 + 627 01d6 82000000 .4byte .LVL7 + 628 01da 12030000 .4byte 0x312 + 629 01de E9010000 .4byte 0x1e9 + 630 01e2 12 .uleb128 0x12 + 631 01e3 01 .byte 0x1 + 632 01e4 50 .byte 0x50 + 633 01e5 02 .byte 0x2 + 634 01e6 76 .byte 0x76 + 635 01e7 00 .sleb128 0 + 636 01e8 00 .byte 0 + 637 01e9 11 .uleb128 0x11 + 638 01ea 8E000000 .4byte .LVL10 + 639 01ee 26030000 .4byte 0x326 + 640 01f2 FD010000 .4byte 0x1fd + 641 01f6 12 .uleb128 0x12 + 642 01f7 01 .byte 0x1 + 643 01f8 50 .byte 0x50 + 644 01f9 02 .byte 0x2 + 645 01fa 78 .byte 0x78 + 646 01fb 00 .sleb128 0 + 647 01fc 00 .byte 0 + 648 01fd 11 .uleb128 0x11 + 649 01fe 96000000 .4byte .LVL11 + 650 0202 3A030000 .4byte 0x33a + 651 0206 19020000 .4byte 0x219 + 652 020a 12 .uleb128 0x12 + 653 020b 01 .byte 0x1 + 654 020c 51 .byte 0x51 + 655 020d 05 .byte 0x5 + 656 020e 03 .byte 0x3 + 657 020f 00000000 .4byte USBFS_DP_ISR + 658 0213 12 .uleb128 0x12 + 659 0214 01 .byte 0x1 + 660 0215 50 .byte 0x50 + 661 0216 01 .byte 0x1 + 662 0217 3C .byte 0x3c + 663 0218 00 .byte 0 + 664 0219 13 .uleb128 0x13 + 665 021a 9E000000 .4byte .LVL12 + 666 021e 57030000 .4byte 0x357 + 667 0222 12 .uleb128 0x12 + 668 0223 01 .byte 0x1 + 669 0224 51 .byte 0x51 + 670 0225 01 .byte 0x1 + 671 0226 37 .byte 0x37 + 672 0227 12 .uleb128 0x12 + 673 0228 01 .byte 0x1 + 674 0229 50 .byte 0x50 + 675 022a 01 .byte 0x1 + 676 022b 3C .byte 0x3c + 677 022c 00 .byte 0 + 678 022d 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 18 + + + 679 022e 0E .uleb128 0xe + 680 022f 01 .byte 0x1 + 681 0230 4B020000 .4byte .LASF27 + 682 0234 01 .byte 0x1 + 683 0235 D9 .byte 0xd9 + 684 0236 01 .byte 0x1 + 685 0237 00000000 .4byte .LFB60 + 686 023b B8000000 .4byte .LFE60 + 687 023f 54000000 .4byte .LLST2 + 688 0243 01 .byte 0x1 + 689 0244 C8020000 .4byte 0x2c8 + 690 0248 0F .uleb128 0xf + 691 0249 B4000000 .4byte .LASF28 + 692 024d 01 .byte 0x1 + 693 024e DB .byte 0xdb + 694 024f 7A000000 .4byte 0x7a + 695 0253 74000000 .4byte .LLST3 + 696 0257 10 .uleb128 0x10 + 697 0258 06000000 .4byte .LVL13 + 698 025c 04030000 .4byte 0x304 + 699 0260 11 .uleb128 0x11 + 700 0261 44000000 .4byte .LVL16 + 701 0265 12030000 .4byte 0x312 + 702 0269 74020000 .4byte 0x274 + 703 026d 12 .uleb128 0x12 + 704 026e 01 .byte 0x1 + 705 026f 50 .byte 0x50 + 706 0270 02 .byte 0x2 + 707 0271 08 .byte 0x8 + 708 0272 28 .byte 0x28 + 709 0273 00 .byte 0 + 710 0274 11 .uleb128 0x11 + 711 0275 56000000 .4byte .LVL17 + 712 0279 12030000 .4byte 0x312 + 713 027d 87020000 .4byte 0x287 + 714 0281 12 .uleb128 0x12 + 715 0282 01 .byte 0x1 + 716 0283 50 .byte 0x50 + 717 0284 01 .byte 0x1 + 718 0285 30 .byte 0x30 + 719 0286 00 .byte 0 + 720 0287 11 .uleb128 0x11 + 721 0288 64000000 .4byte .LVL18 + 722 028c 12030000 .4byte 0x312 + 723 0290 9A020000 .4byte 0x29a + 724 0294 12 .uleb128 0x12 + 725 0295 01 .byte 0x1 + 726 0296 50 .byte 0x50 + 727 0297 01 .byte 0x1 + 728 0298 32 .byte 0x32 + 729 0299 00 .byte 0 + 730 029a 11 .uleb128 0x11 + 731 029b 84000000 .4byte .LVL19 + 732 029f 70030000 .4byte 0x370 + 733 02a3 AD020000 .4byte 0x2ad + 734 02a7 12 .uleb128 0x12 + 735 02a8 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 19 + + + 736 02a9 50 .byte 0x50 + 737 02aa 01 .byte 0x1 + 738 02ab 31 .byte 0x31 + 739 02ac 00 .byte 0 + 740 02ad 10 .uleb128 0x10 + 741 02ae 8E000000 .4byte .LVL20 + 742 02b2 45010000 .4byte 0x145 + 743 02b6 14 .uleb128 0x14 + 744 02b7 98000000 .4byte .LVL21 + 745 02bb 01 .byte 0x1 + 746 02bc 26030000 .4byte 0x326 + 747 02c0 12 .uleb128 0x12 + 748 02c1 01 .byte 0x1 + 749 02c2 50 .byte 0x50 + 750 02c3 02 .byte 0x2 + 751 02c4 76 .byte 0x76 + 752 02c5 00 .sleb128 0 + 753 02c6 00 .byte 0 + 754 02c7 00 .byte 0 + 755 02c8 15 .uleb128 0x15 + 756 02c9 9A000000 .4byte .LASF29 + 757 02cd 01 .byte 0x1 + 758 02ce 22 .byte 0x22 + 759 02cf 10010000 .4byte 0x110 + 760 02d3 05 .byte 0x5 + 761 02d4 03 .byte 0x3 + 762 02d5 00000000 .4byte USBFS_backup + 763 02d9 16 .uleb128 0x16 + 764 02da 61000000 .4byte .LASF30 + 765 02de 04 .byte 0x4 + 766 02df 1A02 .2byte 0x21a + 767 02e1 BB000000 .4byte 0xbb + 768 02e5 01 .byte 0x1 + 769 02e6 01 .byte 0x1 + 770 02e7 16 .uleb128 0x16 + 771 02e8 A7000000 .4byte .LASF31 + 772 02ec 05 .byte 0x5 + 773 02ed 1606 .2byte 0x616 + 774 02ef F5020000 .4byte 0x2f5 + 775 02f3 01 .byte 0x1 + 776 02f4 01 .byte 0x1 + 777 02f5 05 .uleb128 0x5 + 778 02f6 45000000 .4byte 0x45 + 779 02fa 17 .uleb128 0x17 + 780 02fb 01 .byte 0x1 + 781 02fc 4B000000 .4byte .LASF38 + 782 0300 07 .byte 0x7 + 783 0301 6B .byte 0x6b + 784 0302 01 .byte 0x1 + 785 0303 01 .byte 0x1 + 786 0304 18 .uleb128 0x18 + 787 0305 01 .byte 0x1 + 788 0306 F5000000 .4byte .LASF39 + 789 030a 06 .byte 0x6 + 790 030b 7E .byte 0x7e + 791 030c 01 .byte 0x1 + 792 030d 7A000000 .4byte 0x7a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 20 + + + 793 0311 01 .byte 0x1 + 794 0312 19 .uleb128 0x19 + 795 0313 01 .byte 0x1 + 796 0314 E4000000 .4byte .LASF32 + 797 0318 06 .byte 0x6 + 798 0319 78 .byte 0x78 + 799 031a 01 .byte 0x1 + 800 031b 01 .byte 0x1 + 801 031c 26030000 .4byte 0x326 + 802 0320 1A .uleb128 0x1a + 803 0321 85000000 .4byte 0x85 + 804 0325 00 .byte 0 + 805 0326 19 .uleb128 0x19 + 806 0327 01 .byte 0x1 + 807 0328 22000000 .4byte .LASF33 + 808 032c 06 .byte 0x6 + 809 032d 7F .byte 0x7f + 810 032e 01 .byte 0x1 + 811 032f 01 .byte 0x1 + 812 0330 3A030000 .4byte 0x33a + 813 0334 1A .uleb128 0x1a + 814 0335 7A000000 .4byte 0x7a + 815 0339 00 .byte 0 + 816 033a 1B .uleb128 0x1b + 817 033b 01 .byte 0x1 + 818 033c 0C010000 .4byte .LASF40 + 819 0340 06 .byte 0x6 + 820 0341 89 .byte 0x89 + 821 0342 01 .byte 0x1 + 822 0343 D0000000 .4byte 0xd0 + 823 0347 01 .byte 0x1 + 824 0348 57030000 .4byte 0x357 + 825 034c 1A .uleb128 0x1a + 826 034d 7A000000 .4byte 0x7a + 827 0351 1A .uleb128 0x1a + 828 0352 D0000000 .4byte 0xd0 + 829 0356 00 .byte 0 + 830 0357 19 .uleb128 0x19 + 831 0358 01 .byte 0x1 + 832 0359 3F010000 .4byte .LASF34 + 833 035d 06 .byte 0x6 + 834 035e 8C .byte 0x8c + 835 035f 01 .byte 0x1 + 836 0360 01 .byte 0x1 + 837 0361 70030000 .4byte 0x370 + 838 0365 1A .uleb128 0x1a + 839 0366 7A000000 .4byte 0x7a + 840 036a 1A .uleb128 0x1a + 841 036b 7A000000 .4byte 0x7a + 842 036f 00 .byte 0 + 843 0370 1C .uleb128 0x1c + 844 0371 01 .byte 0x1 + 845 0372 00000000 .4byte .LASF41 + 846 0376 06 .byte 0x6 + 847 0377 7A .byte 0x7a + 848 0378 01 .byte 0x1 + 849 0379 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 21 + + + 850 037a 1A .uleb128 0x1a + 851 037b 90000000 .4byte 0x90 + 852 037f 00 .byte 0 + 853 0380 00 .byte 0 + 854 .section .debug_abbrev,"",%progbits + 855 .Ldebug_abbrev0: + 856 0000 01 .uleb128 0x1 + 857 0001 11 .uleb128 0x11 + 858 0002 01 .byte 0x1 + 859 0003 25 .uleb128 0x25 + 860 0004 0E .uleb128 0xe + 861 0005 13 .uleb128 0x13 + 862 0006 0B .uleb128 0xb + 863 0007 03 .uleb128 0x3 + 864 0008 0E .uleb128 0xe + 865 0009 1B .uleb128 0x1b + 866 000a 0E .uleb128 0xe + 867 000b 55 .uleb128 0x55 + 868 000c 06 .uleb128 0x6 + 869 000d 11 .uleb128 0x11 + 870 000e 01 .uleb128 0x1 + 871 000f 52 .uleb128 0x52 + 872 0010 01 .uleb128 0x1 + 873 0011 10 .uleb128 0x10 + 874 0012 06 .uleb128 0x6 + 875 0013 00 .byte 0 + 876 0014 00 .byte 0 + 877 0015 02 .uleb128 0x2 + 878 0016 24 .uleb128 0x24 + 879 0017 00 .byte 0 + 880 0018 0B .uleb128 0xb + 881 0019 0B .uleb128 0xb + 882 001a 3E .uleb128 0x3e + 883 001b 0B .uleb128 0xb + 884 001c 03 .uleb128 0x3 + 885 001d 0E .uleb128 0xe + 886 001e 00 .byte 0 + 887 001f 00 .byte 0 + 888 0020 03 .uleb128 0x3 + 889 0021 16 .uleb128 0x16 + 890 0022 00 .byte 0 + 891 0023 03 .uleb128 0x3 + 892 0024 0E .uleb128 0xe + 893 0025 3A .uleb128 0x3a + 894 0026 0B .uleb128 0xb + 895 0027 3B .uleb128 0x3b + 896 0028 0B .uleb128 0xb + 897 0029 49 .uleb128 0x49 + 898 002a 13 .uleb128 0x13 + 899 002b 00 .byte 0 + 900 002c 00 .byte 0 + 901 002d 04 .uleb128 0x4 + 902 002e 24 .uleb128 0x24 + 903 002f 00 .byte 0 + 904 0030 0B .uleb128 0xb + 905 0031 0B .uleb128 0xb + 906 0032 3E .uleb128 0x3e + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 22 + + + 907 0033 0B .uleb128 0xb + 908 0034 03 .uleb128 0x3 + 909 0035 08 .uleb128 0x8 + 910 0036 00 .byte 0 + 911 0037 00 .byte 0 + 912 0038 05 .uleb128 0x5 + 913 0039 35 .uleb128 0x35 + 914 003a 00 .byte 0 + 915 003b 49 .uleb128 0x49 + 916 003c 13 .uleb128 0x13 + 917 003d 00 .byte 0 + 918 003e 00 .byte 0 + 919 003f 06 .uleb128 0x6 + 920 0040 16 .uleb128 0x16 + 921 0041 00 .byte 0 + 922 0042 03 .uleb128 0x3 + 923 0043 0E .uleb128 0xe + 924 0044 3A .uleb128 0x3a + 925 0045 0B .uleb128 0xb + 926 0046 3B .uleb128 0x3b + 927 0047 05 .uleb128 0x5 + 928 0048 49 .uleb128 0x49 + 929 0049 13 .uleb128 0x13 + 930 004a 00 .byte 0 + 931 004b 00 .byte 0 + 932 004c 07 .uleb128 0x7 + 933 004d 0F .uleb128 0xf + 934 004e 00 .byte 0 + 935 004f 0B .uleb128 0xb + 936 0050 0B .uleb128 0xb + 937 0051 49 .uleb128 0x49 + 938 0052 13 .uleb128 0x13 + 939 0053 00 .byte 0 + 940 0054 00 .byte 0 + 941 0055 08 .uleb128 0x8 + 942 0056 15 .uleb128 0x15 + 943 0057 00 .byte 0 + 944 0058 27 .uleb128 0x27 + 945 0059 0C .uleb128 0xc + 946 005a 00 .byte 0 + 947 005b 00 .byte 0 + 948 005c 09 .uleb128 0x9 + 949 005d 13 .uleb128 0x13 + 950 005e 01 .byte 0x1 + 951 005f 0B .uleb128 0xb + 952 0060 0B .uleb128 0xb + 953 0061 3A .uleb128 0x3a + 954 0062 0B .uleb128 0xb + 955 0063 3B .uleb128 0x3b + 956 0064 0B .uleb128 0xb + 957 0065 01 .uleb128 0x1 + 958 0066 13 .uleb128 0x13 + 959 0067 00 .byte 0 + 960 0068 00 .byte 0 + 961 0069 0A .uleb128 0xa + 962 006a 0D .uleb128 0xd + 963 006b 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 23 + + + 964 006c 03 .uleb128 0x3 + 965 006d 0E .uleb128 0xe + 966 006e 3A .uleb128 0x3a + 967 006f 0B .uleb128 0xb + 968 0070 3B .uleb128 0x3b + 969 0071 0B .uleb128 0xb + 970 0072 49 .uleb128 0x49 + 971 0073 13 .uleb128 0x13 + 972 0074 38 .uleb128 0x38 + 973 0075 0A .uleb128 0xa + 974 0076 00 .byte 0 + 975 0077 00 .byte 0 + 976 0078 0B .uleb128 0xb + 977 0079 2E .uleb128 0x2e + 978 007a 00 .byte 0 + 979 007b 3F .uleb128 0x3f + 980 007c 0C .uleb128 0xc + 981 007d 03 .uleb128 0x3 + 982 007e 0E .uleb128 0xe + 983 007f 3A .uleb128 0x3a + 984 0080 0B .uleb128 0xb + 985 0081 3B .uleb128 0x3b + 986 0082 0B .uleb128 0xb + 987 0083 27 .uleb128 0x27 + 988 0084 0C .uleb128 0xc + 989 0085 11 .uleb128 0x11 + 990 0086 01 .uleb128 0x1 + 991 0087 12 .uleb128 0x12 + 992 0088 01 .uleb128 0x1 + 993 0089 40 .uleb128 0x40 + 994 008a 0A .uleb128 0xa + 995 008b 9742 .uleb128 0x2117 + 996 008d 0C .uleb128 0xc + 997 008e 00 .byte 0 + 998 008f 00 .byte 0 + 999 0090 0C .uleb128 0xc + 1000 0091 2E .uleb128 0x2e + 1001 0092 01 .byte 0x1 + 1002 0093 3F .uleb128 0x3f + 1003 0094 0C .uleb128 0xc + 1004 0095 03 .uleb128 0x3 + 1005 0096 0E .uleb128 0xe + 1006 0097 3A .uleb128 0x3a + 1007 0098 0B .uleb128 0xb + 1008 0099 3B .uleb128 0x3b + 1009 009a 0B .uleb128 0xb + 1010 009b 27 .uleb128 0x27 + 1011 009c 0C .uleb128 0xc + 1012 009d 11 .uleb128 0x11 + 1013 009e 01 .uleb128 0x1 + 1014 009f 12 .uleb128 0x12 + 1015 00a0 01 .uleb128 0x1 + 1016 00a1 40 .uleb128 0x40 + 1017 00a2 0A .uleb128 0xa + 1018 00a3 9742 .uleb128 0x2117 + 1019 00a5 0C .uleb128 0xc + 1020 00a6 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 24 + + + 1021 00a7 13 .uleb128 0x13 + 1022 00a8 00 .byte 0 + 1023 00a9 00 .byte 0 + 1024 00aa 0D .uleb128 0xd + 1025 00ab 898201 .uleb128 0x4109 + 1026 00ae 00 .byte 0 + 1027 00af 11 .uleb128 0x11 + 1028 00b0 01 .uleb128 0x1 + 1029 00b1 9542 .uleb128 0x2115 + 1030 00b3 0C .uleb128 0xc + 1031 00b4 31 .uleb128 0x31 + 1032 00b5 13 .uleb128 0x13 + 1033 00b6 00 .byte 0 + 1034 00b7 00 .byte 0 + 1035 00b8 0E .uleb128 0xe + 1036 00b9 2E .uleb128 0x2e + 1037 00ba 01 .byte 0x1 + 1038 00bb 3F .uleb128 0x3f + 1039 00bc 0C .uleb128 0xc + 1040 00bd 03 .uleb128 0x3 + 1041 00be 0E .uleb128 0xe + 1042 00bf 3A .uleb128 0x3a + 1043 00c0 0B .uleb128 0xb + 1044 00c1 3B .uleb128 0x3b + 1045 00c2 0B .uleb128 0xb + 1046 00c3 27 .uleb128 0x27 + 1047 00c4 0C .uleb128 0xc + 1048 00c5 11 .uleb128 0x11 + 1049 00c6 01 .uleb128 0x1 + 1050 00c7 12 .uleb128 0x12 + 1051 00c8 01 .uleb128 0x1 + 1052 00c9 40 .uleb128 0x40 + 1053 00ca 06 .uleb128 0x6 + 1054 00cb 9742 .uleb128 0x2117 + 1055 00cd 0C .uleb128 0xc + 1056 00ce 01 .uleb128 0x1 + 1057 00cf 13 .uleb128 0x13 + 1058 00d0 00 .byte 0 + 1059 00d1 00 .byte 0 + 1060 00d2 0F .uleb128 0xf + 1061 00d3 34 .uleb128 0x34 + 1062 00d4 00 .byte 0 + 1063 00d5 03 .uleb128 0x3 + 1064 00d6 0E .uleb128 0xe + 1065 00d7 3A .uleb128 0x3a + 1066 00d8 0B .uleb128 0xb + 1067 00d9 3B .uleb128 0x3b + 1068 00da 0B .uleb128 0xb + 1069 00db 49 .uleb128 0x49 + 1070 00dc 13 .uleb128 0x13 + 1071 00dd 02 .uleb128 0x2 + 1072 00de 06 .uleb128 0x6 + 1073 00df 00 .byte 0 + 1074 00e0 00 .byte 0 + 1075 00e1 10 .uleb128 0x10 + 1076 00e2 898201 .uleb128 0x4109 + 1077 00e5 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 25 + + + 1078 00e6 11 .uleb128 0x11 + 1079 00e7 01 .uleb128 0x1 + 1080 00e8 31 .uleb128 0x31 + 1081 00e9 13 .uleb128 0x13 + 1082 00ea 00 .byte 0 + 1083 00eb 00 .byte 0 + 1084 00ec 11 .uleb128 0x11 + 1085 00ed 898201 .uleb128 0x4109 + 1086 00f0 01 .byte 0x1 + 1087 00f1 11 .uleb128 0x11 + 1088 00f2 01 .uleb128 0x1 + 1089 00f3 31 .uleb128 0x31 + 1090 00f4 13 .uleb128 0x13 + 1091 00f5 01 .uleb128 0x1 + 1092 00f6 13 .uleb128 0x13 + 1093 00f7 00 .byte 0 + 1094 00f8 00 .byte 0 + 1095 00f9 12 .uleb128 0x12 + 1096 00fa 8A8201 .uleb128 0x410a + 1097 00fd 00 .byte 0 + 1098 00fe 02 .uleb128 0x2 + 1099 00ff 0A .uleb128 0xa + 1100 0100 9142 .uleb128 0x2111 + 1101 0102 0A .uleb128 0xa + 1102 0103 00 .byte 0 + 1103 0104 00 .byte 0 + 1104 0105 13 .uleb128 0x13 + 1105 0106 898201 .uleb128 0x4109 + 1106 0109 01 .byte 0x1 + 1107 010a 11 .uleb128 0x11 + 1108 010b 01 .uleb128 0x1 + 1109 010c 31 .uleb128 0x31 + 1110 010d 13 .uleb128 0x13 + 1111 010e 00 .byte 0 + 1112 010f 00 .byte 0 + 1113 0110 14 .uleb128 0x14 + 1114 0111 898201 .uleb128 0x4109 + 1115 0114 01 .byte 0x1 + 1116 0115 11 .uleb128 0x11 + 1117 0116 01 .uleb128 0x1 + 1118 0117 9542 .uleb128 0x2115 + 1119 0119 0C .uleb128 0xc + 1120 011a 31 .uleb128 0x31 + 1121 011b 13 .uleb128 0x13 + 1122 011c 00 .byte 0 + 1123 011d 00 .byte 0 + 1124 011e 15 .uleb128 0x15 + 1125 011f 34 .uleb128 0x34 + 1126 0120 00 .byte 0 + 1127 0121 03 .uleb128 0x3 + 1128 0122 0E .uleb128 0xe + 1129 0123 3A .uleb128 0x3a + 1130 0124 0B .uleb128 0xb + 1131 0125 3B .uleb128 0x3b + 1132 0126 0B .uleb128 0xb + 1133 0127 49 .uleb128 0x49 + 1134 0128 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 26 + + + 1135 0129 02 .uleb128 0x2 + 1136 012a 0A .uleb128 0xa + 1137 012b 00 .byte 0 + 1138 012c 00 .byte 0 + 1139 012d 16 .uleb128 0x16 + 1140 012e 34 .uleb128 0x34 + 1141 012f 00 .byte 0 + 1142 0130 03 .uleb128 0x3 + 1143 0131 0E .uleb128 0xe + 1144 0132 3A .uleb128 0x3a + 1145 0133 0B .uleb128 0xb + 1146 0134 3B .uleb128 0x3b + 1147 0135 05 .uleb128 0x5 + 1148 0136 49 .uleb128 0x49 + 1149 0137 13 .uleb128 0x13 + 1150 0138 3F .uleb128 0x3f + 1151 0139 0C .uleb128 0xc + 1152 013a 3C .uleb128 0x3c + 1153 013b 0C .uleb128 0xc + 1154 013c 00 .byte 0 + 1155 013d 00 .byte 0 + 1156 013e 17 .uleb128 0x17 + 1157 013f 2E .uleb128 0x2e + 1158 0140 00 .byte 0 + 1159 0141 3F .uleb128 0x3f + 1160 0142 0C .uleb128 0xc + 1161 0143 03 .uleb128 0x3 + 1162 0144 0E .uleb128 0xe + 1163 0145 3A .uleb128 0x3a + 1164 0146 0B .uleb128 0xb + 1165 0147 3B .uleb128 0x3b + 1166 0148 0B .uleb128 0xb + 1167 0149 27 .uleb128 0x27 + 1168 014a 0C .uleb128 0xc + 1169 014b 3C .uleb128 0x3c + 1170 014c 0C .uleb128 0xc + 1171 014d 00 .byte 0 + 1172 014e 00 .byte 0 + 1173 014f 18 .uleb128 0x18 + 1174 0150 2E .uleb128 0x2e + 1175 0151 00 .byte 0 + 1176 0152 3F .uleb128 0x3f + 1177 0153 0C .uleb128 0xc + 1178 0154 03 .uleb128 0x3 + 1179 0155 0E .uleb128 0xe + 1180 0156 3A .uleb128 0x3a + 1181 0157 0B .uleb128 0xb + 1182 0158 3B .uleb128 0x3b + 1183 0159 0B .uleb128 0xb + 1184 015a 27 .uleb128 0x27 + 1185 015b 0C .uleb128 0xc + 1186 015c 49 .uleb128 0x49 + 1187 015d 13 .uleb128 0x13 + 1188 015e 3C .uleb128 0x3c + 1189 015f 0C .uleb128 0xc + 1190 0160 00 .byte 0 + 1191 0161 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 27 + + + 1192 0162 19 .uleb128 0x19 + 1193 0163 2E .uleb128 0x2e + 1194 0164 01 .byte 0x1 + 1195 0165 3F .uleb128 0x3f + 1196 0166 0C .uleb128 0xc + 1197 0167 03 .uleb128 0x3 + 1198 0168 0E .uleb128 0xe + 1199 0169 3A .uleb128 0x3a + 1200 016a 0B .uleb128 0xb + 1201 016b 3B .uleb128 0x3b + 1202 016c 0B .uleb128 0xb + 1203 016d 27 .uleb128 0x27 + 1204 016e 0C .uleb128 0xc + 1205 016f 3C .uleb128 0x3c + 1206 0170 0C .uleb128 0xc + 1207 0171 01 .uleb128 0x1 + 1208 0172 13 .uleb128 0x13 + 1209 0173 00 .byte 0 + 1210 0174 00 .byte 0 + 1211 0175 1A .uleb128 0x1a + 1212 0176 05 .uleb128 0x5 + 1213 0177 00 .byte 0 + 1214 0178 49 .uleb128 0x49 + 1215 0179 13 .uleb128 0x13 + 1216 017a 00 .byte 0 + 1217 017b 00 .byte 0 + 1218 017c 1B .uleb128 0x1b + 1219 017d 2E .uleb128 0x2e + 1220 017e 01 .byte 0x1 + 1221 017f 3F .uleb128 0x3f + 1222 0180 0C .uleb128 0xc + 1223 0181 03 .uleb128 0x3 + 1224 0182 0E .uleb128 0xe + 1225 0183 3A .uleb128 0x3a + 1226 0184 0B .uleb128 0xb + 1227 0185 3B .uleb128 0x3b + 1228 0186 0B .uleb128 0xb + 1229 0187 27 .uleb128 0x27 + 1230 0188 0C .uleb128 0xc + 1231 0189 49 .uleb128 0x49 + 1232 018a 13 .uleb128 0x13 + 1233 018b 3C .uleb128 0x3c + 1234 018c 0C .uleb128 0xc + 1235 018d 01 .uleb128 0x1 + 1236 018e 13 .uleb128 0x13 + 1237 018f 00 .byte 0 + 1238 0190 00 .byte 0 + 1239 0191 1C .uleb128 0x1c + 1240 0192 2E .uleb128 0x2e + 1241 0193 01 .byte 0x1 + 1242 0194 3F .uleb128 0x3f + 1243 0195 0C .uleb128 0xc + 1244 0196 03 .uleb128 0x3 + 1245 0197 0E .uleb128 0xe + 1246 0198 3A .uleb128 0x3a + 1247 0199 0B .uleb128 0xb + 1248 019a 3B .uleb128 0x3b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 28 + + + 1249 019b 0B .uleb128 0xb + 1250 019c 27 .uleb128 0x27 + 1251 019d 0C .uleb128 0xc + 1252 019e 3C .uleb128 0x3c + 1253 019f 0C .uleb128 0xc + 1254 01a0 00 .byte 0 + 1255 01a1 00 .byte 0 + 1256 01a2 00 .byte 0 + 1257 .section .debug_loc,"",%progbits + 1258 .Ldebug_loc0: + 1259 .LLST0: + 1260 0000 00000000 .4byte .LFB59 + 1261 0004 04000000 .4byte .LCFI0 + 1262 0008 0200 .2byte 0x2 + 1263 000a 7D .byte 0x7d + 1264 000b 00 .sleb128 0 + 1265 000c 04000000 .4byte .LCFI0 + 1266 0010 D4000000 .4byte .LFE59 + 1267 0014 0200 .2byte 0x2 + 1268 0016 7D .byte 0x7d + 1269 0017 18 .sleb128 24 + 1270 0018 00000000 .4byte 0 + 1271 001c 00000000 .4byte 0 + 1272 .LLST1: + 1273 0020 0C000000 .4byte .LVL2 + 1274 0024 20000000 .4byte .LVL3 + 1275 0028 0100 .2byte 0x1 + 1276 002a 50 .byte 0x50 + 1277 002b 20000000 .4byte .LVL3 + 1278 002f 84000000 .4byte .LVL8 + 1279 0033 0100 .2byte 0x1 + 1280 0035 58 .byte 0x58 + 1281 0036 84000000 .4byte .LVL8 + 1282 003a 86000000 .4byte .LVL9 + 1283 003e 0100 .2byte 0x1 + 1284 0040 50 .byte 0x50 + 1285 0041 86000000 .4byte .LVL9 + 1286 0045 D4000000 .4byte .LFE59 + 1287 0049 0100 .2byte 0x1 + 1288 004b 58 .byte 0x58 + 1289 004c 00000000 .4byte 0 + 1290 0050 00000000 .4byte 0 + 1291 .LLST2: + 1292 0054 00000000 .4byte .LFB60 + 1293 0058 02000000 .4byte .LCFI1 + 1294 005c 0200 .2byte 0x2 + 1295 005e 7D .byte 0x7d + 1296 005f 00 .sleb128 0 + 1297 0060 02000000 .4byte .LCFI1 + 1298 0064 B8000000 .4byte .LFE60 + 1299 0068 0200 .2byte 0x2 + 1300 006a 7D .byte 0x7d + 1301 006b 10 .sleb128 16 + 1302 006c 00000000 .4byte 0 + 1303 0070 00000000 .4byte 0 + 1304 .LLST3: + 1305 0074 0A000000 .4byte .LVL14 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 29 + + + 1306 0078 12000000 .4byte .LVL15 + 1307 007c 0100 .2byte 0x1 + 1308 007e 50 .byte 0x50 + 1309 007f 12000000 .4byte .LVL15 + 1310 0083 B8000000 .4byte .LFE60 + 1311 0087 0100 .2byte 0x1 + 1312 0089 56 .byte 0x56 + 1313 008a 00000000 .4byte 0 + 1314 008e 00000000 .4byte 0 + 1315 .section .debug_aranges,"",%progbits + 1316 0000 3C000000 .4byte 0x3c + 1317 0004 0200 .2byte 0x2 + 1318 0006 00000000 .4byte .Ldebug_info0 + 1319 000a 04 .byte 0x4 + 1320 000b 00 .byte 0 + 1321 000c 0000 .2byte 0 + 1322 000e 0000 .2byte 0 + 1323 0010 00000000 .4byte .LFB56 + 1324 0014 0C000000 .4byte .LFE56-.LFB56 + 1325 0018 00000000 .4byte .LFB57 + 1326 001c 02000000 .4byte .LFE57-.LFB57 + 1327 0020 00000000 .4byte .LFB58 + 1328 0024 10000000 .4byte .LFE58-.LFB58 + 1329 0028 00000000 .4byte .LFB59 + 1330 002c D4000000 .4byte .LFE59-.LFB59 + 1331 0030 00000000 .4byte .LFB60 + 1332 0034 B8000000 .4byte .LFE60-.LFB60 + 1333 0038 00000000 .4byte 0 + 1334 003c 00000000 .4byte 0 + 1335 .section .debug_ranges,"",%progbits + 1336 .Ldebug_ranges0: + 1337 0000 00000000 .4byte .LFB56 + 1338 0004 0C000000 .4byte .LFE56 + 1339 0008 00000000 .4byte .LFB57 + 1340 000c 02000000 .4byte .LFE57 + 1341 0010 00000000 .4byte .LFB58 + 1342 0014 10000000 .4byte .LFE58 + 1343 0018 00000000 .4byte .LFB59 + 1344 001c D4000000 .4byte .LFE59 + 1345 0020 00000000 .4byte .LFB60 + 1346 0024 B8000000 .4byte .LFE60 + 1347 0028 00000000 .4byte 0 + 1348 002c 00000000 .4byte 0 + 1349 .section .debug_line,"",%progbits + 1350 .Ldebug_line0: + 1351 0000 CD010000 .section .debug_str,"MS",%progbits,1 + 1351 02002001 + 1351 00000201 + 1351 FB0E0D00 + 1351 01010101 + 1352 .LASF41: + 1353 0000 43794465 .ascii "CyDelayCycles\000" + 1353 6C617943 + 1353 79636C65 + 1353 7300 + 1354 .LASF17: + 1355 000e 72656733 .ascii "reg32\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 30 + + + 1355 3200 + 1356 .LASF26: + 1357 0014 55534246 .ascii "USBFS_Suspend\000" + 1357 535F5375 + 1357 7370656E + 1357 6400 + 1358 .LASF33: + 1359 0022 43794578 .ascii "CyExitCriticalSection\000" + 1359 69744372 + 1359 69746963 + 1359 616C5365 + 1359 6374696F + 1360 .LASF3: + 1361 0038 73686F72 .ascii "short unsigned int\000" + 1361 7420756E + 1361 7369676E + 1361 65642069 + 1361 6E7400 + 1362 .LASF38: + 1363 004b 55534246 .ascii "USBFS_ConfigReg\000" + 1363 535F436F + 1363 6E666967 + 1363 52656700 + 1364 .LASF13: + 1365 005b 666C6F61 .ascii "float\000" + 1365 7400 + 1366 .LASF30: + 1367 0061 55534246 .ascii "USBFS_configuration\000" + 1367 535F636F + 1367 6E666967 + 1367 75726174 + 1367 696F6E00 + 1368 .LASF1: + 1369 0075 756E7369 .ascii "unsigned char\000" + 1369 676E6564 + 1369 20636861 + 1369 7200 + 1370 .LASF16: + 1371 0083 72656738 .ascii "reg8\000" + 1371 00 + 1372 .LASF5: + 1373 0088 6C6F6E67 .ascii "long unsigned int\000" + 1373 20756E73 + 1373 69676E65 + 1373 6420696E + 1373 7400 + 1374 .LASF29: + 1375 009a 55534246 .ascii "USBFS_backup\000" + 1375 535F6261 + 1375 636B7570 + 1375 00 + 1376 .LASF31: + 1377 00a7 49544D5F .ascii "ITM_RxBuffer\000" + 1377 52784275 + 1377 66666572 + 1377 00 + 1378 .LASF28: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 31 + + + 1379 00b4 656E6162 .ascii "enableInterrupts\000" + 1379 6C65496E + 1379 74657272 + 1379 75707473 + 1379 00 + 1380 .LASF14: + 1381 00c5 646F7562 .ascii "double\000" + 1381 6C6500 + 1382 .LASF11: + 1383 00cc 75696E74 .ascii "uint16\000" + 1383 313600 + 1384 .LASF24: + 1385 00d3 55534246 .ascii "USBFS_SaveConfig\000" + 1385 535F5361 + 1385 7665436F + 1385 6E666967 + 1385 00 + 1386 .LASF32: + 1387 00e4 43794465 .ascii "CyDelayUs\000" + 1387 6C617955 + 1387 7300 + 1388 .LASF12: + 1389 00ee 75696E74 .ascii "uint32\000" + 1389 333200 + 1390 .LASF39: + 1391 00f5 4379456E .ascii "CyEnterCriticalSection\000" + 1391 74657243 + 1391 72697469 + 1391 63616C53 + 1391 65637469 + 1392 .LASF40: + 1393 010c 4379496E .ascii "CyIntSetVector\000" + 1393 74536574 + 1393 56656374 + 1393 6F7200 + 1394 .LASF8: + 1395 011b 756E7369 .ascii "unsigned int\000" + 1395 676E6564 + 1395 20696E74 + 1395 00 + 1396 .LASF7: + 1397 0128 6C6F6E67 .ascii "long long unsigned int\000" + 1397 206C6F6E + 1397 6720756E + 1397 7369676E + 1397 65642069 + 1398 .LASF34: + 1399 013f 4379496E .ascii "CyIntSetPriority\000" + 1399 74536574 + 1399 5072696F + 1399 72697479 + 1399 00 + 1400 .LASF21: + 1401 0150 6D6F6465 .ascii "mode\000" + 1401 00 + 1402 .LASF22: + 1403 0155 55534246 .ascii "USBFS_BACKUP_STRUCT\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 32 + + + 1403 535F4241 + 1403 434B5550 + 1403 5F535452 + 1403 55435400 + 1404 .LASF18: + 1405 0169 63796973 .ascii "cyisraddress\000" + 1405 72616464 + 1405 72657373 + 1405 00 + 1406 .LASF9: + 1407 0176 696E7433 .ascii "int32_t\000" + 1407 325F7400 + 1408 .LASF19: + 1409 017e 73697A65 .ascii "sizetype\000" + 1409 74797065 + 1409 00 + 1410 .LASF6: + 1411 0187 6C6F6E67 .ascii "long long int\000" + 1411 206C6F6E + 1411 6720696E + 1411 7400 + 1412 .LASF15: + 1413 0195 63686172 .ascii "char\000" + 1413 00 + 1414 .LASF25: + 1415 019a 55534246 .ascii "USBFS_RestoreConfig\000" + 1415 535F5265 + 1415 73746F72 + 1415 65436F6E + 1415 66696700 + 1416 .LASF2: + 1417 01ae 73686F72 .ascii "short int\000" + 1417 7420696E + 1417 7400 + 1418 .LASF36: + 1419 01b8 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_pm.c\000" + 1419 6E657261 + 1419 7465645F + 1419 536F7572 + 1419 63655C50 + 1420 .LASF10: + 1421 01dc 75696E74 .ascii "uint8\000" + 1421 3800 + 1422 .LASF35: + 1423 01e2 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 1423 4320342E + 1423 372E3320 + 1423 32303133 + 1423 30333132 + 1424 0215 616E6368 .ascii "anch revision 196615]\000" + 1424 20726576 + 1424 6973696F + 1424 6E203139 + 1424 36363135 + 1425 .LASF37: + 1426 022b 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 1426 43534932 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccTOK9dp.s page 33 + + + 1426 53445C55 + 1426 53425F42 + 1426 6F6F746C + 1427 .LASF27: + 1428 024b 55534246 .ascii "USBFS_Resume\000" + 1428 535F5265 + 1428 73756D65 + 1428 00 + 1429 .LASF4: + 1430 0258 6C6F6E67 .ascii "long int\000" + 1430 20696E74 + 1430 00 + 1431 .LASF20: + 1432 0261 656E6162 .ascii "enableState\000" + 1432 6C655374 + 1432 61746500 + 1433 .LASF0: + 1434 026d 7369676E .ascii "signed char\000" + 1434 65642063 + 1434 68617200 + 1435 .LASF23: + 1436 0279 55534246 .ascii "USBFS_DP_ISR\000" + 1436 535F4450 + 1436 5F495352 + 1436 00 + 1437 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.o new file mode 100755 index 0000000000000000000000000000000000000000..1dac6de57c1af68e4f011a24745fe26be3f4263b GIT binary patch literal 7184 zcmb_gYiwM_6`r}fYdf~%_>qV0ysjS*r-?Uygd~_GUV9TKu5DuM1X3_^*1OmC7JGNQ zk7%6&2@pa;5rq~gO&>y~h*oN8QK^brA)pcxsI&@dp@Q-wrIiY_s@w`x=p*U(&7HBY zom6V2j(qPo=bJNU&Y3wgJJ-ke_V-9Bg(*@riFrwgm&;U3V5@>Av0khZvTt=hwNE_% z`Mn|p6jon#zUb+Tf4lHJB_W#Mo9%*hapQp#J=f$n4phyaShnq)ymCWtFjbrvwl#a) zUz)n2pE?bB-|WZ2PF)RN&K|cqQe@Y|VoPW;Rg-V&k+T=9TE#ZxYkPgO7oc67uioE1 zYx_!4GS7A^<+~LvQf6Cv*k7I(c2g>(>dSgdZE~e{VV%NH9{AwI;5R;eAya;&`p9$d z&fZaUM1<&fIq;#d2rU6t;&}pf@+aOA=Tkpq-^PK0o=thNY4^GM{SCdaGh`hp&F`6& z@TB+XW^I2-syRFFZ}D zC~yy(iVB+met#gI$g*uUB;VV7-PFSVuktmro9{pKb@L5)TYmpdgd+b-1X=VjJKV+g z*`MGYIL0dT&-d4#QGNigf;g2d`8Mi>{&(;$k{IxIuuCle6Xn3-2psf3$=+6jC>{jm z|CTFB;X6d}+kZr3iJXC=+zvvBQfl8OWQqJGYLZ$YG+8RoLY7mCEY~C*Sy~K1{+)Vd zayjc~l+LeEWsyNNEqjKw&(Q-LPk9kuek@DHW>hLs;Y?S`LD?cIx;6FUJat%8ue+3W z6g}_M+6PyjY@f409ALWoucaNsNMhqyxh*=cQS}RXv#NX zLWpPa9K<7E2ImIx8wQcZQ(l0V3Sti`3?i$B7_^F-M8)-*yT|1iSWHuAHPt36PJ&9I z=XW*LD#{tdUJSncQB)+Ofn$tVtT;=ip6lyIu`&X}Uu!W_q+IzVAh5FFL)elsuou!Q z*@t)GEmBs?4^b<)UDA75BY#gRFO~h;yCH}gSx@e`l$@WS5|6oVC?;t&XnS7 zDWXFs8}E~n5x5Pi*Ba1hI3{H+(-l1{ zLurMql%e7;b)!#dP+o?ofu(2el%hgD++ErVsq7NH0`#ZevaNFiS%{_F?Z!S8s3P9h zDymi93v*WSgWYhZ$~0hU-KrjCg~t~3R%#K^c9!mhx2wESkf`<&y;Y=Yyq@k(M@sjMXiXU%F+$MFyWM{4ieZ2EZ%bkxu|Rs zxtU;-hleUWI4beLCV|aTaNnqctq5%v`r{Vksmo*DHP3-rXu@0m7yX|jYc2c$<1PPD zwiH`PT?rt|;K)8F;iMy3CpJ2q%%!8w$l!1?e3giHjHJ@ZiF9Pz9*<8s8M`UJW821& z=u9f@WHKYEOfqUm(@rFtOpmk&TNV=W=~ObE9hpevMx&XLNP2o?YjAt8ePk@27zqZq zOvT5xOhltw(9oPnCY)v`G8W&WRJNdb?r&jxJQ1DB#T+3H4R`kpkEW)BQB11HWMlYQ z3QlrHqcho=l#_vg2Bqd!qsg>08l7%;rSMFDe9WUWnmWq<=z@Kz=HItnRwMZ_OIm(i zNK1O;KM7eCDz^e2>Wq{vE$ixU+~AwBH`J{Ut!=%we%vy&I%57iD_LT?K+peG3-Pu~#Y*nIbcxI0? z6`2XoM6og=?M$?{=~)@hWl~NeCc-m&^YLsr9nZ$2k*Q%PnvEwDA~T6)v2%$`d?Mk* zZ2p|PlNnAX#^V!1&V(4BN=6JDRcsE~!OnBtqLYy{2i+m2l8K4SnH!5lZ^@-Z@4ab}Twf4@it$iJX&^v^#LkOj z3eUjiu#>$WF(%XVUNSi4-=$8PE`#ZK5;HU-rjy7kcP6^K!hMGZM~4p%9SR>rLd7%b zNG!&j7qHmgHkuWg_%Vlh<}uH~)U>!phMWw1F_E~lPL=<(+eX}ODy2CeJH)C{@^I zPEr!w{a%@;a3dRZ;c47mRvkK75V|`MI^_@D;|ra(Licl=HAV@@)4HpyJap0@y4x2z z~+kCnw*|? zxGRt|!ALfnj*sQC3$2Xf_Xj8be_d8XlWT#Ciz-_+8Zf`Ebs(sR+np+l_1MO;0S_NhUZ+XLC$2vD87H}FcagoG}Wt6aUckm(D@ zrT=+6^ws!x5OwCVDaOAn2(P_7>q%%pqT9!K44uc#Uy8JINM<9r)?Kk)QHRYU?pJnk95R9{-8=PwlE z3+$ka(R&fHcieof5RZZ5xXbXEaXF`^531r3*bA|ui)lLt*=w&FiQ58>_A2lgdw)RP z^g&g;0ejRl#kBnngxB7F*c${#d$<(O+4~rE(+5>ifJJ@HLzpVRsJ!+bg}o+lw6_9} z@h^Z5>|=cTH9DO#NerHCeE4DK)w>ys^%?M{7`+-)uEdemFw%S*xgw?i{W$~ko{;k4L|CX_tn?q9(=S%vR1_IG{@bJ4 z=bA5xf1x#>;6Iz@%cZ@U1@pzHc8*tO=FbE@l|3yc4?VoRAT#Ak z{K_KvEy%Rbv%V7R#q-G&+SAubb&+k%ipH2G^asI$3ymvyt}-sM@B-s<^PeZKyzs+B z8=C*}x%_8>`JA}?r;+(4(4P@ToclzWbB_1qh2LKYo9l=F`l#R6bq(%0P<*9(W)^P{ zg8v&O9@Kcd#(Rl4Soxb%ywP2s;!grnd{86*OG*7FG=4|p(;8pY_?kxM8}&cXh>vn5S7=>UMqrQ2wIU`>Mw8Y5b|iH#EMl z@q)%>*zjEES|aSX5E18gA~x1F8as&?r&qTRYgzr%9(w%WIQ4THPiy>&#-}v?NaHI+ zjPp7X`SO;Q-_`g}jRiheZ@I=MjXO1dN@H3hmPf?_lL}SdAugT~jL+8CsBx3VEgH9J zRCx#eE-mlX$bG~(4{JQ4F{UxAF|YBs#=A7C{ea|G{vbc9$s;dpBJu!R#$5*@m59(& z@c^N!^i^CClpXY2P9CW2!Eb&O&czEQ6)%wY7uqvN6Y*5xKmnA5n=D&b$IiU literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.lst new file mode 100755 index 0000000..da51930 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.lst @@ -0,0 +1,5913 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_std.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.USBFS_ConfigReg,"ax",%progbits + 19 .align 1 + 20 .global USBFS_ConfigReg + 21 .thumb + 22 .thumb_func + 23 .type USBFS_ConfigReg, %function + 24 USBFS_ConfigReg: + 25 .LFB1: + 26 .file 1 ".\\Generated_Source\\PSoC5\\USBFS_std.c" + 1:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/USBFS_std.c **** * File Name: USBFS_std.c + 3:.\Generated_Source\PSoC5/USBFS_std.c **** * Version 2.60 + 4:.\Generated_Source\PSoC5/USBFS_std.c **** * + 5:.\Generated_Source\PSoC5/USBFS_std.c **** * Description: + 6:.\Generated_Source\PSoC5/USBFS_std.c **** * USB Standard request handler. + 7:.\Generated_Source\PSoC5/USBFS_std.c **** * + 8:.\Generated_Source\PSoC5/USBFS_std.c **** * Note: + 9:.\Generated_Source\PSoC5/USBFS_std.c **** * + 10:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/USBFS_std.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/USBFS_std.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/USBFS_std.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/USBFS_std.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/USBFS_std.c **** + 17:.\Generated_Source\PSoC5/USBFS_std.c **** #include "USBFS.h" + 18:.\Generated_Source\PSoC5/USBFS_std.c **** #include "USBFS_cdc.h" + 19:.\Generated_Source\PSoC5/USBFS_std.c **** #include "USBFS_pvt.h" + 20:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_MIDI_STREAMING) + 21:.\Generated_Source\PSoC5/USBFS_std.c **** #include "USBFS_midi.h" + 22:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + 23:.\Generated_Source\PSoC5/USBFS_std.c **** + 24:.\Generated_Source\PSoC5/USBFS_std.c **** + 25:.\Generated_Source\PSoC5/USBFS_std.c **** /*************************************** + 26:.\Generated_Source\PSoC5/USBFS_std.c **** * Static data allocation + 27:.\Generated_Source\PSoC5/USBFS_std.c **** ***************************************/ + 28:.\Generated_Source\PSoC5/USBFS_std.c **** + 29:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_FWSN_STRING) + 30:.\Generated_Source\PSoC5/USBFS_std.c **** static volatile uint8 *USBFS_fwSerialNumberStringDescriptor; + 31:.\Generated_Source\PSoC5/USBFS_std.c **** static volatile uint8 USBFS_snStringConfirm = USBFS_FALSE; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 2 + + + 32:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* USBFS_ENABLE_FWSN_STRING */ + 33:.\Generated_Source\PSoC5/USBFS_std.c **** + 34:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_FWSN_STRING) + 35:.\Generated_Source\PSoC5/USBFS_std.c **** + 36:.\Generated_Source\PSoC5/USBFS_std.c **** + 37:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 38:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_SerialNumString + 39:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 40:.\Generated_Source\PSoC5/USBFS_std.c **** * + 41:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 42:.\Generated_Source\PSoC5/USBFS_std.c **** * Application firmware may supply the source of the USB device descriptors + 43:.\Generated_Source\PSoC5/USBFS_std.c **** * serial number string during runtime. + 44:.\Generated_Source\PSoC5/USBFS_std.c **** * + 45:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 46:.\Generated_Source\PSoC5/USBFS_std.c **** * snString: pointer to string. + 47:.\Generated_Source\PSoC5/USBFS_std.c **** * + 48:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 49:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 50:.\Generated_Source\PSoC5/USBFS_std.c **** * + 51:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 52:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 53:.\Generated_Source\PSoC5/USBFS_std.c **** * + 54:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 55:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_SerialNumString(uint8 snString[]) + 56:.\Generated_Source\PSoC5/USBFS_std.c **** { + 57:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_snStringConfirm = USBFS_FALSE; + 58:.\Generated_Source\PSoC5/USBFS_std.c **** if(snString != NULL) + 59:.\Generated_Source\PSoC5/USBFS_std.c **** { + 60:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_fwSerialNumberStringDescriptor = snString; + 61:.\Generated_Source\PSoC5/USBFS_std.c **** /* Check descriptor validation */ + 62:.\Generated_Source\PSoC5/USBFS_std.c **** if( (snString[0u] > 1u ) && (snString[1u] == USBFS_DESCR_STRING) ) + 63:.\Generated_Source\PSoC5/USBFS_std.c **** { + 64:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_snStringConfirm = USBFS_TRUE; + 65:.\Generated_Source\PSoC5/USBFS_std.c **** } + 66:.\Generated_Source\PSoC5/USBFS_std.c **** } + 67:.\Generated_Source\PSoC5/USBFS_std.c **** } + 68:.\Generated_Source\PSoC5/USBFS_std.c **** + 69:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* USBFS_ENABLE_FWSN_STRING */ + 70:.\Generated_Source\PSoC5/USBFS_std.c **** + 71:.\Generated_Source\PSoC5/USBFS_std.c **** + 72:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 73:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_HandleStandardRqst + 74:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 75:.\Generated_Source\PSoC5/USBFS_std.c **** * + 76:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 77:.\Generated_Source\PSoC5/USBFS_std.c **** * This Routine dispatches standard requests + 78:.\Generated_Source\PSoC5/USBFS_std.c **** * + 79:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 80:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 81:.\Generated_Source\PSoC5/USBFS_std.c **** * + 82:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 83:.\Generated_Source\PSoC5/USBFS_std.c **** * TRUE if request handled. + 84:.\Generated_Source\PSoC5/USBFS_std.c **** * + 85:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 86:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 87:.\Generated_Source\PSoC5/USBFS_std.c **** * + 88:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 3 + + + 89:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 USBFS_HandleStandardRqst(void) + 90:.\Generated_Source\PSoC5/USBFS_std.c **** { + 91:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 requestHandled = USBFS_FALSE; + 92:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 interfaceNumber; + 93:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_STRINGS) + 94:.\Generated_Source\PSoC5/USBFS_std.c **** volatile uint8 *pStr = 0u; + 95:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_DESCRIPTOR_STRINGS) + 96:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 nStr; + 97:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 descrLength; + 98:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* USBFS_ENABLE_DESCRIPTOR_STRINGS */ + 99:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* USBFS_ENABLE_STRINGS */ + 100:.\Generated_Source\PSoC5/USBFS_std.c **** static volatile uint8 USBFS_tBuffer[USBFS_STATUS_LENGTH_MAX]; + 101:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *pTmp; + 102:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 0u; + 103:.\Generated_Source\PSoC5/USBFS_std.c **** + 104:.\Generated_Source\PSoC5/USBFS_std.c **** if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + 105:.\Generated_Source\PSoC5/USBFS_std.c **** { + 106:.\Generated_Source\PSoC5/USBFS_std.c **** /* Control Read */ + 107:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 108:.\Generated_Source\PSoC5/USBFS_std.c **** { + 109:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_GET_DESCRIPTOR: + 110:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_DEVICE) + 111:.\Generated_Source\PSoC5/USBFS_std.c **** { + 112:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetDeviceTablePtr(); + 113:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + 114:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_DEVICE_DESCR_LENGTH; + 115:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 116:.\Generated_Source\PSoC5/USBFS_std.c **** } + 117:.\Generated_Source\PSoC5/USBFS_std.c **** else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_CONFIG) + 118:.\Generated_Source\PSoC5/USBFS_std.c **** { + 119:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(CY_GET_REG8(USBFS_wValueLo)); + 120:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + 121:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = ((uint16)(USBFS_currentTD.pData)[ \ + 122:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_CONFIG_DESCR_TOTAL_LENGTH_HI] << 8u) | \ + 123:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_currentTD.pData)[USBFS_CONFIG_DESCR_TOTAL_LENGTH_LOW]; + 124:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 125:.\Generated_Source\PSoC5/USBFS_std.c **** } + 126:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_STRINGS) + 127:.\Generated_Source\PSoC5/USBFS_std.c **** else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_STRING) + 128:.\Generated_Source\PSoC5/USBFS_std.c **** { + 129:.\Generated_Source\PSoC5/USBFS_std.c **** /* Descriptor Strings*/ + 130:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_DESCRIPTOR_STRINGS) + 131:.\Generated_Source\PSoC5/USBFS_std.c **** nStr = 0u; + 132:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = (volatile uint8 *)&USBFS_STRING_DESCRIPTORS[0u]; + 133:.\Generated_Source\PSoC5/USBFS_std.c **** while ( (CY_GET_REG8(USBFS_wValueLo) > nStr) && (*pStr != 0u) ) + 134:.\Generated_Source\PSoC5/USBFS_std.c **** { + 135:.\Generated_Source\PSoC5/USBFS_std.c **** /* Read descriptor length from 1st byte */ + 136:.\Generated_Source\PSoC5/USBFS_std.c **** descrLength = *pStr; + 137:.\Generated_Source\PSoC5/USBFS_std.c **** /* Move to next string descriptor */ + 138:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = &pStr[descrLength]; + 139:.\Generated_Source\PSoC5/USBFS_std.c **** nStr++; + 140:.\Generated_Source\PSoC5/USBFS_std.c **** } + 141:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_DESCRIPTOR_STRINGS */ + 142:.\Generated_Source\PSoC5/USBFS_std.c **** /* Microsoft OS String*/ + 143:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_MSOS_STRING) + 144:.\Generated_Source\PSoC5/USBFS_std.c **** if( CY_GET_REG8(USBFS_wValueLo) == USBFS_STRING_MSOS ) + 145:.\Generated_Source\PSoC5/USBFS_std.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 4 + + + 146:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = (volatile uint8 *)&USBFS_MSOS_DESCRIPTOR[0u]; + 147:.\Generated_Source\PSoC5/USBFS_std.c **** } + 148:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_MSOS_STRING*/ + 149:.\Generated_Source\PSoC5/USBFS_std.c **** /* SN string */ + 150:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_SN_STRING) + 151:.\Generated_Source\PSoC5/USBFS_std.c **** if( (CY_GET_REG8(USBFS_wValueLo) != 0u) && + 152:.\Generated_Source\PSoC5/USBFS_std.c **** (CY_GET_REG8(USBFS_wValueLo) == + 153:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DEVICE0_DESCR[USBFS_DEVICE_DESCR_SN_SHIFT]) ) + 154:.\Generated_Source\PSoC5/USBFS_std.c **** { + 155:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = (volatile uint8 *)&USBFS_SN_STRING_DESCRIPTOR[0u]; + 156:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_FWSN_STRING) + 157:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_snStringConfirm != USBFS_FALSE) + 158:.\Generated_Source\PSoC5/USBFS_std.c **** { + 159:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = USBFS_fwSerialNumberStringDescriptor; + 160:.\Generated_Source\PSoC5/USBFS_std.c **** } + 161:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* USBFS_ENABLE_FWSN_STRING */ + 162:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_IDSN_STRING) + 163:.\Generated_Source\PSoC5/USBFS_std.c **** /* Read DIE ID and generate string descriptor in RAM */ + 164:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ReadDieID(USBFS_idSerialNumberStringDescriptor); + 165:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = USBFS_idSerialNumberStringDescriptor; + 166:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_IDSN_STRING */ + 167:.\Generated_Source\PSoC5/USBFS_std.c **** } + 168:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_SN_STRING */ + 169:.\Generated_Source\PSoC5/USBFS_std.c **** if (*pStr != 0u) + 170:.\Generated_Source\PSoC5/USBFS_std.c **** { + 171:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = *pStr; + 172:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = pStr; + 173:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 174:.\Generated_Source\PSoC5/USBFS_std.c **** } + 175:.\Generated_Source\PSoC5/USBFS_std.c **** } + 176:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_STRINGS */ + 177:.\Generated_Source\PSoC5/USBFS_std.c **** else + 178:.\Generated_Source\PSoC5/USBFS_std.c **** { + 179:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_DispatchClassRqst(); + 180:.\Generated_Source\PSoC5/USBFS_std.c **** } + 181:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 182:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_GET_STATUS: + 183:.\Generated_Source\PSoC5/USBFS_std.c **** switch ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK)) + 184:.\Generated_Source\PSoC5/USBFS_std.c **** { + 185:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_EP: + 186:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_EP_STATUS_LENGTH; + 187:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[0u] = USBFS_EP[ \ + 188:.\Generated_Source\PSoC5/USBFS_std.c **** CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].hwEpState; + 189:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[1u] = 0u; + 190:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + 191:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 192:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 193:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_DEV: + 194:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_DEVICE_STATUS_LENGTH; + 195:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[0u] = USBFS_deviceStatus; + 196:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[1u] = 0u; + 197:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + 198:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 199:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 200:.\Generated_Source\PSoC5/USBFS_std.c **** default: /* requestHandled is initialized as FALSE by default */ + 201:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 202:.\Generated_Source\PSoC5/USBFS_std.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 5 + + + 203:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 204:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_GET_CONFIGURATION: + 205:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 1u; + 206:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)&USBFS_configuration; + 207:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 208:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 209:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_GET_INTERFACE: + 210:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 1u; + 211:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)&USBFS_interfaceSetting[ \ + 212:.\Generated_Source\PSoC5/USBFS_std.c **** CY_GET_REG8(USBFS_wInde + 213:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 214:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 215:.\Generated_Source\PSoC5/USBFS_std.c **** default: /* requestHandled is initialized as FALSE by default */ + 216:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 217:.\Generated_Source\PSoC5/USBFS_std.c **** } + 218:.\Generated_Source\PSoC5/USBFS_std.c **** } + 219:.\Generated_Source\PSoC5/USBFS_std.c **** else { + 220:.\Generated_Source\PSoC5/USBFS_std.c **** /* Control Write */ + 221:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 222:.\Generated_Source\PSoC5/USBFS_std.c **** { + 223:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_SET_ADDRESS: + 224:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceAddress = CY_GET_REG8(USBFS_wValueLo); + 225:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 226:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 227:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_SET_CONFIGURATION: + 228:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configuration = CY_GET_REG8(USBFS_wValueLo); + 229:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configurationChanged = USBFS_TRUE; + 230:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_Config(USBFS_TRUE); + 231:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 232:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 233:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_SET_INTERFACE: + 234:.\Generated_Source\PSoC5/USBFS_std.c **** if (USBFS_ValidateAlternateSetting() != 0u) + 235:.\Generated_Source\PSoC5/USBFS_std.c **** { + 236:.\Generated_Source\PSoC5/USBFS_std.c **** interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + 237:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceNumber = interfaceNumber; + 238:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configurationChanged = USBFS_TRUE; + 239:.\Generated_Source\PSoC5/USBFS_std.c **** #if ((USBFS_EP_MA == USBFS__MA_DYNAMIC) && \ + 240:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_EP_MM == USBFS__EP_MANUAL) ) + 241:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_Config(USBFS_FALSE); + 242:.\Generated_Source\PSoC5/USBFS_std.c **** #else + 243:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ConfigAltChanged(); + 244:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End (USBFS_EP_MA == USBFS__MA_DYNAMIC) */ + 245:.\Generated_Source\PSoC5/USBFS_std.c **** /* Update handled Alt setting changes status */ + 246:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[interfaceNumber] = + 247:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[interfaceNumber]; + 248:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 249:.\Generated_Source\PSoC5/USBFS_std.c **** } + 250:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 251:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_CLEAR_FEATURE: + 252:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + 253:.\Generated_Source\PSoC5/USBFS_std.c **** { + 254:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_EP: + 255:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + 256:.\Generated_Source\PSoC5/USBFS_std.c **** { + 257:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_ClearEndpointHalt(); + 258:.\Generated_Source\PSoC5/USBFS_std.c **** } + 259:.\Generated_Source\PSoC5/USBFS_std.c **** break; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 6 + + + 260:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_DEV: + 261:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear device REMOTE_WAKEUP */ + 262:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + 263:.\Generated_Source\PSoC5/USBFS_std.c **** { + 264:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + 265:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 266:.\Generated_Source\PSoC5/USBFS_std.c **** } + 267:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 268:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_IFC: + 269:.\Generated_Source\PSoC5/USBFS_std.c **** /* Validate interfaceNumber */ + 270:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + 271:.\Generated_Source\PSoC5/USBFS_std.c **** { + 272:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + 273:.\Generated_Source\PSoC5/USBFS_std.c **** (uint8)~(CY_GET_REG8(USBFS_wValueLo + 274:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 275:.\Generated_Source\PSoC5/USBFS_std.c **** } + 276:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 277:.\Generated_Source\PSoC5/USBFS_std.c **** default: /* requestHandled is initialized as FALSE by default */ + 278:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 279:.\Generated_Source\PSoC5/USBFS_std.c **** } + 280:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 281:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_SET_FEATURE: + 282:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + 283:.\Generated_Source\PSoC5/USBFS_std.c **** { + 284:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_EP: + 285:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + 286:.\Generated_Source\PSoC5/USBFS_std.c **** { + 287:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_SetEndpointHalt(); + 288:.\Generated_Source\PSoC5/USBFS_std.c **** } + 289:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 290:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_DEV: + 291:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set device REMOTE_WAKEUP */ + 292:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + 293:.\Generated_Source\PSoC5/USBFS_std.c **** { + 294:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus |= USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + 295:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 296:.\Generated_Source\PSoC5/USBFS_std.c **** } + 297:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 298:.\Generated_Source\PSoC5/USBFS_std.c **** case USBFS_RQST_RCPT_IFC: + 299:.\Generated_Source\PSoC5/USBFS_std.c **** /* Validate interfaceNumber */ + 300:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + 301:.\Generated_Source\PSoC5/USBFS_std.c **** { + 302:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + 303:.\Generated_Source\PSoC5/USBFS_std.c **** (uint8)~(CY_GET_REG8(USBFS_wValueLo + 304:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 305:.\Generated_Source\PSoC5/USBFS_std.c **** } + 306:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 307:.\Generated_Source\PSoC5/USBFS_std.c **** default: /* requestHandled is initialized as FALSE by default */ + 308:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 309:.\Generated_Source\PSoC5/USBFS_std.c **** } + 310:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 311:.\Generated_Source\PSoC5/USBFS_std.c **** default: /* requestHandled is initialized as FALSE by default */ + 312:.\Generated_Source\PSoC5/USBFS_std.c **** break; + 313:.\Generated_Source\PSoC5/USBFS_std.c **** } + 314:.\Generated_Source\PSoC5/USBFS_std.c **** } + 315:.\Generated_Source\PSoC5/USBFS_std.c **** return(requestHandled); + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 7 + + + 317:.\Generated_Source\PSoC5/USBFS_std.c **** + 318:.\Generated_Source\PSoC5/USBFS_std.c **** + 319:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_IDSN_STRING) + 320:.\Generated_Source\PSoC5/USBFS_std.c **** + 321:.\Generated_Source\PSoC5/USBFS_std.c **** /*************************************************************************** + 322:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_ReadDieID + 323:.\Generated_Source\PSoC5/USBFS_std.c **** **************************************************************************** + 324:.\Generated_Source\PSoC5/USBFS_std.c **** * + 325:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 326:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine read Die ID and generate Serial Number string descriptor. + 327:.\Generated_Source\PSoC5/USBFS_std.c **** * + 328:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 329:.\Generated_Source\PSoC5/USBFS_std.c **** * descr: pointer on string descriptor. + 330:.\Generated_Source\PSoC5/USBFS_std.c **** * + 331:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 332:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 333:.\Generated_Source\PSoC5/USBFS_std.c **** * + 334:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 335:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 336:.\Generated_Source\PSoC5/USBFS_std.c **** * + 337:.\Generated_Source\PSoC5/USBFS_std.c **** ***************************************************************************/ + 338:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ReadDieID(uint8 descr[]) + 339:.\Generated_Source\PSoC5/USBFS_std.c **** { + 340:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 i; + 341:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 j = 0u; + 342:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 value; + 343:.\Generated_Source\PSoC5/USBFS_std.c **** const char8 CYCODE hex[16u] = "0123456789ABCDEF"; + 344:.\Generated_Source\PSoC5/USBFS_std.c **** + 345:.\Generated_Source\PSoC5/USBFS_std.c **** + 346:.\Generated_Source\PSoC5/USBFS_std.c **** /* Check descriptor validation */ + 347:.\Generated_Source\PSoC5/USBFS_std.c **** if( descr != NULL) + 348:.\Generated_Source\PSoC5/USBFS_std.c **** { + 349:.\Generated_Source\PSoC5/USBFS_std.c **** descr[0u] = USBFS_IDSN_DESCR_LENGTH; + 350:.\Generated_Source\PSoC5/USBFS_std.c **** descr[1u] = USBFS_DESCR_STRING; + 351:.\Generated_Source\PSoC5/USBFS_std.c **** + 352:.\Generated_Source\PSoC5/USBFS_std.c **** /* fill descriptor */ + 353:.\Generated_Source\PSoC5/USBFS_std.c **** for(i = 2u; i < USBFS_IDSN_DESCR_LENGTH; i += 4u) + 354:.\Generated_Source\PSoC5/USBFS_std.c **** { + 355:.\Generated_Source\PSoC5/USBFS_std.c **** value = CY_GET_XTND_REG8((void CYFAR *)(USBFS_DIE_ID + j)); + 356:.\Generated_Source\PSoC5/USBFS_std.c **** j++; + 357:.\Generated_Source\PSoC5/USBFS_std.c **** descr[i] = (uint8)hex[value >> 4u]; + 358:.\Generated_Source\PSoC5/USBFS_std.c **** descr[i + 2u] = (uint8)hex[value & 0x0Fu]; + 359:.\Generated_Source\PSoC5/USBFS_std.c **** } + 360:.\Generated_Source\PSoC5/USBFS_std.c **** } + 361:.\Generated_Source\PSoC5/USBFS_std.c **** } + 362:.\Generated_Source\PSoC5/USBFS_std.c **** + 363:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_IDSN_STRING */ + 364:.\Generated_Source\PSoC5/USBFS_std.c **** + 365:.\Generated_Source\PSoC5/USBFS_std.c **** + 366:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 367:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_ConfigReg + 368:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 369:.\Generated_Source\PSoC5/USBFS_std.c **** * + 370:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 371:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine configures hardware registers from the variables. + 372:.\Generated_Source\PSoC5/USBFS_std.c **** * It is called from USBFS_Config() function and from RestoreConfig + 373:.\Generated_Source\PSoC5/USBFS_std.c **** * after Wakeup. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 8 + + + 374:.\Generated_Source\PSoC5/USBFS_std.c **** * + 375:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 376:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 377:.\Generated_Source\PSoC5/USBFS_std.c **** * + 378:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 379:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 380:.\Generated_Source\PSoC5/USBFS_std.c **** * + 381:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 382:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ConfigReg(void) + 383:.\Generated_Source\PSoC5/USBFS_std.c **** { + 27 .loc 1 383 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 .LVL0: + 32 0000 30B5 push {r4, r5, lr} + 33 .LCFI0: + 34 .cfi_def_cfa_offset 12 + 35 .cfi_offset 4, -12 + 36 .cfi_offset 5, -8 + 37 .cfi_offset 14, -4 + 384:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep; + 385:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 i; + 386:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 387:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep_type = 0u; + 388:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 389:.\Generated_Source\PSoC5/USBFS_std.c **** + 390:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set the endpoint buffer addresses */ + 391:.\Generated_Source\PSoC5/USBFS_std.c **** ep = USBFS_EP1; + 38 .loc 1 391 0 + 39 0002 0122 movs r2, #1 + 40 .LVL1: + 41 .L2: + 382:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ConfigReg(void) + 42 .loc 1 382 0 discriminator 1 + 43 0004 02F10F03 add r3, r2, #15 + 44 0008 1801 lsls r0, r3, #4 + 392:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < 0x80u; i+= 0x10u) + 45 .loc 1 392 0 discriminator 1 + 46 000a 092A cmp r2, #9 + 382:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ConfigReg(void) + 47 .loc 1 382 0 discriminator 1 + 48 000c C3B2 uxtb r3, r0 + 49 .LVL2: + 50 .loc 1 392 0 discriminator 1 + 51 000e 3BD0 beq .L9 + 52 .L6: + 393:.\Generated_Source\PSoC5/USBFS_std.c **** { + 394:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_CFG_IND + i), USBFS_ARB_EPX_CFG_CRC_BYPASS | + 395:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ARB_EPX_CFG_RESET); + 396:.\Generated_Source\PSoC5/USBFS_std.c **** + 397:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM != USBFS__EP_MANUAL) + 398:.\Generated_Source\PSoC5/USBFS_std.c **** /* Enable all Arbiter EP Interrupts : err, buf under, buf over, dma gnt(mode2 only), in + 399:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_INT_EN_IND + i), USBFS_ARB_EPX_INT_MASK); + 400:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + 401:.\Generated_Source\PSoC5/USBFS_std.c **** + 402:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_EP[ep].epMode != USBFS_MODE_DISABLE) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 9 + + + 53 .loc 1 402 0 + 54 0010 1F49 ldr r1, .L10 + 394:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_CFG_IND + i), USBFS_ARB_EPX_CFG_CRC_BYPASS | + 55 .loc 1 394 0 + 56 0012 03F18044 add r4, r3, #1073741824 + 57 0016 0C20 movs r0, #12 + 58 .LVL3: + 59 0018 04F5C145 add r5, r4, #24704 + 60 .loc 1 402 0 + 61 001c 00FB0214 mla r4, r0, r2, r1 + 394:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_CFG_IND + i), USBFS_ARB_EPX_CFG_CRC_BYPASS | + 62 .loc 1 394 0 + 63 0020 2870 strb r0, [r5, #0] + 64 0022 1C49 ldr r1, .L10+4 + 65 .loc 1 402 0 + 66 0024 6579 ldrb r5, [r4, #5] @ zero_extendqisi2 + 67 0026 5918 adds r1, r3, r1 + 68 0028 25B1 cbz r5, .L3 + 403:.\Generated_Source\PSoC5/USBFS_std.c **** { + 404:.\Generated_Source\PSoC5/USBFS_std.c **** if((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u ) + 69 .loc 1 404 0 + 70 002a 2479 ldrb r4, [r4, #4] @ zero_extendqisi2 + 71 002c 2406 lsls r4, r4, #24 + 405:.\Generated_Source\PSoC5/USBFS_std.c **** { + 406:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_NAK_IN); + 407:.\Generated_Source\PSoC5/USBFS_std.c **** } + 408:.\Generated_Source\PSoC5/USBFS_std.c **** else + 409:.\Generated_Source\PSoC5/USBFS_std.c **** { + 410:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_NAK_OUT); + 72 .loc 1 410 0 + 73 002e 58BF it pl + 74 0030 0820 movpl r0, #8 + 75 0032 00E0 b .L7 + 76 .L3: + 411:.\Generated_Source\PSoC5/USBFS_std.c **** /* Prepare EP type mask for automatic memory allocation */ + 412:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 413:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type |= (uint8)(0x01u << (ep - USBFS_EP1)); + 414:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 415:.\Generated_Source\PSoC5/USBFS_std.c **** } + 416:.\Generated_Source\PSoC5/USBFS_std.c **** } + 417:.\Generated_Source\PSoC5/USBFS_std.c **** else + 418:.\Generated_Source\PSoC5/USBFS_std.c **** { + 419:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_STALL_DATA_EP); + 77 .loc 1 419 0 + 78 0034 8020 movs r0, #128 + 79 .L7: + 80 0036 0870 strb r0, [r1, #0] + 420:.\Generated_Source\PSoC5/USBFS_std.c **** } + 421:.\Generated_Source\PSoC5/USBFS_std.c **** + 422:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + 423:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + i), USBFS_EP[ep].bufferSize >> 8u); + 81 .loc 1 423 0 + 82 0038 1749 ldr r1, .L10+8 + 83 003a 0C24 movs r4, #12 + 84 003c 5818 adds r0, r3, r1 + 85 003e 1449 ldr r1, .L10 + 86 0040 04FB0211 mla r1, r4, r2, r1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 10 + + + 87 0044 0C89 ldrh r4, [r1, #8] + 424:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + i), USBFS_EP[ep].bufferSize & 0xFFu); + 425:.\Generated_Source\PSoC5/USBFS_std.c **** + 426:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + 427:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + 428:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + 429:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + 430:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + 431:.\Generated_Source\PSoC5/USBFS_std.c **** + 432:.\Generated_Source\PSoC5/USBFS_std.c **** ep++; + 88 .loc 1 432 0 + 89 0046 0132 adds r2, r2, #1 + 90 .LVL4: + 423:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + i), USBFS_EP[ep].bufferSize >> 8u); + 91 .loc 1 423 0 + 92 0048 C4F30724 ubfx r4, r4, #8, #8 + 93 004c 0470 strb r4, [r0, #0] + 424:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + i), USBFS_EP[ep].bufferSize & 0xFFu); + 94 .loc 1 424 0 + 95 004e 0C89 ldrh r4, [r1, #8] + 96 0050 1248 ldr r0, .L10+12 + 97 0052 E4B2 uxtb r4, r4 + 98 0054 1818 adds r0, r3, r0 + 99 0056 0470 strb r4, [r0, #0] + 426:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + 100 .loc 1 426 0 + 101 0058 CC88 ldrh r4, [r1, #6] + 102 005a 1148 ldr r0, .L10+16 + 103 005c E4B2 uxtb r4, r4 + 104 005e 1818 adds r0, r3, r0 + 105 0060 0470 strb r4, [r0, #0] + 427:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + 106 .loc 1 427 0 + 107 0062 CC88 ldrh r4, [r1, #6] + 108 0064 0F48 ldr r0, .L10+20 + 109 0066 C4F30724 ubfx r4, r4, #8, #8 + 110 006a 1818 adds r0, r3, r0 + 111 006c 0470 strb r4, [r0, #0] + 428:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + 112 .loc 1 428 0 + 113 006e CC88 ldrh r4, [r1, #6] + 114 0070 0D48 ldr r0, .L10+24 + 115 0072 E4B2 uxtb r4, r4 + 116 0074 1818 adds r0, r3, r0 + 117 0076 0470 strb r4, [r0, #0] + 429:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + 118 .loc 1 429 0 + 119 0078 0C48 ldr r0, .L10+28 + 120 .loc 1 432 0 + 121 007a D2B2 uxtb r2, r2 + 122 .LVL5: + 429:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + 123 .loc 1 429 0 + 124 007c 1818 adds r0, r3, r0 + 125 007e CB88 ldrh r3, [r1, #6] + 126 0080 C3F30721 ubfx r1, r3, #8, #8 + 127 0084 0170 strb r1, [r0, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 11 + + + 128 0086 BDE7 b .L2 + 129 .LVL6: + 130 .L9: + 433:.\Generated_Source\PSoC5/USBFS_std.c **** } + 434:.\Generated_Source\PSoC5/USBFS_std.c **** + 435:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 436:.\Generated_Source\PSoC5/USBFS_std.c **** /* BUF_SIZE depend on DMA_THRESS value: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11- + 437:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_BUF_SIZE_REG = USBFS_DMA_BUF_SIZE; + 438:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DMA_THRES_REG = USBFS_DMA_BYTES_PER_BURST; /* DMA burst threshold */ + 439:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DMA_THRES_MSB_REG = 0u; + 440:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP_ACTIVE_REG = USBFS_ARB_INT_MASK; + 441:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP_TYPE_REG = ep_type; + 442:.\Generated_Source\PSoC5/USBFS_std.c **** /* Cfg_cmp bit set to 1 once configuration is complete. */ + 443:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM | + 444:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ARB_CFG_CFG_CPM; + 445:.\Generated_Source\PSoC5/USBFS_std.c **** /* Cfg_cmp bit set to 0 during configuration of PFSUSB Registers. */ + 446:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + 447:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 448:.\Generated_Source\PSoC5/USBFS_std.c **** + 449:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8(USBFS_SIE_EP_INT_EN_PTR, 0xFFu); + 131 .loc 1 449 0 + 132 0088 0949 ldr r1, .L10+32 + 133 008a FF22 movs r2, #255 + 134 .LVL7: + 135 008c 0A70 strb r2, [r1, #0] + 136 008e 30BD pop {r4, r5, pc} + 137 .L11: + 138 .align 2 + 139 .L10: + 140 0090 00000000 .word USBFS_EP + 141 0094 0E600040 .word 1073766414 + 142 0098 0C600040 .word 1073766412 + 143 009c 0D600040 .word 1073766413 + 144 00a0 86600040 .word 1073766534 + 145 00a4 87600040 .word 1073766535 + 146 00a8 84600040 .word 1073766532 + 147 00ac 85600040 .word 1073766533 + 148 00b0 0A600040 .word 1073766410 + 149 .cfi_endproc + 150 .LFE1: + 151 .size USBFS_ConfigReg, .-USBFS_ConfigReg + 152 .section .text.USBFS_GetConfigTablePtr,"ax",%progbits + 153 .align 1 + 154 .global USBFS_GetConfigTablePtr + 155 .thumb + 156 .thumb_func + 157 .type USBFS_GetConfigTablePtr, %function + 158 USBFS_GetConfigTablePtr: + 159 .LFB4: + 450:.\Generated_Source\PSoC5/USBFS_std.c **** } + 451:.\Generated_Source\PSoC5/USBFS_std.c **** + 452:.\Generated_Source\PSoC5/USBFS_std.c **** + 453:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 454:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_Config + 455:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 456:.\Generated_Source\PSoC5/USBFS_std.c **** * + 457:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 12 + + + 458:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine configures endpoints for the entire configuration by scanning + 459:.\Generated_Source\PSoC5/USBFS_std.c **** * the configuration descriptor. + 460:.\Generated_Source\PSoC5/USBFS_std.c **** * + 461:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 462:.\Generated_Source\PSoC5/USBFS_std.c **** * clearAltSetting: It configures the bAlternateSetting 0 for each interface. + 463:.\Generated_Source\PSoC5/USBFS_std.c **** * + 464:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 465:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 466:.\Generated_Source\PSoC5/USBFS_std.c **** * + 467:.\Generated_Source\PSoC5/USBFS_std.c **** * USBFS_interfaceClass - Initialized class array for each interface. + 468:.\Generated_Source\PSoC5/USBFS_std.c **** * It is used for handling Class specific requests depend on interface class. + 469:.\Generated_Source\PSoC5/USBFS_std.c **** * Different classes in multiple Alternate settings does not supported. + 470:.\Generated_Source\PSoC5/USBFS_std.c **** * + 471:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 472:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 473:.\Generated_Source\PSoC5/USBFS_std.c **** * + 474:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 475:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_Config(uint8 clearAltSetting) + 476:.\Generated_Source\PSoC5/USBFS_std.c **** { + 477:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep; + 478:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 cur_ep; + 479:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 i; + 480:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep_type; + 481:.\Generated_Source\PSoC5/USBFS_std.c **** const uint8 *pDescr; + 482:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + 483:.\Generated_Source\PSoC5/USBFS_std.c **** uint16 buffCount = 0u; + 484:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + 485:.\Generated_Source\PSoC5/USBFS_std.c **** + 486:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *pTmp; + 487:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_EP_SETTINGS_BLOCK CYCODE *pEP; + 488:.\Generated_Source\PSoC5/USBFS_std.c **** + 489:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear all of the endpoints */ + 490:.\Generated_Source\PSoC5/USBFS_std.c **** for (ep = 0u; ep < USBFS_MAX_EP; ep++) + 491:.\Generated_Source\PSoC5/USBFS_std.c **** { + 492:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].attrib = 0u; + 493:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].hwEpState = 0u; + 494:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_PENDING; + 495:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epToggle = 0u; + 496:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epMode = USBFS_MODE_DISABLE; + 497:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].bufferSize = 0u; + 498:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].interface = 0u; + 499:.\Generated_Source\PSoC5/USBFS_std.c **** + 500:.\Generated_Source\PSoC5/USBFS_std.c **** } + 501:.\Generated_Source\PSoC5/USBFS_std.c **** + 502:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear Alternate settings for all interfaces */ + 503:.\Generated_Source\PSoC5/USBFS_std.c **** if(clearAltSetting != 0u) + 504:.\Generated_Source\PSoC5/USBFS_std.c **** { + 505:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + 506:.\Generated_Source\PSoC5/USBFS_std.c **** { + 507:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[i] = 0x00u; + 508:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[i] = 0x00u; + 509:.\Generated_Source\PSoC5/USBFS_std.c **** } + 510:.\Generated_Source\PSoC5/USBFS_std.c **** } + 511:.\Generated_Source\PSoC5/USBFS_std.c **** + 512:.\Generated_Source\PSoC5/USBFS_std.c **** /* Init Endpoints and Device Status if configured */ + 513:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_configuration > 0u) + 514:.\Generated_Source\PSoC5/USBFS_std.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 13 + + + 515:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 516:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set Power status for current configuration */ + 517:.\Generated_Source\PSoC5/USBFS_std.c **** pDescr = (const uint8 *)pTmp->p_list; + 518:.\Generated_Source\PSoC5/USBFS_std.c **** if((pDescr[USBFS_CONFIG_DESCR_ATTRIB] & USBFS_CONFIG_DESCR_ATTRIB_SELF_POWERED) != 0u) + 519:.\Generated_Source\PSoC5/USBFS_std.c **** { + 520:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + 521:.\Generated_Source\PSoC5/USBFS_std.c **** } + 522:.\Generated_Source\PSoC5/USBFS_std.c **** else + 523:.\Generated_Source\PSoC5/USBFS_std.c **** { + 524:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_SELF_POWERED; + 525:.\Generated_Source\PSoC5/USBFS_std.c **** } + 526:.\Generated_Source\PSoC5/USBFS_std.c **** /* Move to next element */ + 527:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = &pTmp[1u]; + 528:.\Generated_Source\PSoC5/USBFS_std.c **** ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + 529:.\Generated_Source\PSoC5/USBFS_std.c **** + 530:.\Generated_Source\PSoC5/USBFS_std.c **** #if ((USBFS_EP_MA == USBFS__MA_DYNAMIC) && \ + 531:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_EP_MM == USBFS__EP_MANUAL) ) + 532:.\Generated_Source\PSoC5/USBFS_std.c **** /* Configure for dynamic EP memory allocation */ + 533:.\Generated_Source\PSoC5/USBFS_std.c **** /* p_list points the endpoint setting table. */ + 534:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = (T_USBFS_EP_SETTINGS_BLOCK *) pTmp->p_list; + 535:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 536:.\Generated_Source\PSoC5/USBFS_std.c **** { + 537:.\Generated_Source\PSoC5/USBFS_std.c **** /* Compare current Alternate setting with EP Alt*/ + 538:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + 539:.\Generated_Source\PSoC5/USBFS_std.c **** { + 540:.\Generated_Source\PSoC5/USBFS_std.c **** cur_ep = pEP->addr & USBFS_DIR_UNUSED; + 541:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 542:.\Generated_Source\PSoC5/USBFS_std.c **** if (pEP->addr & USBFS_DIR_IN) + 543:.\Generated_Source\PSoC5/USBFS_std.c **** { + 544:.\Generated_Source\PSoC5/USBFS_std.c **** /* IN Endpoint */ + 545:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + 546:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 547:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + 548:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_CDC_CLASS) + 549:.\Generated_Source\PSoC5/USBFS_std.c **** if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + 550:.\Generated_Source\PSoC5/USBFS_std.c **** (pEP->bMisc == USBFS_CLASS_CDC)) && + 551:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type != USBFS_EP_TYPE_INT)) + 552:.\Generated_Source\PSoC5/USBFS_std.c **** { + 553:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_cdc_data_in_ep = cur_ep; + 554:.\Generated_Source\PSoC5/USBFS_std.c **** } + 555:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_CDC_CLASS*/ + 556:.\Generated_Source\PSoC5/USBFS_std.c **** #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + 557:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + 558:.\Generated_Source\PSoC5/USBFS_std.c **** if((pEP->bMisc == USBFS_CLASS_AUDIO) && + 559:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type == USBFS_EP_TYPE_BULK)) + 560:.\Generated_Source\PSoC5/USBFS_std.c **** { + 561:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_midi_in_ep = cur_ep; + 562:.\Generated_Source\PSoC5/USBFS_std.c **** } + 563:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + 564:.\Generated_Source\PSoC5/USBFS_std.c **** } + 565:.\Generated_Source\PSoC5/USBFS_std.c **** else + 566:.\Generated_Source\PSoC5/USBFS_std.c **** { + 567:.\Generated_Source\PSoC5/USBFS_std.c **** /* OUT Endpoint */ + 568:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + 569:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 570:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + 571:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_CDC_CLASS) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 14 + + + 572:.\Generated_Source\PSoC5/USBFS_std.c **** if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + 573:.\Generated_Source\PSoC5/USBFS_std.c **** (pEP->bMisc == USBFS_CLASS_CDC)) && + 574:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type != USBFS_EP_TYPE_INT)) + 575:.\Generated_Source\PSoC5/USBFS_std.c **** { + 576:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_cdc_data_out_ep = cur_ep; + 577:.\Generated_Source\PSoC5/USBFS_std.c **** } + 578:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_CDC_CLASS*/ + 579:.\Generated_Source\PSoC5/USBFS_std.c **** #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + 580:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_MIDI_OUT_BUFF_SIZE > 0) ) + 581:.\Generated_Source\PSoC5/USBFS_std.c **** if((pEP->bMisc == USBFS_CLASS_AUDIO) && + 582:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type == USBFS_EP_TYPE_BULK)) + 583:.\Generated_Source\PSoC5/USBFS_std.c **** { + 584:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_midi_out_ep = cur_ep; + 585:.\Generated_Source\PSoC5/USBFS_std.c **** } + 586:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + 587:.\Generated_Source\PSoC5/USBFS_std.c **** } + 588:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + 589:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].addr = pEP->addr; + 590:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].attrib = pEP->attributes; + 591:.\Generated_Source\PSoC5/USBFS_std.c **** } + 592:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = &pEP[1u]; + 593:.\Generated_Source\PSoC5/USBFS_std.c **** } + 594:.\Generated_Source\PSoC5/USBFS_std.c **** #else /* Config for static EP memory allocation */ + 595:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = USBFS_EP1; i < USBFS_MAX_EP; i++) + 596:.\Generated_Source\PSoC5/USBFS_std.c **** { + 597:.\Generated_Source\PSoC5/USBFS_std.c **** /* p_list points the endpoint setting table. */ + 598:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + 599:.\Generated_Source\PSoC5/USBFS_std.c **** /* Find max length for each EP and select it (length could be different in differen + 600:.\Generated_Source\PSoC5/USBFS_std.c **** /* but other settings should be correct with regards to Interface alt Setting */ + 601:.\Generated_Source\PSoC5/USBFS_std.c **** for (cur_ep = 0u; cur_ep < ep; cur_ep++) + 602:.\Generated_Source\PSoC5/USBFS_std.c **** { + 603:.\Generated_Source\PSoC5/USBFS_std.c **** /* EP count is equal to EP # in table and we found larger EP length than have b + 604:.\Generated_Source\PSoC5/USBFS_std.c **** if(i == (pEP->addr & USBFS_DIR_UNUSED)) + 605:.\Generated_Source\PSoC5/USBFS_std.c **** { + 606:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_EP[i].bufferSize < pEP->bufferSize) + 607:.\Generated_Source\PSoC5/USBFS_std.c **** { + 608:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].bufferSize = pEP->bufferSize; + 609:.\Generated_Source\PSoC5/USBFS_std.c **** } + 610:.\Generated_Source\PSoC5/USBFS_std.c **** /* Compare current Alternate setting with EP Alt*/ + 611:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + 612:.\Generated_Source\PSoC5/USBFS_std.c **** { + 613:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 614:.\Generated_Source\PSoC5/USBFS_std.c **** if ((pEP->addr & USBFS_DIR_IN) != 0u) + 615:.\Generated_Source\PSoC5/USBFS_std.c **** { + 616:.\Generated_Source\PSoC5/USBFS_std.c **** /* IN Endpoint */ + 617:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].apiEpState = USBFS_EVENT_PENDING; + 618:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 619:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + 620:.\Generated_Source\PSoC5/USBFS_std.c **** /* Find and init CDC IN endpoint number */ + 621:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_CDC_CLASS) + 622:.\Generated_Source\PSoC5/USBFS_std.c **** if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + 623:.\Generated_Source\PSoC5/USBFS_std.c **** (pEP->bMisc == USBFS_CLASS_CDC)) && + 624:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type != USBFS_EP_TYPE_INT)) + 625:.\Generated_Source\PSoC5/USBFS_std.c **** { + 626:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_cdc_data_in_ep = i; + 627:.\Generated_Source\PSoC5/USBFS_std.c **** } + 628:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_CDC_CLASS*/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 15 + + + 629:.\Generated_Source\PSoC5/USBFS_std.c **** #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + 630:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + 631:.\Generated_Source\PSoC5/USBFS_std.c **** if((pEP->bMisc == USBFS_CLASS_AUDIO) && + 632:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type == USBFS_EP_TYPE_BULK)) + 633:.\Generated_Source\PSoC5/USBFS_std.c **** { + 634:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_midi_in_ep = i; + 635:.\Generated_Source\PSoC5/USBFS_std.c **** } + 636:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + 637:.\Generated_Source\PSoC5/USBFS_std.c **** } + 638:.\Generated_Source\PSoC5/USBFS_std.c **** else + 639:.\Generated_Source\PSoC5/USBFS_std.c **** { + 640:.\Generated_Source\PSoC5/USBFS_std.c **** /* OUT Endpoint */ + 641:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].apiEpState = USBFS_NO_EVENT_PENDING; + 642:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 643:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + 644:.\Generated_Source\PSoC5/USBFS_std.c **** /* Find and init CDC IN endpoint number */ + 645:.\Generated_Source\PSoC5/USBFS_std.c **** #if defined(USBFS_ENABLE_CDC_CLASS) + 646:.\Generated_Source\PSoC5/USBFS_std.c **** if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + 647:.\Generated_Source\PSoC5/USBFS_std.c **** (pEP->bMisc == USBFS_CLASS_CDC)) && + 648:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type != USBFS_EP_TYPE_INT)) + 649:.\Generated_Source\PSoC5/USBFS_std.c **** { + 650:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_cdc_data_out_ep = i; + 651:.\Generated_Source\PSoC5/USBFS_std.c **** } + 652:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_CDC_CLASS*/ + 653:.\Generated_Source\PSoC5/USBFS_std.c **** #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + 654:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_MIDI_OUT_BUFF_SIZE > 0) ) + 655:.\Generated_Source\PSoC5/USBFS_std.c **** if((pEP->bMisc == USBFS_CLASS_AUDIO) && + 656:.\Generated_Source\PSoC5/USBFS_std.c **** (ep_type == USBFS_EP_TYPE_BULK)) + 657:.\Generated_Source\PSoC5/USBFS_std.c **** { + 658:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_midi_out_ep = i; + 659:.\Generated_Source\PSoC5/USBFS_std.c **** } + 660:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + 661:.\Generated_Source\PSoC5/USBFS_std.c **** } + 662:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].addr = pEP->addr; + 663:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].attrib = pEP->attributes; + 664:.\Generated_Source\PSoC5/USBFS_std.c **** + 665:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 666:.\Generated_Source\PSoC5/USBFS_std.c **** break; /* use first EP setting in Auto memory managment */ + 667:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 668:.\Generated_Source\PSoC5/USBFS_std.c **** } + 669:.\Generated_Source\PSoC5/USBFS_std.c **** } + 670:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = &pEP[1u]; + 671:.\Generated_Source\PSoC5/USBFS_std.c **** } + 672:.\Generated_Source\PSoC5/USBFS_std.c **** } + 673:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End (USBFS_EP_MA == USBFS__MA_DYNAMIC) */ + 674:.\Generated_Source\PSoC5/USBFS_std.c **** + 675:.\Generated_Source\PSoC5/USBFS_std.c **** /* Init class array for each interface and interface number for each EP. + 676:.\Generated_Source\PSoC5/USBFS_std.c **** * It is used for handling Class specific requests directed to either an + 677:.\Generated_Source\PSoC5/USBFS_std.c **** * interface or the endpoint. + 678:.\Generated_Source\PSoC5/USBFS_std.c **** */ + 679:.\Generated_Source\PSoC5/USBFS_std.c **** /* p_list points the endpoint setting table. */ + 680:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + 681:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 682:.\Generated_Source\PSoC5/USBFS_std.c **** { + 683:.\Generated_Source\PSoC5/USBFS_std.c **** /* Configure interface number for each EP*/ + 684:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[pEP->addr & USBFS_DIR_UNUSED].interface = pEP->interface; + 685:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = &pEP[1u]; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 16 + + + 686:.\Generated_Source\PSoC5/USBFS_std.c **** } + 687:.\Generated_Source\PSoC5/USBFS_std.c **** /* Init pointer on interface class table*/ + 688:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceClass = USBFS_GetInterfaceClassTablePtr(); + 689:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set the endpoint buffer addresses */ + 690:.\Generated_Source\PSoC5/USBFS_std.c **** + 691:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + 692:.\Generated_Source\PSoC5/USBFS_std.c **** for (ep = USBFS_EP1; ep < USBFS_MAX_EP; ep++) + 693:.\Generated_Source\PSoC5/USBFS_std.c **** { + 694:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].buffOffset = buffCount; + 695:.\Generated_Source\PSoC5/USBFS_std.c **** buffCount += USBFS_EP[ep].bufferSize; + 696:.\Generated_Source\PSoC5/USBFS_std.c **** } + 697:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + 698:.\Generated_Source\PSoC5/USBFS_std.c **** + 699:.\Generated_Source\PSoC5/USBFS_std.c **** /* Configure hardware registers */ + 700:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ConfigReg(); + 701:.\Generated_Source\PSoC5/USBFS_std.c **** } /* USBFS_configuration > 0 */ + 702:.\Generated_Source\PSoC5/USBFS_std.c **** } + 703:.\Generated_Source\PSoC5/USBFS_std.c **** + 704:.\Generated_Source\PSoC5/USBFS_std.c **** + 705:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 706:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_ConfigAltChanged + 707:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 708:.\Generated_Source\PSoC5/USBFS_std.c **** * + 709:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 710:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine update configuration for the required endpoints only. + 711:.\Generated_Source\PSoC5/USBFS_std.c **** * It is called after SET_INTERFACE request when Static memory allocation used. + 712:.\Generated_Source\PSoC5/USBFS_std.c **** * + 713:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 714:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 715:.\Generated_Source\PSoC5/USBFS_std.c **** * + 716:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 717:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 718:.\Generated_Source\PSoC5/USBFS_std.c **** * + 719:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 720:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 721:.\Generated_Source\PSoC5/USBFS_std.c **** * + 722:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 723:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ConfigAltChanged(void) + 724:.\Generated_Source\PSoC5/USBFS_std.c **** { + 725:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep; + 726:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 cur_ep; + 727:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 i; + 728:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep_type; + 729:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ri; + 730:.\Generated_Source\PSoC5/USBFS_std.c **** + 731:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *pTmp; + 732:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_EP_SETTINGS_BLOCK CYCODE *pEP; + 733:.\Generated_Source\PSoC5/USBFS_std.c **** + 734:.\Generated_Source\PSoC5/USBFS_std.c **** + 735:.\Generated_Source\PSoC5/USBFS_std.c **** /* Init Endpoints and Device Status if configured */ + 736:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_configuration > 0u) + 737:.\Generated_Source\PSoC5/USBFS_std.c **** { + 738:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 739:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = &pTmp[1u]; + 740:.\Generated_Source\PSoC5/USBFS_std.c **** ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + 741:.\Generated_Source\PSoC5/USBFS_std.c **** + 742:.\Generated_Source\PSoC5/USBFS_std.c **** /* Do not touch EP which doesn't need reconfiguration */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 17 + + + 743:.\Generated_Source\PSoC5/USBFS_std.c **** /* When Alt setting changed, the only required endpoints need to be reconfigured */ + 744:.\Generated_Source\PSoC5/USBFS_std.c **** /* p_list points the endpoint setting table. */ + 745:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + 746:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 747:.\Generated_Source\PSoC5/USBFS_std.c **** { + 748:.\Generated_Source\PSoC5/USBFS_std.c **** /*If Alt setting changed and new is same with EP Alt */ + 749:.\Generated_Source\PSoC5/USBFS_std.c **** if((USBFS_interfaceSetting[pEP->interface] != + 750:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[pEP->interface] ) && + 751:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) && + 752:.\Generated_Source\PSoC5/USBFS_std.c **** (pEP->interface == CY_GET_REG8(USBFS_wIndexLo))) + 753:.\Generated_Source\PSoC5/USBFS_std.c **** { + 754:.\Generated_Source\PSoC5/USBFS_std.c **** cur_ep = pEP->addr & USBFS_DIR_UNUSED; + 755:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((cur_ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 756:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 757:.\Generated_Source\PSoC5/USBFS_std.c **** if ((pEP->addr & USBFS_DIR_IN) != 0u) + 758:.\Generated_Source\PSoC5/USBFS_std.c **** { + 759:.\Generated_Source\PSoC5/USBFS_std.c **** /* IN Endpoint */ + 760:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + 761:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 762:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + 763:.\Generated_Source\PSoC5/USBFS_std.c **** } + 764:.\Generated_Source\PSoC5/USBFS_std.c **** else + 765:.\Generated_Source\PSoC5/USBFS_std.c **** { + 766:.\Generated_Source\PSoC5/USBFS_std.c **** /* OUT Endpoint */ + 767:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + 768:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 769:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + 770:.\Generated_Source\PSoC5/USBFS_std.c **** } + 771:.\Generated_Source\PSoC5/USBFS_std.c **** /* Change the SIE mode for the selected EP to NAK ALL */ + 772:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN_OUT); + 773:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + 774:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].addr = pEP->addr; + 775:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].attrib = pEP->attributes; + 776:.\Generated_Source\PSoC5/USBFS_std.c **** + 777:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear the data toggle */ + 778:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epToggle = 0u; + 779:.\Generated_Source\PSoC5/USBFS_std.c **** + 780:.\Generated_Source\PSoC5/USBFS_std.c **** /* Dynamic reconfiguration for mode 3 transfer */ + 781:.\Generated_Source\PSoC5/USBFS_std.c **** #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + 782:.\Generated_Source\PSoC5/USBFS_std.c **** /* In_data_rdy for selected EP should be set to 0 */ + 783:.\Generated_Source\PSoC5/USBFS_std.c **** * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= (uint8)~USBFS_ARB_EPX_CFG_IN_DATA_RDY; + 784:.\Generated_Source\PSoC5/USBFS_std.c **** + 785:.\Generated_Source\PSoC5/USBFS_std.c **** /* write the EP number for which reconfiguration is required */ + 786:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DYN_RECONFIG_REG = (cur_ep - USBFS_EP1) << + 787:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DYN_RECONFIG_EP_SHIFT; + 788:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set the dyn_config_en bit in dynamic reconfiguration register */ + 789:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DYN_RECONFIG_REG |= USBFS_DYN_RECONFIG_ENABLE; + 790:.\Generated_Source\PSoC5/USBFS_std.c **** /* wait for the dyn_config_rdy bit to set by the block, + 791:.\Generated_Source\PSoC5/USBFS_std.c **** * this bit will be set to 1 when block is ready for reconfiguration. + 792:.\Generated_Source\PSoC5/USBFS_std.c **** */ + 793:.\Generated_Source\PSoC5/USBFS_std.c **** while((USBFS_DYN_RECONFIG_REG & USBFS_DYN_RECONFIG_RDY_STS) == 0u) + 794:.\Generated_Source\PSoC5/USBFS_std.c **** { + 795:.\Generated_Source\PSoC5/USBFS_std.c **** ; + 796:.\Generated_Source\PSoC5/USBFS_std.c **** } + 797:.\Generated_Source\PSoC5/USBFS_std.c **** /* Once dyn_config_rdy bit is set, FW can change the EP configuration. */ + 798:.\Generated_Source\PSoC5/USBFS_std.c **** /* Change EP Type with new direction */ + 799:.\Generated_Source\PSoC5/USBFS_std.c **** if((pEP->addr & USBFS_DIR_IN) == 0u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 18 + + + 800:.\Generated_Source\PSoC5/USBFS_std.c **** { + 801:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP_TYPE_REG |= (uint8)(0x01u << (cur_ep - USBFS_EP1)); + 802:.\Generated_Source\PSoC5/USBFS_std.c **** } + 803:.\Generated_Source\PSoC5/USBFS_std.c **** else + 804:.\Generated_Source\PSoC5/USBFS_std.c **** { + 805:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP_TYPE_REG &= (uint8)~(uint8)(0x01u << (cur_ep - USBFS_EP1)); + 806:.\Generated_Source\PSoC5/USBFS_std.c **** } + 807:.\Generated_Source\PSoC5/USBFS_std.c **** /* dynamic reconfiguration enable bit cleared, pointers and control/status + 808:.\Generated_Source\PSoC5/USBFS_std.c **** * signals for the selected EP is cleared/re-initialized on negative edge + 809:.\Generated_Source\PSoC5/USBFS_std.c **** * of dynamic reconfiguration enable bit). + 810:.\Generated_Source\PSoC5/USBFS_std.c **** */ + 811:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_DYN_RECONFIG_REG &= (uint8)~USBFS_DYN_RECONFIG_ENABLE; + 812:.\Generated_Source\PSoC5/USBFS_std.c **** /* The main loop has to re-enable DMA and OUT endpoint*/ + 813:.\Generated_Source\PSoC5/USBFS_std.c **** #else + 814:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + 815:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].bufferSize >> 8u); + 816:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), + 817:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].bufferSize & 0xFFu + 818:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + ri), + 819:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].buffOffset & 0xFFu + 820:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + ri), + 821:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].buffOffset >> 8u); + 822:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + ri), + 823:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].buffOffset & 0xFFu + 824:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + ri), + 825:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].buffOffset >> 8u); + 826:.\Generated_Source\PSoC5/USBFS_std.c **** #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + 827:.\Generated_Source\PSoC5/USBFS_std.c **** } + 828:.\Generated_Source\PSoC5/USBFS_std.c **** /* Get next EP element */ + 829:.\Generated_Source\PSoC5/USBFS_std.c **** pEP = &pEP[1u]; + 830:.\Generated_Source\PSoC5/USBFS_std.c **** } + 831:.\Generated_Source\PSoC5/USBFS_std.c **** } /* USBFS_configuration > 0 */ + 832:.\Generated_Source\PSoC5/USBFS_std.c **** } + 833:.\Generated_Source\PSoC5/USBFS_std.c **** + 834:.\Generated_Source\PSoC5/USBFS_std.c **** + 835:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 836:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_GetConfigTablePtr + 837:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 838:.\Generated_Source\PSoC5/USBFS_std.c **** * + 839:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 840:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine returns a pointer a configuration table entry + 841:.\Generated_Source\PSoC5/USBFS_std.c **** * + 842:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 843:.\Generated_Source\PSoC5/USBFS_std.c **** * c: Configuration Index + 844:.\Generated_Source\PSoC5/USBFS_std.c **** * + 845:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 846:.\Generated_Source\PSoC5/USBFS_std.c **** * Device Descriptor pointer. + 847:.\Generated_Source\PSoC5/USBFS_std.c **** * + 848:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 849:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *USBFS_GetConfigTablePtr(uint8 c) + 850:.\Generated_Source\PSoC5/USBFS_std.c **** + 851:.\Generated_Source\PSoC5/USBFS_std.c **** { + 160 .loc 1 851 0 + 161 .cfi_startproc + 162 @ args = 0, pretend = 0, frame = 0 + 163 @ frame_needed = 0, uses_anonymous_args = 0 + 164 @ link register save eliminated. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 19 + + + 165 .LVL8: + 852:.\Generated_Source\PSoC5/USBFS_std.c **** /* Device Table */ + 853:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *pTmp; + 854:.\Generated_Source\PSoC5/USBFS_std.c **** + 855:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list; + 166 .loc 1 855 0 + 167 0000 044B ldr r3, .L13 + 168 0002 0549 ldr r1, .L13+4 + 169 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 170 .LVL9: + 171 0006 01EBC203 add r3, r1, r2, lsl #3 + 856:.\Generated_Source\PSoC5/USBFS_std.c **** + 857:.\Generated_Source\PSoC5/USBFS_std.c **** /* The first entry points to the Device Descriptor, + 858:.\Generated_Source\PSoC5/USBFS_std.c **** * the rest configuration entries. + 859:.\Generated_Source\PSoC5/USBFS_std.c **** */ + 860:.\Generated_Source\PSoC5/USBFS_std.c **** return( (const T_USBFS_LUT CYCODE *) pTmp[c + 1u].p_list ); + 172 .loc 1 860 0 + 173 000a 5A68 ldr r2, [r3, #4] + 174 .LVL10: + 175 000c 02EBC000 add r0, r2, r0, lsl #3 + 176 .LVL11: + 861:.\Generated_Source\PSoC5/USBFS_std.c **** } + 177 .loc 1 861 0 + 178 0010 C068 ldr r0, [r0, #12] + 179 0012 7047 bx lr + 180 .L14: + 181 .align 2 + 182 .L13: + 183 0014 00000000 .word USBFS_device + 184 0018 00000000 .word USBFS_TABLE + 185 .cfi_endproc + 186 .LFE4: + 187 .size USBFS_GetConfigTablePtr, .-USBFS_GetConfigTablePtr + 188 .section .text.USBFS_ConfigAltChanged,"ax",%progbits + 189 .align 1 + 190 .global USBFS_ConfigAltChanged + 191 .thumb + 192 .thumb_func + 193 .type USBFS_ConfigAltChanged, %function + 194 USBFS_ConfigAltChanged: + 195 .LFB3: + 724:.\Generated_Source\PSoC5/USBFS_std.c **** { + 196 .loc 1 724 0 + 197 .cfi_startproc + 198 @ args = 0, pretend = 0, frame = 0 + 199 @ frame_needed = 0, uses_anonymous_args = 0 + 200 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 201 .LCFI1: + 202 .cfi_def_cfa_offset 24 + 203 .cfi_offset 3, -24 + 204 .cfi_offset 4, -20 + 205 .cfi_offset 5, -16 + 206 .cfi_offset 6, -12 + 207 .cfi_offset 7, -8 + 208 .cfi_offset 14, -4 + 736:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_configuration > 0u) + 209 .loc 1 736 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 20 + + + 210 0002 3D4B ldr r3, .L28 + 211 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 212 0006 002A cmp r2, #0 + 213 0008 74D0 beq .L15 + 738:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 214 .loc 1 738 0 + 215 000a 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 216 000c 411E subs r1, r0, #1 + 217 000e C8B2 uxtb r0, r1 + 218 0010 FFF7FEFF bl USBFS_GetConfigTablePtr + 219 .LVL12: + 723:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ConfigAltChanged(void) + 220 .loc 1 723 0 + 221 0014 C368 ldr r3, [r0, #12] + 740:.\Generated_Source\PSoC5/USBFS_std.c **** ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + 222 .loc 1 740 0 + 223 0016 057A ldrb r5, [r0, #8] @ zero_extendqisi2 + 224 .LVL13: + 723:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_ConfigAltChanged(void) + 225 .loc 1 723 0 + 226 0018 0833 adds r3, r3, #8 + 227 .LVL14: + 746:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 228 .loc 1 746 0 + 229 001a 0020 movs r0, #0 + 230 .LVL15: + 231 .L17: + 746:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 232 .loc 1 746 0 is_stmt 0 discriminator 1 + 233 001c A842 cmp r0, r5 + 234 001e 69D0 beq .L15 + 235 .L23: + 749:.\Generated_Source\PSoC5/USBFS_std.c **** if((USBFS_interfaceSetting[pEP->interface] != + 236 .loc 1 749 0 is_stmt 1 + 237 0020 13F8082C ldrb r2, [r3, #-8] @ zero_extendqisi2 + 238 0024 3549 ldr r1, .L28+4 + 750:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[pEP->interface] ) && + 239 .loc 1 750 0 + 240 0026 364C ldr r4, .L28+8 + 749:.\Generated_Source\PSoC5/USBFS_std.c **** if((USBFS_interfaceSetting[pEP->interface] != + 241 .loc 1 749 0 + 242 0028 8E5C ldrb r6, [r1, r2] @ zero_extendqisi2 + 750:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[pEP->interface] ) && + 243 .loc 1 750 0 + 244 002a A45C ldrb r4, [r4, r2] @ zero_extendqisi2 + 749:.\Generated_Source\PSoC5/USBFS_std.c **** if((USBFS_interfaceSetting[pEP->interface] != + 245 .loc 1 749 0 + 246 002c A642 cmp r6, r4 + 247 002e 5DD0 beq .L18 + 751:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) && + 248 .loc 1 751 0 + 249 0030 895C ldrb r1, [r1, r2] @ zero_extendqisi2 + 750:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[pEP->interface] ) && + 250 .loc 1 750 0 + 251 0032 13F8074C ldrb r4, [r3, #-7] @ zero_extendqisi2 + 252 0036 8C42 cmp r4, r1 + 253 0038 58D1 bne .L18 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 21 + + + 752:.\Generated_Source\PSoC5/USBFS_std.c **** (pEP->interface == CY_GET_REG8(USBFS_wIndexLo))) + 254 .loc 1 752 0 + 255 003a 3249 ldr r1, .L28+12 + 256 003c 0978 ldrb r1, [r1, #0] @ zero_extendqisi2 + 751:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) && + 257 .loc 1 751 0 + 258 003e 8A42 cmp r2, r1 + 259 0040 54D1 bne .L18 + 754:.\Generated_Source\PSoC5/USBFS_std.c **** cur_ep = pEP->addr & USBFS_DIR_UNUSED; + 260 .loc 1 754 0 + 261 0042 13F8067C ldrb r7, [r3, #-6] @ zero_extendqisi2 + 262 0046 07F07F02 and r2, r7, #127 + 263 .LVL16: + 755:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((cur_ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 264 .loc 1 755 0 + 265 004a 561E subs r6, r2, #1 + 266 004c 3401 lsls r4, r6, #4 + 267 004e E1B2 uxtb r1, r4 + 268 .LVL17: + 756:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 269 .loc 1 756 0 + 270 0050 13F8056C ldrb r6, [r3, #-5] @ zero_extendqisi2 + 271 0054 2C4C ldr r4, .L28+16 + 272 .LVL18: + 757:.\Generated_Source\PSoC5/USBFS_std.c **** if ((pEP->addr & USBFS_DIR_IN) != 0u) + 273 .loc 1 757 0 + 274 0056 17F0800F tst r7, #128 + 275 005a 4FF00C07 mov r7, #12 + 756:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 276 .loc 1 756 0 + 277 005e 06F00306 and r6, r6, #3 + 278 .LVL19: + 760:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + 279 .loc 1 760 0 + 280 0062 07FB0244 mla r4, r7, r2, r4 + 757:.\Generated_Source\PSoC5/USBFS_std.c **** if ((pEP->addr & USBFS_DIR_IN) != 0u) + 281 .loc 1 757 0 + 282 0066 06D0 beq .L19 + 760:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + 283 .loc 1 760 0 + 284 0068 0127 movs r7, #1 + 285 006a 6770 strb r7, [r4, #1] + 761:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 286 .loc 1 761 0 + 287 006c BE42 cmp r6, r7 + 288 006e 14BF ite ne + 289 0070 0D26 movne r6, #13 + 290 0072 0726 moveq r6, #7 + 291 .LVL20: + 292 0074 05E0 b .L27 + 293 .LVL21: + 294 .L19: + 767:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + 295 .loc 1 767 0 + 296 0076 0027 movs r7, #0 + 297 0078 6770 strb r7, [r4, #1] + 768:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 22 + + + 298 .loc 1 768 0 + 299 007a 012E cmp r6, #1 + 300 007c 14BF ite ne + 301 007e 0926 movne r6, #9 + 302 0080 0526 moveq r6, #5 + 303 .LVL22: + 304 .L27: + 305 0082 6671 strb r6, [r4, #5] + 772:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN_OUT); + 306 .loc 1 772 0 + 307 0084 214C ldr r4, .L28+20 + 308 0086 0126 movs r6, #1 + 309 0088 0F19 adds r7, r1, r4 + 773:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + 310 .loc 1 773 0 + 311 008a 0C24 movs r4, #12 + 312 008c 5443 muls r4, r2, r4 + 772:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN_OUT); + 313 .loc 1 772 0 + 314 008e 3E70 strb r6, [r7, #0] + 773:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + 315 .loc 1 773 0 + 316 0090 1D4E ldr r6, .L28+16 + 317 0092 33F8047C ldrh r7, [r3, #-4] + 318 0096 3219 adds r2, r6, r4 + 319 .LVL23: + 320 0098 1781 strh r7, [r2, #8] @ movhi + 774:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].addr = pEP->addr; + 321 .loc 1 774 0 + 322 009a 13F8067C ldrb r7, [r3, #-6] @ zero_extendqisi2 + 323 009e 1771 strb r7, [r2, #4] + 775:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].attrib = pEP->attributes; + 324 .loc 1 775 0 + 325 00a0 13F8057C ldrb r7, [r3, #-5] @ zero_extendqisi2 + 326 00a4 3755 strb r7, [r6, r4] + 778:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[cur_ep].epToggle = 0u; + 327 .loc 1 778 0 + 328 00a6 0026 movs r6, #0 + 329 00a8 D670 strb r6, [r2, #3] + 814:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + 330 .loc 1 814 0 + 331 00aa 1689 ldrh r6, [r2, #8] + 332 00ac 184C ldr r4, .L28+24 + 333 00ae C6F30726 ubfx r6, r6, #8, #8 + 334 00b2 0C19 adds r4, r1, r4 + 335 00b4 2670 strb r6, [r4, #0] + 816:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), + 336 .loc 1 816 0 + 337 00b6 1689 ldrh r6, [r2, #8] + 338 00b8 164C ldr r4, .L28+28 + 339 00ba F6B2 uxtb r6, r6 + 340 00bc 0C19 adds r4, r1, r4 + 341 00be 2670 strb r6, [r4, #0] + 818:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + ri), + 342 .loc 1 818 0 + 343 00c0 D688 ldrh r6, [r2, #6] + 344 00c2 154C ldr r4, .L28+32 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 23 + + + 345 00c4 F6B2 uxtb r6, r6 + 346 00c6 0C19 adds r4, r1, r4 + 347 00c8 2670 strb r6, [r4, #0] + 820:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + ri), + 348 .loc 1 820 0 + 349 00ca D688 ldrh r6, [r2, #6] + 350 00cc 134C ldr r4, .L28+36 + 351 00ce C6F30726 ubfx r6, r6, #8, #8 + 352 00d2 0C19 adds r4, r1, r4 + 353 00d4 2670 strb r6, [r4, #0] + 822:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + ri), + 354 .loc 1 822 0 + 355 00d6 D688 ldrh r6, [r2, #6] + 356 00d8 114C ldr r4, .L28+40 + 357 00da F6B2 uxtb r6, r6 + 358 00dc 0C19 adds r4, r1, r4 + 359 00de 2670 strb r6, [r4, #0] + 824:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + ri), + 360 .loc 1 824 0 + 361 00e0 104C ldr r4, .L28+44 + 362 00e2 0C19 adds r4, r1, r4 + 363 00e4 D188 ldrh r1, [r2, #6] + 364 .LVL24: + 365 00e6 C1F30722 ubfx r2, r1, #8, #8 + 366 00ea 2270 strb r2, [r4, #0] + 367 .LVL25: + 368 .L18: + 746:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 369 .loc 1 746 0 + 370 00ec 0130 adds r0, r0, #1 + 371 .LVL26: + 372 00ee C0B2 uxtb r0, r0 + 373 .LVL27: + 374 00f0 0833 adds r3, r3, #8 + 375 00f2 93E7 b .L17 + 376 .LVL28: + 377 .L15: + 378 00f4 F8BD pop {r3, r4, r5, r6, r7, pc} + 379 .L29: + 380 00f6 00BF .align 2 + 381 .L28: + 382 00f8 00000000 .word USBFS_configuration + 383 00fc 00000000 .word USBFS_interfaceSetting + 384 0100 00000000 .word USBFS_interfaceSetting_last + 385 0104 04600040 .word 1073766404 + 386 0108 00000000 .word USBFS_EP + 387 010c 0E600040 .word 1073766414 + 388 0110 0C600040 .word 1073766412 + 389 0114 0D600040 .word 1073766413 + 390 0118 86600040 .word 1073766534 + 391 011c 87600040 .word 1073766535 + 392 0120 84600040 .word 1073766532 + 393 0124 85600040 .word 1073766533 + 394 .cfi_endproc + 395 .LFE3: + 396 .size USBFS_ConfigAltChanged, .-USBFS_ConfigAltChanged + 397 .section .text.USBFS_GetDeviceTablePtr,"ax",%progbits + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 24 + + + 398 .align 1 + 399 .global USBFS_GetDeviceTablePtr + 400 .thumb + 401 .thumb_func + 402 .type USBFS_GetDeviceTablePtr, %function + 403 USBFS_GetDeviceTablePtr: + 404 .LFB5: + 862:.\Generated_Source\PSoC5/USBFS_std.c **** + 863:.\Generated_Source\PSoC5/USBFS_std.c **** + 864:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 865:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_GetDeviceTablePtr + 866:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 867:.\Generated_Source\PSoC5/USBFS_std.c **** * + 868:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 869:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine returns a pointer to the Device table + 870:.\Generated_Source\PSoC5/USBFS_std.c **** * + 871:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 872:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 873:.\Generated_Source\PSoC5/USBFS_std.c **** * + 874:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 875:.\Generated_Source\PSoC5/USBFS_std.c **** * Device Table pointer + 876:.\Generated_Source\PSoC5/USBFS_std.c **** * + 877:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 878:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *USBFS_GetDeviceTablePtr(void) + 879:.\Generated_Source\PSoC5/USBFS_std.c **** + 880:.\Generated_Source\PSoC5/USBFS_std.c **** { + 405 .loc 1 880 0 + 406 .cfi_startproc + 407 @ args = 0, pretend = 0, frame = 0 + 408 @ frame_needed = 0, uses_anonymous_args = 0 + 409 @ link register save eliminated. + 881:.\Generated_Source\PSoC5/USBFS_std.c **** /* Device Table */ + 882:.\Generated_Source\PSoC5/USBFS_std.c **** return( (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list ); + 410 .loc 1 882 0 + 411 0000 034B ldr r3, .L31 + 412 0002 0448 ldr r0, .L31+4 + 413 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 414 0006 00EBC201 add r1, r0, r2, lsl #3 + 883:.\Generated_Source\PSoC5/USBFS_std.c **** } + 415 .loc 1 883 0 + 416 000a 4868 ldr r0, [r1, #4] + 417 000c 7047 bx lr + 418 .L32: + 419 000e 00BF .align 2 + 420 .L31: + 421 0010 00000000 .word USBFS_device + 422 0014 00000000 .word USBFS_TABLE + 423 .cfi_endproc + 424 .LFE5: + 425 .size USBFS_GetDeviceTablePtr, .-USBFS_GetDeviceTablePtr + 426 .section .text.USBFS_GetInterfaceClassTablePtr,"ax",%progbits + 427 .align 1 + 428 .global USBFS_GetInterfaceClassTablePtr + 429 .thumb + 430 .thumb_func + 431 .type USBFS_GetInterfaceClassTablePtr, %function + 432 USBFS_GetInterfaceClassTablePtr: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 25 + + + 433 .LFB6: + 884:.\Generated_Source\PSoC5/USBFS_std.c **** + 885:.\Generated_Source\PSoC5/USBFS_std.c **** + 886:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 887:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USB_GetInterfaceClassTablePtr + 888:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 889:.\Generated_Source\PSoC5/USBFS_std.c **** * + 890:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 891:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine returns Interface Class table pointer, which contains + 892:.\Generated_Source\PSoC5/USBFS_std.c **** * the relation between interface number and interface class. + 893:.\Generated_Source\PSoC5/USBFS_std.c **** * + 894:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 895:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 896:.\Generated_Source\PSoC5/USBFS_std.c **** * + 897:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 898:.\Generated_Source\PSoC5/USBFS_std.c **** * Interface Class table pointer. + 899:.\Generated_Source\PSoC5/USBFS_std.c **** * + 900:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 901:.\Generated_Source\PSoC5/USBFS_std.c **** const uint8 CYCODE *USBFS_GetInterfaceClassTablePtr(void) + 902:.\Generated_Source\PSoC5/USBFS_std.c **** + 903:.\Generated_Source\PSoC5/USBFS_std.c **** { + 434 .loc 1 903 0 + 435 .cfi_startproc + 436 @ args = 0, pretend = 0, frame = 0 + 437 @ frame_needed = 0, uses_anonymous_args = 0 + 438 0000 08B5 push {r3, lr} + 439 .LCFI2: + 440 .cfi_def_cfa_offset 8 + 441 .cfi_offset 3, -8 + 442 .cfi_offset 14, -4 + 904:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *pTmp; + 905:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 currentInterfacesNum; + 906:.\Generated_Source\PSoC5/USBFS_std.c **** + 907:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 443 .loc 1 907 0 + 444 0002 064B ldr r3, .L34 + 445 0004 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 446 0006 411E subs r1, r0, #1 + 447 0008 C8B2 uxtb r0, r1 + 448 000a FFF7FEFF bl USBFS_GetConfigTablePtr + 449 .LVL29: + 908:.\Generated_Source\PSoC5/USBFS_std.c **** currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_CONFIG_DESCR_NUM_INTERFACES]; + 450 .loc 1 908 0 + 451 000e 4268 ldr r2, [r0, #4] + 909:.\Generated_Source\PSoC5/USBFS_std.c **** /* Third entry in the LUT starts the Interface Table pointers */ + 910:.\Generated_Source\PSoC5/USBFS_std.c **** /* The INTERFACE_CLASS table is located after all interfaces */ + 911:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = &pTmp[currentInterfacesNum + 2u]; + 452 .loc 1 911 0 + 453 0010 1379 ldrb r3, [r2, #4] @ zero_extendqisi2 + 454 .LVL30: + 912:.\Generated_Source\PSoC5/USBFS_std.c **** return( (const uint8 CYCODE *) pTmp->p_list ); + 455 .loc 1 912 0 + 456 0012 00EBC300 add r0, r0, r3, lsl #3 + 457 .LVL31: + 913:.\Generated_Source\PSoC5/USBFS_std.c **** } + 458 .loc 1 913 0 + 459 0016 4069 ldr r0, [r0, #20] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 26 + + + 460 0018 08BD pop {r3, pc} + 461 .L35: + 462 001a 00BF .align 2 + 463 .L34: + 464 001c 00000000 .word USBFS_configuration + 465 .cfi_endproc + 466 .LFE6: + 467 .size USBFS_GetInterfaceClassTablePtr, .-USBFS_GetInterfaceClassTablePtr + 468 .section .text.USBFS_Config,"ax",%progbits + 469 .align 1 + 470 .global USBFS_Config + 471 .thumb + 472 .thumb_func + 473 .type USBFS_Config, %function + 474 USBFS_Config: + 475 .LFB2: + 476:.\Generated_Source\PSoC5/USBFS_std.c **** { + 476 .loc 1 476 0 + 477 .cfi_startproc + 478 @ args = 0, pretend = 0, frame = 0 + 479 @ frame_needed = 0, uses_anonymous_args = 0 + 480 .LVL32: + 481 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 482 .LCFI3: + 483 .cfi_def_cfa_offset 24 + 484 .cfi_offset 3, -24 + 485 .cfi_offset 4, -20 + 486 .cfi_offset 5, -16 + 487 .cfi_offset 6, -12 + 488 .cfi_offset 7, -8 + 489 .cfi_offset 14, -4 + 476:.\Generated_Source\PSoC5/USBFS_std.c **** { + 490 .loc 1 476 0 + 491 0002 0021 movs r1, #0 + 492 .LVL33: + 493 .L37: + 492:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].attrib = 0u; + 494 .loc 1 492 0 discriminator 2 + 495 0004 0C24 movs r4, #12 + 496 0006 4C43 muls r4, r1, r4 + 497 0008 514D ldr r5, .L68 + 498 000a 0131 adds r1, r1, #1 + 499 000c 0023 movs r3, #0 + 500 000e 2A19 adds r2, r5, r4 + 490:.\Generated_Source\PSoC5/USBFS_std.c **** for (ep = 0u; ep < USBFS_MAX_EP; ep++) + 501 .loc 1 490 0 discriminator 2 + 502 0010 0929 cmp r1, #9 + 492:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].attrib = 0u; + 503 .loc 1 492 0 discriminator 2 + 504 0012 2B55 strb r3, [r5, r4] + 493:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].hwEpState = 0u; + 505 .loc 1 493 0 discriminator 2 + 506 0014 9370 strb r3, [r2, #2] + 497:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].bufferSize = 0u; + 507 .loc 1 497 0 discriminator 2 + 508 0016 02F10804 add r4, r2, #8 + 494:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_PENDING; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 27 + + + 509 .loc 1 494 0 discriminator 2 + 510 001a 5370 strb r3, [r2, #1] + 495:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epToggle = 0u; + 511 .loc 1 495 0 discriminator 2 + 512 001c D370 strb r3, [r2, #3] + 496:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epMode = USBFS_MODE_DISABLE; + 513 .loc 1 496 0 discriminator 2 + 514 001e 5371 strb r3, [r2, #5] + 497:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].bufferSize = 0u; + 515 .loc 1 497 0 discriminator 2 + 516 0020 1381 strh r3, [r2, #8] @ movhi + 498:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].interface = 0u; + 517 .loc 1 498 0 discriminator 2 + 518 0022 9372 strb r3, [r2, #10] + 490:.\Generated_Source\PSoC5/USBFS_std.c **** for (ep = 0u; ep < USBFS_MAX_EP; ep++) + 519 .loc 1 490 0 discriminator 2 + 520 0024 EED1 bne .L37 + 503:.\Generated_Source\PSoC5/USBFS_std.c **** if(clearAltSetting != 0u) + 521 .loc 1 503 0 + 522 0026 18B1 cbz r0, .L38 + 507:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[i] = 0x00u; + 523 .loc 1 507 0 discriminator 2 + 524 0028 4A48 ldr r0, .L68+4 + 525 .LVL34: + 508:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[i] = 0x00u; + 526 .loc 1 508 0 discriminator 2 + 527 002a 4B4A ldr r2, .L68+8 + 507:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[i] = 0x00u; + 528 .loc 1 507 0 discriminator 2 + 529 002c 0370 strb r3, [r0, #0] + 508:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[i] = 0x00u; + 530 .loc 1 508 0 discriminator 2 + 531 002e 1370 strb r3, [r2, #0] + 532 .LVL35: + 533 .L38: + 513:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_configuration > 0u) + 534 .loc 1 513 0 + 535 0030 4A4B ldr r3, .L68+12 + 536 0032 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 537 0034 0029 cmp r1, #0 + 538 0036 00F08A80 beq .L36 + 515:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 539 .loc 1 515 0 + 540 003a 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 541 003c 601E subs r0, r4, #1 + 542 003e C0B2 uxtb r0, r0 + 543 0040 FFF7FEFF bl USBFS_GetConfigTablePtr + 544 .LVL36: + 518:.\Generated_Source\PSoC5/USBFS_std.c **** if((pDescr[USBFS_CONFIG_DESCR_ATTRIB] & USBFS_CONFIG_DESCR_ATTRIB_SELF_POWERED) != 0u) + 545 .loc 1 518 0 + 546 0044 4268 ldr r2, [r0, #4] + 547 0046 D379 ldrb r3, [r2, #7] @ zero_extendqisi2 + 548 0048 03F04001 and r1, r3, #64 + 549 004c CCB2 uxtb r4, r1 + 550 004e 444B ldr r3, .L68+16 + 551 0050 1CB1 cbz r4, .L40 + 520:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 28 + + + 552 .loc 1 520 0 + 553 0052 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 554 0054 44F00101 orr r1, r4, #1 + 555 .LVL37: + 556 0058 02E0 b .L64 + 557 .L40: + 524:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_SELF_POWERED; + 558 .loc 1 524 0 + 559 005a 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 560 005c 02F0FE01 and r1, r2, #254 + 561 .L64: + 528:.\Generated_Source\PSoC5/USBFS_std.c **** ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + 562 .loc 1 528 0 + 563 0060 047A ldrb r4, [r0, #8] @ zero_extendqisi2 + 564 .LVL38: + 524:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_SELF_POWERED; + 565 .loc 1 524 0 + 566 0062 1970 strb r1, [r3, #0] + 567 .LVL39: + 528:.\Generated_Source\PSoC5/USBFS_std.c **** ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + 568 .loc 1 528 0 + 569 0064 0122 movs r2, #1 + 570 .LVL40: + 571 .L52: + 475:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_Config(uint8 clearAltSetting) + 572 .loc 1 475 0 + 573 0066 C368 ldr r3, [r0, #12] + 601:.\Generated_Source\PSoC5/USBFS_std.c **** for (cur_ep = 0u; cur_ep < ep; cur_ep++) + 574 .loc 1 601 0 + 575 0068 0021 movs r1, #0 + 576 .LVL41: + 475:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_Config(uint8 clearAltSetting) + 577 .loc 1 475 0 + 578 006a 0833 adds r3, r3, #8 + 579 .LVL42: + 580 .L42: + 601:.\Generated_Source\PSoC5/USBFS_std.c **** for (cur_ep = 0u; cur_ep < ep; cur_ep++) + 581 .loc 1 601 0 discriminator 1 + 582 006c A142 cmp r1, r4 + 583 006e 43D0 beq .L66 + 584 .L51: + 604:.\Generated_Source\PSoC5/USBFS_std.c **** if(i == (pEP->addr & USBFS_DIR_UNUSED)) + 585 .loc 1 604 0 + 586 0070 13F8065C ldrb r5, [r3, #-6] @ zero_extendqisi2 + 587 0074 05F07F06 and r6, r5, #127 + 588 0078 B242 cmp r2, r6 + 589 007a 39D1 bne .L44 + 606:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_EP[i].bufferSize < pEP->bufferSize) + 590 .loc 1 606 0 + 591 007c 344E ldr r6, .L68 + 592 007e 0C27 movs r7, #12 + 593 0080 07FB0266 mla r6, r7, r2, r6 + 594 0084 B6F808E0 ldrh lr, [r6, #8] + 595 0088 33F8047C ldrh r7, [r3, #-4] + 596 008c 1FFA8EFC uxth ip, lr + 597 0090 BC45 cmp ip, r7 + 608:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].bufferSize = pEP->bufferSize; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 29 + + + 598 .loc 1 608 0 + 599 0092 38BF it cc + 600 0094 3781 strhcc r7, [r6, #8] @ movhi + 611:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + 601 .loc 1 611 0 + 602 0096 13F8086C ldrb r6, [r3, #-8] @ zero_extendqisi2 + 603 009a 2E4F ldr r7, .L68+4 + 604 009c BE5D ldrb r6, [r7, r6] @ zero_extendqisi2 + 605 009e 13F8077C ldrb r7, [r3, #-7] @ zero_extendqisi2 + 606 00a2 B742 cmp r7, r6 + 607 00a4 24D1 bne .L44 + 613:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 608 .loc 1 613 0 + 609 00a6 13F8056C ldrb r6, [r3, #-5] @ zero_extendqisi2 + 614:.\Generated_Source\PSoC5/USBFS_std.c **** if ((pEP->addr & USBFS_DIR_IN) != 0u) + 610 .loc 1 614 0 + 611 00aa 15F0800F tst r5, #128 + 612 00ae 284D ldr r5, .L68 + 613 00b0 4FF00C07 mov r7, #12 + 613:.\Generated_Source\PSoC5/USBFS_std.c **** ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + 614 .loc 1 613 0 + 615 00b4 06F00306 and r6, r6, #3 + 616 .LVL43: + 617:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].apiEpState = USBFS_EVENT_PENDING; + 617 .loc 1 617 0 + 618 00b8 07FB0255 mla r5, r7, r2, r5 + 614:.\Generated_Source\PSoC5/USBFS_std.c **** if ((pEP->addr & USBFS_DIR_IN) != 0u) + 619 .loc 1 614 0 + 620 00bc 06D0 beq .L47 + 617:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].apiEpState = USBFS_EVENT_PENDING; + 621 .loc 1 617 0 + 622 00be 0127 movs r7, #1 + 623 00c0 6F70 strb r7, [r5, #1] + 618:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 624 .loc 1 618 0 + 625 00c2 BE42 cmp r6, r7 + 626 00c4 14BF ite ne + 627 00c6 0D26 movne r6, #13 + 628 00c8 0726 moveq r6, #7 + 629 .LVL44: + 630 00ca 05E0 b .L65 + 631 .LVL45: + 632 .L47: + 641:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].apiEpState = USBFS_NO_EVENT_PENDING; + 633 .loc 1 641 0 + 634 00cc 0027 movs r7, #0 + 635 00ce 6F70 strb r7, [r5, #1] + 642:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + 636 .loc 1 642 0 + 637 00d0 012E cmp r6, #1 + 638 00d2 14BF ite ne + 639 00d4 0926 movne r6, #9 + 640 00d6 0526 moveq r6, #5 + 641 .LVL46: + 642 .L65: + 643 00d8 6E71 strb r6, [r5, #5] + 662:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].addr = pEP->addr; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 30 + + + 644 .loc 1 662 0 + 645 00da 0C25 movs r5, #12 + 646 00dc 5543 muls r5, r2, r5 + 647 00de 1C4E ldr r6, .L68 + 648 00e0 13F806EC ldrb lr, [r3, #-6] @ zero_extendqisi2 + 649 00e4 7719 adds r7, r6, r5 + 650 00e6 87F804E0 strb lr, [r7, #4] + 663:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[i].attrib = pEP->attributes; + 651 .loc 1 663 0 + 652 00ea 13F8057C ldrb r7, [r3, #-5] @ zero_extendqisi2 + 653 00ee 7755 strb r7, [r6, r5] + 654 .LVL47: + 655 .L44: + 601:.\Generated_Source\PSoC5/USBFS_std.c **** for (cur_ep = 0u; cur_ep < ep; cur_ep++) + 656 .loc 1 601 0 + 657 00f0 0131 adds r1, r1, #1 + 658 .LVL48: + 659 00f2 C9B2 uxtb r1, r1 + 660 .LVL49: + 661 00f4 0833 adds r3, r3, #8 + 662 00f6 B9E7 b .L42 + 663 .L66: + 664 00f8 0132 adds r2, r2, #1 + 595:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = USBFS_EP1; i < USBFS_MAX_EP; i++) + 665 .loc 1 595 0 + 666 00fa 092A cmp r2, #9 + 667 00fc B3D1 bne .L52 + 668 .LVL50: + 669 00fe C368 ldr r3, [r0, #12] + 681:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 670 .loc 1 681 0 + 671 0100 0022 movs r2, #0 + 672 .LVL51: + 673 .L53: + 674 0102 0833 adds r3, r3, #8 + 681:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 675 .loc 1 681 0 is_stmt 0 discriminator 1 + 676 0104 A242 cmp r2, r4 + 677 0106 0DD0 beq .L67 + 678 .L54: + 684:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[pEP->addr & USBFS_DIR_UNUSED].interface = pEP->interface; + 679 .loc 1 684 0 is_stmt 1 discriminator 2 + 680 0108 13F8065C ldrb r5, [r3, #-6] @ zero_extendqisi2 + 681 010c 0C26 movs r6, #12 + 682 010e 05F07F01 and r1, r5, #127 + 683 0112 0F4D ldr r5, .L68 + 684 0114 13F8080C ldrb r0, [r3, #-8] @ zero_extendqisi2 + 685 0118 06FB0151 mla r1, r6, r1, r5 + 681:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 686 .loc 1 681 0 discriminator 2 + 687 011c 0132 adds r2, r2, #1 + 688 .LVL52: + 684:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[pEP->addr & USBFS_DIR_UNUSED].interface = pEP->interface; + 689 .loc 1 684 0 discriminator 2 + 690 011e 8872 strb r0, [r1, #10] + 681:.\Generated_Source\PSoC5/USBFS_std.c **** for (i = 0u; i < ep; i++) + 691 .loc 1 681 0 discriminator 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 31 + + + 692 0120 D2B2 uxtb r2, r2 + 693 .LVL53: + 694 0122 EEE7 b .L53 + 695 .L67: + 688:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceClass = USBFS_GetInterfaceClassTablePtr(); + 696 .loc 1 688 0 + 697 0124 FFF7FEFF bl USBFS_GetInterfaceClassTablePtr + 698 .LVL54: + 699 0128 0E4B ldr r3, .L68+20 + 483:.\Generated_Source\PSoC5/USBFS_std.c **** uint16 buffCount = 0u; + 700 .loc 1 483 0 + 701 012a 0022 movs r2, #0 + 688:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceClass = USBFS_GetInterfaceClassTablePtr(); + 702 .loc 1 688 0 + 703 012c 1860 str r0, [r3, #0] + 704 .LVL55: + 705 012e 0123 movs r3, #1 + 706 .LVL56: + 707 .L55: + 694:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].buffOffset = buffCount; + 708 .loc 1 694 0 discriminator 2 + 709 0130 0749 ldr r1, .L68 + 710 0132 0C20 movs r0, #12 + 711 0134 00FB0310 mla r0, r0, r3, r1 + 712 0138 C280 strh r2, [r0, #6] @ movhi + 695:.\Generated_Source\PSoC5/USBFS_std.c **** buffCount += USBFS_EP[ep].bufferSize; + 713 .loc 1 695 0 discriminator 2 + 714 013a 0189 ldrh r1, [r0, #8] + 715 013c 0133 adds r3, r3, #1 + 716 013e 5218 adds r2, r2, r1 + 692:.\Generated_Source\PSoC5/USBFS_std.c **** for (ep = USBFS_EP1; ep < USBFS_MAX_EP; ep++) + 717 .loc 1 692 0 discriminator 2 + 718 0140 092B cmp r3, #9 + 695:.\Generated_Source\PSoC5/USBFS_std.c **** buffCount += USBFS_EP[ep].bufferSize; + 719 .loc 1 695 0 discriminator 2 + 720 0142 92B2 uxth r2, r2 + 721 .LVL57: + 692:.\Generated_Source\PSoC5/USBFS_std.c **** for (ep = USBFS_EP1; ep < USBFS_MAX_EP; ep++) + 722 .loc 1 692 0 discriminator 2 + 723 0144 F4D1 bne .L55 + 702:.\Generated_Source\PSoC5/USBFS_std.c **** } + 724 .loc 1 702 0 + 725 0146 BDE8F840 pop {r3, r4, r5, r6, r7, lr} + 700:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ConfigReg(); + 726 .loc 1 700 0 + 727 014a FFF7FEBF b USBFS_ConfigReg + 728 .LVL58: + 729 .L36: + 730 014e F8BD pop {r3, r4, r5, r6, r7, pc} + 731 .L69: + 732 .align 2 + 733 .L68: + 734 0150 00000000 .word USBFS_EP + 735 0154 00000000 .word USBFS_interfaceSetting + 736 0158 00000000 .word USBFS_interfaceSetting_last + 737 015c 00000000 .word USBFS_configuration + 738 0160 00000000 .word USBFS_deviceStatus + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 32 + + + 739 0164 00000000 .word USBFS_interfaceClass + 740 .cfi_endproc + 741 .LFE2: + 742 .size USBFS_Config, .-USBFS_Config + 743 .section .text.USBFS_TerminateEP,"ax",%progbits + 744 .align 1 + 745 .global USBFS_TerminateEP + 746 .thumb + 747 .thumb_func + 748 .type USBFS_TerminateEP, %function + 749 USBFS_TerminateEP: + 750 .LFB7: + 914:.\Generated_Source\PSoC5/USBFS_std.c **** + 915:.\Generated_Source\PSoC5/USBFS_std.c **** + 916:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 917:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_TerminateEP + 918:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 919:.\Generated_Source\PSoC5/USBFS_std.c **** * + 920:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 921:.\Generated_Source\PSoC5/USBFS_std.c **** * This function terminates the specified USBFS endpoint. + 922:.\Generated_Source\PSoC5/USBFS_std.c **** * This function should be used before endpoint reconfiguration. + 923:.\Generated_Source\PSoC5/USBFS_std.c **** * + 924:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 925:.\Generated_Source\PSoC5/USBFS_std.c **** * Endpoint number. + 926:.\Generated_Source\PSoC5/USBFS_std.c **** * + 927:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 928:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 929:.\Generated_Source\PSoC5/USBFS_std.c **** * + 930:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 931:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 932:.\Generated_Source\PSoC5/USBFS_std.c **** * + 933:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 934:.\Generated_Source\PSoC5/USBFS_std.c **** void USBFS_TerminateEP(uint8 ep) + 935:.\Generated_Source\PSoC5/USBFS_std.c **** { + 751 .loc 1 935 0 + 752 .cfi_startproc + 753 @ args = 0, pretend = 0, frame = 0 + 754 @ frame_needed = 0, uses_anonymous_args = 0 + 755 @ link register save eliminated. + 756 .LVL59: + 936:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ri; + 937:.\Generated_Source\PSoC5/USBFS_std.c **** + 938:.\Generated_Source\PSoC5/USBFS_std.c **** ep &= USBFS_DIR_UNUSED; + 757 .loc 1 938 0 + 758 0000 00F07F00 and r0, r0, #127 + 759 .LVL60: + 939:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 760 .loc 1 939 0 + 761 0004 421E subs r2, r0, #1 + 762 0006 D1B2 uxtb r1, r2 + 763 .LVL61: + 940:.\Generated_Source\PSoC5/USBFS_std.c **** + 941:.\Generated_Source\PSoC5/USBFS_std.c **** if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + 764 .loc 1 941 0 + 765 0008 0729 cmp r1, #7 + 766 000a 14D8 bhi .L70 + 939:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 33 + + + 767 .loc 1 939 0 + 768 000c 0B01 lsls r3, r1, #4 + 769 000e DAB2 uxtb r2, r3 + 942:.\Generated_Source\PSoC5/USBFS_std.c **** { + 943:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set the endpoint Halt */ + 944:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + 770 .loc 1 944 0 + 771 0010 094B ldr r3, .L74 + 772 0012 0C21 movs r1, #12 + 773 .LVL62: + 774 0014 01FB0030 mla r0, r1, r0, r3 + 775 .LVL63: + 776 0018 8378 ldrb r3, [r0, #2] @ zero_extendqisi2 + 777 001a 43F00103 orr r3, r3, #1 + 778 001e 8370 strb r3, [r0, #2] + 945:.\Generated_Source\PSoC5/USBFS_std.c **** + 946:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear the data toggle */ + 947:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epToggle = 0u; + 779 .loc 1 947 0 + 780 0020 0023 movs r3, #0 + 781 0022 C370 strb r3, [r0, #3] + 948:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_ALLOWED; + 782 .loc 1 948 0 + 783 0024 0223 movs r3, #2 + 784 0026 4370 strb r3, [r0, #1] + 949:.\Generated_Source\PSoC5/USBFS_std.c **** + 950:.\Generated_Source\PSoC5/USBFS_std.c **** if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + 785 .loc 1 950 0 + 786 0028 0079 ldrb r0, [r0, #4] @ zero_extendqisi2 + 787 002a 044B ldr r3, .L74+4 + 788 002c 10F0800F tst r0, #128 + 951:.\Generated_Source\PSoC5/USBFS_std.c **** { + 952:.\Generated_Source\PSoC5/USBFS_std.c **** /* IN Endpoint */ + 953:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN); + 954:.\Generated_Source\PSoC5/USBFS_std.c **** } + 955:.\Generated_Source\PSoC5/USBFS_std.c **** else + 956:.\Generated_Source\PSoC5/USBFS_std.c **** { + 957:.\Generated_Source\PSoC5/USBFS_std.c **** /* OUT Endpoint */ + 958:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + 789 .loc 1 958 0 + 790 0030 08BF it eq + 791 0032 0821 moveq r1, #8 + 792 0034 D154 strb r1, [r2, r3] + 793 .L70: + 794 0036 7047 bx lr + 795 .L75: + 796 .align 2 + 797 .L74: + 798 0038 00000000 .word USBFS_EP + 799 003c 0E600040 .word 1073766414 + 800 .cfi_endproc + 801 .LFE7: + 802 .size USBFS_TerminateEP, .-USBFS_TerminateEP + 803 .section .text.USBFS_SetEndpointHalt,"ax",%progbits + 804 .align 1 + 805 .global USBFS_SetEndpointHalt + 806 .thumb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 34 + + + 807 .thumb_func + 808 .type USBFS_SetEndpointHalt, %function + 809 USBFS_SetEndpointHalt: + 810 .LFB8: + 959:.\Generated_Source\PSoC5/USBFS_std.c **** } + 960:.\Generated_Source\PSoC5/USBFS_std.c **** } + 961:.\Generated_Source\PSoC5/USBFS_std.c **** } + 962:.\Generated_Source\PSoC5/USBFS_std.c **** + 963:.\Generated_Source\PSoC5/USBFS_std.c **** + 964:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* + 965:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_SetEndpointHalt + 966:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** + 967:.\Generated_Source\PSoC5/USBFS_std.c **** * + 968:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: + 969:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine handles set endpoint halt. + 970:.\Generated_Source\PSoC5/USBFS_std.c **** * + 971:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: + 972:.\Generated_Source\PSoC5/USBFS_std.c **** * None. + 973:.\Generated_Source\PSoC5/USBFS_std.c **** * + 974:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: + 975:.\Generated_Source\PSoC5/USBFS_std.c **** * requestHandled. + 976:.\Generated_Source\PSoC5/USBFS_std.c **** * + 977:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: + 978:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + 979:.\Generated_Source\PSoC5/USBFS_std.c **** * + 980:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ + 981:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 USBFS_SetEndpointHalt(void) + 982:.\Generated_Source\PSoC5/USBFS_std.c **** { + 811 .loc 1 982 0 + 812 .cfi_startproc + 813 @ args = 0, pretend = 0, frame = 0 + 814 @ frame_needed = 0, uses_anonymous_args = 0 + 815 @ link register save eliminated. + 816 .LVL64: + 983:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep; + 984:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ri; + 985:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 requestHandled = USBFS_FALSE; + 986:.\Generated_Source\PSoC5/USBFS_std.c **** + 987:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set endpoint halt */ + 988:.\Generated_Source\PSoC5/USBFS_std.c **** ep = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + 817 .loc 1 988 0 + 818 0000 124B ldr r3, .L81 + 819 0002 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 820 0004 01F07F01 and r1, r1, #127 + 821 .LVL65: + 989:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 822 .loc 1 989 0 + 823 0008 4A1E subs r2, r1, #1 + 824 000a D0B2 uxtb r0, r2 + 825 .LVL66: + 990:.\Generated_Source\PSoC5/USBFS_std.c **** + 991:.\Generated_Source\PSoC5/USBFS_std.c **** if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + 826 .loc 1 991 0 + 827 000c 0728 cmp r0, #7 + 828 000e 1AD8 bhi .L77 + 989:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 829 .loc 1 989 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 35 + + + 830 0010 0301 lsls r3, r0, #4 + 831 0012 DAB2 uxtb r2, r3 + 992:.\Generated_Source\PSoC5/USBFS_std.c **** { + 993:.\Generated_Source\PSoC5/USBFS_std.c **** /* Set the endpoint Halt */ + 994:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + 832 .loc 1 994 0 + 833 0014 0E4B ldr r3, .L81+4 + 834 0016 0C20 movs r0, #12 + 835 .LVL67: + 836 0018 00FB0130 mla r0, r0, r1, r3 + 837 001c 8178 ldrb r1, [r0, #2] @ zero_extendqisi2 + 838 .LVL68: + 839 001e 41F00103 orr r3, r1, #1 + 995:.\Generated_Source\PSoC5/USBFS_std.c **** + 996:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear the data toggle */ + 997:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epToggle = 0u; + 840 .loc 1 997 0 + 841 0022 0021 movs r1, #0 + 994:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + 842 .loc 1 994 0 + 843 0024 8370 strb r3, [r0, #2] + 844 .loc 1 997 0 + 845 0026 C170 strb r1, [r0, #3] + 998:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].apiEpState |= USBFS_NO_EVENT_ALLOWED; + 846 .loc 1 998 0 + 847 0028 4378 ldrb r3, [r0, #1] @ zero_extendqisi2 + 848 002a 43F00201 orr r1, r3, #2 + 849 002e 4170 strb r1, [r0, #1] + 999:.\Generated_Source\PSoC5/USBFS_std.c **** +1000:.\Generated_Source\PSoC5/USBFS_std.c **** if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + 850 .loc 1 1000 0 + 851 0030 0079 ldrb r0, [r0, #4] @ zero_extendqisi2 + 852 0032 084B ldr r3, .L81+8 + 853 0034 10F0800F tst r0, #128 + 854 0038 01D0 beq .L78 +1001:.\Generated_Source\PSoC5/USBFS_std.c **** { +1002:.\Generated_Source\PSoC5/USBFS_std.c **** /* IN Endpoint */ +1003:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_STALL_DATA_EP | + 855 .loc 1 1003 0 + 856 003a 8D21 movs r1, #141 + 857 003c 00E0 b .L80 + 858 .L78: +1004:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ACK_IN); +1005:.\Generated_Source\PSoC5/USBFS_std.c **** } +1006:.\Generated_Source\PSoC5/USBFS_std.c **** else +1007:.\Generated_Source\PSoC5/USBFS_std.c **** { +1008:.\Generated_Source\PSoC5/USBFS_std.c **** /* OUT Endpoint */ +1009:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_STALL_DATA_EP | + 859 .loc 1 1009 0 + 860 003e 8921 movs r1, #137 + 861 .L80: + 862 0040 D154 strb r1, [r2, r3] +1010:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_MODE_ACK_OUT); +1011:.\Generated_Source\PSoC5/USBFS_std.c **** } +1012:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); +1013:.\Generated_Source\PSoC5/USBFS_std.c **** } +1014:.\Generated_Source\PSoC5/USBFS_std.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 36 + + +1015:.\Generated_Source\PSoC5/USBFS_std.c **** return(requestHandled); +1016:.\Generated_Source\PSoC5/USBFS_std.c **** } + 863 .loc 1 1016 0 +1012:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 864 .loc 1 1012 0 + 865 0042 FFF7FEBF b USBFS_InitNoDataControlTransfer + 866 .LVL69: + 867 .L77: + 868 .loc 1 1016 0 + 869 0046 0020 movs r0, #0 + 870 .LVL70: + 871 0048 7047 bx lr + 872 .L82: + 873 004a 00BF .align 2 + 874 .L81: + 875 004c 04600040 .word 1073766404 + 876 0050 00000000 .word USBFS_EP + 877 0054 0E600040 .word 1073766414 + 878 .cfi_endproc + 879 .LFE8: + 880 .size USBFS_SetEndpointHalt, .-USBFS_SetEndpointHalt + 881 .section .text.USBFS_ClearEndpointHalt,"ax",%progbits + 882 .align 1 + 883 .global USBFS_ClearEndpointHalt + 884 .thumb + 885 .thumb_func + 886 .type USBFS_ClearEndpointHalt, %function + 887 USBFS_ClearEndpointHalt: + 888 .LFB9: +1017:.\Generated_Source\PSoC5/USBFS_std.c **** +1018:.\Generated_Source\PSoC5/USBFS_std.c **** +1019:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* +1020:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_ClearEndpointHalt +1021:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** +1022:.\Generated_Source\PSoC5/USBFS_std.c **** * +1023:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: +1024:.\Generated_Source\PSoC5/USBFS_std.c **** * This routine handles clear endpoint halt. +1025:.\Generated_Source\PSoC5/USBFS_std.c **** * +1026:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: +1027:.\Generated_Source\PSoC5/USBFS_std.c **** * None. +1028:.\Generated_Source\PSoC5/USBFS_std.c **** * +1029:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: +1030:.\Generated_Source\PSoC5/USBFS_std.c **** * requestHandled. +1031:.\Generated_Source\PSoC5/USBFS_std.c **** * +1032:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: +1033:.\Generated_Source\PSoC5/USBFS_std.c **** * No. +1034:.\Generated_Source\PSoC5/USBFS_std.c **** * +1035:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ +1036:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 USBFS_ClearEndpointHalt(void) +1037:.\Generated_Source\PSoC5/USBFS_std.c **** { + 889 .loc 1 1037 0 + 890 .cfi_startproc + 891 @ args = 0, pretend = 0, frame = 0 + 892 @ frame_needed = 0, uses_anonymous_args = 0 + 893 .LVL71: + 894 0000 10B5 push {r4, lr} + 895 .LCFI4: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 37 + + + 896 .cfi_def_cfa_offset 8 + 897 .cfi_offset 4, -8 + 898 .cfi_offset 14, -4 +1038:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ep; +1039:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 ri; +1040:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 requestHandled = USBFS_FALSE; +1041:.\Generated_Source\PSoC5/USBFS_std.c **** +1042:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear endpoint halt */ +1043:.\Generated_Source\PSoC5/USBFS_std.c **** ep = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + 899 .loc 1 1043 0 + 900 0002 1A4B ldr r3, .L90 + 901 0004 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 902 0006 00F07F03 and r3, r0, #127 + 903 .LVL72: +1044:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 904 .loc 1 1044 0 + 905 000a 5A1E subs r2, r3, #1 + 906 000c D2B2 uxtb r2, r2 + 907 .LVL73: +1045:.\Generated_Source\PSoC5/USBFS_std.c **** +1046:.\Generated_Source\PSoC5/USBFS_std.c **** if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + 908 .loc 1 1046 0 + 909 000e 072A cmp r2, #7 + 910 0010 2AD8 bhi .L84 +1047:.\Generated_Source\PSoC5/USBFS_std.c **** { +1048:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear the endpoint Halt */ +1049:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].hwEpState &= (uint8)~(USBFS_ENDPOINT_STATUS_HALT); + 911 .loc 1 1049 0 + 912 0012 1749 ldr r1, .L90+4 + 913 0014 0C20 movs r0, #12 + 914 0016 00FB0313 mla r3, r0, r3, r1 + 915 .LVL74: + 916 001a 9C78 ldrb r4, [r3, #2] @ zero_extendqisi2 +1044:.\Generated_Source\PSoC5/USBFS_std.c **** ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + 917 .loc 1 1044 0 + 918 001c 1201 lsls r2, r2, #4 + 919 .LVL75: + 920 .loc 1 1049 0 + 921 001e 04F0FE01 and r1, r4, #254 + 922 0022 9970 strb r1, [r3, #2] +1050:.\Generated_Source\PSoC5/USBFS_std.c **** +1051:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear the data toggle */ +1052:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epToggle = 0u; + 923 .loc 1 1052 0 + 924 0024 0024 movs r4, #0 +1053:.\Generated_Source\PSoC5/USBFS_std.c **** /* Clear toggle bit for already armed packet */ +1054:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), CY_GET_REG8( + 925 .loc 1 1054 0 + 926 0026 1349 ldr r1, .L90+8 +1052:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].epToggle = 0u; + 927 .loc 1 1052 0 + 928 0028 DC70 strb r4, [r3, #3] + 929 .loc 1 1054 0 + 930 002a D2B2 uxtb r2, r2 + 931 002c 545C ldrb r4, [r2, r1] @ zero_extendqisi2 + 932 002e 04F07F04 and r4, r4, #127 + 933 0032 5454 strb r4, [r2, r1] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 38 + + +1055:.\Generated_Source\PSoC5/USBFS_std.c **** (reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri)) & (uint8)~USBFS_EPX_CNT_DATA_TOGGLE); +1056:.\Generated_Source\PSoC5/USBFS_std.c **** /* Return API State as it was defined before */ +1057:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_EP[ep].apiEpState &= (uint8)~USBFS_NO_EVENT_ALLOWED; + 934 .loc 1 1057 0 + 935 0034 5978 ldrb r1, [r3, #1] @ zero_extendqisi2 + 936 0036 01F0FD01 and r1, r1, #253 + 937 003a 5970 strb r1, [r3, #1] +1058:.\Generated_Source\PSoC5/USBFS_std.c **** +1059:.\Generated_Source\PSoC5/USBFS_std.c **** if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + 938 .loc 1 1059 0 + 939 003c 1979 ldrb r1, [r3, #4] @ zero_extendqisi2 +1060:.\Generated_Source\PSoC5/USBFS_std.c **** { +1061:.\Generated_Source\PSoC5/USBFS_std.c **** /* IN Endpoint */ +1062:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_EP[ep].apiEpState == USBFS_IN_BUFFER_EMPTY) + 940 .loc 1 1062 0 + 941 003e 5B78 ldrb r3, [r3, #1] @ zero_extendqisi2 +1059:.\Generated_Source\PSoC5/USBFS_std.c **** if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + 942 .loc 1 1059 0 + 943 0040 11F0800F tst r1, #128 + 944 0044 0C49 ldr r1, .L90+12 + 945 0046 05D0 beq .L85 + 946 .loc 1 1062 0 + 947 0048 012B cmp r3, #1 + 948 004a 01D1 bne .L86 +1063:.\Generated_Source\PSoC5/USBFS_std.c **** { /* Wait for next packet from application */ +1064:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN); + 949 .loc 1 1064 0 + 950 004c 5054 strb r0, [r2, r1] + 951 004e 07E0 b .L87 + 952 .L86: +1065:.\Generated_Source\PSoC5/USBFS_std.c **** } +1066:.\Generated_Source\PSoC5/USBFS_std.c **** else /* Continue armed transfer */ +1067:.\Generated_Source\PSoC5/USBFS_std.c **** { +1068:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_ACK_IN); + 953 .loc 1 1068 0 + 954 0050 0D20 movs r0, #13 + 955 0052 04E0 b .L89 + 956 .L85: +1069:.\Generated_Source\PSoC5/USBFS_std.c **** } +1070:.\Generated_Source\PSoC5/USBFS_std.c **** } +1071:.\Generated_Source\PSoC5/USBFS_std.c **** else +1072:.\Generated_Source\PSoC5/USBFS_std.c **** { +1073:.\Generated_Source\PSoC5/USBFS_std.c **** /* OUT Endpoint */ +1074:.\Generated_Source\PSoC5/USBFS_std.c **** if(USBFS_EP[ep].apiEpState == USBFS_OUT_BUFFER_FULL) + 957 .loc 1 1074 0 + 958 0054 012B cmp r3, #1 + 959 0056 01D1 bne .L88 +1075:.\Generated_Source\PSoC5/USBFS_std.c **** { /* Allow application to read full buffer */ +1076:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + 960 .loc 1 1076 0 + 961 0058 0820 movs r0, #8 + 962 005a 00E0 b .L89 + 963 .L88: +1077:.\Generated_Source\PSoC5/USBFS_std.c **** } +1078:.\Generated_Source\PSoC5/USBFS_std.c **** else /* Mark endpoint as empty, so it will be reloaded */ +1079:.\Generated_Source\PSoC5/USBFS_std.c **** { +1080:.\Generated_Source\PSoC5/USBFS_std.c **** CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_ACK_OUT); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 39 + + + 964 .loc 1 1080 0 + 965 005c 0920 movs r0, #9 + 966 .L89: + 967 005e 5054 strb r0, [r2, r1] + 968 .L87: +1081:.\Generated_Source\PSoC5/USBFS_std.c **** } +1082:.\Generated_Source\PSoC5/USBFS_std.c **** } +1083:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); +1084:.\Generated_Source\PSoC5/USBFS_std.c **** } +1085:.\Generated_Source\PSoC5/USBFS_std.c **** +1086:.\Generated_Source\PSoC5/USBFS_std.c **** return(requestHandled); +1087:.\Generated_Source\PSoC5/USBFS_std.c **** } + 969 .loc 1 1087 0 + 970 0060 BDE81040 pop {r4, lr} +1083:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 971 .loc 1 1083 0 + 972 0064 FFF7FEBF b USBFS_InitNoDataControlTransfer + 973 .LVL76: + 974 .L84: + 975 .loc 1 1087 0 + 976 0068 0020 movs r0, #0 + 977 006a 10BD pop {r4, pc} + 978 .L91: + 979 .align 2 + 980 .L90: + 981 006c 04600040 .word 1073766404 + 982 0070 00000000 .word USBFS_EP + 983 0074 0C600040 .word 1073766412 + 984 0078 0E600040 .word 1073766414 + 985 .cfi_endproc + 986 .LFE9: + 987 .size USBFS_ClearEndpointHalt, .-USBFS_ClearEndpointHalt + 988 .section .text.USBFS_ValidateAlternateSetting,"ax",%progbits + 989 .align 1 + 990 .global USBFS_ValidateAlternateSetting + 991 .thumb + 992 .thumb_func + 993 .type USBFS_ValidateAlternateSetting, %function + 994 USBFS_ValidateAlternateSetting: + 995 .LFB10: +1088:.\Generated_Source\PSoC5/USBFS_std.c **** +1089:.\Generated_Source\PSoC5/USBFS_std.c **** +1090:.\Generated_Source\PSoC5/USBFS_std.c **** /******************************************************************************* +1091:.\Generated_Source\PSoC5/USBFS_std.c **** * Function Name: USBFS_ValidateAlternateSetting +1092:.\Generated_Source\PSoC5/USBFS_std.c **** ******************************************************************************** +1093:.\Generated_Source\PSoC5/USBFS_std.c **** * +1094:.\Generated_Source\PSoC5/USBFS_std.c **** * Summary: +1095:.\Generated_Source\PSoC5/USBFS_std.c **** * Validates (and records) a SET INTERFACE request. +1096:.\Generated_Source\PSoC5/USBFS_std.c **** * +1097:.\Generated_Source\PSoC5/USBFS_std.c **** * Parameters: +1098:.\Generated_Source\PSoC5/USBFS_std.c **** * None. +1099:.\Generated_Source\PSoC5/USBFS_std.c **** * +1100:.\Generated_Source\PSoC5/USBFS_std.c **** * Return: +1101:.\Generated_Source\PSoC5/USBFS_std.c **** * requestHandled. +1102:.\Generated_Source\PSoC5/USBFS_std.c **** * +1103:.\Generated_Source\PSoC5/USBFS_std.c **** * Reentrant: +1104:.\Generated_Source\PSoC5/USBFS_std.c **** * No. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 40 + + +1105:.\Generated_Source\PSoC5/USBFS_std.c **** * +1106:.\Generated_Source\PSoC5/USBFS_std.c **** *******************************************************************************/ +1107:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 USBFS_ValidateAlternateSetting(void) +1108:.\Generated_Source\PSoC5/USBFS_std.c **** { + 996 .loc 1 1108 0 + 997 .cfi_startproc + 998 @ args = 0, pretend = 0, frame = 0 + 999 @ frame_needed = 0, uses_anonymous_args = 0 + 1000 .LVL77: + 1001 0000 10B5 push {r4, lr} + 1002 .LCFI5: + 1003 .cfi_def_cfa_offset 8 + 1004 .cfi_offset 4, -8 + 1005 .cfi_offset 14, -4 +1109:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 requestHandled = USBFS_TRUE; +1110:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 interfaceNum; +1111:.\Generated_Source\PSoC5/USBFS_std.c **** const T_USBFS_LUT CYCODE *pTmp; +1112:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 currentInterfacesNum; +1113:.\Generated_Source\PSoC5/USBFS_std.c **** +1114:.\Generated_Source\PSoC5/USBFS_std.c **** interfaceNum = CY_GET_REG8(USBFS_wIndexLo); + 1006 .loc 1 1114 0 + 1007 0002 0C4B ldr r3, .L96 +1115:.\Generated_Source\PSoC5/USBFS_std.c **** /* Validate interface setting, stall if invalid. */ +1116:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + 1008 .loc 1 1116 0 + 1009 0004 0C48 ldr r0, .L96+4 +1114:.\Generated_Source\PSoC5/USBFS_std.c **** interfaceNum = CY_GET_REG8(USBFS_wIndexLo); + 1010 .loc 1 1114 0 + 1011 0006 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 1012 .LVL78: + 1013 .loc 1 1116 0 + 1014 0008 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 1015 000a 4A1E subs r2, r1, #1 + 1016 000c D0B2 uxtb r0, r2 + 1017 000e FFF7FEFF bl USBFS_GetConfigTablePtr + 1018 .LVL79: +1117:.\Generated_Source\PSoC5/USBFS_std.c **** currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_CONFIG_DESCR_NUM_INTERFACES]; + 1019 .loc 1 1117 0 + 1020 0012 4368 ldr r3, [r0, #4] +1118:.\Generated_Source\PSoC5/USBFS_std.c **** +1119:.\Generated_Source\PSoC5/USBFS_std.c **** if((interfaceNum >= currentInterfacesNum) || (interfaceNum >= USBFS_MAX_INTERFACES_NUMBER)) + 1021 .loc 1 1119 0 + 1022 0014 1879 ldrb r0, [r3, #4] @ zero_extendqisi2 + 1023 .LVL80: + 1024 0016 A042 cmp r0, r4 + 1025 0018 09D9 bls .L95 + 1026 .loc 1 1119 0 is_stmt 0 discriminator 1 + 1027 001a 44B9 cbnz r4, .L95 +1120:.\Generated_Source\PSoC5/USBFS_std.c **** { /* Wrong interface number */ +1121:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_FALSE; +1122:.\Generated_Source\PSoC5/USBFS_std.c **** } +1123:.\Generated_Source\PSoC5/USBFS_std.c **** else +1124:.\Generated_Source\PSoC5/USBFS_std.c **** { +1125:.\Generated_Source\PSoC5/USBFS_std.c **** /* Save current Alt setting to find out the difference in Config() function */ +1126:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[interfaceNum] = USBFS_interfaceSetting[interfaceNum]; + 1028 .loc 1 1126 0 is_stmt 1 + 1029 001c 074B ldr r3, .L96+8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 41 + + + 1030 .LVL81: + 1031 001e 084A ldr r2, .L96+12 + 1032 0020 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 +1127:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[interfaceNum] = CY_GET_REG8(USBFS_wValueLo); + 1033 .loc 1 1127 0 + 1034 0022 0848 ldr r0, .L96+16 + 1035 .LVL82: +1126:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[interfaceNum] = USBFS_interfaceSetting[interfaceNum]; + 1036 .loc 1 1126 0 + 1037 0024 1170 strb r1, [r2, #0] + 1038 .loc 1 1127 0 + 1039 0026 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 +1109:.\Generated_Source\PSoC5/USBFS_std.c **** uint8 requestHandled = USBFS_TRUE; + 1040 .loc 1 1109 0 + 1041 0028 0120 movs r0, #1 + 1042 .loc 1 1127 0 + 1043 002a 1970 strb r1, [r3, #0] + 1044 002c 10BD pop {r4, pc} + 1045 .LVL83: + 1046 .L95: +1121:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_FALSE; + 1047 .loc 1 1121 0 + 1048 002e 0020 movs r0, #0 + 1049 .LVL84: +1128:.\Generated_Source\PSoC5/USBFS_std.c **** } +1129:.\Generated_Source\PSoC5/USBFS_std.c **** +1130:.\Generated_Source\PSoC5/USBFS_std.c **** return (requestHandled); +1131:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1050 .loc 1 1131 0 + 1051 0030 10BD pop {r4, pc} + 1052 .L97: + 1053 0032 00BF .align 2 + 1054 .L96: + 1055 0034 04600040 .word 1073766404 + 1056 0038 00000000 .word USBFS_configuration + 1057 003c 00000000 .word USBFS_interfaceSetting + 1058 0040 00000000 .word USBFS_interfaceSetting_last + 1059 0044 02600040 .word 1073766402 + 1060 .cfi_endproc + 1061 .LFE10: + 1062 .size USBFS_ValidateAlternateSetting, .-USBFS_ValidateAlternateSetting + 1063 .section .text.USBFS_HandleStandardRqst,"ax",%progbits + 1064 .align 1 + 1065 .global USBFS_HandleStandardRqst + 1066 .thumb + 1067 .thumb_func + 1068 .type USBFS_HandleStandardRqst, %function + 1069 USBFS_HandleStandardRqst: + 1070 .LFB0: + 90:.\Generated_Source\PSoC5/USBFS_std.c **** { + 1071 .loc 1 90 0 + 1072 .cfi_startproc + 1073 @ args = 0, pretend = 0, frame = 0 + 1074 @ frame_needed = 0, uses_anonymous_args = 0 + 1075 .LVL85: + 1076 0000 10B5 push {r4, lr} + 1077 .LCFI6: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 42 + + + 1078 .cfi_def_cfa_offset 8 + 1079 .cfi_offset 4, -8 + 1080 .cfi_offset 14, -4 + 102:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 0u; + 1081 .loc 1 102 0 + 1082 0002 7C4B ldr r3, .L151 + 1083 0004 0022 movs r2, #0 + 1084 0006 1A80 strh r2, [r3, #0] @ movhi + 104:.\Generated_Source\PSoC5/USBFS_std.c **** if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + 1085 .loc 1 104 0 + 1086 0008 7B4A ldr r2, .L151+4 + 1087 000a 7C48 ldr r0, .L151+8 + 1088 000c 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 1089 000e 11F0800F tst r1, #128 + 1090 0012 00F08A80 beq .L99 + 107:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 1091 .loc 1 107 0 + 1092 0016 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 1093 0018 0A29 cmp r1, #10 + 1094 001a 00F22281 bhi .L100 + 1095 001e DFE811F0 tbh [pc, r1, lsl #1] + 1096 .L105: + 1097 0022 5800 .2byte (.L101-.L105)/2 + 1098 0024 2001 .2byte (.L100-.L105)/2 + 1099 0026 2001 .2byte (.L100-.L105)/2 + 1100 0028 2001 .2byte (.L100-.L105)/2 + 1101 002a 2001 .2byte (.L100-.L105)/2 + 1102 002c 2001 .2byte (.L100-.L105)/2 + 1103 002e 0B00 .2byte (.L102-.L105)/2 + 1104 0030 2001 .2byte (.L100-.L105)/2 + 1105 0032 7800 .2byte (.L103-.L105)/2 + 1106 0034 2001 .2byte (.L100-.L105)/2 + 1107 0036 7C00 .2byte (.L104-.L105)/2 + 1108 .L102: + 110:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_DEVICE) + 1109 .loc 1 110 0 + 1110 0038 714B ldr r3, .L151+12 + 1111 003a 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1112 003c 0129 cmp r1, #1 + 1113 003e 0AD1 bne .L106 + 1114 .LBB4: + 1115 .LBB5: + 882:.\Generated_Source\PSoC5/USBFS_std.c **** return( (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list ); + 1116 .loc 1 882 0 + 1117 0040 7048 ldr r0, .L151+16 + 1118 0042 7149 ldr r1, .L151+20 + 1119 0044 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 1120 0046 01EBC203 add r3, r1, r2, lsl #3 + 1121 .LBE5: + 1122 .LBE4: + 113:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + 1123 .loc 1 113 0 + 1124 004a 5868 ldr r0, [r3, #4] + 114:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_DEVICE_DESCR_LENGTH; + 1125 .loc 1 114 0 + 1126 004c 1223 movs r3, #18 + 113:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 43 + + + 1127 .loc 1 113 0 + 1128 004e 4268 ldr r2, [r0, #4] + 1129 0050 6848 ldr r0, .L151 + 1130 0052 4260 str r2, [r0, #4] + 1131 0054 0FE0 b .L142 + 1132 .L106: + 117:.\Generated_Source\PSoC5/USBFS_std.c **** else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_CONFIG) + 1133 .loc 1 117 0 + 1134 0056 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1135 0058 0228 cmp r0, #2 + 1136 005a 11D1 bne .L107 + 119:.\Generated_Source\PSoC5/USBFS_std.c **** pTmp = USBFS_GetConfigTablePtr(CY_GET_REG8(USBFS_wValueLo)); + 1137 .loc 1 119 0 + 1138 005c 6B4B ldr r3, .L151+24 + 1139 005e 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1140 0060 FFF7FEFF bl USBFS_GetConfigTablePtr + 1141 .LVL86: + 120:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + 1142 .loc 1 120 0 + 1143 0064 4268 ldr r2, [r0, #4] + 1144 0066 6348 ldr r0, .L151 + 1145 .LVL87: + 1146 0068 4260 str r2, [r0, #4] + 121:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = ((uint16)(USBFS_currentTD.pData)[ \ + 1147 .loc 1 121 0 + 1148 006a 4168 ldr r1, [r0, #4] + 1149 006c CB78 ldrb r3, [r1, #3] @ zero_extendqisi2 + 123:.\Generated_Source\PSoC5/USBFS_std.c **** (USBFS_currentTD.pData)[USBFS_CONFIG_DESCR_TOTAL_LENGTH_LOW]; + 1150 .loc 1 123 0 + 1151 006e 4268 ldr r2, [r0, #4] + 1152 0070 9178 ldrb r1, [r2, #2] @ zero_extendqisi2 + 121:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = ((uint16)(USBFS_currentTD.pData)[ \ + 1153 .loc 1 121 0 + 1154 0072 41EA0323 orr r3, r1, r3, lsl #8 + 1155 .L142: + 1156 0076 0380 strh r3, [r0, #0] @ movhi + 1157 .LVL88: + 1158 .L143: + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1159 .loc 1 316 0 + 1160 0078 BDE81040 pop {r4, lr} + 124:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitControlRead(); + 1161 .loc 1 124 0 + 1162 007c FFF7FEBF b USBFS_InitControlRead + 1163 .LVL89: + 1164 .L107: + 127:.\Generated_Source\PSoC5/USBFS_std.c **** else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_STRING) + 1165 .loc 1 127 0 + 1166 0080 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1167 0082 032A cmp r2, #3 + 1168 0084 21D1 bne .L150 + 1169 .L132: + 1170 0086 624B ldr r3, .L151+28 + 1171 0088 0022 movs r2, #0 + 1172 .LVL90: + 1173 .L108: + 133:.\Generated_Source\PSoC5/USBFS_std.c **** while ( (CY_GET_REG8(USBFS_wValueLo) > nStr) && (*pStr != 0u) ) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 44 + + + 1174 .loc 1 133 0 discriminator 1 + 1175 008a 6049 ldr r1, .L151+24 + 1176 008c 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 1177 008e 9042 cmp r0, r2 + 1178 0090 0AD8 bhi .L110 + 1179 .L114: + 151:.\Generated_Source\PSoC5/USBFS_std.c **** if( (CY_GET_REG8(USBFS_wValueLo) != 0u) && + 1180 .loc 1 151 0 + 1181 0092 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 1182 .LVL91: + 1183 0094 82B1 cbz r2, .L112 + 1184 .L111: + 152:.\Generated_Source\PSoC5/USBFS_std.c **** (CY_GET_REG8(USBFS_wValueLo) == + 1185 .loc 1 152 0 discriminator 1 + 1186 0096 5D49 ldr r1, .L151+24 + 155:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = (volatile uint8 *)&USBFS_SN_STRING_DESCRIPTOR[0u]; + 1187 .loc 1 155 0 discriminator 1 + 1188 0098 5E48 ldr r0, .L151+32 + 152:.\Generated_Source\PSoC5/USBFS_std.c **** (CY_GET_REG8(USBFS_wValueLo) == + 1189 .loc 1 152 0 discriminator 1 + 1190 009a 0978 ldrb r1, [r1, #0] @ zero_extendqisi2 + 155:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = (volatile uint8 *)&USBFS_SN_STRING_DESCRIPTOR[0u]; + 1191 .loc 1 155 0 discriminator 1 + 1192 009c 5E4A ldr r2, .L151+36 + 1193 009e 007C ldrb r0, [r0, #16] @ zero_extendqisi2 + 1194 00a0 8842 cmp r0, r1 + 1195 00a2 08BF it eq + 1196 00a4 1346 moveq r3, r2 + 1197 .LVL92: + 1198 00a6 07E0 b .L112 + 1199 .LVL93: + 1200 .L110: + 133:.\Generated_Source\PSoC5/USBFS_std.c **** while ( (CY_GET_REG8(USBFS_wValueLo) > nStr) && (*pStr != 0u) ) + 1201 .loc 1 133 0 discriminator 2 + 1202 00a8 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1203 00aa 0028 cmp r0, #0 + 1204 00ac F1D0 beq .L114 + 1205 .L113: + 136:.\Generated_Source\PSoC5/USBFS_std.c **** descrLength = *pStr; + 1206 .loc 1 136 0 + 1207 00ae 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1208 .LVL94: + 139:.\Generated_Source\PSoC5/USBFS_std.c **** nStr++; + 1209 .loc 1 139 0 + 1210 00b0 501C adds r0, r2, #1 + 138:.\Generated_Source\PSoC5/USBFS_std.c **** pStr = &pStr[descrLength]; + 1211 .loc 1 138 0 + 1212 00b2 5B18 adds r3, r3, r1 + 1213 .LVL95: + 139:.\Generated_Source\PSoC5/USBFS_std.c **** nStr++; + 1214 .loc 1 139 0 + 1215 00b4 C2B2 uxtb r2, r0 + 1216 .LVL96: + 1217 00b6 E8E7 b .L108 + 1218 .LVL97: + 1219 .L112: + 169:.\Generated_Source\PSoC5/USBFS_std.c **** if (*pStr != 0u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 45 + + + 1220 .loc 1 169 0 + 1221 00b8 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1222 00ba 0029 cmp r1, #0 + 1223 00bc 00F0D180 beq .L100 + 171:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = *pStr; + 1224 .loc 1 171 0 + 1225 00c0 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1226 00c2 4C4A ldr r2, .L151 + 1227 00c4 1080 strh r0, [r2, #0] @ movhi + 172:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = pStr; + 1228 .loc 1 172 0 + 1229 00c6 5360 str r3, [r2, #4] + 1230 00c8 D6E7 b .L143 + 1231 .LVL98: + 1232 .L150: + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1233 .loc 1 316 0 + 1234 00ca BDE81040 pop {r4, lr} + 179:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_DispatchClassRqst(); + 1235 .loc 1 179 0 + 1236 00ce FFF7FEBF b USBFS_DispatchClassRqst + 1237 .LVL99: + 1238 .L101: + 183:.\Generated_Source\PSoC5/USBFS_std.c **** switch ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK)) + 1239 .loc 1 183 0 + 1240 00d2 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 1241 00d4 11F00302 ands r2, r1, #3 + 1242 00d8 11D0 beq .L116 + 1243 00da 022A cmp r2, #2 + 1244 00dc 40F0C180 bne .L100 + 186:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_EP_STATUS_LENGTH; + 1245 .loc 1 186 0 + 1246 00e0 4449 ldr r1, .L151 + 188:.\Generated_Source\PSoC5/USBFS_std.c **** CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].hwEpState; + 1247 .loc 1 188 0 + 1248 00e2 4E4B ldr r3, .L151+40 + 186:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_EP_STATUS_LENGTH; + 1249 .loc 1 186 0 + 1250 00e4 0A80 strh r2, [r1, #0] @ movhi + 188:.\Generated_Source\PSoC5/USBFS_std.c **** CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].hwEpState; + 1251 .loc 1 188 0 + 1252 00e6 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1253 00e8 4D4A ldr r2, .L151+44 + 1254 00ea 00F07F03 and r3, r0, #127 + 1255 00ee 0C20 movs r0, #12 + 1256 00f0 00FB0323 mla r3, r0, r3, r2 + 1257 00f4 9878 ldrb r0, [r3, #2] @ zero_extendqisi2 + 187:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[0u] = USBFS_EP[ \ + 1258 .loc 1 187 0 + 1259 00f6 4B4B ldr r3, .L151+48 + 189:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[1u] = 0u; + 1260 .loc 1 189 0 + 1261 00f8 0022 movs r2, #0 + 187:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[0u] = USBFS_EP[ \ + 1262 .loc 1 187 0 + 1263 00fa 1870 strb r0, [r3, #0] + 1264 00fc 06E0 b .L146 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 46 + + + 1265 .L116: + 194:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_DEVICE_STATUS_LENGTH; + 1266 .loc 1 194 0 + 1267 00fe 3D49 ldr r1, .L151 + 1268 0100 0223 movs r3, #2 + 195:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[0u] = USBFS_deviceStatus; + 1269 .loc 1 195 0 + 1270 0102 4948 ldr r0, .L151+52 + 194:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = USBFS_DEVICE_STATUS_LENGTH; + 1271 .loc 1 194 0 + 1272 0104 0B80 strh r3, [r1, #0] @ movhi + 195:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[0u] = USBFS_deviceStatus; + 1273 .loc 1 195 0 + 1274 0106 0078 ldrb r0, [r0, #0] @ zero_extendqisi2 + 1275 0108 464B ldr r3, .L151+48 + 1276 010a 1870 strb r0, [r3, #0] + 1277 .L146: + 196:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_tBuffer[1u] = 0u; + 1278 .loc 1 196 0 + 1279 010c 5A70 strb r2, [r3, #1] + 197:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + 1280 .loc 1 197 0 + 1281 010e 4B60 str r3, [r1, #4] + 1282 0110 B2E7 b .L143 + 1283 .L103: + 205:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 1u; + 1284 .loc 1 205 0 + 1285 0112 0122 movs r2, #1 + 1286 0114 1A80 strh r2, [r3, #0] @ movhi + 206:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)&USBFS_configuration; + 1287 .loc 1 206 0 + 1288 0116 4548 ldr r0, .L151+56 + 1289 0118 05E0 b .L147 + 1290 .L104: + 210:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 1u; + 1291 .loc 1 210 0 + 1292 011a 0122 movs r2, #1 + 212:.\Generated_Source\PSoC5/USBFS_std.c **** CY_GET_REG8(USBFS_wInde + 1293 .loc 1 212 0 + 1294 011c 3F48 ldr r0, .L151+40 + 210:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.count = 1u; + 1295 .loc 1 210 0 + 1296 011e 1A80 strh r2, [r3, #0] @ movhi + 212:.\Generated_Source\PSoC5/USBFS_std.c **** CY_GET_REG8(USBFS_wInde + 1297 .loc 1 212 0 + 1298 0120 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 211:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_currentTD.pData = (volatile uint8 *)&USBFS_interfaceSetting[ \ + 1299 .loc 1 211 0 + 1300 0122 4349 ldr r1, .L151+60 + 1301 0124 8818 adds r0, r1, r2 + 1302 .L147: + 1303 0126 5860 str r0, [r3, #4] + 1304 0128 A6E7 b .L143 + 1305 .L99: + 221:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 1306 .loc 1 221 0 + 1307 012a 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 47 + + + 1308 012c 581E subs r0, r3, #1 + 1309 012e 0A28 cmp r0, #10 + 1310 0130 00F29780 bhi .L100 + 1311 0134 DFE810F0 tbh [pc, r0, lsl #1] + 1312 .L123: + 1313 0138 2B00 .2byte (.L118-.L123)/2 + 1314 013a 9500 .2byte (.L100-.L123)/2 + 1315 013c 4400 .2byte (.L119-.L123)/2 + 1316 013e 9500 .2byte (.L100-.L123)/2 + 1317 0140 0B00 .2byte (.L120-.L123)/2 + 1318 0142 9500 .2byte (.L100-.L123)/2 + 1319 0144 9500 .2byte (.L100-.L123)/2 + 1320 0146 9500 .2byte (.L100-.L123)/2 + 1321 0148 0F00 .2byte (.L121-.L123)/2 + 1322 014a 9500 .2byte (.L100-.L123)/2 + 1323 014c 1900 .2byte (.L122-.L123)/2 + 1324 .L120: + 224:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceAddress = CY_GET_REG8(USBFS_wValueLo); + 1325 .loc 1 224 0 + 1326 014e 2F4B ldr r3, .L151+24 + 1327 0150 3849 ldr r1, .L151+64 + 1328 0152 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1329 0154 4CE0 b .L149 + 1330 .L121: + 228:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configuration = CY_GET_REG8(USBFS_wValueLo); + 1331 .loc 1 228 0 + 1332 0156 2D4B ldr r3, .L151+24 + 1333 0158 344A ldr r2, .L151+56 + 1334 015a 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 229:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configurationChanged = USBFS_TRUE; + 1335 .loc 1 229 0 + 1336 015c 3649 ldr r1, .L151+68 + 228:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configuration = CY_GET_REG8(USBFS_wValueLo); + 1337 .loc 1 228 0 + 1338 015e 1070 strb r0, [r2, #0] + 229:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configurationChanged = USBFS_TRUE; + 1339 .loc 1 229 0 + 1340 0160 0120 movs r0, #1 + 1341 0162 0870 strb r0, [r1, #0] + 230:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_Config(USBFS_TRUE); + 1342 .loc 1 230 0 + 1343 0164 FFF7FEFF bl USBFS_Config + 1344 .LVL100: + 1345 0168 77E0 b .L144 + 1346 .L122: + 234:.\Generated_Source\PSoC5/USBFS_std.c **** if (USBFS_ValidateAlternateSetting() != 0u) + 1347 .loc 1 234 0 + 1348 016a FFF7FEFF bl USBFS_ValidateAlternateSetting + 1349 .LVL101: + 1350 016e 0028 cmp r0, #0 + 1351 0170 77D0 beq .L100 + 236:.\Generated_Source\PSoC5/USBFS_std.c **** interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + 1352 .loc 1 236 0 + 1353 0172 2A4C ldr r4, .L151+40 + 237:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceNumber = interfaceNumber; + 1354 .loc 1 237 0 + 1355 0174 314A ldr r2, .L151+72 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 48 + + + 236:.\Generated_Source\PSoC5/USBFS_std.c **** interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + 1356 .loc 1 236 0 + 1357 0176 2478 ldrb r4, [r4, #0] @ zero_extendqisi2 + 1358 .LVL102: + 238:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configurationChanged = USBFS_TRUE; + 1359 .loc 1 238 0 + 1360 0178 2F4B ldr r3, .L151+68 + 1361 017a 0121 movs r1, #1 + 237:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceNumber = interfaceNumber; + 1362 .loc 1 237 0 + 1363 017c 1470 strb r4, [r2, #0] + 238:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_configurationChanged = USBFS_TRUE; + 1364 .loc 1 238 0 + 1365 017e 1970 strb r1, [r3, #0] + 243:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_ConfigAltChanged(); + 1366 .loc 1 243 0 + 1367 0180 FFF7FEFF bl USBFS_ConfigAltChanged + 1368 .LVL103: + 247:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[interfaceNumber]; + 1369 .loc 1 247 0 + 1370 0184 2A48 ldr r0, .L151+60 + 246:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[interfaceNumber] = + 1371 .loc 1 246 0 + 1372 0186 2E49 ldr r1, .L151+76 + 247:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting[interfaceNumber]; + 1373 .loc 1 247 0 + 1374 0188 025D ldrb r2, [r0, r4] @ zero_extendqisi2 + 246:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceSetting_last[interfaceNumber] = + 1375 .loc 1 246 0 + 1376 018a 0A55 strb r2, [r1, r4] + 1377 018c 65E0 b .L144 + 1378 .LVL104: + 1379 .L118: + 252:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + 1380 .loc 1 252 0 + 1381 018e 1278 ldrb r2, [r2, #0] @ zero_extendqisi2 + 1382 0190 02F00301 and r1, r2, #3 + 1383 0194 0129 cmp r1, #1 + 1384 0196 55D0 beq .L129 + 1385 0198 09D3 bcc .L125 + 1386 019a 0229 cmp r1, #2 + 1387 019c 61D1 bne .L100 + 255:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + 1388 .loc 1 255 0 + 1389 019e 1B4B ldr r3, .L151+24 + 1390 01a0 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1391 01a2 0028 cmp r0, #0 + 1392 01a4 5DD1 bne .L100 + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1393 .loc 1 316 0 + 1394 01a6 BDE81040 pop {r4, lr} + 257:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_ClearEndpointHalt(); + 1395 .loc 1 257 0 + 1396 01aa FFF7FEBF b USBFS_ClearEndpointHalt + 1397 .LVL105: + 1398 .L125: + 262:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 49 + + + 1399 .loc 1 262 0 + 1400 01ae 174A ldr r2, .L151+24 + 1401 01b0 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 1402 01b2 0129 cmp r1, #1 + 1403 01b4 55D1 bne .L100 + 264:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + 1404 .loc 1 264 0 + 1405 01b6 1C49 ldr r1, .L151+52 + 1406 01b8 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 1407 01ba 03F0FD00 and r0, r3, #253 + 1408 .LVL106: + 1409 01be 17E0 b .L149 + 1410 .L119: + 282:.\Generated_Source\PSoC5/USBFS_std.c **** switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + 1411 .loc 1 282 0 + 1412 01c0 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 1413 01c2 00F00302 and r2, r0, #3 + 1414 01c6 012A cmp r2, #1 + 1415 01c8 3CD0 beq .L129 + 1416 01ca 09D3 bcc .L128 + 1417 01cc 022A cmp r2, #2 + 1418 01ce 48D1 bne .L100 + 285:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + 1419 .loc 1 285 0 + 1420 01d0 0E49 ldr r1, .L151+24 + 1421 01d2 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 1422 01d4 002B cmp r3, #0 + 1423 01d6 44D1 bne .L100 + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1424 .loc 1 316 0 + 1425 01d8 BDE81040 pop {r4, lr} + 287:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_SetEndpointHalt(); + 1426 .loc 1 287 0 + 1427 01dc FFF7FEBF b USBFS_SetEndpointHalt + 1428 .LVL107: + 1429 .L128: + 292:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + 1430 .loc 1 292 0 + 1431 01e0 0A48 ldr r0, .L151+24 + 1432 01e2 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 1433 01e4 012A cmp r2, #1 + 1434 01e6 3CD1 bne .L100 + 294:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_deviceStatus |= USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + 1435 .loc 1 294 0 + 1436 01e8 0F49 ldr r1, .L151+52 + 1437 01ea 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 1438 01ec 43F00200 orr r0, r3, #2 + 1439 .L149: + 1440 .LVL108: + 1441 01f0 0870 strb r0, [r1, #0] + 1442 01f2 32E0 b .L144 + 1443 .L152: + 1444 .align 2 + 1445 .L151: + 1446 01f4 00000000 .word USBFS_currentTD + 1447 01f8 00600040 .word 1073766400 + 1448 01fc 01600040 .word 1073766401 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 50 + + + 1449 0200 03600040 .word 1073766403 + 1450 0204 00000000 .word USBFS_device + 1451 0208 00000000 .word USBFS_TABLE + 1452 020c 02600040 .word 1073766402 + 1453 0210 00000000 .word USBFS_STRING_DESCRIPTORS + 1454 0214 00000000 .word USBFS_DEVICE0_DESCR + 1455 0218 00000000 .word USBFS_SN_STRING_DESCRIPTOR + 1456 021c 04600040 .word 1073766404 + 1457 0220 00000000 .word USBFS_EP + 1458 0224 00000000 .word .LANCHOR0 + 1459 0228 00000000 .word USBFS_deviceStatus + 1460 022c 00000000 .word USBFS_configuration + 1461 0230 00000000 .word USBFS_interfaceSetting + 1462 0234 00000000 .word USBFS_deviceAddress + 1463 0238 00000000 .word USBFS_configurationChanged + 1464 023c 00000000 .word USBFS_interfaceNumber + 1465 0240 00000000 .word USBFS_interfaceSetting_last + 1466 .LVL109: + 1467 .L129: + 300:.\Generated_Source\PSoC5/USBFS_std.c **** if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + 1468 .loc 1 300 0 + 1469 0244 0848 ldr r0, .L153 + 1470 0246 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 1471 0248 5AB9 cbnz r2, .L100 + 302:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + 1472 .loc 1 302 0 + 1473 024a 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 1474 024c 074A ldr r2, .L153+4 + 303:.\Generated_Source\PSoC5/USBFS_std.c **** (uint8)~(CY_GET_REG8(USBFS_wValueLo + 1475 .loc 1 303 0 + 1476 024e 0848 ldr r0, .L153+8 + 302:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + 1477 .loc 1 302 0 + 1478 0250 D15C ldrb r1, [r2, r3] @ zero_extendqisi2 + 303:.\Generated_Source\PSoC5/USBFS_std.c **** (uint8)~(CY_GET_REG8(USBFS_wValueLo + 1479 .loc 1 303 0 + 1480 0252 0078 ldrb r0, [r0, #0] @ zero_extendqisi2 + 302:.\Generated_Source\PSoC5/USBFS_std.c **** USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + 1481 .loc 1 302 0 + 1482 0254 21EA0001 bic r1, r1, r0 + 1483 0258 D154 strb r1, [r2, r3] + 1484 .LVL110: + 1485 .L144: + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1486 .loc 1 316 0 + 1487 025a BDE81040 pop {r4, lr} + 304:.\Generated_Source\PSoC5/USBFS_std.c **** requestHandled = USBFS_InitNoDataControlTransfer(); + 1488 .loc 1 304 0 + 1489 025e FFF7FEBF b USBFS_InitNoDataControlTransfer + 1490 .LVL111: + 1491 .L100: + 316:.\Generated_Source\PSoC5/USBFS_std.c **** } + 1492 .loc 1 316 0 + 1493 0262 0020 movs r0, #0 + 1494 0264 10BD pop {r4, pc} + 1495 .L154: + 1496 0266 00BF .align 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 51 + + + 1497 .L153: + 1498 0268 04600040 .word 1073766404 + 1499 026c 00000000 .word USBFS_interfaceStatus + 1500 0270 02600040 .word 1073766402 + 1501 .cfi_endproc + 1502 .LFE0: + 1503 .size USBFS_HandleStandardRqst, .-USBFS_HandleStandardRqst + 1504 .bss + 1505 .set .LANCHOR0,. + 0 + 1506 .type USBFS_tBuffer.5008, %object + 1507 .size USBFS_tBuffer.5008, 2 + 1508 USBFS_tBuffer.5008: + 1509 0000 0000 .space 2 + 1510 .text + 1511 .Letext0: + 1512 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 1513 .file 3 ".\\Generated_Source\\PSoC5\\USBFS.h" + 1514 .file 4 ".\\Generated_Source\\PSoC5\\USBFS_pvt.h" + 1515 .section .debug_info,"",%progbits + 1516 .Ldebug_info0: + 1517 0000 9A080000 .4byte 0x89a + 1518 0004 0200 .2byte 0x2 + 1519 0006 00000000 .4byte .Ldebug_abbrev0 + 1520 000a 04 .byte 0x4 + 1521 000b 01 .uleb128 0x1 + 1522 000c 59030000 .4byte .LASF83 + 1523 0010 01 .byte 0x1 + 1524 0011 E2030000 .4byte .LASF84 + 1525 0015 6A010000 .4byte .LASF85 + 1526 0019 00000000 .4byte .Ldebug_ranges0+0 + 1527 001d 00000000 .4byte 0 + 1528 0021 00000000 .4byte 0 + 1529 0025 00000000 .4byte .Ldebug_line0 + 1530 0029 02 .uleb128 0x2 + 1531 002a 01 .byte 0x1 + 1532 002b 06 .byte 0x6 + 1533 002c 9A000000 .4byte .LASF0 + 1534 0030 02 .uleb128 0x2 + 1535 0031 01 .byte 0x1 + 1536 0032 08 .byte 0x8 + 1537 0033 A7030000 .4byte .LASF1 + 1538 0037 02 .uleb128 0x2 + 1539 0038 02 .byte 0x2 + 1540 0039 05 .byte 0x5 + 1541 003a B5030000 .4byte .LASF2 + 1542 003e 02 .uleb128 0x2 + 1543 003f 02 .byte 0x2 + 1544 0040 07 .byte 0x7 + 1545 0041 E4010000 .4byte .LASF3 + 1546 0045 02 .uleb128 0x2 + 1547 0046 04 .byte 0x4 + 1548 0047 05 .byte 0x5 + 1549 0048 15010000 .4byte .LASF4 + 1550 004c 02 .uleb128 0x2 + 1551 004d 04 .byte 0x4 + 1552 004e 07 .byte 0x7 + 1553 004f B2010000 .4byte .LASF5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 52 + + + 1554 0053 02 .uleb128 0x2 + 1555 0054 08 .byte 0x8 + 1556 0055 05 .byte 0x5 + 1557 0056 8C000000 .4byte .LASF6 + 1558 005a 02 .uleb128 0x2 + 1559 005b 08 .byte 0x8 + 1560 005c 07 .byte 0x7 + 1561 005d 52000000 .4byte .LASF7 + 1562 0061 03 .uleb128 0x3 + 1563 0062 04 .byte 0x4 + 1564 0063 05 .byte 0x5 + 1565 0064 696E7400 .ascii "int\000" + 1566 0068 02 .uleb128 0x2 + 1567 0069 04 .byte 0x4 + 1568 006a 07 .byte 0x7 + 1569 006b A5010000 .4byte .LASF8 + 1570 006f 04 .uleb128 0x4 + 1571 0070 1E010000 .4byte .LASF9 + 1572 0074 02 .byte 0x2 + 1573 0075 5B .byte 0x5b + 1574 0076 30000000 .4byte 0x30 + 1575 007a 04 .uleb128 0x4 + 1576 007b 13000000 .4byte .LASF10 + 1577 007f 02 .byte 0x2 + 1578 0080 5C .byte 0x5c + 1579 0081 3E000000 .4byte 0x3e + 1580 0085 02 .uleb128 0x2 + 1581 0086 04 .byte 0x4 + 1582 0087 04 .byte 0x4 + 1583 0088 3A030000 .4byte .LASF11 + 1584 008c 02 .uleb128 0x2 + 1585 008d 08 .byte 0x8 + 1586 008e 04 .byte 0x4 + 1587 008f 2D010000 .4byte .LASF12 + 1588 0093 02 .uleb128 0x2 + 1589 0094 01 .byte 0x1 + 1590 0095 08 .byte 0x8 + 1591 0096 76040000 .4byte .LASF13 + 1592 009a 04 .uleb128 0x4 + 1593 009b A2030000 .4byte .LASF14 + 1594 009f 02 .byte 0x2 + 1595 00a0 F0 .byte 0xf0 + 1596 00a1 A5000000 .4byte 0xa5 + 1597 00a5 05 .uleb128 0x5 + 1598 00a6 6F000000 .4byte 0x6f + 1599 00aa 02 .uleb128 0x2 + 1600 00ab 04 .byte 0x4 + 1601 00ac 07 .byte 0x7 + 1602 00ad 86020000 .4byte .LASF15 + 1603 00b1 06 .uleb128 0x6 + 1604 00b2 0C .byte 0xc + 1605 00b3 03 .byte 0x3 + 1606 00b4 79 .byte 0x79 + 1607 00b5 38010000 .4byte 0x138 + 1608 00b9 07 .uleb128 0x7 + 1609 00ba A5020000 .4byte .LASF16 + 1610 00be 03 .byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 53 + + + 1611 00bf 7B .byte 0x7b + 1612 00c0 6F000000 .4byte 0x6f + 1613 00c4 02 .byte 0x2 + 1614 00c5 23 .byte 0x23 + 1615 00c6 00 .uleb128 0 + 1616 00c7 07 .uleb128 0x7 + 1617 00c8 40030000 .4byte .LASF17 + 1618 00cc 03 .byte 0x3 + 1619 00cd 7C .byte 0x7c + 1620 00ce 6F000000 .4byte 0x6f + 1621 00d2 02 .byte 0x2 + 1622 00d3 23 .byte 0x23 + 1623 00d4 01 .uleb128 0x1 + 1624 00d5 07 .uleb128 0x7 + 1625 00d6 70020000 .4byte .LASF18 + 1626 00da 03 .byte 0x3 + 1627 00db 7D .byte 0x7d + 1628 00dc 6F000000 .4byte 0x6f + 1629 00e0 02 .byte 0x2 + 1630 00e1 23 .byte 0x23 + 1631 00e2 02 .uleb128 0x2 + 1632 00e3 07 .uleb128 0x7 + 1633 00e4 24010000 .4byte .LASF19 + 1634 00e8 03 .byte 0x3 + 1635 00e9 7E .byte 0x7e + 1636 00ea 6F000000 .4byte 0x6f + 1637 00ee 02 .byte 0x2 + 1638 00ef 23 .byte 0x23 + 1639 00f0 03 .uleb128 0x3 + 1640 00f1 07 .uleb128 0x7 + 1641 00f2 69000000 .4byte .LASF20 + 1642 00f6 03 .byte 0x3 + 1643 00f7 7F .byte 0x7f + 1644 00f8 6F000000 .4byte 0x6f + 1645 00fc 02 .byte 0x2 + 1646 00fd 23 .byte 0x23 + 1647 00fe 04 .uleb128 0x4 + 1648 00ff 07 .uleb128 0x7 + 1649 0100 9E010000 .4byte .LASF21 + 1650 0104 03 .byte 0x3 + 1651 0105 80 .byte 0x80 + 1652 0106 6F000000 .4byte 0x6f + 1653 010a 02 .byte 0x2 + 1654 010b 23 .byte 0x23 + 1655 010c 05 .uleb128 0x5 + 1656 010d 07 .uleb128 0x7 + 1657 010e 95040000 .4byte .LASF22 + 1658 0112 03 .byte 0x3 + 1659 0113 81 .byte 0x81 + 1660 0114 7A000000 .4byte 0x7a + 1661 0118 02 .byte 0x2 + 1662 0119 23 .byte 0x23 + 1663 011a 06 .uleb128 0x6 + 1664 011b 07 .uleb128 0x7 + 1665 011c 7B040000 .4byte .LASF23 + 1666 0120 03 .byte 0x3 + 1667 0121 82 .byte 0x82 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 54 + + + 1668 0122 7A000000 .4byte 0x7a + 1669 0126 02 .byte 0x2 + 1670 0127 23 .byte 0x23 + 1671 0128 08 .uleb128 0x8 + 1672 0129 07 .uleb128 0x7 + 1673 012a FE010000 .4byte .LASF24 + 1674 012e 03 .byte 0x3 + 1675 012f 83 .byte 0x83 + 1676 0130 6F000000 .4byte 0x6f + 1677 0134 02 .byte 0x2 + 1678 0135 23 .byte 0x23 + 1679 0136 0A .uleb128 0xa + 1680 0137 00 .byte 0 + 1681 0138 04 .uleb128 0x4 + 1682 0139 1E040000 .4byte .LASF25 + 1683 013d 03 .byte 0x3 + 1684 013e 84 .byte 0x84 + 1685 013f B1000000 .4byte 0xb1 + 1686 0143 06 .uleb128 0x6 + 1687 0144 08 .byte 0x8 + 1688 0145 03 .byte 0x3 + 1689 0146 86 .byte 0x86 + 1690 0147 A0010000 .4byte 0x1a0 + 1691 014b 07 .uleb128 0x7 + 1692 014c FE010000 .4byte .LASF24 + 1693 0150 03 .byte 0x3 + 1694 0151 88 .byte 0x88 + 1695 0152 6F000000 .4byte 0x6f + 1696 0156 02 .byte 0x2 + 1697 0157 23 .byte 0x23 + 1698 0158 00 .uleb128 0 + 1699 0159 07 .uleb128 0x7 + 1700 015a 6B040000 .4byte .LASF26 + 1701 015e 03 .byte 0x3 + 1702 015f 89 .byte 0x89 + 1703 0160 6F000000 .4byte 0x6f + 1704 0164 02 .byte 0x2 + 1705 0165 23 .byte 0x23 + 1706 0166 01 .uleb128 0x1 + 1707 0167 07 .uleb128 0x7 + 1708 0168 69000000 .4byte .LASF20 + 1709 016c 03 .byte 0x3 + 1710 016d 8A .byte 0x8a + 1711 016e 6F000000 .4byte 0x6f + 1712 0172 02 .byte 0x2 + 1713 0173 23 .byte 0x23 + 1714 0174 02 .uleb128 0x2 + 1715 0175 07 .uleb128 0x7 + 1716 0176 60040000 .4byte .LASF27 + 1717 017a 03 .byte 0x3 + 1718 017b 8B .byte 0x8b + 1719 017c 6F000000 .4byte 0x6f + 1720 0180 02 .byte 0x2 + 1721 0181 23 .byte 0x23 + 1722 0182 03 .uleb128 0x3 + 1723 0183 07 .uleb128 0x7 + 1724 0184 7B040000 .4byte .LASF23 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 55 + + + 1725 0188 03 .byte 0x3 + 1726 0189 8C .byte 0x8c + 1727 018a 7A000000 .4byte 0x7a + 1728 018e 02 .byte 0x2 + 1729 018f 23 .byte 0x23 + 1730 0190 04 .uleb128 0x4 + 1731 0191 07 .uleb128 0x7 + 1732 0192 21050000 .4byte .LASF28 + 1733 0196 03 .byte 0x3 + 1734 0197 8D .byte 0x8d + 1735 0198 6F000000 .4byte 0x6f + 1736 019c 02 .byte 0x2 + 1737 019d 23 .byte 0x23 + 1738 019e 06 .uleb128 0x6 + 1739 019f 00 .byte 0 + 1740 01a0 04 .uleb128 0x4 + 1741 01a1 34010000 .4byte .LASF29 + 1742 01a5 03 .byte 0x3 + 1743 01a6 8E .byte 0x8e + 1744 01a7 43010000 .4byte 0x143 + 1745 01ab 06 .uleb128 0x6 + 1746 01ac 04 .byte 0x4 + 1747 01ad 03 .byte 0x3 + 1748 01ae 90 .byte 0x90 + 1749 01af D0010000 .4byte 0x1d0 + 1750 01b3 07 .uleb128 0x7 + 1751 01b4 02050000 .4byte .LASF30 + 1752 01b8 03 .byte 0x3 + 1753 01b9 92 .byte 0x92 + 1754 01ba 6F000000 .4byte 0x6f + 1755 01be 02 .byte 0x2 + 1756 01bf 23 .byte 0x23 + 1757 01c0 00 .uleb128 0 + 1758 01c1 07 .uleb128 0x7 + 1759 01c2 E7040000 .4byte .LASF31 + 1760 01c6 03 .byte 0x3 + 1761 01c7 93 .byte 0x93 + 1762 01c8 7A000000 .4byte 0x7a + 1763 01cc 02 .byte 0x2 + 1764 01cd 23 .byte 0x23 + 1765 01ce 02 .uleb128 0x2 + 1766 01cf 00 .byte 0 + 1767 01d0 04 .uleb128 0x4 + 1768 01d1 FF020000 .4byte .LASF32 + 1769 01d5 03 .byte 0x3 + 1770 01d6 94 .byte 0x94 + 1771 01d7 AB010000 .4byte 0x1ab + 1772 01db 06 .uleb128 0x6 + 1773 01dc 0C .byte 0xc + 1774 01dd 03 .byte 0x3 + 1775 01de 96 .byte 0x96 + 1776 01df 0E020000 .4byte 0x20e + 1777 01e3 07 .uleb128 0x7 + 1778 01e4 0D000000 .4byte .LASF33 + 1779 01e8 03 .byte 0x3 + 1780 01e9 98 .byte 0x98 + 1781 01ea 7A000000 .4byte 0x7a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 56 + + + 1782 01ee 02 .byte 0x2 + 1783 01ef 23 .byte 0x23 + 1784 01f0 00 .uleb128 0 + 1785 01f1 07 .uleb128 0x7 + 1786 01f2 64010000 .4byte .LASF34 + 1787 01f6 03 .byte 0x3 + 1788 01f7 99 .byte 0x99 + 1789 01f8 0E020000 .4byte 0x20e + 1790 01fc 02 .byte 0x2 + 1791 01fd 23 .byte 0x23 + 1792 01fe 04 .uleb128 0x4 + 1793 01ff 07 .uleb128 0x7 + 1794 0200 00000000 .4byte .LASF35 + 1795 0204 03 .byte 0x3 + 1796 0205 9A .byte 0x9a + 1797 0206 14020000 .4byte 0x214 + 1798 020a 02 .byte 0x2 + 1799 020b 23 .byte 0x23 + 1800 020c 08 .uleb128 0x8 + 1801 020d 00 .byte 0 + 1802 020e 08 .uleb128 0x8 + 1803 020f 04 .byte 0x4 + 1804 0210 A5000000 .4byte 0xa5 + 1805 0214 08 .uleb128 0x8 + 1806 0215 04 .byte 0x4 + 1807 0216 D0010000 .4byte 0x1d0 + 1808 021a 04 .uleb128 0x4 + 1809 021b BF030000 .4byte .LASF36 + 1810 021f 03 .byte 0x3 + 1811 0220 9B .byte 0x9b + 1812 0221 DB010000 .4byte 0x1db + 1813 0225 06 .uleb128 0x6 + 1814 0226 08 .byte 0x8 + 1815 0227 03 .byte 0x3 + 1816 0228 9E .byte 0x9e + 1817 0229 48020000 .4byte 0x248 + 1818 022d 09 .uleb128 0x9 + 1819 022e 6300 .ascii "c\000" + 1820 0230 03 .byte 0x3 + 1821 0231 A0 .byte 0xa0 + 1822 0232 6F000000 .4byte 0x6f + 1823 0236 02 .byte 0x2 + 1824 0237 23 .byte 0x23 + 1825 0238 00 .uleb128 0 + 1826 0239 07 .uleb128 0x7 + 1827 023a 94020000 .4byte .LASF37 + 1828 023e 03 .byte 0x3 + 1829 023f A1 .byte 0xa1 + 1830 0240 48020000 .4byte 0x248 + 1831 0244 02 .byte 0x2 + 1832 0245 23 .byte 0x23 + 1833 0246 04 .uleb128 0x4 + 1834 0247 00 .byte 0 + 1835 0248 08 .uleb128 0x8 + 1836 0249 04 .byte 0x4 + 1837 024a 4E020000 .4byte 0x24e + 1838 024e 0A .uleb128 0xa + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 57 + + + 1839 024f 04 .uleb128 0x4 + 1840 0250 56050000 .4byte .LASF38 + 1841 0254 03 .byte 0x3 + 1842 0255 A2 .byte 0xa2 + 1843 0256 25020000 .4byte 0x225 + 1844 025a 0B .uleb128 0xb + 1845 025b 01 .byte 0x1 + 1846 025c A0040000 .4byte .LASF79 + 1847 0260 01 .byte 0x1 + 1848 0261 6E03 .2byte 0x36e + 1849 0263 01 .byte 0x1 + 1850 0264 69020000 .4byte 0x269 + 1851 0268 01 .byte 0x1 + 1852 0269 08 .uleb128 0x8 + 1853 026a 04 .byte 0x4 + 1854 026b 6F020000 .4byte 0x26f + 1855 026f 0C .uleb128 0xc + 1856 0270 4F020000 .4byte 0x24f + 1857 0274 0D .uleb128 0xd + 1858 0275 01 .byte 0x1 + 1859 0276 05010000 .4byte .LASF40 + 1860 027a 01 .byte 0x1 + 1861 027b 7E01 .2byte 0x17e + 1862 027d 01 .byte 0x1 + 1863 027e 00000000 .4byte .LFB1 + 1864 0282 B4000000 .4byte .LFE1 + 1865 0286 00000000 .4byte .LLST0 + 1866 028a 01 .byte 0x1 + 1867 028b AD020000 .4byte 0x2ad + 1868 028f 0E .uleb128 0xe + 1869 0290 657000 .ascii "ep\000" + 1870 0293 01 .byte 0x1 + 1871 0294 8001 .2byte 0x180 + 1872 0296 6F000000 .4byte 0x6f + 1873 029a 20000000 .4byte .LLST1 + 1874 029e 0E .uleb128 0xe + 1875 029f 6900 .ascii "i\000" + 1876 02a1 01 .byte 0x1 + 1877 02a2 8101 .2byte 0x181 + 1878 02a4 6F000000 .4byte 0x6f + 1879 02a8 57000000 .4byte .LLST2 + 1880 02ac 00 .byte 0 + 1881 02ad 0F .uleb128 0xf + 1882 02ae 01 .byte 0x1 + 1883 02af CA030000 .4byte .LASF44 + 1884 02b3 01 .byte 0x1 + 1885 02b4 5103 .2byte 0x351 + 1886 02b6 01 .byte 0x1 + 1887 02b7 69020000 .4byte 0x269 + 1888 02bb 00000000 .4byte .LFB4 + 1889 02bf 1C000000 .4byte .LFE4 + 1890 02c3 02 .byte 0x2 + 1891 02c4 7D .byte 0x7d + 1892 02c5 00 .sleb128 0 + 1893 02c6 01 .byte 0x1 + 1894 02c7 EA020000 .4byte 0x2ea + 1895 02cb 10 .uleb128 0x10 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 58 + + + 1896 02cc 6300 .ascii "c\000" + 1897 02ce 01 .byte 0x1 + 1898 02cf 5103 .2byte 0x351 + 1899 02d1 6F000000 .4byte 0x6f + 1900 02d5 8C000000 .4byte .LLST3 + 1901 02d9 11 .uleb128 0x11 + 1902 02da 8F020000 .4byte .LASF39 + 1903 02de 01 .byte 0x1 + 1904 02df 5503 .2byte 0x355 + 1905 02e1 69020000 .4byte 0x269 + 1906 02e5 AD000000 .4byte .LLST4 + 1907 02e9 00 .byte 0 + 1908 02ea 0D .uleb128 0xd + 1909 02eb 01 .byte 0x1 + 1910 02ec E8020000 .4byte .LASF41 + 1911 02f0 01 .byte 0x1 + 1912 02f1 D302 .2byte 0x2d3 + 1913 02f3 01 .byte 0x1 + 1914 02f4 00000000 .4byte .LFB3 + 1915 02f8 28010000 .4byte .LFE3 + 1916 02fc C6000000 .4byte .LLST5 + 1917 0300 01 .byte 0x1 + 1918 0301 7B030000 .4byte 0x37b + 1919 0305 0E .uleb128 0xe + 1920 0306 657000 .ascii "ep\000" + 1921 0309 01 .byte 0x1 + 1922 030a D502 .2byte 0x2d5 + 1923 030c 6F000000 .4byte 0x6f + 1924 0310 E6000000 .4byte .LLST6 + 1925 0314 11 .uleb128 0x11 + 1926 0315 FB040000 .4byte .LASF42 + 1927 0319 01 .byte 0x1 + 1928 031a D602 .2byte 0x2d6 + 1929 031c 6F000000 .4byte 0x6f + 1930 0320 05010000 .4byte .LLST7 + 1931 0324 0E .uleb128 0xe + 1932 0325 6900 .ascii "i\000" + 1933 0327 01 .byte 0x1 + 1934 0328 D702 .2byte 0x2d7 + 1935 032a 6F000000 .4byte 0x6f + 1936 032e 2A010000 .4byte .LLST8 + 1937 0332 11 .uleb128 0x11 + 1938 0333 F3040000 .4byte .LASF43 + 1939 0337 01 .byte 0x1 + 1940 0338 D802 .2byte 0x2d8 + 1941 033a 6F000000 .4byte 0x6f + 1942 033e 61010000 .4byte .LLST9 + 1943 0342 0E .uleb128 0xe + 1944 0343 726900 .ascii "ri\000" + 1945 0346 01 .byte 0x1 + 1946 0347 D902 .2byte 0x2d9 + 1947 0349 6F000000 .4byte 0x6f + 1948 034d A1010000 .4byte .LLST10 + 1949 0351 11 .uleb128 0x11 + 1950 0352 8F020000 .4byte .LASF39 + 1951 0356 01 .byte 0x1 + 1952 0357 DB02 .2byte 0x2db + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 59 + + + 1953 0359 69020000 .4byte 0x269 + 1954 035d D0010000 .4byte .LLST11 + 1955 0361 0E .uleb128 0xe + 1956 0362 70455000 .ascii "pEP\000" + 1957 0366 01 .byte 0x1 + 1958 0367 DC02 .2byte 0x2dc + 1959 0369 7B030000 .4byte 0x37b + 1960 036d E5010000 .4byte .LLST12 + 1961 0371 12 .uleb128 0x12 + 1962 0372 14000000 .4byte .LVL12 + 1963 0376 AD020000 .4byte 0x2ad + 1964 037a 00 .byte 0 + 1965 037b 08 .uleb128 0x8 + 1966 037c 04 .byte 0x4 + 1967 037d 81030000 .4byte 0x381 + 1968 0381 0C .uleb128 0xc + 1969 0382 A0010000 .4byte 0x1a0 + 1970 0386 13 .uleb128 0x13 + 1971 0387 5A020000 .4byte 0x25a + 1972 038b 00000000 .4byte .LFB5 + 1973 038f 18000000 .4byte .LFE5 + 1974 0393 02 .byte 0x2 + 1975 0394 7D .byte 0x7d + 1976 0395 00 .sleb128 0 + 1977 0396 01 .byte 0x1 + 1978 0397 14 .uleb128 0x14 + 1979 0398 01 .byte 0x1 + 1980 0399 C4010000 .4byte .LASF45 + 1981 039d 01 .byte 0x1 + 1982 039e 8503 .2byte 0x385 + 1983 03a0 01 .byte 0x1 + 1984 03a1 E0030000 .4byte 0x3e0 + 1985 03a5 00000000 .4byte .LFB6 + 1986 03a9 20000000 .4byte .LFE6 + 1987 03ad 04020000 .4byte .LLST13 + 1988 03b1 01 .byte 0x1 + 1989 03b2 E0030000 .4byte 0x3e0 + 1990 03b6 11 .uleb128 0x11 + 1991 03b7 8F020000 .4byte .LASF39 + 1992 03bb 01 .byte 0x1 + 1993 03bc 8803 .2byte 0x388 + 1994 03be 69020000 .4byte 0x269 + 1995 03c2 24020000 .4byte .LLST14 + 1996 03c6 11 .uleb128 0x11 + 1997 03c7 D3020000 .4byte .LASF46 + 1998 03cb 01 .byte 0x1 + 1999 03cc 8903 .2byte 0x389 + 2000 03ce 6F000000 .4byte 0x6f + 2001 03d2 49020000 .4byte .LLST15 + 2002 03d6 12 .uleb128 0x12 + 2003 03d7 0E000000 .4byte .LVL29 + 2004 03db AD020000 .4byte 0x2ad + 2005 03df 00 .byte 0 + 2006 03e0 08 .uleb128 0x8 + 2007 03e1 04 .byte 0x4 + 2008 03e2 E6030000 .4byte 0x3e6 + 2009 03e6 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 60 + + + 2010 03e7 6F000000 .4byte 0x6f + 2011 03eb 0D .uleb128 0xd + 2012 03ec 01 .byte 0x1 + 2013 03ed F8000000 .4byte .LASF47 + 2014 03f1 01 .byte 0x1 + 2015 03f2 DB01 .2byte 0x1db + 2016 03f4 01 .byte 0x1 + 2017 03f5 00000000 .4byte .LFB2 + 2018 03f9 68010000 .4byte .LFE2 + 2019 03fd 6C020000 .4byte .LLST16 + 2020 0401 01 .byte 0x1 + 2021 0402 BB040000 .4byte 0x4bb + 2022 0406 15 .uleb128 0x15 + 2023 0407 A6000000 .4byte .LASF48 + 2024 040b 01 .byte 0x1 + 2025 040c DB01 .2byte 0x1db + 2026 040e 6F000000 .4byte 0x6f + 2027 0412 8C020000 .4byte .LLST17 + 2028 0416 0E .uleb128 0xe + 2029 0417 657000 .ascii "ep\000" + 2030 041a 01 .byte 0x1 + 2031 041b DD01 .2byte 0x1dd + 2032 041d 6F000000 .4byte 0x6f + 2033 0421 AD020000 .4byte .LLST18 + 2034 0425 11 .uleb128 0x11 + 2035 0426 FB040000 .4byte .LASF42 + 2036 042a 01 .byte 0x1 + 2037 042b DE01 .2byte 0x1de + 2038 042d 6F000000 .4byte 0x6f + 2039 0431 E4020000 .4byte .LLST19 + 2040 0435 0E .uleb128 0xe + 2041 0436 6900 .ascii "i\000" + 2042 0438 01 .byte 0x1 + 2043 0439 DF01 .2byte 0x1df + 2044 043b 6F000000 .4byte 0x6f + 2045 043f 1B030000 .4byte .LLST20 + 2046 0443 11 .uleb128 0x11 + 2047 0444 F3040000 .4byte .LASF43 + 2048 0448 01 .byte 0x1 + 2049 0449 E001 .2byte 0x1e0 + 2050 044b 6F000000 .4byte 0x6f + 2051 044f 5E030000 .4byte .LLST21 + 2052 0453 11 .uleb128 0x11 + 2053 0454 F7010000 .4byte .LASF49 + 2054 0458 01 .byte 0x1 + 2055 0459 E101 .2byte 0x1e1 + 2056 045b E0030000 .4byte 0x3e0 + 2057 045f 9E030000 .4byte .LLST22 + 2058 0463 11 .uleb128 0x11 + 2059 0464 9B020000 .4byte .LASF50 + 2060 0468 01 .byte 0x1 + 2061 0469 E301 .2byte 0x1e3 + 2062 046b 7A000000 .4byte 0x7a + 2063 046f B2030000 .4byte .LLST23 + 2064 0473 11 .uleb128 0x11 + 2065 0474 8F020000 .4byte .LASF39 + 2066 0478 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 61 + + + 2067 0479 E601 .2byte 0x1e6 + 2068 047b 69020000 .4byte 0x269 + 2069 047f DD030000 .4byte .LLST24 + 2070 0483 0E .uleb128 0xe + 2071 0484 70455000 .ascii "pEP\000" + 2072 0488 01 .byte 0x1 + 2073 0489 E701 .2byte 0x1e7 + 2074 048b 7B030000 .4byte 0x37b + 2075 048f FD030000 .4byte .LLST25 + 2076 0493 16 .uleb128 0x16 + 2077 0494 44000000 .4byte .LVL36 + 2078 0498 AD020000 .4byte 0x2ad + 2079 049c A7040000 .4byte 0x4a7 + 2080 04a0 17 .uleb128 0x17 + 2081 04a1 01 .byte 0x1 + 2082 04a2 50 .byte 0x50 + 2083 04a3 02 .byte 0x2 + 2084 04a4 74 .byte 0x74 + 2085 04a5 7F .sleb128 -1 + 2086 04a6 00 .byte 0 + 2087 04a7 12 .uleb128 0x12 + 2088 04a8 28010000 .4byte .LVL54 + 2089 04ac 97030000 .4byte 0x397 + 2090 04b0 18 .uleb128 0x18 + 2091 04b1 4E010000 .4byte .LVL58 + 2092 04b5 01 .byte 0x1 + 2093 04b6 74020000 .4byte 0x274 + 2094 04ba 00 .byte 0 + 2095 04bb 19 .uleb128 0x19 + 2096 04bc 01 .byte 0x1 + 2097 04bd 1A000000 .4byte .LASF51 + 2098 04c1 01 .byte 0x1 + 2099 04c2 A603 .2byte 0x3a6 + 2100 04c4 01 .byte 0x1 + 2101 04c5 00000000 .4byte .LFB7 + 2102 04c9 40000000 .4byte .LFE7 + 2103 04cd 02 .byte 0x2 + 2104 04ce 7D .byte 0x7d + 2105 04cf 00 .sleb128 0 + 2106 04d0 01 .byte 0x1 + 2107 04d1 F4040000 .4byte 0x4f4 + 2108 04d5 10 .uleb128 0x10 + 2109 04d6 657000 .ascii "ep\000" + 2110 04d9 01 .byte 0x1 + 2111 04da A603 .2byte 0x3a6 + 2112 04dc 6F000000 .4byte 0x6f + 2113 04e0 1D040000 .4byte .LLST26 + 2114 04e4 0E .uleb128 0xe + 2115 04e5 726900 .ascii "ri\000" + 2116 04e8 01 .byte 0x1 + 2117 04e9 A803 .2byte 0x3a8 + 2118 04eb 6F000000 .4byte 0x6f + 2119 04ef 3B040000 .4byte .LLST27 + 2120 04f3 00 .byte 0 + 2121 04f4 0F .uleb128 0xf + 2122 04f5 01 .byte 0x1 + 2123 04f6 4E010000 .4byte .LASF52 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 62 + + + 2124 04fa 01 .byte 0x1 + 2125 04fb D503 .2byte 0x3d5 + 2126 04fd 01 .byte 0x1 + 2127 04fe 6F000000 .4byte 0x6f + 2128 0502 00000000 .4byte .LFB8 + 2129 0506 58000000 .4byte .LFE8 + 2130 050a 02 .byte 0x2 + 2131 050b 7D .byte 0x7d + 2132 050c 00 .sleb128 0 + 2133 050d 01 .byte 0x1 + 2134 050e 48050000 .4byte 0x548 + 2135 0512 0E .uleb128 0xe + 2136 0513 657000 .ascii "ep\000" + 2137 0516 01 .byte 0x1 + 2138 0517 D703 .2byte 0x3d7 + 2139 0519 6F000000 .4byte 0x6f + 2140 051d 61040000 .4byte .LLST28 + 2141 0521 0E .uleb128 0xe + 2142 0522 726900 .ascii "ri\000" + 2143 0525 01 .byte 0x1 + 2144 0526 D803 .2byte 0x3d8 + 2145 0528 6F000000 .4byte 0x6f + 2146 052c 7F040000 .4byte .LLST29 + 2147 0530 1A .uleb128 0x1a + 2148 0531 86040000 .4byte .LASF53 + 2149 0535 01 .byte 0x1 + 2150 0536 D903 .2byte 0x3d9 + 2151 0538 6F000000 .4byte 0x6f + 2152 053c 00 .byte 0 + 2153 053d 18 .uleb128 0x18 + 2154 053e 46000000 .4byte .LVL69 + 2155 0542 01 .byte 0x1 + 2156 0543 73080000 .4byte 0x873 + 2157 0547 00 .byte 0 + 2158 0548 14 .uleb128 0x14 + 2159 0549 01 .byte 0x1 + 2160 054a CA000000 .4byte .LASF54 + 2161 054e 01 .byte 0x1 + 2162 054f 0C04 .2byte 0x40c + 2163 0551 01 .byte 0x1 + 2164 0552 6F000000 .4byte 0x6f + 2165 0556 00000000 .4byte .LFB9 + 2166 055a 7C000000 .4byte .LFE9 + 2167 055e C3040000 .4byte .LLST30 + 2168 0562 01 .byte 0x1 + 2169 0563 9D050000 .4byte 0x59d + 2170 0567 0E .uleb128 0xe + 2171 0568 657000 .ascii "ep\000" + 2172 056b 01 .byte 0x1 + 2173 056c 0E04 .2byte 0x40e + 2174 056e 6F000000 .4byte 0x6f + 2175 0572 E3040000 .4byte .LLST31 + 2176 0576 0E .uleb128 0xe + 2177 0577 726900 .ascii "ri\000" + 2178 057a 01 .byte 0x1 + 2179 057b 0F04 .2byte 0x40f + 2180 057d 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 63 + + + 2181 0581 01050000 .4byte .LLST32 + 2182 0585 1A .uleb128 0x1a + 2183 0586 86040000 .4byte .LASF53 + 2184 058a 01 .byte 0x1 + 2185 058b 1004 .2byte 0x410 + 2186 058d 6F000000 .4byte 0x6f + 2187 0591 00 .byte 0 + 2188 0592 18 .uleb128 0x18 + 2189 0593 68000000 .4byte .LVL76 + 2190 0597 01 .byte 0x1 + 2191 0598 73080000 .4byte 0x873 + 2192 059c 00 .byte 0 + 2193 059d 14 .uleb128 0x14 + 2194 059e 01 .byte 0x1 + 2195 059f 08020000 .4byte .LASF55 + 2196 05a3 01 .byte 0x1 + 2197 05a4 5304 .2byte 0x453 + 2198 05a6 01 .byte 0x1 + 2199 05a7 6F000000 .4byte 0x6f + 2200 05ab 00000000 .4byte .LFB10 + 2201 05af 48000000 .4byte .LFE10 + 2202 05b3 27050000 .4byte .LLST33 + 2203 05b7 01 .byte 0x1 + 2204 05b8 04060000 .4byte 0x604 + 2205 05bc 11 .uleb128 0x11 + 2206 05bd 86040000 .4byte .LASF53 + 2207 05c1 01 .byte 0x1 + 2208 05c2 5504 .2byte 0x455 + 2209 05c4 6F000000 .4byte 0x6f + 2210 05c8 47050000 .4byte .LLST34 + 2211 05cc 1B .uleb128 0x1b + 2212 05cd 19030000 .4byte .LASF56 + 2213 05d1 01 .byte 0x1 + 2214 05d2 5604 .2byte 0x456 + 2215 05d4 6F000000 .4byte 0x6f + 2216 05d8 01 .byte 0x1 + 2217 05d9 54 .byte 0x54 + 2218 05da 11 .uleb128 0x11 + 2219 05db 8F020000 .4byte .LASF39 + 2220 05df 01 .byte 0x1 + 2221 05e0 5704 .2byte 0x457 + 2222 05e2 69020000 .4byte 0x269 + 2223 05e6 66050000 .4byte .LLST35 + 2224 05ea 11 .uleb128 0x11 + 2225 05eb D3020000 .4byte .LASF46 + 2226 05ef 01 .byte 0x1 + 2227 05f0 5804 .2byte 0x458 + 2228 05f2 6F000000 .4byte 0x6f + 2229 05f6 79050000 .4byte .LLST36 + 2230 05fa 12 .uleb128 0x12 + 2231 05fb 12000000 .4byte .LVL79 + 2232 05ff AD020000 .4byte 0x2ad + 2233 0603 00 .byte 0 + 2234 0604 1C .uleb128 0x1c + 2235 0605 01 .byte 0x1 + 2236 0606 3D050000 .4byte .LASF57 + 2237 060a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 64 + + + 2238 060b 59 .byte 0x59 + 2239 060c 01 .byte 0x1 + 2240 060d 6F000000 .4byte 0x6f + 2241 0611 00000000 .4byte .LFB0 + 2242 0615 74020000 .4byte .LFE0 + 2243 0619 B3050000 .4byte .LLST37 + 2244 061d 01 .byte 0x1 + 2245 061e FD060000 .4byte 0x6fd + 2246 0622 1D .uleb128 0x1d + 2247 0623 86040000 .4byte .LASF53 + 2248 0627 01 .byte 0x1 + 2249 0628 5B .byte 0x5b + 2250 0629 6F000000 .4byte 0x6f + 2251 062d D3050000 .4byte .LLST38 + 2252 0631 1D .uleb128 0x1d + 2253 0632 6E000000 .4byte .LASF58 + 2254 0636 01 .byte 0x1 + 2255 0637 5C .byte 0x5c + 2256 0638 6F000000 .4byte 0x6f + 2257 063c E7050000 .4byte .LLST39 + 2258 0640 1D .uleb128 0x1d + 2259 0641 54030000 .4byte .LASF59 + 2260 0645 01 .byte 0x1 + 2261 0646 5E .byte 0x5e + 2262 0647 0E020000 .4byte 0x20e + 2263 064b FA050000 .4byte .LLST40 + 2264 064f 1D .uleb128 0x1d + 2265 0650 EE040000 .4byte .LASF60 + 2266 0654 01 .byte 0x1 + 2267 0655 60 .byte 0x60 + 2268 0656 6F000000 .4byte 0x6f + 2269 065a 3C060000 .4byte .LLST41 + 2270 065e 1D .uleb128 0x1d + 2271 065f 7A020000 .4byte .LASF61 + 2272 0663 01 .byte 0x1 + 2273 0664 61 .byte 0x61 + 2274 0665 6F000000 .4byte 0x6f + 2275 0669 65060000 .4byte .LLST42 + 2276 066d 1E .uleb128 0x1e + 2277 066e 7E000000 .4byte .LASF62 + 2278 0672 01 .byte 0x1 + 2279 0673 64 .byte 0x64 + 2280 0674 0D070000 .4byte 0x70d + 2281 0678 05 .byte 0x5 + 2282 0679 03 .byte 0x3 + 2283 067a 00000000 .4byte USBFS_tBuffer.5008 + 2284 067e 1D .uleb128 0x1d + 2285 067f 8F020000 .4byte .LASF39 + 2286 0683 01 .byte 0x1 + 2287 0684 65 .byte 0x65 + 2288 0685 69020000 .4byte 0x269 + 2289 0689 78060000 .4byte .LLST43 + 2290 068d 1F .uleb128 0x1f + 2291 068e 5A020000 .4byte 0x25a + 2292 0692 40000000 .4byte .LBB4 + 2293 0696 4A000000 .4byte .LBE4 + 2294 069a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 65 + + + 2295 069b 70 .byte 0x70 + 2296 069c 12 .uleb128 0x12 + 2297 069d 64000000 .4byte .LVL86 + 2298 06a1 AD020000 .4byte 0x2ad + 2299 06a5 18 .uleb128 0x18 + 2300 06a6 80000000 .4byte .LVL89 + 2301 06aa 01 .byte 0x1 + 2302 06ab 81080000 .4byte 0x881 + 2303 06af 18 .uleb128 0x18 + 2304 06b0 D2000000 .4byte .LVL99 + 2305 06b4 01 .byte 0x1 + 2306 06b5 8F080000 .4byte 0x88f + 2307 06b9 16 .uleb128 0x16 + 2308 06ba 68010000 .4byte .LVL100 + 2309 06be EB030000 .4byte 0x3eb + 2310 06c2 CC060000 .4byte 0x6cc + 2311 06c6 17 .uleb128 0x17 + 2312 06c7 01 .byte 0x1 + 2313 06c8 50 .byte 0x50 + 2314 06c9 01 .byte 0x1 + 2315 06ca 31 .byte 0x31 + 2316 06cb 00 .byte 0 + 2317 06cc 12 .uleb128 0x12 + 2318 06cd 6E010000 .4byte .LVL101 + 2319 06d1 9D050000 .4byte 0x59d + 2320 06d5 12 .uleb128 0x12 + 2321 06d6 84010000 .4byte .LVL103 + 2322 06da EA020000 .4byte 0x2ea + 2323 06de 18 .uleb128 0x18 + 2324 06df AE010000 .4byte .LVL105 + 2325 06e3 01 .byte 0x1 + 2326 06e4 48050000 .4byte 0x548 + 2327 06e8 18 .uleb128 0x18 + 2328 06e9 E0010000 .4byte .LVL107 + 2329 06ed 01 .byte 0x1 + 2330 06ee F4040000 .4byte 0x4f4 + 2331 06f2 18 .uleb128 0x18 + 2332 06f3 62020000 .4byte .LVL111 + 2333 06f7 01 .byte 0x1 + 2334 06f8 73080000 .4byte 0x873 + 2335 06fc 00 .byte 0 + 2336 06fd 20 .uleb128 0x20 + 2337 06fe 6F000000 .4byte 0x6f + 2338 0702 0D070000 .4byte 0x70d + 2339 0706 21 .uleb128 0x21 + 2340 0707 AA000000 .4byte 0xaa + 2341 070b 01 .byte 0x1 + 2342 070c 00 .byte 0 + 2343 070d 05 .uleb128 0x5 + 2344 070e FD060000 .4byte 0x6fd + 2345 0712 22 .uleb128 0x22 + 2346 0713 53040000 .4byte .LASF63 + 2347 0717 03 .byte 0x3 + 2348 0718 1802 .2byte 0x218 + 2349 071a A5000000 .4byte 0xa5 + 2350 071e 01 .byte 0x1 + 2351 071f 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 66 + + + 2352 0720 22 .uleb128 0x22 + 2353 0721 8A010000 .4byte .LASF64 + 2354 0725 03 .byte 0x3 + 2355 0726 1A02 .2byte 0x21a + 2356 0728 A5000000 .4byte 0xa5 + 2357 072c 01 .byte 0x1 + 2358 072d 01 .byte 0x1 + 2359 072e 22 .uleb128 0x22 + 2360 072f 27020000 .4byte .LASF65 + 2361 0733 03 .byte 0x3 + 2362 0734 1B02 .2byte 0x21b + 2363 0736 A5000000 .4byte 0xa5 + 2364 073a 01 .byte 0x1 + 2365 073b 01 .byte 0x1 + 2366 073c 22 .uleb128 0x22 + 2367 073d B8040000 .4byte .LASF66 + 2368 0741 03 .byte 0x3 + 2369 0742 1C02 .2byte 0x21c + 2370 0744 A5000000 .4byte 0xa5 + 2371 0748 01 .byte 0x1 + 2372 0749 01 .byte 0x1 + 2373 074a 20 .uleb128 0x20 + 2374 074b 6F000000 .4byte 0x6f + 2375 074f 5A070000 .4byte 0x75a + 2376 0753 21 .uleb128 0x21 + 2377 0754 AA000000 .4byte 0xaa + 2378 0758 11 .byte 0x11 + 2379 0759 00 .byte 0 + 2380 075a 23 .uleb128 0x23 + 2381 075b 26030000 .4byte .LASF67 + 2382 075f 04 .byte 0x4 + 2383 0760 1C .byte 0x1c + 2384 0761 67070000 .4byte 0x767 + 2385 0765 01 .byte 0x1 + 2386 0766 01 .byte 0x1 + 2387 0767 0C .uleb128 0xc + 2388 0768 4A070000 .4byte 0x74a + 2389 076c 20 .uleb128 0x20 + 2390 076d 4F020000 .4byte 0x24f + 2391 0771 7C070000 .4byte 0x77c + 2392 0775 21 .uleb128 0x21 + 2393 0776 AA000000 .4byte 0xaa + 2394 077a 00 .byte 0 + 2395 077b 00 .byte 0 + 2396 077c 23 .uleb128 0x23 + 2397 077d AC020000 .4byte .LASF68 + 2398 0781 04 .byte 0x4 + 2399 0782 23 .byte 0x23 + 2400 0783 89070000 .4byte 0x789 + 2401 0787 01 .byte 0x1 + 2402 0788 01 .byte 0x1 + 2403 0789 0C .uleb128 0xc + 2404 078a 6C070000 .4byte 0x76c + 2405 078e 20 .uleb128 0x20 + 2406 078f 6F000000 .4byte 0x6f + 2407 0793 9E070000 .4byte 0x79e + 2408 0797 21 .uleb128 0x21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 67 + + + 2409 0798 AA000000 .4byte 0xaa + 2410 079c 09 .byte 0x9 + 2411 079d 00 .byte 0 + 2412 079e 23 .uleb128 0x23 + 2413 079f B8020000 .4byte .LASF69 + 2414 07a3 04 .byte 0x4 + 2415 07a4 24 .byte 0x24 + 2416 07a5 AB070000 .4byte 0x7ab + 2417 07a9 01 .byte 0x1 + 2418 07aa 01 .byte 0x1 + 2419 07ab 0C .uleb128 0xc + 2420 07ac 8E070000 .4byte 0x78e + 2421 07b0 20 .uleb128 0x20 + 2422 07b1 6F000000 .4byte 0x6f + 2423 07b5 C0070000 .4byte 0x7c0 + 2424 07b9 21 .uleb128 0x21 + 2425 07ba AA000000 .4byte 0xaa + 2426 07be 52 .byte 0x52 + 2427 07bf 00 .byte 0 + 2428 07c0 23 .uleb128 0x23 + 2429 07c1 57020000 .4byte .LASF70 + 2430 07c5 04 .byte 0x4 + 2431 07c6 25 .byte 0x25 + 2432 07c7 CD070000 .4byte 0x7cd + 2433 07cb 01 .byte 0x1 + 2434 07cc 01 .byte 0x1 + 2435 07cd 0C .uleb128 0xc + 2436 07ce B0070000 .4byte 0x7b0 + 2437 07d2 23 .uleb128 0x23 + 2438 07d3 E2000000 .4byte .LASF71 + 2439 07d7 04 .byte 0x4 + 2440 07d8 38 .byte 0x38 + 2441 07d9 A5000000 .4byte 0xa5 + 2442 07dd 01 .byte 0x1 + 2443 07de 01 .byte 0x1 + 2444 07df 20 .uleb128 0x20 + 2445 07e0 6F000000 .4byte 0x6f + 2446 07e4 EF070000 .4byte 0x7ef + 2447 07e8 21 .uleb128 0x21 + 2448 07e9 AA000000 .4byte 0xaa + 2449 07ed 00 .byte 0 + 2450 07ee 00 .byte 0 + 2451 07ef 23 .uleb128 0x23 + 2452 07f0 07040000 .4byte .LASF72 + 2453 07f4 04 .byte 0x4 + 2454 07f5 39 .byte 0x39 + 2455 07f6 FC070000 .4byte 0x7fc + 2456 07fa 01 .byte 0x1 + 2457 07fb 01 .byte 0x1 + 2458 07fc 05 .uleb128 0x5 + 2459 07fd DF070000 .4byte 0x7df + 2460 0801 23 .uleb128 0x23 + 2461 0802 CB040000 .4byte .LASF73 + 2462 0806 04 .byte 0x4 + 2463 0807 3A .byte 0x3a + 2464 0808 0E080000 .4byte 0x80e + 2465 080c 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 68 + + + 2466 080d 01 .byte 0x1 + 2467 080e 05 .uleb128 0x5 + 2468 080f DF070000 .4byte 0x7df + 2469 0813 23 .uleb128 0x23 + 2470 0814 B6000000 .4byte .LASF74 + 2471 0818 04 .byte 0x4 + 2472 0819 3B .byte 0x3b + 2473 081a A5000000 .4byte 0xa5 + 2474 081e 01 .byte 0x1 + 2475 081f 01 .byte 0x1 + 2476 0820 23 .uleb128 0x23 + 2477 0821 27050000 .4byte .LASF75 + 2478 0825 04 .byte 0x4 + 2479 0826 3C .byte 0x3c + 2480 0827 2D080000 .4byte 0x82d + 2481 082b 01 .byte 0x1 + 2482 082c 01 .byte 0x1 + 2483 082d 05 .uleb128 0x5 + 2484 082e DF070000 .4byte 0x7df + 2485 0832 23 .uleb128 0x23 + 2486 0833 42020000 .4byte .LASF76 + 2487 0837 04 .byte 0x4 + 2488 0838 3D .byte 0x3d + 2489 0839 E0030000 .4byte 0x3e0 + 2490 083d 01 .byte 0x1 + 2491 083e 01 .byte 0x1 + 2492 083f 20 .uleb128 0x20 + 2493 0840 38010000 .4byte 0x138 + 2494 0844 4F080000 .4byte 0x84f + 2495 0848 21 .uleb128 0x21 + 2496 0849 AA000000 .4byte 0xaa + 2497 084d 08 .byte 0x8 + 2498 084e 00 .byte 0 + 2499 084f 23 .uleb128 0x23 + 2500 0850 4B030000 .4byte .LASF77 + 2501 0854 04 .byte 0x4 + 2502 0855 3F .byte 0x3f + 2503 0856 5C080000 .4byte 0x85c + 2504 085a 01 .byte 0x1 + 2505 085b 01 .byte 0x1 + 2506 085c 05 .uleb128 0x5 + 2507 085d 3F080000 .4byte 0x83f + 2508 0861 23 .uleb128 0x23 + 2509 0862 2C000000 .4byte .LASF78 + 2510 0866 04 .byte 0x4 + 2511 0867 40 .byte 0x40 + 2512 0868 6E080000 .4byte 0x86e + 2513 086c 01 .byte 0x1 + 2514 086d 01 .byte 0x1 + 2515 086e 05 .uleb128 0x5 + 2516 086f 1A020000 .4byte 0x21a + 2517 0873 24 .uleb128 0x24 + 2518 0874 01 .byte 0x1 + 2519 0875 33040000 .4byte .LASF80 + 2520 0879 04 .byte 0x4 + 2521 087a 63 .byte 0x63 + 2522 087b 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 69 + + + 2523 087c 6F000000 .4byte 0x6f + 2524 0880 01 .byte 0x1 + 2525 0881 24 .uleb128 0x24 + 2526 0882 01 .byte 0x1 + 2527 0883 3C000000 .4byte .LASF81 + 2528 0887 04 .byte 0x4 + 2529 0888 56 .byte 0x56 + 2530 0889 01 .byte 0x1 + 2531 088a 6F000000 .4byte 0x6f + 2532 088e 01 .byte 0x1 + 2533 088f 24 .uleb128 0x24 + 2534 0890 01 .byte 0x1 + 2535 0891 09050000 .4byte .LASF82 + 2536 0895 04 .byte 0x4 + 2537 0896 B2 .byte 0xb2 + 2538 0897 01 .byte 0x1 + 2539 0898 6F000000 .4byte 0x6f + 2540 089c 01 .byte 0x1 + 2541 089d 00 .byte 0 + 2542 .section .debug_abbrev,"",%progbits + 2543 .Ldebug_abbrev0: + 2544 0000 01 .uleb128 0x1 + 2545 0001 11 .uleb128 0x11 + 2546 0002 01 .byte 0x1 + 2547 0003 25 .uleb128 0x25 + 2548 0004 0E .uleb128 0xe + 2549 0005 13 .uleb128 0x13 + 2550 0006 0B .uleb128 0xb + 2551 0007 03 .uleb128 0x3 + 2552 0008 0E .uleb128 0xe + 2553 0009 1B .uleb128 0x1b + 2554 000a 0E .uleb128 0xe + 2555 000b 55 .uleb128 0x55 + 2556 000c 06 .uleb128 0x6 + 2557 000d 11 .uleb128 0x11 + 2558 000e 01 .uleb128 0x1 + 2559 000f 52 .uleb128 0x52 + 2560 0010 01 .uleb128 0x1 + 2561 0011 10 .uleb128 0x10 + 2562 0012 06 .uleb128 0x6 + 2563 0013 00 .byte 0 + 2564 0014 00 .byte 0 + 2565 0015 02 .uleb128 0x2 + 2566 0016 24 .uleb128 0x24 + 2567 0017 00 .byte 0 + 2568 0018 0B .uleb128 0xb + 2569 0019 0B .uleb128 0xb + 2570 001a 3E .uleb128 0x3e + 2571 001b 0B .uleb128 0xb + 2572 001c 03 .uleb128 0x3 + 2573 001d 0E .uleb128 0xe + 2574 001e 00 .byte 0 + 2575 001f 00 .byte 0 + 2576 0020 03 .uleb128 0x3 + 2577 0021 24 .uleb128 0x24 + 2578 0022 00 .byte 0 + 2579 0023 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 70 + + + 2580 0024 0B .uleb128 0xb + 2581 0025 3E .uleb128 0x3e + 2582 0026 0B .uleb128 0xb + 2583 0027 03 .uleb128 0x3 + 2584 0028 08 .uleb128 0x8 + 2585 0029 00 .byte 0 + 2586 002a 00 .byte 0 + 2587 002b 04 .uleb128 0x4 + 2588 002c 16 .uleb128 0x16 + 2589 002d 00 .byte 0 + 2590 002e 03 .uleb128 0x3 + 2591 002f 0E .uleb128 0xe + 2592 0030 3A .uleb128 0x3a + 2593 0031 0B .uleb128 0xb + 2594 0032 3B .uleb128 0x3b + 2595 0033 0B .uleb128 0xb + 2596 0034 49 .uleb128 0x49 + 2597 0035 13 .uleb128 0x13 + 2598 0036 00 .byte 0 + 2599 0037 00 .byte 0 + 2600 0038 05 .uleb128 0x5 + 2601 0039 35 .uleb128 0x35 + 2602 003a 00 .byte 0 + 2603 003b 49 .uleb128 0x49 + 2604 003c 13 .uleb128 0x13 + 2605 003d 00 .byte 0 + 2606 003e 00 .byte 0 + 2607 003f 06 .uleb128 0x6 + 2608 0040 13 .uleb128 0x13 + 2609 0041 01 .byte 0x1 + 2610 0042 0B .uleb128 0xb + 2611 0043 0B .uleb128 0xb + 2612 0044 3A .uleb128 0x3a + 2613 0045 0B .uleb128 0xb + 2614 0046 3B .uleb128 0x3b + 2615 0047 0B .uleb128 0xb + 2616 0048 01 .uleb128 0x1 + 2617 0049 13 .uleb128 0x13 + 2618 004a 00 .byte 0 + 2619 004b 00 .byte 0 + 2620 004c 07 .uleb128 0x7 + 2621 004d 0D .uleb128 0xd + 2622 004e 00 .byte 0 + 2623 004f 03 .uleb128 0x3 + 2624 0050 0E .uleb128 0xe + 2625 0051 3A .uleb128 0x3a + 2626 0052 0B .uleb128 0xb + 2627 0053 3B .uleb128 0x3b + 2628 0054 0B .uleb128 0xb + 2629 0055 49 .uleb128 0x49 + 2630 0056 13 .uleb128 0x13 + 2631 0057 38 .uleb128 0x38 + 2632 0058 0A .uleb128 0xa + 2633 0059 00 .byte 0 + 2634 005a 00 .byte 0 + 2635 005b 08 .uleb128 0x8 + 2636 005c 0F .uleb128 0xf + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 71 + + + 2637 005d 00 .byte 0 + 2638 005e 0B .uleb128 0xb + 2639 005f 0B .uleb128 0xb + 2640 0060 49 .uleb128 0x49 + 2641 0061 13 .uleb128 0x13 + 2642 0062 00 .byte 0 + 2643 0063 00 .byte 0 + 2644 0064 09 .uleb128 0x9 + 2645 0065 0D .uleb128 0xd + 2646 0066 00 .byte 0 + 2647 0067 03 .uleb128 0x3 + 2648 0068 08 .uleb128 0x8 + 2649 0069 3A .uleb128 0x3a + 2650 006a 0B .uleb128 0xb + 2651 006b 3B .uleb128 0x3b + 2652 006c 0B .uleb128 0xb + 2653 006d 49 .uleb128 0x49 + 2654 006e 13 .uleb128 0x13 + 2655 006f 38 .uleb128 0x38 + 2656 0070 0A .uleb128 0xa + 2657 0071 00 .byte 0 + 2658 0072 00 .byte 0 + 2659 0073 0A .uleb128 0xa + 2660 0074 26 .uleb128 0x26 + 2661 0075 00 .byte 0 + 2662 0076 00 .byte 0 + 2663 0077 00 .byte 0 + 2664 0078 0B .uleb128 0xb + 2665 0079 2E .uleb128 0x2e + 2666 007a 00 .byte 0 + 2667 007b 3F .uleb128 0x3f + 2668 007c 0C .uleb128 0xc + 2669 007d 03 .uleb128 0x3 + 2670 007e 0E .uleb128 0xe + 2671 007f 3A .uleb128 0x3a + 2672 0080 0B .uleb128 0xb + 2673 0081 3B .uleb128 0x3b + 2674 0082 05 .uleb128 0x5 + 2675 0083 27 .uleb128 0x27 + 2676 0084 0C .uleb128 0xc + 2677 0085 49 .uleb128 0x49 + 2678 0086 13 .uleb128 0x13 + 2679 0087 20 .uleb128 0x20 + 2680 0088 0B .uleb128 0xb + 2681 0089 00 .byte 0 + 2682 008a 00 .byte 0 + 2683 008b 0C .uleb128 0xc + 2684 008c 26 .uleb128 0x26 + 2685 008d 00 .byte 0 + 2686 008e 49 .uleb128 0x49 + 2687 008f 13 .uleb128 0x13 + 2688 0090 00 .byte 0 + 2689 0091 00 .byte 0 + 2690 0092 0D .uleb128 0xd + 2691 0093 2E .uleb128 0x2e + 2692 0094 01 .byte 0x1 + 2693 0095 3F .uleb128 0x3f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 72 + + + 2694 0096 0C .uleb128 0xc + 2695 0097 03 .uleb128 0x3 + 2696 0098 0E .uleb128 0xe + 2697 0099 3A .uleb128 0x3a + 2698 009a 0B .uleb128 0xb + 2699 009b 3B .uleb128 0x3b + 2700 009c 05 .uleb128 0x5 + 2701 009d 27 .uleb128 0x27 + 2702 009e 0C .uleb128 0xc + 2703 009f 11 .uleb128 0x11 + 2704 00a0 01 .uleb128 0x1 + 2705 00a1 12 .uleb128 0x12 + 2706 00a2 01 .uleb128 0x1 + 2707 00a3 40 .uleb128 0x40 + 2708 00a4 06 .uleb128 0x6 + 2709 00a5 9742 .uleb128 0x2117 + 2710 00a7 0C .uleb128 0xc + 2711 00a8 01 .uleb128 0x1 + 2712 00a9 13 .uleb128 0x13 + 2713 00aa 00 .byte 0 + 2714 00ab 00 .byte 0 + 2715 00ac 0E .uleb128 0xe + 2716 00ad 34 .uleb128 0x34 + 2717 00ae 00 .byte 0 + 2718 00af 03 .uleb128 0x3 + 2719 00b0 08 .uleb128 0x8 + 2720 00b1 3A .uleb128 0x3a + 2721 00b2 0B .uleb128 0xb + 2722 00b3 3B .uleb128 0x3b + 2723 00b4 05 .uleb128 0x5 + 2724 00b5 49 .uleb128 0x49 + 2725 00b6 13 .uleb128 0x13 + 2726 00b7 02 .uleb128 0x2 + 2727 00b8 06 .uleb128 0x6 + 2728 00b9 00 .byte 0 + 2729 00ba 00 .byte 0 + 2730 00bb 0F .uleb128 0xf + 2731 00bc 2E .uleb128 0x2e + 2732 00bd 01 .byte 0x1 + 2733 00be 3F .uleb128 0x3f + 2734 00bf 0C .uleb128 0xc + 2735 00c0 03 .uleb128 0x3 + 2736 00c1 0E .uleb128 0xe + 2737 00c2 3A .uleb128 0x3a + 2738 00c3 0B .uleb128 0xb + 2739 00c4 3B .uleb128 0x3b + 2740 00c5 05 .uleb128 0x5 + 2741 00c6 27 .uleb128 0x27 + 2742 00c7 0C .uleb128 0xc + 2743 00c8 49 .uleb128 0x49 + 2744 00c9 13 .uleb128 0x13 + 2745 00ca 11 .uleb128 0x11 + 2746 00cb 01 .uleb128 0x1 + 2747 00cc 12 .uleb128 0x12 + 2748 00cd 01 .uleb128 0x1 + 2749 00ce 40 .uleb128 0x40 + 2750 00cf 0A .uleb128 0xa + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 73 + + + 2751 00d0 9742 .uleb128 0x2117 + 2752 00d2 0C .uleb128 0xc + 2753 00d3 01 .uleb128 0x1 + 2754 00d4 13 .uleb128 0x13 + 2755 00d5 00 .byte 0 + 2756 00d6 00 .byte 0 + 2757 00d7 10 .uleb128 0x10 + 2758 00d8 05 .uleb128 0x5 + 2759 00d9 00 .byte 0 + 2760 00da 03 .uleb128 0x3 + 2761 00db 08 .uleb128 0x8 + 2762 00dc 3A .uleb128 0x3a + 2763 00dd 0B .uleb128 0xb + 2764 00de 3B .uleb128 0x3b + 2765 00df 05 .uleb128 0x5 + 2766 00e0 49 .uleb128 0x49 + 2767 00e1 13 .uleb128 0x13 + 2768 00e2 02 .uleb128 0x2 + 2769 00e3 06 .uleb128 0x6 + 2770 00e4 00 .byte 0 + 2771 00e5 00 .byte 0 + 2772 00e6 11 .uleb128 0x11 + 2773 00e7 34 .uleb128 0x34 + 2774 00e8 00 .byte 0 + 2775 00e9 03 .uleb128 0x3 + 2776 00ea 0E .uleb128 0xe + 2777 00eb 3A .uleb128 0x3a + 2778 00ec 0B .uleb128 0xb + 2779 00ed 3B .uleb128 0x3b + 2780 00ee 05 .uleb128 0x5 + 2781 00ef 49 .uleb128 0x49 + 2782 00f0 13 .uleb128 0x13 + 2783 00f1 02 .uleb128 0x2 + 2784 00f2 06 .uleb128 0x6 + 2785 00f3 00 .byte 0 + 2786 00f4 00 .byte 0 + 2787 00f5 12 .uleb128 0x12 + 2788 00f6 898201 .uleb128 0x4109 + 2789 00f9 00 .byte 0 + 2790 00fa 11 .uleb128 0x11 + 2791 00fb 01 .uleb128 0x1 + 2792 00fc 31 .uleb128 0x31 + 2793 00fd 13 .uleb128 0x13 + 2794 00fe 00 .byte 0 + 2795 00ff 00 .byte 0 + 2796 0100 13 .uleb128 0x13 + 2797 0101 2E .uleb128 0x2e + 2798 0102 00 .byte 0 + 2799 0103 31 .uleb128 0x31 + 2800 0104 13 .uleb128 0x13 + 2801 0105 11 .uleb128 0x11 + 2802 0106 01 .uleb128 0x1 + 2803 0107 12 .uleb128 0x12 + 2804 0108 01 .uleb128 0x1 + 2805 0109 40 .uleb128 0x40 + 2806 010a 0A .uleb128 0xa + 2807 010b 9742 .uleb128 0x2117 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 74 + + + 2808 010d 0C .uleb128 0xc + 2809 010e 00 .byte 0 + 2810 010f 00 .byte 0 + 2811 0110 14 .uleb128 0x14 + 2812 0111 2E .uleb128 0x2e + 2813 0112 01 .byte 0x1 + 2814 0113 3F .uleb128 0x3f + 2815 0114 0C .uleb128 0xc + 2816 0115 03 .uleb128 0x3 + 2817 0116 0E .uleb128 0xe + 2818 0117 3A .uleb128 0x3a + 2819 0118 0B .uleb128 0xb + 2820 0119 3B .uleb128 0x3b + 2821 011a 05 .uleb128 0x5 + 2822 011b 27 .uleb128 0x27 + 2823 011c 0C .uleb128 0xc + 2824 011d 49 .uleb128 0x49 + 2825 011e 13 .uleb128 0x13 + 2826 011f 11 .uleb128 0x11 + 2827 0120 01 .uleb128 0x1 + 2828 0121 12 .uleb128 0x12 + 2829 0122 01 .uleb128 0x1 + 2830 0123 40 .uleb128 0x40 + 2831 0124 06 .uleb128 0x6 + 2832 0125 9742 .uleb128 0x2117 + 2833 0127 0C .uleb128 0xc + 2834 0128 01 .uleb128 0x1 + 2835 0129 13 .uleb128 0x13 + 2836 012a 00 .byte 0 + 2837 012b 00 .byte 0 + 2838 012c 15 .uleb128 0x15 + 2839 012d 05 .uleb128 0x5 + 2840 012e 00 .byte 0 + 2841 012f 03 .uleb128 0x3 + 2842 0130 0E .uleb128 0xe + 2843 0131 3A .uleb128 0x3a + 2844 0132 0B .uleb128 0xb + 2845 0133 3B .uleb128 0x3b + 2846 0134 05 .uleb128 0x5 + 2847 0135 49 .uleb128 0x49 + 2848 0136 13 .uleb128 0x13 + 2849 0137 02 .uleb128 0x2 + 2850 0138 06 .uleb128 0x6 + 2851 0139 00 .byte 0 + 2852 013a 00 .byte 0 + 2853 013b 16 .uleb128 0x16 + 2854 013c 898201 .uleb128 0x4109 + 2855 013f 01 .byte 0x1 + 2856 0140 11 .uleb128 0x11 + 2857 0141 01 .uleb128 0x1 + 2858 0142 31 .uleb128 0x31 + 2859 0143 13 .uleb128 0x13 + 2860 0144 01 .uleb128 0x1 + 2861 0145 13 .uleb128 0x13 + 2862 0146 00 .byte 0 + 2863 0147 00 .byte 0 + 2864 0148 17 .uleb128 0x17 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 75 + + + 2865 0149 8A8201 .uleb128 0x410a + 2866 014c 00 .byte 0 + 2867 014d 02 .uleb128 0x2 + 2868 014e 0A .uleb128 0xa + 2869 014f 9142 .uleb128 0x2111 + 2870 0151 0A .uleb128 0xa + 2871 0152 00 .byte 0 + 2872 0153 00 .byte 0 + 2873 0154 18 .uleb128 0x18 + 2874 0155 898201 .uleb128 0x4109 + 2875 0158 00 .byte 0 + 2876 0159 11 .uleb128 0x11 + 2877 015a 01 .uleb128 0x1 + 2878 015b 9542 .uleb128 0x2115 + 2879 015d 0C .uleb128 0xc + 2880 015e 31 .uleb128 0x31 + 2881 015f 13 .uleb128 0x13 + 2882 0160 00 .byte 0 + 2883 0161 00 .byte 0 + 2884 0162 19 .uleb128 0x19 + 2885 0163 2E .uleb128 0x2e + 2886 0164 01 .byte 0x1 + 2887 0165 3F .uleb128 0x3f + 2888 0166 0C .uleb128 0xc + 2889 0167 03 .uleb128 0x3 + 2890 0168 0E .uleb128 0xe + 2891 0169 3A .uleb128 0x3a + 2892 016a 0B .uleb128 0xb + 2893 016b 3B .uleb128 0x3b + 2894 016c 05 .uleb128 0x5 + 2895 016d 27 .uleb128 0x27 + 2896 016e 0C .uleb128 0xc + 2897 016f 11 .uleb128 0x11 + 2898 0170 01 .uleb128 0x1 + 2899 0171 12 .uleb128 0x12 + 2900 0172 01 .uleb128 0x1 + 2901 0173 40 .uleb128 0x40 + 2902 0174 0A .uleb128 0xa + 2903 0175 9742 .uleb128 0x2117 + 2904 0177 0C .uleb128 0xc + 2905 0178 01 .uleb128 0x1 + 2906 0179 13 .uleb128 0x13 + 2907 017a 00 .byte 0 + 2908 017b 00 .byte 0 + 2909 017c 1A .uleb128 0x1a + 2910 017d 34 .uleb128 0x34 + 2911 017e 00 .byte 0 + 2912 017f 03 .uleb128 0x3 + 2913 0180 0E .uleb128 0xe + 2914 0181 3A .uleb128 0x3a + 2915 0182 0B .uleb128 0xb + 2916 0183 3B .uleb128 0x3b + 2917 0184 05 .uleb128 0x5 + 2918 0185 49 .uleb128 0x49 + 2919 0186 13 .uleb128 0x13 + 2920 0187 1C .uleb128 0x1c + 2921 0188 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 76 + + + 2922 0189 00 .byte 0 + 2923 018a 00 .byte 0 + 2924 018b 1B .uleb128 0x1b + 2925 018c 34 .uleb128 0x34 + 2926 018d 00 .byte 0 + 2927 018e 03 .uleb128 0x3 + 2928 018f 0E .uleb128 0xe + 2929 0190 3A .uleb128 0x3a + 2930 0191 0B .uleb128 0xb + 2931 0192 3B .uleb128 0x3b + 2932 0193 05 .uleb128 0x5 + 2933 0194 49 .uleb128 0x49 + 2934 0195 13 .uleb128 0x13 + 2935 0196 02 .uleb128 0x2 + 2936 0197 0A .uleb128 0xa + 2937 0198 00 .byte 0 + 2938 0199 00 .byte 0 + 2939 019a 1C .uleb128 0x1c + 2940 019b 2E .uleb128 0x2e + 2941 019c 01 .byte 0x1 + 2942 019d 3F .uleb128 0x3f + 2943 019e 0C .uleb128 0xc + 2944 019f 03 .uleb128 0x3 + 2945 01a0 0E .uleb128 0xe + 2946 01a1 3A .uleb128 0x3a + 2947 01a2 0B .uleb128 0xb + 2948 01a3 3B .uleb128 0x3b + 2949 01a4 0B .uleb128 0xb + 2950 01a5 27 .uleb128 0x27 + 2951 01a6 0C .uleb128 0xc + 2952 01a7 49 .uleb128 0x49 + 2953 01a8 13 .uleb128 0x13 + 2954 01a9 11 .uleb128 0x11 + 2955 01aa 01 .uleb128 0x1 + 2956 01ab 12 .uleb128 0x12 + 2957 01ac 01 .uleb128 0x1 + 2958 01ad 40 .uleb128 0x40 + 2959 01ae 06 .uleb128 0x6 + 2960 01af 9742 .uleb128 0x2117 + 2961 01b1 0C .uleb128 0xc + 2962 01b2 01 .uleb128 0x1 + 2963 01b3 13 .uleb128 0x13 + 2964 01b4 00 .byte 0 + 2965 01b5 00 .byte 0 + 2966 01b6 1D .uleb128 0x1d + 2967 01b7 34 .uleb128 0x34 + 2968 01b8 00 .byte 0 + 2969 01b9 03 .uleb128 0x3 + 2970 01ba 0E .uleb128 0xe + 2971 01bb 3A .uleb128 0x3a + 2972 01bc 0B .uleb128 0xb + 2973 01bd 3B .uleb128 0x3b + 2974 01be 0B .uleb128 0xb + 2975 01bf 49 .uleb128 0x49 + 2976 01c0 13 .uleb128 0x13 + 2977 01c1 02 .uleb128 0x2 + 2978 01c2 06 .uleb128 0x6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 77 + + + 2979 01c3 00 .byte 0 + 2980 01c4 00 .byte 0 + 2981 01c5 1E .uleb128 0x1e + 2982 01c6 34 .uleb128 0x34 + 2983 01c7 00 .byte 0 + 2984 01c8 03 .uleb128 0x3 + 2985 01c9 0E .uleb128 0xe + 2986 01ca 3A .uleb128 0x3a + 2987 01cb 0B .uleb128 0xb + 2988 01cc 3B .uleb128 0x3b + 2989 01cd 0B .uleb128 0xb + 2990 01ce 49 .uleb128 0x49 + 2991 01cf 13 .uleb128 0x13 + 2992 01d0 02 .uleb128 0x2 + 2993 01d1 0A .uleb128 0xa + 2994 01d2 00 .byte 0 + 2995 01d3 00 .byte 0 + 2996 01d4 1F .uleb128 0x1f + 2997 01d5 1D .uleb128 0x1d + 2998 01d6 00 .byte 0 + 2999 01d7 31 .uleb128 0x31 + 3000 01d8 13 .uleb128 0x13 + 3001 01d9 11 .uleb128 0x11 + 3002 01da 01 .uleb128 0x1 + 3003 01db 12 .uleb128 0x12 + 3004 01dc 01 .uleb128 0x1 + 3005 01dd 58 .uleb128 0x58 + 3006 01de 0B .uleb128 0xb + 3007 01df 59 .uleb128 0x59 + 3008 01e0 0B .uleb128 0xb + 3009 01e1 00 .byte 0 + 3010 01e2 00 .byte 0 + 3011 01e3 20 .uleb128 0x20 + 3012 01e4 01 .uleb128 0x1 + 3013 01e5 01 .byte 0x1 + 3014 01e6 49 .uleb128 0x49 + 3015 01e7 13 .uleb128 0x13 + 3016 01e8 01 .uleb128 0x1 + 3017 01e9 13 .uleb128 0x13 + 3018 01ea 00 .byte 0 + 3019 01eb 00 .byte 0 + 3020 01ec 21 .uleb128 0x21 + 3021 01ed 21 .uleb128 0x21 + 3022 01ee 00 .byte 0 + 3023 01ef 49 .uleb128 0x49 + 3024 01f0 13 .uleb128 0x13 + 3025 01f1 2F .uleb128 0x2f + 3026 01f2 0B .uleb128 0xb + 3027 01f3 00 .byte 0 + 3028 01f4 00 .byte 0 + 3029 01f5 22 .uleb128 0x22 + 3030 01f6 34 .uleb128 0x34 + 3031 01f7 00 .byte 0 + 3032 01f8 03 .uleb128 0x3 + 3033 01f9 0E .uleb128 0xe + 3034 01fa 3A .uleb128 0x3a + 3035 01fb 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 78 + + + 3036 01fc 3B .uleb128 0x3b + 3037 01fd 05 .uleb128 0x5 + 3038 01fe 49 .uleb128 0x49 + 3039 01ff 13 .uleb128 0x13 + 3040 0200 3F .uleb128 0x3f + 3041 0201 0C .uleb128 0xc + 3042 0202 3C .uleb128 0x3c + 3043 0203 0C .uleb128 0xc + 3044 0204 00 .byte 0 + 3045 0205 00 .byte 0 + 3046 0206 23 .uleb128 0x23 + 3047 0207 34 .uleb128 0x34 + 3048 0208 00 .byte 0 + 3049 0209 03 .uleb128 0x3 + 3050 020a 0E .uleb128 0xe + 3051 020b 3A .uleb128 0x3a + 3052 020c 0B .uleb128 0xb + 3053 020d 3B .uleb128 0x3b + 3054 020e 0B .uleb128 0xb + 3055 020f 49 .uleb128 0x49 + 3056 0210 13 .uleb128 0x13 + 3057 0211 3F .uleb128 0x3f + 3058 0212 0C .uleb128 0xc + 3059 0213 3C .uleb128 0x3c + 3060 0214 0C .uleb128 0xc + 3061 0215 00 .byte 0 + 3062 0216 00 .byte 0 + 3063 0217 24 .uleb128 0x24 + 3064 0218 2E .uleb128 0x2e + 3065 0219 00 .byte 0 + 3066 021a 3F .uleb128 0x3f + 3067 021b 0C .uleb128 0xc + 3068 021c 03 .uleb128 0x3 + 3069 021d 0E .uleb128 0xe + 3070 021e 3A .uleb128 0x3a + 3071 021f 0B .uleb128 0xb + 3072 0220 3B .uleb128 0x3b + 3073 0221 0B .uleb128 0xb + 3074 0222 27 .uleb128 0x27 + 3075 0223 0C .uleb128 0xc + 3076 0224 49 .uleb128 0x49 + 3077 0225 13 .uleb128 0x13 + 3078 0226 3C .uleb128 0x3c + 3079 0227 0C .uleb128 0xc + 3080 0228 00 .byte 0 + 3081 0229 00 .byte 0 + 3082 022a 00 .byte 0 + 3083 .section .debug_loc,"",%progbits + 3084 .Ldebug_loc0: + 3085 .LLST0: + 3086 0000 00000000 .4byte .LFB1 + 3087 0004 02000000 .4byte .LCFI0 + 3088 0008 0200 .2byte 0x2 + 3089 000a 7D .byte 0x7d + 3090 000b 00 .sleb128 0 + 3091 000c 02000000 .4byte .LCFI0 + 3092 0010 B4000000 .4byte .LFE1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 79 + + + 3093 0014 0200 .2byte 0x2 + 3094 0016 7D .byte 0x7d + 3095 0017 0C .sleb128 12 + 3096 0018 00000000 .4byte 0 + 3097 001c 00000000 .4byte 0 + 3098 .LLST1: + 3099 0020 00000000 .4byte .LVL0 + 3100 0024 04000000 .4byte .LVL1 + 3101 0028 0200 .2byte 0x2 + 3102 002a 31 .byte 0x31 + 3103 002b 9F .byte 0x9f + 3104 002c 0E000000 .4byte .LVL2 + 3105 0030 48000000 .4byte .LVL4 + 3106 0034 0100 .2byte 0x1 + 3107 0036 52 .byte 0x52 + 3108 0037 48000000 .4byte .LVL4 + 3109 003b 7C000000 .4byte .LVL5 + 3110 003f 0300 .2byte 0x3 + 3111 0041 72 .byte 0x72 + 3112 0042 7F .sleb128 -1 + 3113 0043 9F .byte 0x9f + 3114 0044 7C000000 .4byte .LVL5 + 3115 0048 8C000000 .4byte .LVL7 + 3116 004c 0100 .2byte 0x1 + 3117 004e 52 .byte 0x52 + 3118 004f 00000000 .4byte 0 + 3119 0053 00000000 .4byte 0 + 3120 .LLST2: + 3121 0057 00000000 .4byte .LVL0 + 3122 005b 04000000 .4byte .LVL1 + 3123 005f 0200 .2byte 0x2 + 3124 0061 30 .byte 0x30 + 3125 0062 9F .byte 0x9f + 3126 0063 0E000000 .4byte .LVL2 + 3127 0067 18000000 .4byte .LVL3 + 3128 006b 0100 .2byte 0x1 + 3129 006d 50 .byte 0x50 + 3130 006e 18000000 .4byte .LVL3 + 3131 0072 7C000000 .4byte .LVL5 + 3132 0076 0100 .2byte 0x1 + 3133 0078 53 .byte 0x53 + 3134 0079 88000000 .4byte .LVL6 + 3135 007d B4000000 .4byte .LFE1 + 3136 0081 0100 .2byte 0x1 + 3137 0083 50 .byte 0x50 + 3138 0084 00000000 .4byte 0 + 3139 0088 00000000 .4byte 0 + 3140 .LLST3: + 3141 008c 00000000 .4byte .LVL8 + 3142 0090 10000000 .4byte .LVL11 + 3143 0094 0100 .2byte 0x1 + 3144 0096 50 .byte 0x50 + 3145 0097 10000000 .4byte .LVL11 + 3146 009b 1C000000 .4byte .LFE4 + 3147 009f 0400 .2byte 0x4 + 3148 00a1 F3 .byte 0xf3 + 3149 00a2 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 80 + + + 3150 00a3 50 .byte 0x50 + 3151 00a4 9F .byte 0x9f + 3152 00a5 00000000 .4byte 0 + 3153 00a9 00000000 .4byte 0 + 3154 .LLST4: + 3155 00ad 06000000 .4byte .LVL9 + 3156 00b1 0C000000 .4byte .LVL10 + 3157 00b5 0700 .2byte 0x7 + 3158 00b7 72 .byte 0x72 + 3159 00b8 00 .sleb128 0 + 3160 00b9 08 .byte 0x8 + 3161 00ba FF .byte 0xff + 3162 00bb 1A .byte 0x1a + 3163 00bc 33 .byte 0x33 + 3164 00bd 24 .byte 0x24 + 3165 00be 00000000 .4byte 0 + 3166 00c2 00000000 .4byte 0 + 3167 .LLST5: + 3168 00c6 00000000 .4byte .LFB3 + 3169 00ca 02000000 .4byte .LCFI1 + 3170 00ce 0200 .2byte 0x2 + 3171 00d0 7D .byte 0x7d + 3172 00d1 00 .sleb128 0 + 3173 00d2 02000000 .4byte .LCFI1 + 3174 00d6 28010000 .4byte .LFE3 + 3175 00da 0200 .2byte 0x2 + 3176 00dc 7D .byte 0x7d + 3177 00dd 18 .sleb128 24 + 3178 00de 00000000 .4byte 0 + 3179 00e2 00000000 .4byte 0 + 3180 .LLST6: + 3181 00e6 18000000 .4byte .LVL13 + 3182 00ea 1C000000 .4byte .LVL15 + 3183 00ee 0200 .2byte 0x2 + 3184 00f0 70 .byte 0x70 + 3185 00f1 08 .sleb128 8 + 3186 00f2 1C000000 .4byte .LVL15 + 3187 00f6 F4000000 .4byte .LVL28 + 3188 00fa 0100 .2byte 0x1 + 3189 00fc 55 .byte 0x55 + 3190 00fd 00000000 .4byte 0 + 3191 0101 00000000 .4byte 0 + 3192 .LLST7: + 3193 0105 4A000000 .4byte .LVL16 + 3194 0109 98000000 .4byte .LVL23 + 3195 010d 0100 .2byte 0x1 + 3196 010f 52 .byte 0x52 + 3197 0110 98000000 .4byte .LVL23 + 3198 0114 EC000000 .4byte .LVL25 + 3199 0118 0800 .2byte 0x8 + 3200 011a 73 .byte 0x73 + 3201 011b 7A .sleb128 -6 + 3202 011c 94 .byte 0x94 + 3203 011d 01 .byte 0x1 + 3204 011e 08 .byte 0x8 + 3205 011f 7F .byte 0x7f + 3206 0120 1A .byte 0x1a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 81 + + + 3207 0121 9F .byte 0x9f + 3208 0122 00000000 .4byte 0 + 3209 0126 00000000 .4byte 0 + 3210 .LLST8: + 3211 012a 18000000 .4byte .LVL13 + 3212 012e 1C000000 .4byte .LVL15 + 3213 0132 0200 .2byte 0x2 + 3214 0134 30 .byte 0x30 + 3215 0135 9F .byte 0x9f + 3216 0136 1C000000 .4byte .LVL15 + 3217 013a EE000000 .4byte .LVL26 + 3218 013e 0100 .2byte 0x1 + 3219 0140 50 .byte 0x50 + 3220 0141 EE000000 .4byte .LVL26 + 3221 0145 F0000000 .4byte .LVL27 + 3222 0149 0300 .2byte 0x3 + 3223 014b 70 .byte 0x70 + 3224 014c 7F .sleb128 -1 + 3225 014d 9F .byte 0x9f + 3226 014e F0000000 .4byte .LVL27 + 3227 0152 F4000000 .4byte .LVL28 + 3228 0156 0100 .2byte 0x1 + 3229 0158 50 .byte 0x50 + 3230 0159 00000000 .4byte 0 + 3231 015d 00000000 .4byte 0 + 3232 .LLST9: + 3233 0161 62000000 .4byte .LVL19 + 3234 0165 74000000 .4byte .LVL20 + 3235 0169 0100 .2byte 0x1 + 3236 016b 56 .byte 0x56 + 3237 016c 74000000 .4byte .LVL20 + 3238 0170 76000000 .4byte .LVL21 + 3239 0174 0700 .2byte 0x7 + 3240 0176 73 .byte 0x73 + 3241 0177 7B .sleb128 -5 + 3242 0178 94 .byte 0x94 + 3243 0179 01 .byte 0x1 + 3244 017a 33 .byte 0x33 + 3245 017b 1A .byte 0x1a + 3246 017c 9F .byte 0x9f + 3247 017d 76000000 .4byte .LVL21 + 3248 0181 82000000 .4byte .LVL22 + 3249 0185 0100 .2byte 0x1 + 3250 0187 56 .byte 0x56 + 3251 0188 82000000 .4byte .LVL22 + 3252 018c EC000000 .4byte .LVL25 + 3253 0190 0700 .2byte 0x7 + 3254 0192 73 .byte 0x73 + 3255 0193 7B .sleb128 -5 + 3256 0194 94 .byte 0x94 + 3257 0195 01 .byte 0x1 + 3258 0196 33 .byte 0x33 + 3259 0197 1A .byte 0x1a + 3260 0198 9F .byte 0x9f + 3261 0199 00000000 .4byte 0 + 3262 019d 00000000 .4byte 0 + 3263 .LLST10: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 82 + + + 3264 01a1 50000000 .4byte .LVL17 + 3265 01a5 56000000 .4byte .LVL18 + 3266 01a9 0100 .2byte 0x1 + 3267 01ab 54 .byte 0x54 + 3268 01ac 56000000 .4byte .LVL18 + 3269 01b0 E6000000 .4byte .LVL24 + 3270 01b4 0100 .2byte 0x1 + 3271 01b6 51 .byte 0x51 + 3272 01b7 E6000000 .4byte .LVL24 + 3273 01bb EC000000 .4byte .LVL25 + 3274 01bf 0700 .2byte 0x7 + 3275 01c1 74 .byte 0x74 + 3276 01c2 FBBEFEFF .sleb128 -1073766533 + 3276 7B + 3277 01c7 9F .byte 0x9f + 3278 01c8 00000000 .4byte 0 + 3279 01cc 00000000 .4byte 0 + 3280 .LLST11: + 3281 01d0 14000000 .4byte .LVL12 + 3282 01d4 1C000000 .4byte .LVL15 + 3283 01d8 0300 .2byte 0x3 + 3284 01da 70 .byte 0x70 + 3285 01db 08 .sleb128 8 + 3286 01dc 9F .byte 0x9f + 3287 01dd 00000000 .4byte 0 + 3288 01e1 00000000 .4byte 0 + 3289 .LLST12: + 3290 01e5 18000000 .4byte .LVL13 + 3291 01e9 1A000000 .4byte .LVL14 + 3292 01ed 0100 .2byte 0x1 + 3293 01ef 53 .byte 0x53 + 3294 01f0 1A000000 .4byte .LVL14 + 3295 01f4 1C000000 .4byte .LVL15 + 3296 01f8 0200 .2byte 0x2 + 3297 01fa 70 .byte 0x70 + 3298 01fb 0C .sleb128 12 + 3299 01fc 00000000 .4byte 0 + 3300 0200 00000000 .4byte 0 + 3301 .LLST13: + 3302 0204 00000000 .4byte .LFB6 + 3303 0208 02000000 .4byte .LCFI2 + 3304 020c 0200 .2byte 0x2 + 3305 020e 7D .byte 0x7d + 3306 020f 00 .sleb128 0 + 3307 0210 02000000 .4byte .LCFI2 + 3308 0214 20000000 .4byte .LFE6 + 3309 0218 0200 .2byte 0x2 + 3310 021a 7D .byte 0x7d + 3311 021b 08 .sleb128 8 + 3312 021c 00000000 .4byte 0 + 3313 0220 00000000 .4byte 0 + 3314 .LLST14: + 3315 0224 0E000000 .4byte .LVL29 + 3316 0228 12000000 .4byte .LVL30 + 3317 022c 0100 .2byte 0x1 + 3318 022e 50 .byte 0x50 + 3319 022f 12000000 .4byte .LVL30 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 83 + + + 3320 0233 16000000 .4byte .LVL31 + 3321 0237 0800 .2byte 0x8 + 3322 0239 73 .byte 0x73 + 3323 023a 02 .sleb128 2 + 3324 023b 33 .byte 0x33 + 3325 023c 24 .byte 0x24 + 3326 023d 70 .byte 0x70 + 3327 023e 00 .sleb128 0 + 3328 023f 22 .byte 0x22 + 3329 0240 9F .byte 0x9f + 3330 0241 00000000 .4byte 0 + 3331 0245 00000000 .4byte 0 + 3332 .LLST15: + 3333 0249 0E000000 .4byte .LVL29 + 3334 024d 16000000 .4byte .LVL31 + 3335 0251 0500 .2byte 0x5 + 3336 0253 70 .byte 0x70 + 3337 0254 04 .sleb128 4 + 3338 0255 06 .byte 0x6 + 3339 0256 23 .byte 0x23 + 3340 0257 04 .uleb128 0x4 + 3341 0258 16000000 .4byte .LVL31 + 3342 025c 20000000 .4byte .LFE6 + 3343 0260 0200 .2byte 0x2 + 3344 0262 72 .byte 0x72 + 3345 0263 04 .sleb128 4 + 3346 0264 00000000 .4byte 0 + 3347 0268 00000000 .4byte 0 + 3348 .LLST16: + 3349 026c 00000000 .4byte .LFB2 + 3350 0270 02000000 .4byte .LCFI3 + 3351 0274 0200 .2byte 0x2 + 3352 0276 7D .byte 0x7d + 3353 0277 00 .sleb128 0 + 3354 0278 02000000 .4byte .LCFI3 + 3355 027c 68010000 .4byte .LFE2 + 3356 0280 0200 .2byte 0x2 + 3357 0282 7D .byte 0x7d + 3358 0283 18 .sleb128 24 + 3359 0284 00000000 .4byte 0 + 3360 0288 00000000 .4byte 0 + 3361 .LLST17: + 3362 028c 00000000 .4byte .LVL32 + 3363 0290 2A000000 .4byte .LVL34 + 3364 0294 0100 .2byte 0x1 + 3365 0296 50 .byte 0x50 + 3366 0297 2A000000 .4byte .LVL34 + 3367 029b 68010000 .4byte .LFE2 + 3368 029f 0400 .2byte 0x4 + 3369 02a1 F3 .byte 0xf3 + 3370 02a2 01 .uleb128 0x1 + 3371 02a3 50 .byte 0x50 + 3372 02a4 9F .byte 0x9f + 3373 02a5 00000000 .4byte 0 + 3374 02a9 00000000 .4byte 0 + 3375 .LLST18: + 3376 02ad 00000000 .4byte .LVL32 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 84 + + + 3377 02b1 04000000 .4byte .LVL33 + 3378 02b5 0200 .2byte 0x2 + 3379 02b7 30 .byte 0x30 + 3380 02b8 9F .byte 0x9f + 3381 02b9 64000000 .4byte .LVL39 + 3382 02bd 02010000 .4byte .LVL51 + 3383 02c1 0200 .2byte 0x2 + 3384 02c3 70 .byte 0x70 + 3385 02c4 08 .sleb128 8 + 3386 02c5 02010000 .4byte .LVL51 + 3387 02c9 2E010000 .4byte .LVL55 + 3388 02cd 0100 .2byte 0x1 + 3389 02cf 54 .byte 0x54 + 3390 02d0 2E010000 .4byte .LVL55 + 3391 02d4 30010000 .4byte .LVL56 + 3392 02d8 0200 .2byte 0x2 + 3393 02da 31 .byte 0x31 + 3394 02db 9F .byte 0x9f + 3395 02dc 00000000 .4byte 0 + 3396 02e0 00000000 .4byte 0 + 3397 .LLST19: + 3398 02e4 66000000 .4byte .LVL40 + 3399 02e8 6C000000 .4byte .LVL42 + 3400 02ec 0200 .2byte 0x2 + 3401 02ee 30 .byte 0x30 + 3402 02ef 9F .byte 0x9f + 3403 02f0 6C000000 .4byte .LVL42 + 3404 02f4 F2000000 .4byte .LVL48 + 3405 02f8 0100 .2byte 0x1 + 3406 02fa 51 .byte 0x51 + 3407 02fb F2000000 .4byte .LVL48 + 3408 02ff F4000000 .4byte .LVL49 + 3409 0303 0300 .2byte 0x3 + 3410 0305 71 .byte 0x71 + 3411 0306 7F .sleb128 -1 + 3412 0307 9F .byte 0x9f + 3413 0308 F4000000 .4byte .LVL49 + 3414 030c 02010000 .4byte .LVL51 + 3415 0310 0100 .2byte 0x1 + 3416 0312 51 .byte 0x51 + 3417 0313 00000000 .4byte 0 + 3418 0317 00000000 .4byte 0 + 3419 .LLST20: + 3420 031b 64000000 .4byte .LVL39 + 3421 031f 66000000 .4byte .LVL40 + 3422 0323 0200 .2byte 0x2 + 3423 0325 31 .byte 0x31 + 3424 0326 9F .byte 0x9f + 3425 0327 FE000000 .4byte .LVL50 + 3426 032b 02010000 .4byte .LVL51 + 3427 032f 0200 .2byte 0x2 + 3428 0331 30 .byte 0x30 + 3429 0332 9F .byte 0x9f + 3430 0333 02010000 .4byte .LVL51 + 3431 0337 1E010000 .4byte .LVL52 + 3432 033b 0100 .2byte 0x1 + 3433 033d 52 .byte 0x52 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 85 + + + 3434 033e 1E010000 .4byte .LVL52 + 3435 0342 22010000 .4byte .LVL53 + 3436 0346 0300 .2byte 0x3 + 3437 0348 72 .byte 0x72 + 3438 0349 7F .sleb128 -1 + 3439 034a 9F .byte 0x9f + 3440 034b 22010000 .4byte .LVL53 + 3441 034f 27010000 .4byte .LVL54-1 + 3442 0353 0100 .2byte 0x1 + 3443 0355 52 .byte 0x52 + 3444 0356 00000000 .4byte 0 + 3445 035a 00000000 .4byte 0 + 3446 .LLST21: + 3447 035e B8000000 .4byte .LVL43 + 3448 0362 CA000000 .4byte .LVL44 + 3449 0366 0100 .2byte 0x1 + 3450 0368 56 .byte 0x56 + 3451 0369 CA000000 .4byte .LVL44 + 3452 036d CC000000 .4byte .LVL45 + 3453 0371 0700 .2byte 0x7 + 3454 0373 73 .byte 0x73 + 3455 0374 7B .sleb128 -5 + 3456 0375 94 .byte 0x94 + 3457 0376 01 .byte 0x1 + 3458 0377 33 .byte 0x33 + 3459 0378 1A .byte 0x1a + 3460 0379 9F .byte 0x9f + 3461 037a CC000000 .4byte .LVL45 + 3462 037e D8000000 .4byte .LVL46 + 3463 0382 0100 .2byte 0x1 + 3464 0384 56 .byte 0x56 + 3465 0385 D8000000 .4byte .LVL46 + 3466 0389 F0000000 .4byte .LVL47 + 3467 038d 0700 .2byte 0x7 + 3468 038f 73 .byte 0x73 + 3469 0390 7B .sleb128 -5 + 3470 0391 94 .byte 0x94 + 3471 0392 01 .byte 0x1 + 3472 0393 33 .byte 0x33 + 3473 0394 1A .byte 0x1a + 3474 0395 9F .byte 0x9f + 3475 0396 00000000 .4byte 0 + 3476 039a 00000000 .4byte 0 + 3477 .LLST22: + 3478 039e 44000000 .4byte .LVL36 + 3479 03a2 02010000 .4byte .LVL51 + 3480 03a6 0200 .2byte 0x2 + 3481 03a8 70 .byte 0x70 + 3482 03a9 04 .sleb128 4 + 3483 03aa 00000000 .4byte 0 + 3484 03ae 00000000 .4byte 0 + 3485 .LLST23: + 3486 03b2 00000000 .4byte .LVL32 + 3487 03b6 30010000 .4byte .LVL56 + 3488 03ba 0200 .2byte 0x2 + 3489 03bc 30 .byte 0x30 + 3490 03bd 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 86 + + + 3491 03be 44010000 .4byte .LVL57 + 3492 03c2 4D010000 .4byte .LVL58-1 + 3493 03c6 0100 .2byte 0x1 + 3494 03c8 52 .byte 0x52 + 3495 03c9 4E010000 .4byte .LVL58 + 3496 03cd 68010000 .4byte .LFE2 + 3497 03d1 0200 .2byte 0x2 + 3498 03d3 30 .byte 0x30 + 3499 03d4 9F .byte 0x9f + 3500 03d5 00000000 .4byte 0 + 3501 03d9 00000000 .4byte 0 + 3502 .LLST24: + 3503 03dd 44000000 .4byte .LVL36 + 3504 03e1 64000000 .4byte .LVL39 + 3505 03e5 0100 .2byte 0x1 + 3506 03e7 50 .byte 0x50 + 3507 03e8 64000000 .4byte .LVL39 + 3508 03ec 02010000 .4byte .LVL51 + 3509 03f0 0300 .2byte 0x3 + 3510 03f2 70 .byte 0x70 + 3511 03f3 08 .sleb128 8 + 3512 03f4 9F .byte 0x9f + 3513 03f5 00000000 .4byte 0 + 3514 03f9 00000000 .4byte 0 + 3515 .LLST25: + 3516 03fd 66000000 .4byte .LVL40 + 3517 0401 6C000000 .4byte .LVL42 + 3518 0405 0200 .2byte 0x2 + 3519 0407 70 .byte 0x70 + 3520 0408 0C .sleb128 12 + 3521 0409 FE000000 .4byte .LVL50 + 3522 040d 02010000 .4byte .LVL51 + 3523 0411 0200 .2byte 0x2 + 3524 0413 70 .byte 0x70 + 3525 0414 0C .sleb128 12 + 3526 0415 00000000 .4byte 0 + 3527 0419 00000000 .4byte 0 + 3528 .LLST26: + 3529 041d 00000000 .4byte .LVL59 + 3530 0421 04000000 .4byte .LVL60 + 3531 0425 0100 .2byte 0x1 + 3532 0427 50 .byte 0x50 + 3533 0428 04000000 .4byte .LVL60 + 3534 042c 18000000 .4byte .LVL63 + 3535 0430 0100 .2byte 0x1 + 3536 0432 50 .byte 0x50 + 3537 0433 00000000 .4byte 0 + 3538 0437 00000000 .4byte 0 + 3539 .LLST27: + 3540 043b 08000000 .4byte .LVL61 + 3541 043f 14000000 .4byte .LVL62 + 3542 0443 0500 .2byte 0x5 + 3543 0445 71 .byte 0x71 + 3544 0446 00 .sleb128 0 + 3545 0447 34 .byte 0x34 + 3546 0448 24 .byte 0x24 + 3547 0449 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 87 + + + 3548 044a 14000000 .4byte .LVL62 + 3549 044e 18000000 .4byte .LVL63 + 3550 0452 0500 .2byte 0x5 + 3551 0454 70 .byte 0x70 + 3552 0455 7F .sleb128 -1 + 3553 0456 34 .byte 0x34 + 3554 0457 24 .byte 0x24 + 3555 0458 9F .byte 0x9f + 3556 0459 00000000 .4byte 0 + 3557 045d 00000000 .4byte 0 + 3558 .LLST28: + 3559 0461 08000000 .4byte .LVL65 + 3560 0465 1E000000 .4byte .LVL68 + 3561 0469 0100 .2byte 0x1 + 3562 046b 51 .byte 0x51 + 3563 046c 46000000 .4byte .LVL69 + 3564 0470 58000000 .4byte .LFE8 + 3565 0474 0100 .2byte 0x1 + 3566 0476 51 .byte 0x51 + 3567 0477 00000000 .4byte 0 + 3568 047b 00000000 .4byte 0 + 3569 .LLST29: + 3570 047f 0C000000 .4byte .LVL66 + 3571 0483 18000000 .4byte .LVL67 + 3572 0487 0500 .2byte 0x5 + 3573 0489 70 .byte 0x70 + 3574 048a 00 .sleb128 0 + 3575 048b 34 .byte 0x34 + 3576 048c 24 .byte 0x24 + 3577 048d 9F .byte 0x9f + 3578 048e 18000000 .4byte .LVL67 + 3579 0492 1E000000 .4byte .LVL68 + 3580 0496 0500 .2byte 0x5 + 3581 0498 71 .byte 0x71 + 3582 0499 7F .sleb128 -1 + 3583 049a 34 .byte 0x34 + 3584 049b 24 .byte 0x24 + 3585 049c 9F .byte 0x9f + 3586 049d 46000000 .4byte .LVL69 + 3587 04a1 48000000 .4byte .LVL70 + 3588 04a5 0500 .2byte 0x5 + 3589 04a7 70 .byte 0x70 + 3590 04a8 00 .sleb128 0 + 3591 04a9 34 .byte 0x34 + 3592 04aa 24 .byte 0x24 + 3593 04ab 9F .byte 0x9f + 3594 04ac 48000000 .4byte .LVL70 + 3595 04b0 58000000 .4byte .LFE8 + 3596 04b4 0500 .2byte 0x5 + 3597 04b6 72 .byte 0x72 + 3598 04b7 00 .sleb128 0 + 3599 04b8 34 .byte 0x34 + 3600 04b9 24 .byte 0x24 + 3601 04ba 9F .byte 0x9f + 3602 04bb 00000000 .4byte 0 + 3603 04bf 00000000 .4byte 0 + 3604 .LLST30: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 88 + + + 3605 04c3 00000000 .4byte .LFB9 + 3606 04c7 02000000 .4byte .LCFI4 + 3607 04cb 0200 .2byte 0x2 + 3608 04cd 7D .byte 0x7d + 3609 04ce 00 .sleb128 0 + 3610 04cf 02000000 .4byte .LCFI4 + 3611 04d3 7C000000 .4byte .LFE9 + 3612 04d7 0200 .2byte 0x2 + 3613 04d9 7D .byte 0x7d + 3614 04da 08 .sleb128 8 + 3615 04db 00000000 .4byte 0 + 3616 04df 00000000 .4byte 0 + 3617 .LLST31: + 3618 04e3 0A000000 .4byte .LVL72 + 3619 04e7 1A000000 .4byte .LVL74 + 3620 04eb 0100 .2byte 0x1 + 3621 04ed 53 .byte 0x53 + 3622 04ee 68000000 .4byte .LVL76 + 3623 04f2 7C000000 .4byte .LFE9 + 3624 04f6 0100 .2byte 0x1 + 3625 04f8 53 .byte 0x53 + 3626 04f9 00000000 .4byte 0 + 3627 04fd 00000000 .4byte 0 + 3628 .LLST32: + 3629 0501 0E000000 .4byte .LVL73 + 3630 0505 1E000000 .4byte .LVL75 + 3631 0509 0500 .2byte 0x5 + 3632 050b 72 .byte 0x72 + 3633 050c 00 .sleb128 0 + 3634 050d 34 .byte 0x34 + 3635 050e 24 .byte 0x24 + 3636 050f 9F .byte 0x9f + 3637 0510 68000000 .4byte .LVL76 + 3638 0514 7C000000 .4byte .LFE9 + 3639 0518 0500 .2byte 0x5 + 3640 051a 72 .byte 0x72 + 3641 051b 00 .sleb128 0 + 3642 051c 34 .byte 0x34 + 3643 051d 24 .byte 0x24 + 3644 051e 9F .byte 0x9f + 3645 051f 00000000 .4byte 0 + 3646 0523 00000000 .4byte 0 + 3647 .LLST33: + 3648 0527 00000000 .4byte .LFB10 + 3649 052b 02000000 .4byte .LCFI5 + 3650 052f 0200 .2byte 0x2 + 3651 0531 7D .byte 0x7d + 3652 0532 00 .sleb128 0 + 3653 0533 02000000 .4byte .LCFI5 + 3654 0537 48000000 .4byte .LFE10 + 3655 053b 0200 .2byte 0x2 + 3656 053d 7D .byte 0x7d + 3657 053e 08 .sleb128 8 + 3658 053f 00000000 .4byte 0 + 3659 0543 00000000 .4byte 0 + 3660 .LLST34: + 3661 0547 00000000 .4byte .LVL77 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 89 + + + 3662 054b 30000000 .4byte .LVL84 + 3663 054f 0200 .2byte 0x2 + 3664 0551 31 .byte 0x31 + 3665 0552 9F .byte 0x9f + 3666 0553 30000000 .4byte .LVL84 + 3667 0557 48000000 .4byte .LFE10 + 3668 055b 0100 .2byte 0x1 + 3669 055d 50 .byte 0x50 + 3670 055e 00000000 .4byte 0 + 3671 0562 00000000 .4byte 0 + 3672 .LLST35: + 3673 0566 12000000 .4byte .LVL79 + 3674 056a 16000000 .4byte .LVL80 + 3675 056e 0100 .2byte 0x1 + 3676 0570 50 .byte 0x50 + 3677 0571 00000000 .4byte 0 + 3678 0575 00000000 .4byte 0 + 3679 .LLST36: + 3680 0579 12000000 .4byte .LVL79 + 3681 057d 16000000 .4byte .LVL80 + 3682 0581 0500 .2byte 0x5 + 3683 0583 70 .byte 0x70 + 3684 0584 04 .sleb128 4 + 3685 0585 06 .byte 0x6 + 3686 0586 23 .byte 0x23 + 3687 0587 04 .uleb128 0x4 + 3688 0588 16000000 .4byte .LVL80 + 3689 058c 1E000000 .4byte .LVL81 + 3690 0590 0200 .2byte 0x2 + 3691 0592 73 .byte 0x73 + 3692 0593 04 .sleb128 4 + 3693 0594 1E000000 .4byte .LVL81 + 3694 0598 24000000 .4byte .LVL82 + 3695 059c 0100 .2byte 0x1 + 3696 059e 50 .byte 0x50 + 3697 059f 2E000000 .4byte .LVL83 + 3698 05a3 48000000 .4byte .LFE10 + 3699 05a7 0200 .2byte 0x2 + 3700 05a9 73 .byte 0x73 + 3701 05aa 04 .sleb128 4 + 3702 05ab 00000000 .4byte 0 + 3703 05af 00000000 .4byte 0 + 3704 .LLST37: + 3705 05b3 00000000 .4byte .LFB0 + 3706 05b7 02000000 .4byte .LCFI6 + 3707 05bb 0200 .2byte 0x2 + 3708 05bd 7D .byte 0x7d + 3709 05be 00 .sleb128 0 + 3710 05bf 02000000 .4byte .LCFI6 + 3711 05c3 74020000 .4byte .LFE0 + 3712 05c7 0200 .2byte 0x2 + 3713 05c9 7D .byte 0x7d + 3714 05ca 08 .sleb128 8 + 3715 05cb 00000000 .4byte 0 + 3716 05cf 00000000 .4byte 0 + 3717 .LLST38: + 3718 05d3 00000000 .4byte .LVL85 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 90 + + + 3719 05d7 62020000 .4byte .LVL111 + 3720 05db 0200 .2byte 0x2 + 3721 05dd 30 .byte 0x30 + 3722 05de 9F .byte 0x9f + 3723 05df 00000000 .4byte 0 + 3724 05e3 00000000 .4byte 0 + 3725 .LLST39: + 3726 05e7 78010000 .4byte .LVL102 + 3727 05eb 8E010000 .4byte .LVL104 + 3728 05ef 0100 .2byte 0x1 + 3729 05f1 54 .byte 0x54 + 3730 05f2 00000000 .4byte 0 + 3731 05f6 00000000 .4byte 0 + 3732 .LLST40: + 3733 05fa 00000000 .4byte .LVL85 + 3734 05fe 78000000 .4byte .LVL88 + 3735 0602 0200 .2byte 0x2 + 3736 0604 30 .byte 0x30 + 3737 0605 9F .byte 0x9f + 3738 0606 80000000 .4byte .LVL89 + 3739 060a 8A000000 .4byte .LVL90 + 3740 060e 0200 .2byte 0x2 + 3741 0610 30 .byte 0x30 + 3742 0611 9F .byte 0x9f + 3743 0612 8A000000 .4byte .LVL90 + 3744 0616 A6000000 .4byte .LVL92 + 3745 061a 0100 .2byte 0x1 + 3746 061c 53 .byte 0x53 + 3747 061d A8000000 .4byte .LVL93 + 3748 0621 CA000000 .4byte .LVL98 + 3749 0625 0100 .2byte 0x1 + 3750 0627 53 .byte 0x53 + 3751 0628 CA000000 .4byte .LVL98 + 3752 062c 62020000 .4byte .LVL111 + 3753 0630 0200 .2byte 0x2 + 3754 0632 30 .byte 0x30 + 3755 0633 9F .byte 0x9f + 3756 0634 00000000 .4byte 0 + 3757 0638 00000000 .4byte 0 + 3758 .LLST41: + 3759 063c 8A000000 .4byte .LVL90 + 3760 0640 94000000 .4byte .LVL91 + 3761 0644 0100 .2byte 0x1 + 3762 0646 52 .byte 0x52 + 3763 0647 A8000000 .4byte .LVL93 + 3764 064b B6000000 .4byte .LVL96 + 3765 064f 0100 .2byte 0x1 + 3766 0651 52 .byte 0x52 + 3767 0652 B6000000 .4byte .LVL96 + 3768 0656 B8000000 .4byte .LVL97 + 3769 065a 0100 .2byte 0x1 + 3770 065c 50 .byte 0x50 + 3771 065d 00000000 .4byte 0 + 3772 0661 00000000 .4byte 0 + 3773 .LLST42: + 3774 0665 B0000000 .4byte .LVL94 + 3775 0669 B8000000 .4byte .LVL97 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 91 + + + 3776 066d 0100 .2byte 0x1 + 3777 066f 51 .byte 0x51 + 3778 0670 00000000 .4byte 0 + 3779 0674 00000000 .4byte 0 + 3780 .LLST43: + 3781 0678 64000000 .4byte .LVL86 + 3782 067c 68000000 .4byte .LVL87 + 3783 0680 0100 .2byte 0x1 + 3784 0682 50 .byte 0x50 + 3785 0683 00000000 .4byte 0 + 3786 0687 00000000 .4byte 0 + 3787 .section .debug_aranges,"",%progbits + 3788 0000 6C000000 .4byte 0x6c + 3789 0004 0200 .2byte 0x2 + 3790 0006 00000000 .4byte .Ldebug_info0 + 3791 000a 04 .byte 0x4 + 3792 000b 00 .byte 0 + 3793 000c 0000 .2byte 0 + 3794 000e 0000 .2byte 0 + 3795 0010 00000000 .4byte .LFB1 + 3796 0014 B4000000 .4byte .LFE1-.LFB1 + 3797 0018 00000000 .4byte .LFB4 + 3798 001c 1C000000 .4byte .LFE4-.LFB4 + 3799 0020 00000000 .4byte .LFB3 + 3800 0024 28010000 .4byte .LFE3-.LFB3 + 3801 0028 00000000 .4byte .LFB5 + 3802 002c 18000000 .4byte .LFE5-.LFB5 + 3803 0030 00000000 .4byte .LFB6 + 3804 0034 20000000 .4byte .LFE6-.LFB6 + 3805 0038 00000000 .4byte .LFB2 + 3806 003c 68010000 .4byte .LFE2-.LFB2 + 3807 0040 00000000 .4byte .LFB7 + 3808 0044 40000000 .4byte .LFE7-.LFB7 + 3809 0048 00000000 .4byte .LFB8 + 3810 004c 58000000 .4byte .LFE8-.LFB8 + 3811 0050 00000000 .4byte .LFB9 + 3812 0054 7C000000 .4byte .LFE9-.LFB9 + 3813 0058 00000000 .4byte .LFB10 + 3814 005c 48000000 .4byte .LFE10-.LFB10 + 3815 0060 00000000 .4byte .LFB0 + 3816 0064 74020000 .4byte .LFE0-.LFB0 + 3817 0068 00000000 .4byte 0 + 3818 006c 00000000 .4byte 0 + 3819 .section .debug_ranges,"",%progbits + 3820 .Ldebug_ranges0: + 3821 0000 00000000 .4byte .LFB1 + 3822 0004 B4000000 .4byte .LFE1 + 3823 0008 00000000 .4byte .LFB4 + 3824 000c 1C000000 .4byte .LFE4 + 3825 0010 00000000 .4byte .LFB3 + 3826 0014 28010000 .4byte .LFE3 + 3827 0018 00000000 .4byte .LFB5 + 3828 001c 18000000 .4byte .LFE5 + 3829 0020 00000000 .4byte .LFB6 + 3830 0024 20000000 .4byte .LFE6 + 3831 0028 00000000 .4byte .LFB2 + 3832 002c 68010000 .4byte .LFE2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 92 + + + 3833 0030 00000000 .4byte .LFB7 + 3834 0034 40000000 .4byte .LFE7 + 3835 0038 00000000 .4byte .LFB8 + 3836 003c 58000000 .4byte .LFE8 + 3837 0040 00000000 .4byte .LFB9 + 3838 0044 7C000000 .4byte .LFE9 + 3839 0048 00000000 .4byte .LFB10 + 3840 004c 48000000 .4byte .LFE10 + 3841 0050 00000000 .4byte .LFB0 + 3842 0054 74020000 .4byte .LFE0 + 3843 0058 00000000 .4byte 0 + 3844 005c 00000000 .4byte 0 + 3845 .section .debug_line,"",%progbits + 3846 .Ldebug_line0: + 3847 0000 5C030000 .section .debug_str,"MS",%progbits,1 + 3847 02006200 + 3847 00000201 + 3847 FB0E0D00 + 3847 01010101 + 3848 .LASF35: + 3849 0000 70537461 .ascii "pStatusBlock\000" + 3849 74757342 + 3849 6C6F636B + 3849 00 + 3850 .LASF33: + 3851 000d 636F756E .ascii "count\000" + 3851 7400 + 3852 .LASF10: + 3853 0013 75696E74 .ascii "uint16\000" + 3853 313600 + 3854 .LASF51: + 3855 001a 55534246 .ascii "USBFS_TerminateEP\000" + 3855 535F5465 + 3855 726D696E + 3855 61746545 + 3855 5000 + 3856 .LASF78: + 3857 002c 55534246 .ascii "USBFS_currentTD\000" + 3857 535F6375 + 3857 7272656E + 3857 74544400 + 3858 .LASF81: + 3859 003c 55534246 .ascii "USBFS_InitControlRead\000" + 3859 535F496E + 3859 6974436F + 3859 6E74726F + 3859 6C526561 + 3860 .LASF7: + 3861 0052 6C6F6E67 .ascii "long long unsigned int\000" + 3861 206C6F6E + 3861 6720756E + 3861 7369676E + 3861 65642069 + 3862 .LASF20: + 3863 0069 61646472 .ascii "addr\000" + 3863 00 + 3864 .LASF58: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 93 + + + 3865 006e 696E7465 .ascii "interfaceNumber\000" + 3865 72666163 + 3865 654E756D + 3865 62657200 + 3866 .LASF62: + 3867 007e 55534246 .ascii "USBFS_tBuffer\000" + 3867 535F7442 + 3867 75666665 + 3867 7200 + 3868 .LASF6: + 3869 008c 6C6F6E67 .ascii "long long int\000" + 3869 206C6F6E + 3869 6720696E + 3869 7400 + 3870 .LASF0: + 3871 009a 7369676E .ascii "signed char\000" + 3871 65642063 + 3871 68617200 + 3872 .LASF48: + 3873 00a6 636C6561 .ascii "clearAltSetting\000" + 3873 72416C74 + 3873 53657474 + 3873 696E6700 + 3874 .LASF74: + 3875 00b6 55534246 .ascii "USBFS_deviceAddress\000" + 3875 535F6465 + 3875 76696365 + 3875 41646472 + 3875 65737300 + 3876 .LASF54: + 3877 00ca 55534246 .ascii "USBFS_ClearEndpointHalt\000" + 3877 535F436C + 3877 65617245 + 3877 6E64706F + 3877 696E7448 + 3878 .LASF71: + 3879 00e2 55534246 .ascii "USBFS_interfaceNumber\000" + 3879 535F696E + 3879 74657266 + 3879 6163654E + 3879 756D6265 + 3880 .LASF47: + 3881 00f8 55534246 .ascii "USBFS_Config\000" + 3881 535F436F + 3881 6E666967 + 3881 00 + 3882 .LASF40: + 3883 0105 55534246 .ascii "USBFS_ConfigReg\000" + 3883 535F436F + 3883 6E666967 + 3883 52656700 + 3884 .LASF4: + 3885 0115 6C6F6E67 .ascii "long int\000" + 3885 20696E74 + 3885 00 + 3886 .LASF9: + 3887 011e 75696E74 .ascii "uint8\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 94 + + + 3887 3800 + 3888 .LASF19: + 3889 0124 6570546F .ascii "epToggle\000" + 3889 67676C65 + 3889 00 + 3890 .LASF12: + 3891 012d 646F7562 .ascii "double\000" + 3891 6C6500 + 3892 .LASF29: + 3893 0134 545F5553 .ascii "T_USBFS_EP_SETTINGS_BLOCK\000" + 3893 4246535F + 3893 45505F53 + 3893 45545449 + 3893 4E47535F + 3894 .LASF52: + 3895 014e 55534246 .ascii "USBFS_SetEndpointHalt\000" + 3895 535F5365 + 3895 74456E64 + 3895 706F696E + 3895 7448616C + 3896 .LASF34: + 3897 0164 70446174 .ascii "pData\000" + 3897 6100 + 3898 .LASF85: + 3899 016a 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 3899 43534932 + 3899 53445C55 + 3899 53425F42 + 3899 6F6F746C + 3900 .LASF64: + 3901 018a 55534246 .ascii "USBFS_configuration\000" + 3901 535F636F + 3901 6E666967 + 3901 75726174 + 3901 696F6E00 + 3902 .LASF21: + 3903 019e 65704D6F .ascii "epMode\000" + 3903 646500 + 3904 .LASF8: + 3905 01a5 756E7369 .ascii "unsigned int\000" + 3905 676E6564 + 3905 20696E74 + 3905 00 + 3906 .LASF5: + 3907 01b2 6C6F6E67 .ascii "long unsigned int\000" + 3907 20756E73 + 3907 69676E65 + 3907 6420696E + 3907 7400 + 3908 .LASF45: + 3909 01c4 55534246 .ascii "USBFS_GetInterfaceClassTablePtr\000" + 3909 535F4765 + 3909 74496E74 + 3909 65726661 + 3909 6365436C + 3910 .LASF3: + 3911 01e4 73686F72 .ascii "short unsigned int\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 95 + + + 3911 7420756E + 3911 7369676E + 3911 65642069 + 3911 6E7400 + 3912 .LASF49: + 3913 01f7 70446573 .ascii "pDescr\000" + 3913 637200 + 3914 .LASF24: + 3915 01fe 696E7465 .ascii "interface\000" + 3915 72666163 + 3915 6500 + 3916 .LASF55: + 3917 0208 55534246 .ascii "USBFS_ValidateAlternateSetting\000" + 3917 535F5661 + 3917 6C696461 + 3917 7465416C + 3917 7465726E + 3918 .LASF65: + 3919 0227 55534246 .ascii "USBFS_configurationChanged\000" + 3919 535F636F + 3919 6E666967 + 3919 75726174 + 3919 696F6E43 + 3920 .LASF76: + 3921 0242 55534246 .ascii "USBFS_interfaceClass\000" + 3921 535F696E + 3921 74657266 + 3921 61636543 + 3921 6C617373 + 3922 .LASF70: + 3923 0257 55534246 .ascii "USBFS_STRING_DESCRIPTORS\000" + 3923 535F5354 + 3923 52494E47 + 3923 5F444553 + 3923 43524950 + 3924 .LASF18: + 3925 0270 68774570 .ascii "hwEpState\000" + 3925 53746174 + 3925 6500 + 3926 .LASF61: + 3927 027a 64657363 .ascii "descrLength\000" + 3927 724C656E + 3927 67746800 + 3928 .LASF15: + 3929 0286 73697A65 .ascii "sizetype\000" + 3929 74797065 + 3929 00 + 3930 .LASF39: + 3931 028f 70546D70 .ascii "pTmp\000" + 3931 00 + 3932 .LASF37: + 3933 0294 705F6C69 .ascii "p_list\000" + 3933 737400 + 3934 .LASF50: + 3935 029b 62756666 .ascii "buffCount\000" + 3935 436F756E + 3935 7400 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 96 + + + 3936 .LASF16: + 3937 02a5 61747472 .ascii "attrib\000" + 3937 696200 + 3938 .LASF68: + 3939 02ac 55534246 .ascii "USBFS_TABLE\000" + 3939 535F5441 + 3939 424C4500 + 3940 .LASF69: + 3941 02b8 55534246 .ascii "USBFS_SN_STRING_DESCRIPTOR\000" + 3941 535F534E + 3941 5F535452 + 3941 494E475F + 3941 44455343 + 3942 .LASF46: + 3943 02d3 63757272 .ascii "currentInterfacesNum\000" + 3943 656E7449 + 3943 6E746572 + 3943 66616365 + 3943 734E756D + 3944 .LASF41: + 3945 02e8 55534246 .ascii "USBFS_ConfigAltChanged\000" + 3945 535F436F + 3945 6E666967 + 3945 416C7443 + 3945 68616E67 + 3946 .LASF32: + 3947 02ff 545F5553 .ascii "T_USBFS_XFER_STATUS_BLOCK\000" + 3947 4246535F + 3947 58464552 + 3947 5F535441 + 3947 5455535F + 3948 .LASF56: + 3949 0319 696E7465 .ascii "interfaceNum\000" + 3949 72666163 + 3949 654E756D + 3949 00 + 3950 .LASF67: + 3951 0326 55534246 .ascii "USBFS_DEVICE0_DESCR\000" + 3951 535F4445 + 3951 56494345 + 3951 305F4445 + 3951 53435200 + 3952 .LASF11: + 3953 033a 666C6F61 .ascii "float\000" + 3953 7400 + 3954 .LASF17: + 3955 0340 61706945 .ascii "apiEpState\000" + 3955 70537461 + 3955 746500 + 3956 .LASF77: + 3957 034b 55534246 .ascii "USBFS_EP\000" + 3957 535F4550 + 3957 00 + 3958 .LASF59: + 3959 0354 70537472 .ascii "pStr\000" + 3959 00 + 3960 .LASF83: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 97 + + + 3961 0359 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 3961 4320342E + 3961 372E3320 + 3961 32303133 + 3961 30333132 + 3962 038c 616E6368 .ascii "anch revision 196615]\000" + 3962 20726576 + 3962 6973696F + 3962 6E203139 + 3962 36363135 + 3963 .LASF14: + 3964 03a2 72656738 .ascii "reg8\000" + 3964 00 + 3965 .LASF1: + 3966 03a7 756E7369 .ascii "unsigned char\000" + 3966 676E6564 + 3966 20636861 + 3966 7200 + 3967 .LASF2: + 3968 03b5 73686F72 .ascii "short int\000" + 3968 7420696E + 3968 7400 + 3969 .LASF36: + 3970 03bf 545F5553 .ascii "T_USBFS_TD\000" + 3970 4246535F + 3970 544400 + 3971 .LASF44: + 3972 03ca 55534246 .ascii "USBFS_GetConfigTablePtr\000" + 3972 535F4765 + 3972 74436F6E + 3972 66696754 + 3972 61626C65 + 3973 .LASF84: + 3974 03e2 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_std.c\000" + 3974 6E657261 + 3974 7465645F + 3974 536F7572 + 3974 63655C50 + 3975 .LASF72: + 3976 0407 55534246 .ascii "USBFS_interfaceSetting\000" + 3976 535F696E + 3976 74657266 + 3976 61636553 + 3976 65747469 + 3977 .LASF25: + 3978 041e 545F5553 .ascii "T_USBFS_EP_CTL_BLOCK\000" + 3978 4246535F + 3978 45505F43 + 3978 544C5F42 + 3978 4C4F434B + 3979 .LASF80: + 3980 0433 55534246 .ascii "USBFS_InitNoDataControlTransfer\000" + 3980 535F496E + 3980 69744E6F + 3980 44617461 + 3980 436F6E74 + 3981 .LASF63: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 98 + + + 3982 0453 55534246 .ascii "USBFS_device\000" + 3982 535F6465 + 3982 76696365 + 3982 00 + 3983 .LASF27: + 3984 0460 61747472 .ascii "attributes\000" + 3984 69627574 + 3984 657300 + 3985 .LASF26: + 3986 046b 616C7453 .ascii "altSetting\000" + 3986 65747469 + 3986 6E6700 + 3987 .LASF13: + 3988 0476 63686172 .ascii "char\000" + 3988 00 + 3989 .LASF23: + 3990 047b 62756666 .ascii "bufferSize\000" + 3990 65725369 + 3990 7A6500 + 3991 .LASF53: + 3992 0486 72657175 .ascii "requestHandled\000" + 3992 65737448 + 3992 616E646C + 3992 656400 + 3993 .LASF22: + 3994 0495 62756666 .ascii "buffOffset\000" + 3994 4F666673 + 3994 657400 + 3995 .LASF79: + 3996 04a0 55534246 .ascii "USBFS_GetDeviceTablePtr\000" + 3996 535F4765 + 3996 74446576 + 3996 69636554 + 3996 61626C65 + 3997 .LASF66: + 3998 04b8 55534246 .ascii "USBFS_deviceStatus\000" + 3998 535F6465 + 3998 76696365 + 3998 53746174 + 3998 757300 + 3999 .LASF73: + 4000 04cb 55534246 .ascii "USBFS_interfaceSetting_last\000" + 4000 535F696E + 4000 74657266 + 4000 61636553 + 4000 65747469 + 4001 .LASF31: + 4002 04e7 6C656E67 .ascii "length\000" + 4002 746800 + 4003 .LASF60: + 4004 04ee 6E537472 .ascii "nStr\000" + 4004 00 + 4005 .LASF43: + 4006 04f3 65705F74 .ascii "ep_type\000" + 4006 79706500 + 4007 .LASF42: + 4008 04fb 6375725F .ascii "cur_ep\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccm5Lblu.s page 99 + + + 4008 657000 + 4009 .LASF30: + 4010 0502 73746174 .ascii "status\000" + 4010 757300 + 4011 .LASF82: + 4012 0509 55534246 .ascii "USBFS_DispatchClassRqst\000" + 4012 535F4469 + 4012 73706174 + 4012 6368436C + 4012 61737352 + 4013 .LASF28: + 4014 0521 624D6973 .ascii "bMisc\000" + 4014 6300 + 4015 .LASF75: + 4016 0527 55534246 .ascii "USBFS_interfaceStatus\000" + 4016 535F696E + 4016 74657266 + 4016 61636553 + 4016 74617475 + 4017 .LASF57: + 4018 053d 55534246 .ascii "USBFS_HandleStandardRqst\000" + 4018 535F4861 + 4018 6E646C65 + 4018 5374616E + 4018 64617264 + 4019 .LASF38: + 4020 0556 545F5553 .ascii "T_USBFS_LUT\000" + 4020 4246535F + 4020 4C555400 + 4021 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.o new file mode 100755 index 0000000000000000000000000000000000000000..2feb0d45342c53b8d1f80f1d2ce8609f7ddf2e99 GIT binary patch literal 17944 zcmcJ0dtg=7neWyyv|2mYbZUjE-Znm_Qc6z_Uv+9mz4gIRtv}%T_ZL>F^JaEQIIljmXG6}+r;GO#C%2#Q1=Q$Jz!#oc z?=No8$*%XDH#7W4jEaB!$Xo0Q`;TPSy)&GX;|affI3w1YR7a`JohV#?-K)_1#o?15 zc?*p2@iQs)7Yb9uWycv>+E!KNN1cdz2kIA4524`vP2t5ks}t9wpmo%7ARyna#BU7P=A z^ApwApE|NR>zudgXm$HYMSV*2&g!<2taHixykq;#_4x~p-wbD+OX{81G<9rf(%Lb< zH+jrT_MSIulTR75cZ7djoqb~BEbpwOQ3c8fW}irzl{9Pn&VnXm)}&m2aa+yu@bop6 z=REr=a;F}k-KjfaZ{HQ`)U4OTQ;y^`{p2I>EPw7Sw5K%vX_&3o4^NJ@vSRYr4`&EB zy~&?Da`=UhyoH5fqwM)%U*&yg&K*}LobeMq$Pw}XmPf16Q-?gg_o7k9?8W-~o=t9y zXRf+CYma*Gq^j!iiH~#7ssev;Q_Z!jjdE2~nwv6b?z;QJ<_A7cYxs1yb!XOr`v(3n zlJj&!U1Nh4&I&g)=0?>VHFoDvUUX~z^Ljq2yHD@2#;S~$hie=2p3aNbjv0nIIxT9B zeQJ32=7x>JlijMoSNT|V4dyF(bJE!U-rZ79ukyt%i4h@_FN0qvG(utHXad z!!?)Is0wqo8b#iEe?XnL(q9}hju@3KIVp4R9sYRa_}Oz+&^m#c`>ZmyUsdOi414Ef zzcIo1)o@CqzrZ-J$__?r#tiFVSQVWNn?*HYwa3$#j*zWz!u|E0j>@AdP;wG z8rFvz+iz{me|6YfTKWcd0*)~2ucDcT2Q&D!uqw`~|8@A);kM15vHhO5whf5nFUE#& z?w(E248-cMPda7HHAb4-yrUBXo>8{BucQQ;+m4@2*O^g)^y7|S?gboE`m_E-%P zPSh;P82LQ1!^o<;JHcJq{flUI_m`t9e{U68L(bTyMXl0;k!^@!YrQXePj%`WsnOe> zzP|p3x|HY*4Qk)vYTt>htG%$ONszsfE%Ks*z=Bfpa zi|Y~5=={co4LQ+8_32>)=L2)Fdz4pIvinqNQ+Y#ibU~w0kR8s|veLR>y?K4=y3lAQ z?t9BH=BzuFa@w5JF>)E#SIPCbN9>g_wIKspUpJChKOt(3{Y6b3O~?APOrvxudz99V zq(P$Q*2a3I)?s!^myS#VAFEsW!WE->{xSCks)ed)T(};bHqlvgZr-KZKKR)911sun z@YRiMR?&jrD`RBb>W!~G`gq6(U)qqz*kCDT{3|Y>bDz%Q#Wa#1Len&Se+v!MOnO#> z_Z{e(o}|edyu$=v(ot&qye$CBlho4}fr|HW$UON}*i;6ACyPp(m-FiJETJ`@XFkP- z?O6(xIbCUu)l`MjS}^PsJnih)pg=xL}X`>Z=2 zWkg!zu&trIpQpkRn=)*#ciNQc-AdUPZOXF!9JT#;yah>+eaV(3E6+l@y{iV-WRG>v zDf%&~aj&hRyk}_ZKD)Q6JQq0h`@czjzxCBLP~M3g@q@O8@^;bS*C;THJYI;D^-#8^ ze9Grxx_utH@tj~vAAXCLeAXj%P)X@j)}xN!`@ygtBO7+mXAN4Zp7o6F8#B?KU_6S* zj6Tcg0cH3+{Q&=3TqYVxFlyXtKN3E}5KxS7LzET@D`Uu@j{s^~k1`Hu>N-$rlJRrI zWL#^TBqtBmyhRzmN8j{LirAIQFXL{ojCB^Be;YKso+anB3ExVU;QAAwaWgDxudkWx z`Yvk5c?SNvrWq-t_@$;wL1lbI=^L8vpmYG@H#PYf#oF&%CjByvY2j7P%J{WOKfeQ= znGFvdDpGa;JU^FC)btuyqlUKalX+Ro4!JrjQcJ*5_kbo;l6 zY^u?0M)s>r&esDF=B%Od2&5|4n8v7|u)tBO3YD4r7@g9&ik{Hfm=03U(36_H3M7`1 zHu9RKLk2^&OXoVQUOo0-YV8iE^BbDn4|3WaxEOD0TIF1ge#RiSP?c@`oNd1+W^*6t zlT`k?V>Hdf{QlN4I!TiTq_)v?O{<)48WN*Ermi(^v<|Y_=wW!0kw_iOI^FFWFfYqR zf{gXH*)}`P*Lk=Qw?k^Lk#;TJ13EJkw)7gwGnUchhFIuK?{~@i3%pF%UN$lG)8I|- zV|bfMo*~&rpEqzj`45tR;E1^e#42Dx4eA%+-*d2_JPH0XC6X>TSCs?qhWAX zb4Jg(sft}v~17kD=e@C+_iQ_G7g_4zHK2DZVcqjT<(;R9x`dXJc)N|C#6G|J~ z=orgTMY9l`CvOKhhT(4j1lFSWK1V~TqHlrr6dkcOda1#H9&t2MRMB(PDE_9cahId< zyra>gims!^%vWrUrvOF&3LBoqcKF)hA02fFy$IQJ20e2f}AC3NU34iobS=V zRknem*+`ye>3o;CnjV*N8#0UlkK(lu>J0i+Q6mSvVzaHj0#G!M)~fn!&NTEbHY(|| zQ!h88*jP%1^$wLnf5SGVj0|IDTGj+B?Xt9W$r(nAk&X#6i`9e)%fUqQr3vGnDauM) zGU2KT^?0sGx?B;Gvy2H7$TL9T7<3dCr(*Y=1S?cZ0pDkLBtLZ)!kG|MEBsnJX|}&U zD=-0D=qzn=V$g_7GK@^4D*1tGKkcV2wCyC-XPJm{l3TGpORJ`eYI@Q*)eQ7x=+ZOu z$ZdwoFv?*wE2zrjU9rnt!l>haGK{*cOo0`ouRM#fO}!H)&D1YfV}Lof`L9&_=^@u` zaa@qo;!)*c{FlW^6ISwK{U$I&<1#T_RFPh0BHu-#=ZxtxruGRNU^ieG^*Y9a0wj0= zIE8UfEBs6SSVD0FHlsK;J=!^Sbiv}n!|W}nO7XD*ZAYZ#Sa#r*ALHp*hia%60(WXl z4eUS0#!;J(YpmGa%5*m#(1vOzP}@+ghXHg3F0QeXjuu#iJXDYR$Y?(1NRbuA$h>Mm z`7TVWEOrD$_b7PmVH9+y3mY_Ns<4mvF{+`~;i^jmt`DMOV1)NkeQI#;{f2MQ#d`-)~e-J4WI*TSlPYm<(-Q zXlOmEq1p)V>gKfsTRn3A$DdxfITmF$1dI;h_+m_kt`B|HN{~!2ldE-JNF-BgJ!@-OBZ@z zS1$ceiP~)0F(Ti`uv!R(sQNZwW46uL{c(8?_2;OVe8S79u?Z&ehAks->BMAc>r#uW z?KaGD$BI3|vSYPyx7v2O24E+&EH-d<=O~a+0xgYO7xBb|{qYfo{V_ji&@cKExQx)m zRTr)gF1IALQ!Ok$#wIne0QQ4KOBZV8qav|a1KRB-uDZ~bbfOJ5sSJ~}!47qr3{%P` z`zPV{cXctE~QRG%3dZqeZxI-P$g-QAguH@xf5sw+OA3XK^w@~wYc9Za&ZpK#1Q!&=Ko2z@gRxe17%U*N3 zp-%|5xMi_$V`t$)VF~D~z4Ff96A z6AldqyGdx7tGOk7Q^Y25Pw329pPEJqz6%-F?tq5Wr5bJ$Dqy7stY~1X6%8(0zR*fp zT4AL`pu242h8-JPZPA3`o1leTmY_~E3R)4^ns!b;c zlFOIPD40<;qiKG5uJFZtvqw% zrkmDOV3(DqI-oBu$#q_xZuX&A} zMhJFKFDhu-wD9t@3NyteKI$`OHI|jP&RkKLU%08MX!`21iiKqrmzP%HIWwNR(@=xL z2BynMUYa}`vt;!JtjvJ5H;7HCVA55gaJYzkI=rf@KAZ z7F2+oVWl?siq;^S1yxN2GYaPy%&frrS$Mm(tif6ptZcF}+i$mKG+3Qzo57sr=sUwo zTWUpumOrR75pIoiM0O2U_x5*wUUl~G!spUm_-0zZNN%FG(7=s7eYnT)CCR?6I(H2W zg!&?FwL;hT^+am=`yvDVy)B`RF4f!Lw&T#(12KoRPWlp9aOw0J4oNo?v4S~*&FH@SlJtC4Mie7eLJLISLmjm&d^F24h;?p zuZEhd`ntmXuu<318xj7cJtOYzJ&rk>XbJ7G17QqI#bOl-xApJX(Hl}-{kygS+P2u4 zSk=6xbyZti{hDi9w^TQ+t!Wfrh;m#6;o6Q!hq`Xb=GL0l`ij=t&FH?Ry1zft+uzX@ z8VGjo=^E^lzMVRvUD(xn`upH{b$?e#jZ5UkskNiICKRc6(p%HpF*w-Pfnb{>18T6l ze;^VVXCz!38tinKwYzDU7#kgFnC3B%-G8&mQ3 zE%=OW~>m6bVLQQhjysoHk?X9-0?v&gZ7HG zZ%!UEiIPj)xtHwg+PyAeBH=chTwhwgN7{appFPF=p$@?T(dMr%nsoze(D?p_lUX64~ z)T4}LHmVQRt>@UF+|lE^HrsB$&O06ToR{W#Xs3`Nadb-Ky}+Y3)*=% zL^EcOUnbo4o`bz~@Mw>*IQDwccH8rSaNc1dirMQ%+imY9*ed~#_86CA?@MUA?af0{ z+U;kK6!dv)M(y!;~L*c(IJZSQ3)h$`@$;@Ep147a^@*kj&kkMrW#^TU|io*x44 zwN7#D`Jm*sx79UXe#~*~%}cP?1p)VJr#SXF=Wcspm%VH#JNEb$5C6y3^C$$&i&GqX z4GH#!U@rhAj&};GV{dnYy%PL0k^38nV{b6Q-lMShlGx+=a_l`M_Q2BRukcS{k1)|v zAlBup3HG|LQ0gpvGd_NcbL>5lU~dT~YcAG|QyhEGCFq4P314(>dMG=3&!FMXbMrE# zo`N3zPDge8{xref7zP=3oVx6tOt6PPm{MCIr@a}dj=f(c*xQp?{qh;?~A!QNKb zdkPZTD?oMZy`Nz3dD?R}L+Cm7&L-GPUuCan+ADI|J16$az+(v@8QU-)PN6+k3%Msc zdbbrSeBF%~N6!yEgcD2IN6@Q`tHt6Ah&@MCf}nWMIECY7$%CGI-nuakCod(CJ9)`K z+m!~@R;<)~^mU43n&@Jyqw^6g#>;cyN>tiQN2O1K&wa!$t{Vdk3p?R>`#!CI&QaGp zWsHD+YJz^M)@Mw1;RzBi7M@(k+xKhz__@gwB3>-)@Zq8+UMDA7rTGAi^e}$>q3@+5hP|z#2PHU zL&rJRxF;ZvpF3&1Sb8UP(*no-lbdig>)E|`aGvIaX);)7U?VH4=2#W3G{yl z&Hgw>&_7lFnO3QQru`}zLdv^w$;A54A|ZwLXC=^AfhMa8m8;kOM?QOR&jhD4LI0rW z^VuU4>-k}!>oh$@{ZMG0{h5gJbVC1sOQ4O|+OhMMkwBLw(909(4GHv(3H0Fv`jG@$ zzFOG-4pJOZ#m>h%`QRG7ysT`oD8=7P?f2B!=iZB7OWmJdq__KdnOYObD#PcWb@6@aCTPDh}F?~X;!Ugx7 zxf1UwMD(K``|-44Kc2Gemq!}?s)R0verk^@oK!= z0`u|k!*hoHngy$Y`Stc5NIv&Q(maLfU+cpjLQ`gy9S8kvRkob=Q)}%%e@|^xYKZi5 z`+PFj;#mv#Hpj(3%GCKF!g)3k{~(-0Y*8veg#Th99(hWLh@bDc98VdsN~wiJ{QLc4 zVjJQju0vcz#MMh&Z@-7-uU3kCbN(8o{tj{F;|2fML~M*VK#$|((~`Ns{{Cj-5a%-{ zak3yDy_JdQ!kI)oVtAY;; zep~Q|f-ecaF8Ge%hk^>@WE^RNd4i>aR|+->ZWQDh#eO#l9umA)@G(KYYf1Q$#b^}5O1uKQWTY&7(jK`g>L6U-&Tev!!M3tb^J z|0107l|nZP-7NG*p|=R#BXpn8dx^;DexZ+u{9YpB_^RO71)mV)cN5BgDENxt>w<3! z{+r-Of?4<`#Bmk~E)ZNMxJmF9!DE6i2%Z)k6Xd;3d)a~of|Y{Jg4+al2_6*uvLLoa zy{3=~jeINR8cP=p2o?+KeI9b8UhCl#mPQaiPB@_>|!H1%D)X zO7Ncre<#Ry8^$#z__3gVF2O#kpG$!0JO_aKxdf=6LxB1@1h_!tm4f`m5cO9JwhQX> z41E0@lC2bfRmc4>D!5PZsNg+<4+!#iKh)<>IEYUR{y^}!;6DleOz@Q8KMTGicvf&s zP@jLWdtPY%^o@RemW_Tv{z!@Z8G^F~=L+ic5b`U8*5@MVYN7d?2KHYo$R9b8-X_>1 zsLxCA_X>Th;O&A(1RoL9KX8Qpu+ZNV{IQ@uHzEI-(60#cM^p6w8^N=J?+fa46LJF^ z1oct`Ckpa6Q9QTwISMQhdY&MEBt^MCPk{|WuMzAZ;yeop_6qV(d&$@5DDa@rcM0Ar z_;ta@1ivNtl;BAs&as~g{tv;^g1;3!Bgo$zQU60h{-la@k|2I=q3LWv{-nhW;9Ip| zrQj8U*9bNWwhCS+xLxpbg8VfV?cE}HSn#Oe{elk)>hl@;e=W2=my7VcL>hQP@TA~r z!CwlV5!B~1^!dXp_WM*&pUH z(=Phg=QWT&iO54#=zT=^zhCGF ni7@^TLO)MLJTD8a<40V-7J8J3_|6Ia2{C~9I4;IVM7;k8t34+^ literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.lst new file mode 100755 index 0000000..168181a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.lst @@ -0,0 +1,496 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "USBFS_vnd.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.USBFS_HandleVendorRqst,"ax",%progbits + 19 .align 1 + 20 .global USBFS_HandleVendorRqst + 21 .thumb + 22 .thumb_func + 23 .type USBFS_HandleVendorRqst, %function + 24 USBFS_HandleVendorRqst: + 25 .LFB0: + 26 .file 1 ".\\Generated_Source\\PSoC5\\USBFS_vnd.c" + 1:.\Generated_Source\PSoC5/USBFS_vnd.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/USBFS_vnd.c **** * File Name: USBFS_vnd.c + 3:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Version 2.60 + 4:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 5:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Description: + 6:.\Generated_Source\PSoC5/USBFS_vnd.c **** * USB vendor request handler. + 7:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 8:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Note: + 9:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 10:.\Generated_Source\PSoC5/USBFS_vnd.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/USBFS_vnd.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/USBFS_vnd.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/USBFS_vnd.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/USBFS_vnd.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 17:.\Generated_Source\PSoC5/USBFS_vnd.c **** #include "USBFS.h" + 18:.\Generated_Source\PSoC5/USBFS_vnd.c **** #include "USBFS_pvt.h" + 19:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 20:.\Generated_Source\PSoC5/USBFS_vnd.c **** #if(USBFS_EXTERN_VND == USBFS_FALSE) + 21:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 22:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 23:.\Generated_Source\PSoC5/USBFS_vnd.c **** /*************************************** + 24:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Vendor Specific Declarations + 25:.\Generated_Source\PSoC5/USBFS_vnd.c **** ***************************************/ + 26:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 27:.\Generated_Source\PSoC5/USBFS_vnd.c **** /* `#START VENDOR_SPECIFIC_DECLARATIONS` Place your declaration here */ + 28:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 29:.\Generated_Source\PSoC5/USBFS_vnd.c **** /* `#END` */ + 30:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 31:.\Generated_Source\PSoC5/USBFS_vnd.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 2 + + + 32:.\Generated_Source\PSoC5/USBFS_vnd.c **** /******************************************************************************* + 33:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Function Name: USBFS_HandleVendorRqst + 34:.\Generated_Source\PSoC5/USBFS_vnd.c **** ******************************************************************************** + 35:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 36:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Summary: + 37:.\Generated_Source\PSoC5/USBFS_vnd.c **** * This routine provide users with a method to implement vendor specifc + 38:.\Generated_Source\PSoC5/USBFS_vnd.c **** * requests. + 39:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 40:.\Generated_Source\PSoC5/USBFS_vnd.c **** * To implement vendor specific requests, add your code in this function to + 41:.\Generated_Source\PSoC5/USBFS_vnd.c **** * decode and disposition the request. If the request is handled, your code + 42:.\Generated_Source\PSoC5/USBFS_vnd.c **** * must set the variable "requestHandled" to TRUE, indicating that the + 43:.\Generated_Source\PSoC5/USBFS_vnd.c **** * request has been handled. + 44:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 45:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Parameters: + 46:.\Generated_Source\PSoC5/USBFS_vnd.c **** * None. + 47:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 48:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Return: + 49:.\Generated_Source\PSoC5/USBFS_vnd.c **** * requestHandled. + 50:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 51:.\Generated_Source\PSoC5/USBFS_vnd.c **** * Reentrant: + 52:.\Generated_Source\PSoC5/USBFS_vnd.c **** * No. + 53:.\Generated_Source\PSoC5/USBFS_vnd.c **** * + 54:.\Generated_Source\PSoC5/USBFS_vnd.c **** *******************************************************************************/ + 55:.\Generated_Source\PSoC5/USBFS_vnd.c **** uint8 USBFS_HandleVendorRqst(void) + 56:.\Generated_Source\PSoC5/USBFS_vnd.c **** { + 27 .loc 1 56 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 @ link register save eliminated. + 32 .LVL0: + 57:.\Generated_Source\PSoC5/USBFS_vnd.c **** uint8 requestHandled = USBFS_FALSE; + 58:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 59:.\Generated_Source\PSoC5/USBFS_vnd.c **** if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + 33 .loc 1 59 0 + 34 0000 034B ldr r3, .L3 + 35 0002 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 36 0004 0106 lsls r1, r0, #24 + 60:.\Generated_Source\PSoC5/USBFS_vnd.c **** { + 61:.\Generated_Source\PSoC5/USBFS_vnd.c **** /* Control Read */ + 62:.\Generated_Source\PSoC5/USBFS_vnd.c **** switch (CY_GET_REG8(USBFS_bRequest)) + 37 .loc 1 62 0 + 38 0006 44BF itt mi + 39 0008 0249 ldrmi r1, .L3+4 + 40 000a 0978 ldrbmi r1, [r1, #0] @ zero_extendqisi2 + 63:.\Generated_Source\PSoC5/USBFS_vnd.c **** { + 64:.\Generated_Source\PSoC5/USBFS_vnd.c **** case USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR: + 65:.\Generated_Source\PSoC5/USBFS_vnd.c **** #if defined(USBFS_ENABLE_MSOS_STRING) + 66:.\Generated_Source\PSoC5/USBFS_vnd.c **** USBFS_currentTD.pData = (volatile uint8 *)&USBFS_MSOS_CONFIGURATION_DESCR[0u]; + 67:.\Generated_Source\PSoC5/USBFS_vnd.c **** USBFS_currentTD.count = USBFS_MSOS_CONFIGURATION_DESCR[0u]; + 68:.\Generated_Source\PSoC5/USBFS_vnd.c **** requestHandled = USBFS_InitControlRead(); + 69:.\Generated_Source\PSoC5/USBFS_vnd.c **** #endif /* End USBFS_ENABLE_MSOS_STRING */ + 70:.\Generated_Source\PSoC5/USBFS_vnd.c **** break; + 71:.\Generated_Source\PSoC5/USBFS_vnd.c **** default: + 72:.\Generated_Source\PSoC5/USBFS_vnd.c **** break; + 73:.\Generated_Source\PSoC5/USBFS_vnd.c **** } + 74:.\Generated_Source\PSoC5/USBFS_vnd.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 3 + + + 75:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 76:.\Generated_Source\PSoC5/USBFS_vnd.c **** /* `#START VENDOR_SPECIFIC_CODE` Place your vendor specific request here */ + 77:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 78:.\Generated_Source\PSoC5/USBFS_vnd.c **** /* `#END` */ + 79:.\Generated_Source\PSoC5/USBFS_vnd.c **** + 80:.\Generated_Source\PSoC5/USBFS_vnd.c **** return(requestHandled); + 81:.\Generated_Source\PSoC5/USBFS_vnd.c **** } + 41 .loc 1 81 0 + 42 000c 0020 movs r0, #0 + 43 000e 7047 bx lr + 44 .L4: + 45 .align 2 + 46 .L3: + 47 0010 00600040 .word 1073766400 + 48 0014 01600040 .word 1073766401 + 49 .cfi_endproc + 50 .LFE0: + 51 .size USBFS_HandleVendorRqst, .-USBFS_HandleVendorRqst + 52 .text + 53 .Letext0: + 54 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 55 .section .debug_info,"",%progbits + 56 .Ldebug_info0: + 57 0000 C9000000 .4byte 0xc9 + 58 0004 0200 .2byte 0x2 + 59 0006 00000000 .4byte .Ldebug_abbrev0 + 60 000a 04 .byte 0x4 + 61 000b 01 .uleb128 0x1 + 62 000c 57000000 .4byte .LASF15 + 63 0010 01 .byte 0x1 + 64 0011 1B000000 .4byte .LASF16 + 65 0015 35010000 .4byte .LASF17 + 66 0019 00000000 .4byte .Ldebug_ranges0+0 + 67 001d 00000000 .4byte 0 + 68 0021 00000000 .4byte 0 + 69 0025 00000000 .4byte .Ldebug_line0 + 70 0029 02 .uleb128 0x2 + 71 002a 01 .byte 0x1 + 72 002b 06 .byte 0x6 + 73 002c 14010000 .4byte .LASF0 + 74 0030 02 .uleb128 0x2 + 75 0031 01 .byte 0x1 + 76 0032 08 .byte 0x8 + 77 0033 DE000000 .4byte .LASF1 + 78 0037 02 .uleb128 0x2 + 79 0038 02 .byte 0x2 + 80 0039 05 .byte 0x5 + 81 003a 26010000 .4byte .LASF2 + 82 003e 02 .uleb128 0x2 + 83 003f 02 .byte 0x2 + 84 0040 07 .byte 0x7 + 85 0041 01010000 .4byte .LASF3 + 86 0045 02 .uleb128 0x2 + 87 0046 04 .byte 0x4 + 88 0047 05 .byte 0x5 + 89 0048 F1000000 .4byte .LASF4 + 90 004c 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 4 + + + 91 004d 04 .byte 0x4 + 92 004e 07 .byte 0x7 + 93 004f A6000000 .4byte .LASF5 + 94 0053 02 .uleb128 0x2 + 95 0054 08 .byte 0x8 + 96 0055 05 .byte 0x5 + 97 0056 00000000 .4byte .LASF6 + 98 005a 02 .uleb128 0x2 + 99 005b 08 .byte 0x8 + 100 005c 07 .byte 0x7 + 101 005d C7000000 .4byte .LASF7 + 102 0061 03 .uleb128 0x3 + 103 0062 04 .byte 0x4 + 104 0063 05 .byte 0x5 + 105 0064 696E7400 .ascii "int\000" + 106 0068 02 .uleb128 0x2 + 107 0069 04 .byte 0x4 + 108 006a 07 .byte 0x7 + 109 006b 0E000000 .4byte .LASF8 + 110 006f 04 .uleb128 0x4 + 111 0070 A0000000 .4byte .LASF12 + 112 0074 02 .byte 0x2 + 113 0075 5B .byte 0x5b + 114 0076 30000000 .4byte 0x30 + 115 007a 02 .uleb128 0x2 + 116 007b 04 .byte 0x4 + 117 007c 04 .byte 0x4 + 118 007d 20010000 .4byte .LASF9 + 119 0081 02 .uleb128 0x2 + 120 0082 08 .byte 0x8 + 121 0083 04 .byte 0x4 + 122 0084 FA000000 .4byte .LASF10 + 123 0088 02 .uleb128 0x2 + 124 0089 01 .byte 0x1 + 125 008a 08 .byte 0x8 + 126 008b EC000000 .4byte .LASF11 + 127 008f 04 .uleb128 0x4 + 128 0090 30010000 .4byte .LASF13 + 129 0094 02 .byte 0x2 + 130 0095 F0 .byte 0xf0 + 131 0096 9A000000 .4byte 0x9a + 132 009a 05 .uleb128 0x5 + 133 009b 6F000000 .4byte 0x6f + 134 009f 02 .uleb128 0x2 + 135 00a0 04 .byte 0x4 + 136 00a1 07 .byte 0x7 + 137 00a2 55010000 .4byte .LASF14 + 138 00a6 06 .uleb128 0x6 + 139 00a7 01 .byte 0x1 + 140 00a8 40000000 .4byte .LASF18 + 141 00ac 01 .byte 0x1 + 142 00ad 37 .byte 0x37 + 143 00ae 01 .byte 0x1 + 144 00af 6F000000 .4byte 0x6f + 145 00b3 00000000 .4byte .LFB0 + 146 00b7 18000000 .4byte .LFE0 + 147 00bb 02 .byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 5 + + + 148 00bc 7D .byte 0x7d + 149 00bd 00 .sleb128 0 + 150 00be 01 .byte 0x1 + 151 00bf 07 .uleb128 0x7 + 152 00c0 B8000000 .4byte .LASF19 + 153 00c4 01 .byte 0x1 + 154 00c5 39 .byte 0x39 + 155 00c6 6F000000 .4byte 0x6f + 156 00ca 00 .byte 0 + 157 00cb 00 .byte 0 + 158 00cc 00 .byte 0 + 159 .section .debug_abbrev,"",%progbits + 160 .Ldebug_abbrev0: + 161 0000 01 .uleb128 0x1 + 162 0001 11 .uleb128 0x11 + 163 0002 01 .byte 0x1 + 164 0003 25 .uleb128 0x25 + 165 0004 0E .uleb128 0xe + 166 0005 13 .uleb128 0x13 + 167 0006 0B .uleb128 0xb + 168 0007 03 .uleb128 0x3 + 169 0008 0E .uleb128 0xe + 170 0009 1B .uleb128 0x1b + 171 000a 0E .uleb128 0xe + 172 000b 55 .uleb128 0x55 + 173 000c 06 .uleb128 0x6 + 174 000d 11 .uleb128 0x11 + 175 000e 01 .uleb128 0x1 + 176 000f 52 .uleb128 0x52 + 177 0010 01 .uleb128 0x1 + 178 0011 10 .uleb128 0x10 + 179 0012 06 .uleb128 0x6 + 180 0013 00 .byte 0 + 181 0014 00 .byte 0 + 182 0015 02 .uleb128 0x2 + 183 0016 24 .uleb128 0x24 + 184 0017 00 .byte 0 + 185 0018 0B .uleb128 0xb + 186 0019 0B .uleb128 0xb + 187 001a 3E .uleb128 0x3e + 188 001b 0B .uleb128 0xb + 189 001c 03 .uleb128 0x3 + 190 001d 0E .uleb128 0xe + 191 001e 00 .byte 0 + 192 001f 00 .byte 0 + 193 0020 03 .uleb128 0x3 + 194 0021 24 .uleb128 0x24 + 195 0022 00 .byte 0 + 196 0023 0B .uleb128 0xb + 197 0024 0B .uleb128 0xb + 198 0025 3E .uleb128 0x3e + 199 0026 0B .uleb128 0xb + 200 0027 03 .uleb128 0x3 + 201 0028 08 .uleb128 0x8 + 202 0029 00 .byte 0 + 203 002a 00 .byte 0 + 204 002b 04 .uleb128 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 6 + + + 205 002c 16 .uleb128 0x16 + 206 002d 00 .byte 0 + 207 002e 03 .uleb128 0x3 + 208 002f 0E .uleb128 0xe + 209 0030 3A .uleb128 0x3a + 210 0031 0B .uleb128 0xb + 211 0032 3B .uleb128 0x3b + 212 0033 0B .uleb128 0xb + 213 0034 49 .uleb128 0x49 + 214 0035 13 .uleb128 0x13 + 215 0036 00 .byte 0 + 216 0037 00 .byte 0 + 217 0038 05 .uleb128 0x5 + 218 0039 35 .uleb128 0x35 + 219 003a 00 .byte 0 + 220 003b 49 .uleb128 0x49 + 221 003c 13 .uleb128 0x13 + 222 003d 00 .byte 0 + 223 003e 00 .byte 0 + 224 003f 06 .uleb128 0x6 + 225 0040 2E .uleb128 0x2e + 226 0041 01 .byte 0x1 + 227 0042 3F .uleb128 0x3f + 228 0043 0C .uleb128 0xc + 229 0044 03 .uleb128 0x3 + 230 0045 0E .uleb128 0xe + 231 0046 3A .uleb128 0x3a + 232 0047 0B .uleb128 0xb + 233 0048 3B .uleb128 0x3b + 234 0049 0B .uleb128 0xb + 235 004a 27 .uleb128 0x27 + 236 004b 0C .uleb128 0xc + 237 004c 49 .uleb128 0x49 + 238 004d 13 .uleb128 0x13 + 239 004e 11 .uleb128 0x11 + 240 004f 01 .uleb128 0x1 + 241 0050 12 .uleb128 0x12 + 242 0051 01 .uleb128 0x1 + 243 0052 40 .uleb128 0x40 + 244 0053 0A .uleb128 0xa + 245 0054 9742 .uleb128 0x2117 + 246 0056 0C .uleb128 0xc + 247 0057 00 .byte 0 + 248 0058 00 .byte 0 + 249 0059 07 .uleb128 0x7 + 250 005a 34 .uleb128 0x34 + 251 005b 00 .byte 0 + 252 005c 03 .uleb128 0x3 + 253 005d 0E .uleb128 0xe + 254 005e 3A .uleb128 0x3a + 255 005f 0B .uleb128 0xb + 256 0060 3B .uleb128 0x3b + 257 0061 0B .uleb128 0xb + 258 0062 49 .uleb128 0x49 + 259 0063 13 .uleb128 0x13 + 260 0064 1C .uleb128 0x1c + 261 0065 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 7 + + + 262 0066 00 .byte 0 + 263 0067 00 .byte 0 + 264 0068 00 .byte 0 + 265 .section .debug_aranges,"",%progbits + 266 0000 1C000000 .4byte 0x1c + 267 0004 0200 .2byte 0x2 + 268 0006 00000000 .4byte .Ldebug_info0 + 269 000a 04 .byte 0x4 + 270 000b 00 .byte 0 + 271 000c 0000 .2byte 0 + 272 000e 0000 .2byte 0 + 273 0010 00000000 .4byte .LFB0 + 274 0014 18000000 .4byte .LFE0-.LFB0 + 275 0018 00000000 .4byte 0 + 276 001c 00000000 .4byte 0 + 277 .section .debug_ranges,"",%progbits + 278 .Ldebug_ranges0: + 279 0000 00000000 .4byte .LFB0 + 280 0004 18000000 .4byte .LFE0 + 281 0008 00000000 .4byte 0 + 282 000c 00000000 .4byte 0 + 283 .section .debug_line,"",%progbits + 284 .Ldebug_line0: + 285 0000 62000000 .section .debug_str,"MS",%progbits,1 + 285 02004800 + 285 00000201 + 285 FB0E0D00 + 285 01010101 + 286 .LASF6: + 287 0000 6C6F6E67 .ascii "long long int\000" + 287 206C6F6E + 287 6720696E + 287 7400 + 288 .LASF8: + 289 000e 756E7369 .ascii "unsigned int\000" + 289 676E6564 + 289 20696E74 + 289 00 + 290 .LASF16: + 291 001b 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\USBFS_vnd.c\000" + 291 6E657261 + 291 7465645F + 291 536F7572 + 291 63655C50 + 292 .LASF18: + 293 0040 55534246 .ascii "USBFS_HandleVendorRqst\000" + 293 535F4861 + 293 6E646C65 + 293 56656E64 + 293 6F725271 + 294 .LASF15: + 295 0057 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 295 4320342E + 295 372E3320 + 295 32303133 + 295 30333132 + 296 008a 616E6368 .ascii "anch revision 196615]\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 8 + + + 296 20726576 + 296 6973696F + 296 6E203139 + 296 36363135 + 297 .LASF12: + 298 00a0 75696E74 .ascii "uint8\000" + 298 3800 + 299 .LASF5: + 300 00a6 6C6F6E67 .ascii "long unsigned int\000" + 300 20756E73 + 300 69676E65 + 300 6420696E + 300 7400 + 301 .LASF19: + 302 00b8 72657175 .ascii "requestHandled\000" + 302 65737448 + 302 616E646C + 302 656400 + 303 .LASF7: + 304 00c7 6C6F6E67 .ascii "long long unsigned int\000" + 304 206C6F6E + 304 6720756E + 304 7369676E + 304 65642069 + 305 .LASF1: + 306 00de 756E7369 .ascii "unsigned char\000" + 306 676E6564 + 306 20636861 + 306 7200 + 307 .LASF11: + 308 00ec 63686172 .ascii "char\000" + 308 00 + 309 .LASF4: + 310 00f1 6C6F6E67 .ascii "long int\000" + 310 20696E74 + 310 00 + 311 .LASF10: + 312 00fa 646F7562 .ascii "double\000" + 312 6C6500 + 313 .LASF3: + 314 0101 73686F72 .ascii "short unsigned int\000" + 314 7420756E + 314 7369676E + 314 65642069 + 314 6E7400 + 315 .LASF0: + 316 0114 7369676E .ascii "signed char\000" + 316 65642063 + 316 68617200 + 317 .LASF9: + 318 0120 666C6F61 .ascii "float\000" + 318 7400 + 319 .LASF2: + 320 0126 73686F72 .ascii "short int\000" + 320 7420696E + 320 7400 + 321 .LASF13: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccmOwN2d.s page 9 + + + 322 0130 72656738 .ascii "reg8\000" + 322 00 + 323 .LASF17: + 324 0135 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 324 43534932 + 324 53445C55 + 324 53425F42 + 324 6F6F746C + 325 .LASF14: + 326 0155 73697A65 .ascii "sizetype\000" + 326 74797065 + 326 00 + 327 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.o new file mode 100755 index 0000000000000000000000000000000000000000..5ee7bdb19b1a5c48561b8816dfa3d1635db048ca GIT binary patch literal 2780 zcmb_ePiz!b7=LeOx?5;jX$x3{n9&p|2FCuSP>K90&^A&fT?;W3>+H^JyKy_y&dd@@ zM2+#L2W}?DgCRye5;f7A97xne58jPeFCOHo2mJkJ-|J3Gh#vfs_kQ2M_kG`c@0+*# z_PGn^rIf;u6#b$l39+*+v$Tz5&@c9g9$~-OdtDaJerHW@yDr?-N%6WkB{6=4kCpj3 z@-k5F!!(+YmKotB3%j7UWczQ>SXSFJl&m7_u$;F0R651a2<=&ZtG)O=B-?4Lg(+<% zNX{p8xH7=maa^u#cmARz+y4MK162L_5!kjUb1Ke3Q;?@1$T3MBsTae#DP-|mF!H!o z%Px7K)YD;?_Lb%eU2<0LEWoW#bacD`C2G-ZI}+^?c4@rh`Htxxq#6~3f+tUQHnj&k zr+d0&w>-7|!!w;i6h}6^_je%Uex}DjoNVUAlJb<7D==7MinIK?v_s(buq$4g^yVj3 zsA4}=!9qD|#8owau^df|3NcqcbH2QAEeyOWJ*(^K`l?F2WeTV{%Wsd#-6!py6IKB+ z>ruGmW)n}m5hk^zPz5f9M`fAMCu#Ciei+o%B^3ryJiC^pV)CUqcfuX<#=K#7Xkc)7 zV0duI?T=Mm`H4E@UO7EG^PF0#s31_mk&%V5BbC?>tIKYzuGNxS6uN`Qj~*Qyy(${0 z}B#GkW(Eo8EUfH~%`AH)KZp0W!LP`De`&Ox|hm+j9C}A^ZS&7Y3hR#$#QtVwg2x#a;+CGMbF- z4akP4>0Jme8BNBv1UaAg)9v`JfsT2Z&*XgzyjcTQ+=f6uL&kOkihR7UbMe4##JdIF ztYM5VAuN*7WNaTm&d0llAgqh^@w+hfeGHy!_$x%}#l^p7fm%L8$nWE)+_`kYX7)ke^e9+y0)}76Xfk<; zrnhN&2ZzGn+1=o~F&J+r26N`)-GSm+=nR>7MmCt&JL3KWqbJ%MyKidkO_k7|&q&!3 zJMsY_vo?+OUhea3sEokZmA Q5$81W+q7_mJS*z|0RW#?f&c&j literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-BUILD.log b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-BUILD.log new file mode 100755 index 0000000..82cecfc --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-BUILD.log @@ -0,0 +1,8 @@ +--------------- Build Started: 10/26/2013 23:47:14 Project: USB_Bootloader, Configuration: ARM GCC 4.7.3 Release --------------- +The code generation step is up to date. +The compile step is up to date, no work needs to be done. +The link step is up to date, no work needs to be done. +cyelftool.exe -S W:\SCSI2SD\USB_Bootloader.cydsn\CortexM3\ARM_GCC_473\Release\USB_Bootloader.elf +Flash used: 8856 of 131072 bytes (6.8 %). +SRAM used: 10869 of 32768 bytes (33.2 %). +--------------- Build Succeeded: 10/26/2013 23:47:16 --------------- diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-REBUILD.log b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-REBUILD.log new file mode 100755 index 0000000..793f16f --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader-ARM_GCC_473-Release-REBUILD.log @@ -0,0 +1,89 @@ +--------------- Rebuild Started: 10/26/2013 18:55:02 Project: USB_Bootloader, Configuration: ARM GCC 4.7.3 Release --------------- +Deleting file ".\CortexM3\ARM_GCC_473\Release\main.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\cyfitter_cfg.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\cymetadata.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_audio.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_boot.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_cdc.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_cls.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_descr.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_drv.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_episr.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_hid.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_pm.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_std.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_vnd.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_midi.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\BL.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_Dm.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USBFS_Dp.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\Cm3Start.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\CyBootAsmGnu.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\CyDmac.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\CyFlash.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\CyLib.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\cyPm.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\CySpc.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\cyutils.o" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USB_Bootloader.hex" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USB_Bootloader.elf" +Deleting file ".\CortexM3\ARM_GCC_473\Release\USB_Bootloader.map" +Deleting file ".\USB_Bootloader.cycdx" +Deleting file ".\USB_Bootloader.svd" +Deleting file ".\USB_Bootloader.rpt" +Deleting file ".\USB_Bootloader_timing.html" +Deleting file ".\CortexM3\ARM_GCC_473\Release\.deps\C_FILE.P" +Deleting file ".\CortexM3\ARM_GCC_473\Release\.deps\ARM_C_FILE.P" +Deleting file ".\CortexM3\ARM_GCC_473\Release\.deps\GNU_ARM_ASM_FILE.P" +cydsfit.exe "-.appdatapath" "C:\Users\Micha_000\AppData\Local\Cypress Semiconductor\PSoC Creator\3.0" "-.fdsnotice" "-.fdswarpdepfile=warp_dependencies.txt" "-.fdselabdepfile=elab_dependencies.txt" "-.fdsbldfile=generated_files.txt" "-p" "W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj" "-d" "CY8C5267AXI-LP051" "-s" "W:\SCSI2SD\USB_Bootloader.cydsn\Generated_Source\PSoC5" "--" "-yv2" "-v3" "-ygs" "-q10" "-o2" "-.fftcfgtype=LE" +Elaborating Design... +HDL Generation ... +Synthesis ... +Place and Route ... +Tech mapping ... +Analog Placement ... +Analog Routing ... +Analog Code Generation ... +Digital Placement ... +Digital Routing ... +Bitstream Generation ... +Static timing analysis ... +API Generation ... +Dependency Generation ... +Clean Temporary Files ... +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\main.lst -Os -ffunction-sections -c .\main.c -o .\CortexM3\ARM_GCC_473\Release\main.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\cyfitter_cfg.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\cyfitter_cfg.c -o .\CortexM3\ARM_GCC_473\Release\cyfitter_cfg.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\cymetadata.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\cymetadata.c -o .\CortexM3\ARM_GCC_473\Release\cymetadata.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS.c -o .\CortexM3\ARM_GCC_473\Release\USBFS.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_audio.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_audio.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_audio.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_boot.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_boot.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_boot.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_cdc.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_cdc.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_cdc.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_cls.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_cls.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_cls.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_descr.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_descr.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_descr.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_drv.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_drv.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_drv.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_episr.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_episr.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_episr.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_hid.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_hid.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_hid.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_pm.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_pm.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_pm.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_std.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_std.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_std.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_vnd.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_vnd.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_vnd.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_midi.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_midi.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_midi.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\BL.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\BL.c -o .\CortexM3\ARM_GCC_473\Release\BL.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_Dm.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_Dm.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_Dm.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\USBFS_Dp.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\USBFS_Dp.c -o .\CortexM3\ARM_GCC_473\Release\USBFS_Dp.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\Cm3Start.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\Cm3Start.c -o .\CortexM3\ARM_GCC_473\Release\Cm3Start.o +arm-none-eabi-as.exe -I. -I./Generated_Source/PSoC5 -mcpu=cortex-m3 -mthumb -g -alh=.\CortexM3\ARM_GCC_473\Release/CyBootAsmGnu.lst -o .\CortexM3\ARM_GCC_473\Release\CyBootAsmGnu.o .\Generated_Source\PSoC5\CyBootAsmGnu.s +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\CyDmac.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\CyDmac.c -o .\CortexM3\ARM_GCC_473\Release\CyDmac.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\CyFlash.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\CyFlash.c -o .\CortexM3\ARM_GCC_473\Release\CyFlash.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\CyLib.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\CyLib.c -o .\CortexM3\ARM_GCC_473\Release\CyLib.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\cyPm.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\cyPm.c -o .\CortexM3\ARM_GCC_473\Release\cyPm.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\CySpc.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\CySpc.c -o .\CortexM3\ARM_GCC_473\Release\CySpc.o +arm-none-eabi-gcc.exe -I. -I./Generated_Source/PSoC5 -Wno-main -mcpu=cortex-m3 -mthumb -Wall -g -D NDEBUG -Wa,-alh=.\CortexM3\ARM_GCC_473\Release\cyutils.lst -Os -ffunction-sections -c .\Generated_Source\PSoC5\cyutils.c -o .\CortexM3\ARM_GCC_473\Release\cyutils.o +arm-none-eabi-ar.exe -rs .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a .\CortexM3\ARM_GCC_473\Release\cyfitter_cfg.o .\CortexM3\ARM_GCC_473\Release\USBFS.o .\CortexM3\ARM_GCC_473\Release\USBFS_audio.o .\CortexM3\ARM_GCC_473\Release\USBFS_boot.o .\CortexM3\ARM_GCC_473\Release\USBFS_cdc.o .\CortexM3\ARM_GCC_473\Release\USBFS_cls.o .\CortexM3\ARM_GCC_473\Release\USBFS_descr.o .\CortexM3\ARM_GCC_473\Release\USBFS_drv.o .\CortexM3\ARM_GCC_473\Release\USBFS_episr.o .\CortexM3\ARM_GCC_473\Release\USBFS_hid.o .\CortexM3\ARM_GCC_473\Release\USBFS_pm.o .\CortexM3\ARM_GCC_473\Release\USBFS_std.o .\CortexM3\ARM_GCC_473\Release\USBFS_vnd.o .\CortexM3\ARM_GCC_473\Release\USBFS_midi.o .\CortexM3\ARM_GCC_473\Release\BL.o .\CortexM3\ARM_GCC_473\Release\USBFS_Dm.o .\CortexM3\ARM_GCC_473\Release\USBFS_Dp.o .\CortexM3\ARM_GCC_473\Release\CyBootAsmGnu.o .\CortexM3\ARM_GCC_473\Release\CyDmac.o .\CortexM3\ARM_GCC_473\Release\CyFlash.o .\CortexM3\ARM_GCC_473\Release\CyLib.o .\CortexM3\ARM_GCC_473\Release\cyPm.o .\CortexM3\ARM_GCC_473\Release\CySpc.o .\CortexM3\ARM_GCC_473\Release\cyutils.o +arm-none-eabi-ar.exe: creating .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a +arm-none-eabi-gcc.exe -mthumb -march=armv7-m -mfix-cortex-m3-ldrd -T .\Generated_Source\PSoC5\cm3gcc.ld -g -Wl,-Map,.\CortexM3\ARM_GCC_473\Release\USB_Bootloader.map -specs=nano.specs -Wl,--gc-sections -Wl,--start-group -o .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.elf .\CortexM3\ARM_GCC_473\Release\main.o .\CortexM3\ARM_GCC_473\Release\cymetadata.o .\CortexM3\ARM_GCC_473\Release\Cm3Start.o .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\CortexM3\ARM_GCC_473\Release\CyComponentLibrary.a" -Wl,--end-group +cyelftool.exe -P W:\SCSI2SD\USB_Bootloader.cydsn\CortexM3\ARM_GCC_473\Release\USB_Bootloader.elf --flash_row_size 256 --flash_size 131072 --size_var_name BL_SizeBytes --checksum_var_name BL_Checksum +cyelftool.exe -S W:\SCSI2SD\USB_Bootloader.cydsn\CortexM3\ARM_GCC_473\Release\USB_Bootloader.elf +Flash used: 8856 of 131072 bytes (6.8 %). +SRAM used: 10869 of 32768 bytes (33.2 %). +--------------- Rebuild Succeeded: 10/26/2013 18:56:17 --------------- diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.a b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.a new file mode 100755 index 0000000000000000000000000000000000000000..bc3fb10045a39ccd60aa035587f6dcf659889cb0 GIT binary patch literal 687832 zcmeEv34C2ez5mQTH@P=U(hj7fOX%vXeAv8)Nzh>D24&F{NMY zb@z9a{xal`as_4|R7uxy<0_DPoqW3r$QAzKV)b8nWvu8?ulwr#qRROHm&$nM_G4a| z^>fv0z6Ou9MTe{UJNpK9w+@U9_xA5@8QBvXQeFFZ^$rh52RpiU?N(dbs_WZ28vA>P zeb$qM)WH+I3D<*KqH?-r@biJ2eU){kwX1j|_GW z_YU;Cu!fSjH9ejEyQAF+KaKr}=C01JC=$Z>CtT`U5U1g&pHVX~5|426KxcPje_e~X z*Y$Vq?2B$58IE&Wqn+J;y0&*HRiu7kuq!Ems;+bVfg(;V1LsBu$r~AxkXldQVgqxj z=tX92?Hm;6n*G(oecgk|y*>UsO=2f9G9la=9g4aF@Clzj*c;CSADfAj0Fka(=Wtg~ zO<(8GQ0v|yr=-2Ay1CBD)NO67sjKLij90UHQ+?y9TUx8y8#ixyO(Kn(+Ur{Dt7_^x zYMQIs+ET(u(O1{f(N@>q-ni-1w$~DTT=(mXNkvChGa|LAsvW6pXsmsmL8W-!yrsRP zwWa;F-Ykbo?EOkQgM=fTC%wYuVh|-ceiER@2(p z(!RNMg`}@-Q%75SD=Lc5%{is=k5TE2@|0}z^VoURwN!}2@L*^E(5~oU_5R_gzl%YG z8gA+AIwv~Z)_b1oC%Q%k2hnY|*NW$8tfGBj_wGLD4tnE2x1*eDf3E@we<# z2VF`C{2*Ry+DwW#`0@FViGPZFQk2`HgL``W zk@fL1Mw_VX?~V$DPyLuEIqr>%R0X^(a4ULvb`%qO^7ds4!I2H-x z9hseQb{tpAdpi8npxQkQqIR%%U)0yrg6nI_Lb2;hlv-^pSx;lozU|#U>DK7p5wtJIK`l?=NWUjhhZdK7d*@*I?cF9x z>}rHgq^iA}b~}iQqq+fe5ADWgga{-+(mJ8`1DRx zop|-CYiZrQF&=uIBTeu^u`%$TVsIZbFo}s`A0o4*xw(T=-L_%lF;1cbKh5uq`Om-z z@04oxH*VbQ#Emt@$yT=7ff4kIOf6-k$|WipH(NZ$n^dCHyKS_f16I>v!n(D)d0%bs?p}`zvHW6f==0CnA1{`zXoQ`8oFBzmB8}0*4i$`>5cPc{Lp?Q} zT|K6)^$#<<_YZBw0AfZ1NTHWX;Xo!uz)!-}*PmMj6BvwI6pKdP9K=vP&*|91H6%;! zLObo)({o-jq3OgY{gh@7ai+jlaNjp zeBKalL|f=JlNQuf%R)yDtsq>tZK=9Jbf6s8O{u^crQ*7Ldc=*C@wKI&DOE<9TUmkw z$HUz#S0}B~l@BbBxb^;3tCH9I(N(QkvnCQ*yRyPQ0Kj_xMRm>fT5Bx(Tcg!tm7tUg zPBZ+p)dm);IjTVEroz#}SnSk&+f?O|`vyOIW|J=Y$nOt!-unBok@QGw+3A}KW8v7+ z*omWCo3?JK{6m4N`t51OvtqLs6pX2|(j$Snt(!K#G!FOBxDHgmP#b*ZkAHndzd1PW z1!q0sE&a`tO$|+()xym$jSX$s7%FZk36yzbI<`LcKrB60zgHdcnir2&jt9LZG4;$} zpR3-SUaVhEA5_J;J$lXi4p3XT{C7{P(%JRvnpH``85OI)b|^CbN+|e?s^E7IeX2U+ ziQq4)v!@@)Z~4Fx{fu6jb|m!7Z=VaUI((>NiLU+WlNHS+qt)X96^Rv}U*1qP9`Kem zmJVl+){a*OGGpH8k_|QExxwR`g0X_0?LE0W+IJMzFK%c(65br#(cY7PMeUBj#f9~) zNAfqf_EaAERCDE#JC?Q`DV!SF@D@nVZ)n>Qfc~)O#pX2>ZSY3D@sOI6ksE7^&Dl^J z$;EG0efEmBBf+e9RafKpKcAd^AaCr*gX$sGpem!PT>v_;D zrV3nMi>`dQMpYif6*x^*UJ2efa3`)3@E(Vbev}`}@NUq#;8!S&*Oj^Iu=!O=SE^h! z9lv2!iQi1sjNgEQ=7`dD;I-nKr7QJpUFkt0P=%`+R}JV>aW%jWKl(IXd12uE%3o*x zI&;<7n##v2K34hhF?WT-Q!5|N{#50s$9~;%SNPKm=apBOjJP?h)SvN?h-T_ki>f?L zAu2RMT?mWbgj$=A>!4vKegZoEy`Ta*+yk*dAZ?$4(EB0l1=D)55F7}Gsz3$8X%|y6 z99l;Rrv1!7=<9F`1|hVMLOT2)6r|0h+jpDsoAwfZytgpZ)6!|(zMj@B2zzJkg*6Zi zrZdcN@K1E;g54Yc3ashxB>ijrcrSj3^!xD>3g`>|3JgC5IeigD0;MW^GSulYOKFvM z2OTed8jczB@S}URE`dbmHw-~Bm2p44G-|z>4w@bs2f)u78tj6V+^l|BE~0RDa0}=u z8Na4jwbqx${ZuFXR69S_#!tp4;Za|!^^+8CGla3OFxDS|o71cG^#?)boGq5Axl`zR z6PdZVl)l--7BTofJmis|9ekEn=0d)Hm@&$+JybS0feVx_0&m)ntpk1Qmgy_uL+5Hk zwW^GV$o-tw)ey=(K)3r3f|YwUJw0IahdTAiTJHoeYY0}8Qe?@=HhD4XcuDKGQOCC^ z{0HN4h7NcjDr}q{g#(TLa8Rk~W7NM&AA&v2W1E|PBRMDPM-1m$a#+*|_c6oy5IMI~ z`6>EI!}%CEGnv9LEHt`F7a+h{5!efsz+v_p{E#Z#fFFgHFh{p|na?mLb1U)F0!7nr zhDEpN|1e5fJEy;uoDTi6;p_l0FI}If_ds{ipBT%xY32ZGThUdF(D|D6F;E&*^M6YE z0$m5u2<5N9RonyDkedD?-7eBMgO!F01-Bnif|7riDUS7Em0pIQ8*qgYb_pg0`YQbm zNVS5M9ee_|JgO~YaWU5@s;|}ywt+qu_63_^xeJy;x=c4IK20-G3t3YS!m;X7N zjAR+zd9mE}UHbU_z?>!h_+?kSqze}Ir;ul5VMUOP%3}pFOx6X%%usosgWb4T=Y#OW z5;M+OD(`#r^H(rgXofjM<^2Nor8*mN3ZADmb7L9(sdD`sc%~4wUdFOqR>vO}6!>|1 zLC&-+FK159mh?Q`s&mm>1Wniz<%)0WK;sBg+bL@j5v&uJ=q&RlTfLFX+r zLFbq2Q?d;=ZBcff&exTh*H>pV9@8Y8w8m)x#ACX67IMY}f9c|H<~+S(TC3iYzAfvF zG%A{v1p@VFwORpcHX#7I@QO<`vX8tu@4Q5-K<3re2xl&(>2025iqTOdnS#)nDf1;0 zL82(NZk$FR#l=X{a^!J|B%N_ZNTpc_Ctp*fjFBV3$e{_ONn{rKBaMnpKI*Sun%J2IhFrY3l$Z8LJfjFra zSXjTbYQF?RWd;+Lk$M64P?IZ zO5rUOz8+Vu6E1ZwU>ZZs@57a;_Ng^R=ueQnr0EMh1Yvn}pkYV+EH151BT4fyT%W?F zRSUs1a&oES_SNMlmap251-0$v<;(ke zcP`)E)wLWB%lfewVOg|uXYX<&vb?vyt8WBP(UR|xF#l0%Xt=vOx~sg0W!1HRcz-N9 z1Og5<`+2o7A+F&KsJZ_giP<&fZY(Tz44d_kzJdPT5%V+BKh(RsKiVDPw7L@- zM51dycaU`W{Ma=R+``sIcX9DZC6v&wsOjp#ehBgGR|j3^azjJMPCgGIb8{kxZs`t?D+bjH>30jddH(tZUtD*id=; zN!#0M+8S53)o#bmmyYUzf#E*f-A4z@v7#~5PaSv^G7?o-KEQ8M(vQ{-P~j6BYRbE8 zgpzy?V}%Dha7@mtp&r!xNRqoRO>0b=YR<45C2Cq=sjV{}S((+&-5sM`kL&2|@9P*I z?A@bS1bd=;hN8nLQys3V9w(wTbuU}papJO_c;?*I6B)#lsv$hDh^%TQ$Gs7mQ?(M^OecFT zr5D4RR)eCCjxO7{N~LFpHf99!gVXg4JxH)zXwejI6P>v$Jr2vVO7qCtIBsG zm&(Z~pFFS2Q7No@QeKu14p{$m?vCyp*^Mf>YXDk}y>sVIR25}BTMqgfKiP@;v>T7Z zd=e8meZBorrx9^im#zi?Z+W@A@nLG!75iSn$$C6tLnbdIIzznDOG^061t`f9=!r`VP4d7?sa%Nj0 z=8qs|qC_3JxaWG8Xd$JGs&jyqv@eqdE^gTBwxBF4FW9JF8GQ_x3`)HfBE)Ayid zDkEs>DV?HrVUpA;d1o(6CoN@Xb?a|> zV$%MYkoJd2I%vu)p(ChpS7+LX<+)t4l!unfxF<}Sk&neAy?2fJmy#tr+k3#AU*ssLJHcNS~IIwM?85)}H?nAhoJ6EK5gXL&gWV0Wv$$>{LF= z=MkaV$L1sd*-o5cIL|r)Xu|amia{D@PMq_Sz0$E0^JubHO_)4^ zTd~&9H8Ur2-{j|-Nij84__=0UN$!fHOsV{-z^#FKekyZCnO-5i^|&&Nr9PA>#pSmE zitt*&+XXq624>hi4WJ>i)QDgiWLpp54tW6)1nVB%*{={!608#3EVxzhEWvKUa|DM4 z-zGRF_%6Zg1aB4OY5>E#Pw)Z3ZwRui={_#V#!otv=>*OaEETK}JYBF`@G`-x1wSD8 zfZ$gJe=f+?*8rOK?*#(^8(yy9e8HuHCkoaGb_vD=FA%((h=zKF;Jb-vGS`dyM~NuU zPYC^4p}!#XqeB0)&_59RCq(GwN+rwncY+$-AN8jb;Xa!P_YxxVZ#fa+trogU=*>dE zRj^<1or2dB;qMM2^naQN{r3ufP53_$`ria!5dQB3j|hJXx?TFuBO-pY1s4&ae~r+m z2;CsKg$RFV2u1~a1qX@ne}T{!3$kpP{+WWPRz?q;4YDtyUiL4jkR? zTLrfYn)U)cokDXiM!lw;0N*L}dj#(iyj$=KMCA8jp}!*dxbV3kPkqk_{zmY3f`1a^ z_)R&k&lB?mBZ6gurvE@!ZPJfCLXjAGfI*i}P+{oQ{9-Rp{SiTzZ;ORC{SDkJgkCLJ zDOfAmAlNLpO>n#54#950n4sy0pnp{8^92tGUMYB$;I)DWiAe891#cJrU4kZG;qFO3 znRL#T`~lyj6ZB${H!t^a^MIK69)c4l-$Srs?Wz-38rfs-A-J*>f#BAHdB+gfsuR?a z`}mZ8ZBwYea8xg-9@nZMHv9a*!m4o{oIAX#X;t&Qn0MsbzG+9^y)-a>k@AkbJ6lEQ zr+9Q(gC74+uQV1I4UGR->saaN;`${ur7^lLHZltv=ynm@_-y^5Kp++wt*D8_D(bC< z`5UURd!RI?%BIKG*0&p>?3{Po7ZVk@fp(BCf!W{)WGB?cLk=c;ds7j~ByjbRj ztXO74X5+M27AS@thHjS4nB>JI!7I;%^m3pucKCN=Dt0RKOM~a)PWRktZu{qmvElI*8u>(GX89=c)sX>;GQU5!r-)VvUgTwHYE5TqjX zn~iX4ihc;$@%xrPRj_HU&R+DBL!p;d_j3nkY<%d@BfnSYK2SZc)_eNd0}uaR4S)Dh zc3JRcwd=`Q9PDx@b$|{TUmwu`>ppTX9vaa({Tk4ejF(sWU0ffnLbK+rVK9%P4Jx5pW4{Z41p&Qz5S}1qqzM7&b^-muP9T%#f zUO)4x2k*($*_*z7=tHwvOAb~qekf9Z*&$VO)uDsc-nUkaexhBkJo4OH(*^_2pbL6t zX?>*r$b$@9ul*AA1fRl2g{CJCg^Rz0a2N*i)09_kTqXpWAY{I@U61Dmo{(IlY!G!N z_a62o`WaIz=o2P@aytCGN|c~2qleJnV%h-{)IWno-wP|B3a1+E9r-wT*z<8JhyOs@ z76YM^;0gOf_}mBELh?w3({7|>IMfJ8>?;YvE!amnvq2=t5CUd{Nbm-7&objhFvvY6 z*dTH_c{=>h2qsw0u*UC(hqM9w1TUt@Zx55c96#ybH*wKV!X65KAHQLZ$Z&&0Mz*(q zH#BA51j2h8edD2i=9fvGZz-Mm4pJ9bDv&t{aqmJ)d6~oT;ay~@VCJvrHfE``%$E_Y zcky{fXL{yONnK*8aOQUEywpl&s^ACdc-b=$&C-Y9q~8Hecsy%Sf}X|88rBJh-jUN)~TFBB6^lz6#NH7 zlrw7jZcsT7i|7HrDEQwH(GOVB0P;QOTOxa(Pu4j6K!m?8!bpAYe}JbM->KMR#kew0 zFju+-Dd#pG5N0n0XMOrjD2{yQ16-Kj%)0zFnUjq8jkI;B1^=TdDbcpH9a>JDnUAZX&S7#ybN z9thbeTo9ye8+9@@7a4LGq?(6qSnxS57|)AlaNk93JJ4ZR@6(L@onYD&ObgQOXQWLE zrUjV-57}VU&otuPDa91b3Ni&OH&(dWM)V3$g}hJGa}E7LSaXV?gXOgux>D4?hU6A7_d^#j(Yt5jcy&!UHz z<_CNt&0uDRT(&%Jgr9f8JZZ=b#CBR2+QqjF83Bn@Q@LKRcvQwXu-X;?6TEWwW#3H%3GTBkhDv)b@J(sx(46y&@DV)OIu~=yTm(m>F04xb1wjW-S#8B<0ZR8$L4y@9)1`1vyU^r; zUVzJ?YHmCu+R#=cxuZpy*bvLCJr@u+ zQ(;4F2y~tp11oTvU*PC5*aj5=m52d4GKJ@D3<1A!!%SqPiJX0;IMWwc1da<65 zfWX8|6kuv0Kv+6KfZiCXG&Q7Fm)$}uwWQ&h9!S{YhHS=@h-D-^y@{z)3d7i^M=F8~ zfe=cJ^)v!=k$#8_8xr`98wSqWK{PWvbpdlAZZ^RNFN8C3*`(1%;S^mP4C^$4lRfR0 zgzRbH$IW8cuo;n1j*EI*Z=tQhIwZWcf?*6xhjm=?iB?7n`E(KTi5|{QkgyrUWRtDc z7R#`|%t6GSz$he_SQwm{xFjIh;)W&2>>@T>dqLb07BlFo76PaLRxfR}xDX(L{*nU( zL!Qr_#;_@n5?@;@TL}ws+3cc?v4>s)gTao_L};xpG;rZ&40DaKOG7h9uDOV&sdJf$ zRtB9bELPoC$8v$OE;(KiEX?4Pdce#9i@?lDPAe10Y?lbY7B@bB5inn~Kb94vMPz`e zR|F=4Y+?jgN-SBOz#?ShvZ2xDs~Z!e4|j-K#Y8421I=({VMAF(c|2$CG9vgf!bICQ z6K~)T40DcVn+4ONXm#z6aiK}289$n(7UKR`2WXltn5>}H>4~K9ogQJwmk9nh#?L(2 zQoV@kYv2BA4;fZL#5&i*B=XKaj?1~PoSYs1Lxh%FYA=iK?L(XK!ayR>$1{+C;sKOhQo3Hs(4|Esl}Vy^ zX6osy4M+C-PJW_n6u9kyLXdzt6CL!tF^8rek2egD@l)B`skH%L!FK)7fhf-r?qdwt~Htc+yc$Awt_`pP& zv5hA>`7{c<+c+ANQ=Sswk&YPlElSeuir&o2K_Kq?yZ z9dp9hmhGu_Nd;ySk;FO5AtaM*O~wfc=1p^XZ9JZ#l8mIt)b!Z%`>-b%>FhbTE@s~y z=Z15$d)e;T#P$-aGybZ1B1>ema%Zg9dA4qKEh(E)-q;PyHjw1=xZ)Ys#f`{`P0bP^ zv-jA4gy5tHg2nR-Rm_~H)863{)KSyk+)>@Uxu!|-Qd-GQn`wRK#4o!GdJi|gckS;T z8e}`;zUH0g$PnMjWA-(6vNzk!(KZ;XlN#ek6q<%>!>}@`Cpy|~)hHrty2tr7@k6|l z&UGBci6#Dn%H-Sve}?e2dEX(72-oP`HTijtRv8k<_XhqUc52(1kAJVWn{jc*!#m}p z?ak)2&l%2!YI4Grm&v|u-f1~QeN{QRm6ru8FZU|nZY11ni!z+q`DxxW`DxDV{50); z`dIFq!TIHvf{x&FhT+xbOrNm%-0z38Mt{CEgFafm`28`D{50);I+8-C%A48e>)8Vz z-{)>y1v_ZFuiR{oPcRclpC-1(TUXl#Q@N?lE7jeMGj@l)RbvjgiXWhwDvoB9JOrf* z9A%J96`QO%P9ozDTJ=ZJ^dPpqruvAt`BVpcq>AkxQr!~6mOqrF9>woq4`%gqOoZZd zkyQ05qkF2eZ#H0@{()a+n1eQfZpSRe0Vceoz#CwiVqBEN!nZN21s%|hW)UvlfcoW} z8{M6~LhR{e!cZUkKWBnsSqjW>OyHGk@H7etF!!;PV|aDA=-ugS0KtCJ8KQ|332`rZ$QU*C_Qk9kjh>>HiFJ7D+gz#4> z9tFcM_e;q6`&cLU70CI+&0cHsgW+qAqDzQxeTI_`|qw<|@i z9deVE@6MEPm-@mjfKr#w7p3UqSqqC@pdv?-Pp|y>vf8JQt5#0m%_;i0FSeNq#JF@^ zpQ3La^fCWf59Z=>`tC{5R|^Nm-x;Ux8!2+z;V@bG^3)Oh$J<*E zOcw4RAm`7QW?#M(i$0d`Y}8+f#=|`beUsIf8IbeqYxn6Z_32wJ`oJ=V&xyaxfW$B? znu-*C+o6vKJ20OY;9`2|tujU5&=jSH!E?sxYfX`R4vqG6X->+fk<_M?aQm=Edl+&I zm-Wkq+nJ*8LueFtQ-K&4E_c!R^JQtRQU@VJeaGW+`WR>D2W+)zrj?_e#<|5(^gRZB zY^&7AcIWiHJw@NIq3<#9oN@XtNzwP`Iy=r#pM7dz^Z9+EuR;_&FdOB@z{F4=uMeik zU4RAby-rAEDEBeQp^3$u)2|`7$`K+*@&VE3oXX}SUtHwDbWz|weSbb*Tc5}m>UH_T zz7^rd%~v8yJp#YZIMqZSTQwtdEAiMH!;RX2i~86O7^Yv}l?dz=pGT(;VoAo&eHsv&ouIhITlC$ zgz?~-ET3)Ux5Y*LJtFnzq{!zOd6tJYInH8`?@%S{pK9b2vE~S#FrLawkF7Z~}zxKu&h zMvI5%ILY#bMm`dk;z*e=9!9!k`ME~EAuhs^Fkw7Zlpz{Ar z6Xk_Yz-K%aNs*5j`9v%tK53@6I7PlVB|gO|@}(*ArA9tcUY1UH^7jY~Z$ZKdHqYEu zBv@Y3%!Te884|`zno-f6J#)f%m;o~`iTdyFNhzO7uSj@?%~LDQ9F~0&?^*Z`pufba z*YAV$$+(;$eVQYHq<5sydsFDkQ|N0_=ntpR_oUE=K{LKL;L1mT_8p)z4F6e207<`; zLWk*D4Bcm>(8s0Fr=-wlrqKN<^u;Okb)d;A!zArS(IUl9<>m>I)m4z_}EK?8i(H|}FjX_M`I&r5xAN>z^YdJ&SR&iendp`P` z-9p>=6sUbdv(L##?ckPQhSzN9x#|X?*|X%Mz27OcjqiN*IiVxQJ)*vuBwwta5!%MD zRJ{nAhGFEPhS~+<_{*hfaSee&mt-j;RfB;)AH*SV5dH^8{>G4JPqkj>>J*x0)tdg~LKQC$Je9{$u zyXa^Aq%QSYu36x-*5su<%bT#EXW2(t#*6zo%369n?e2j?+=E-mvkW{?@wxa{^5aW* zA~@keM`F3oN+d1RS=Jl-?Ibf7&1|ys{7f{ucC-uPnS!H&?;&nf>N?^k`<{B{X8V3R z=}(al`m^HxPeOl9=zkIVdqT4T23jzqB%+gNzZt-OA+9$B+R(QW5t(NpUj)5d=?_1g zO9oC?s*i|HZkUKoZ;WREk!HUVc#BeF#O?NgwZK_;Ux)Nt?fdruEJm^a$i##@LVnQR zV;60)>uc1%mVD@6M}*!=BJ|c0p_g+K>P1!=y-13pUK2ma_mGCXi6`V^B5&f0c$oMi z+%Wl&Xa7XKhTd)C7w8rLzzE%eg}}_SZTvE!d^-8i$Mg_6!wysmjeD*<(m>Aqh`7B{ zff{?S8$e=~3ZEl6-A@v%5;W-qA4Oo?Sq_v#(hZ&?XwnD%+k}3*Ajen1bsplS#44rUO@u$L z!;m)bk;DBq(m;-*#K#1m5qwE7jB$nTa|Blj)(gH>aIc_wPaN{RucbfpJ~;3jLO)Ba z!&xpwR0;hY|6b_75Em+?(=4VD;cqGt@hB8}zR);*(zq`d z`Xr$n1y2{;FYXr;k5lS$!S@OOgG7XLm*Bm^e~5@i|7F2P#QjNe|B=xDF7z*jeo^Q@ z3!Rm2_0JYuB)F7VhxH7h*AWq3i(rqq$B4_(e+ynL{40rw_sxPI7XBT?l^ACPzaac? z3Vxq>f>J*ed|vp!BSIfG0~yQ{EFdDD5h6PKGQkzXUq^($8lg86PsZyuf;)tN4iR#D zg}#tDgnmWns|9Zn{G{L)1fLfCrQm-GW}(e8ev1W95^NCMDtNZwg@QK=ent>2%JeU& zh6c?zfig7X4G>Mh@XG|32%=~Ve?1Ypss%A*8X7~sK@2$tcM4*NGV~t75yAa}?-G2k z;B|s#d_XvN34OQV=LNqg_!trW&=Z1B37T;O{9g(^E@;LN@Lv|%oR0w7oR0u3&{p4E zLB2J|{*32i6HgLcFUVKo*l)E8b`sGKMFsZ=?iCy(q93|U@LhuM6}*)gk#Poim(X_$ z@?|ylKVK94XTc{0e@xkkN$ryI4<~- zpvUnD?jgY(!F<8Ff)PP;P7CCh2)$C!oZABan}x0t&wKj;)Z0CKXZu4mue#NV~99iskal6 zeslT(%JGw=k=}=h5vANX@JrH2*F5%bNY4r)($P;u{4XXV-hAH1_Eh_^{MXp?Tl{|3?b0V0&&F7#bQr04TOf02lE zJu38LM5OO2p?^e-*k?|R-!Dm{JdcR`pNUAf8NcBkVLl-JONpTQ43qiLF7!4c@}pa5 zbAO0@G53d%A0?mVDeeb|Yvmj^xSMi7e%ZyC&XMlk0dopa{QRFaM?3##ZAHYGW1s&M z^N=W&IR7UXXZM}YbQ*S0&jIRp<0l=FqrtfwFBk}ah7d?Ixe^F{pHwjI5d$Gp#lt9D zFvB5M#KgH9C_zXD|6tsLPY`tYVZ#h9WGKF`A@Ff|VAAg(*jfZ>+aDN<`7x^w<~+d( z?|`7G#gD_r3R~j9V-j!JR^*BMv=gDmcOx^zSKlt*VGFz~5lgd!+58xVR3ZD*C)x4s z-h*eRfkj2q{+ z2^?pq;}38Z&?3gU@t!ZkF@Y^1yH3e)VKreh+_P{wKd`Fb;zCy*H_ojW9NH_yWhw}+ z<2gesuVFzaw;lzs*9nOmJV$P}Tn7k7o9Nb_91hcHjMFI1mXABfDTe^Y&B$y{@mS7zz_F6a^%5WT=qP!Wj`OUno*xw(pIy$d91!` ztZZ~@{dewBWz@Cp{?evhbGIG%EY1*fx>nb}_}pp}@-z2PhS%&3syHt;{TbTB_p7q0 z#-UtY5y?FDuR=;_YJiR#c$*TIw<*Oe$327r|F=G1Q z^Wzt*$l|eFYeRlyp~2Yp6x5v0>Mfui_qPJ(8Vu$!=KNJ1zMfPd?R*FY0->3tf@xHO zBfBml6;9)ma?E4+U?4G%;j}P#H3jVXVc(|Jo*%~EEq;DjFNtvQHUhqM2OVtGD)4+W ze}kdZz)91sAT(Eg68)n74-o0B`3-3LAsi#MGGtEM!V@g6wP-$gu-bU843D$TplaR8 zo+7M|qdjJ1Otpo~YEYRZ^f^YQ93AyVa^9^OMVfY85nNg$lx#*5j<8n3mVKTf`P)a5 zbydGR1Xp%%*|i8jzk2}IJ8?~ECV3TwA0vr|gkzc*3}=5i)A4f=;=x11Lb^2&u0$en zY!6epQstDv`F1$}n{hTQ%#PJzuwE3_3YA_hQr452YEI3WYEA{p(p+NGkHiypH)Od> zLNA04o3KZiuoJ+u344Tb?Imdv_6QU9XObpik1%08lFX*$8%)W`BuzTMNxwW3CwDF^ z-$4*L6|gYAw}Q(Eu28uriCbs4(?mevW^()>8dH7#;ifv zd<5JXR4`NjhJugU6MC33r+}+{!E?1{`lnq^Z?p8j^D zfVKg$M5mbm{kIaw{IFWmSv>v|R+7%rNlzSU6Y`yx6Q1C~ooAB)4_E@0lXNnZ&ecge zvPaIXaYxT&Cm)zIC2@=lUJp(=xW}Cm#8Z3ZWS=~rJcixG2ioE%%;3vx{O;Lw|8X=t zOlF2X7AJ8+j~r8@A)GjzCw_KK;#{6M3q^sR&0O{j49@g$9tJ0FapQMqhXZ$t#SMjV z@B*R8SWhQVGWo;@FwE=#=glDUae?I1UO0Wkc@G3?NI5nG2?MK*;PkiM0>T)!WroZ% zB8Z$>;G_%!Cl$V9bm~Exc?Be!VYeZ%QO6CtXC4efyxZE75={b$CQyS`+X$O2mq1V8 z;x}%l!-m8Y=x-+Gt@->a8=F4SHvL_W6 z7lny^xFAf}XRKQZmsu`;jbQ<^p4vgySmJjrEJB)e3#PPbWhDKEF{61c@~5It447}b zOjx@(+G0V{RPBgi32%c7YdR`#F#X>oZVjTTyaWd;YT1QsRS#aEf z6_~*2x8Ns`Za$BeUQGLZ{#e_JlUB79AIFmq{GVrgRO3r&84(`nkP#{Mh9fxF0e&z% zQq#Kho|CH9FJHdd>s#l|EN>>Wia!&SFQq4jrZ@6u$)e^p=pt|-C+1I57y39w^ zCRr%4Gx?hR)x&+=co%vPzhI89Qdzd$7G~e;pCD)*?c$0P$EU}+dNRq^!|WLY@dY5W zjw8!A)^qBHEMJt&r^(I7&*al+@dF4ZY&(kwz~!N%EIirp{O@v3NE;)g_`x&0>uE6Y z@v?+c24T`+y~nqlC03f=utl|FEm_$Zm^VmKEW=U&jNpW%nT>q@uwxVC6f!$^(DPH_=kw2lq89+k8{sBTf=P zYkn1X+flrVfNi#~B4ApXaepm~lK+>#z2-(wRwX+k+ciB5nDG&KHSGR+>ijGPpLd?l z{1S^sxgh4_q6{xiRk#-9*Vim=0ApOZ8$tQ?{Tce`pZZweoxZ)W`}J|+;${o5#?!&I zCcnO5VL~7EI(_eh-LG#OXtpP3C`a=iTz-Ao(6<;o#*dROr|(|a{rc_#?Vr6keV+#3 zuWvQ<^?*lxZ0kXd-#+#ZMBukQm)FYflJk27?q@5eqru&Q&?aF(xA z;&Xe^XQ$k=$mB;|VfoyC1-n1ok7B|2Fyt6+9xfLyBkBuA-2qRPaCgSJ{TU3uzV3*v zlhnt138#JikfN^;h049C&NypvioTyl z67>L&MdSKbh&~smD3)dUE`&4G$7@}R++U_lzD8aLITUBi`R_-eu5yIvBFW_|>UHL$ zkmK~<8K#$pXM+3lCA&D0FLUAU@`YuHaO0+ODwbn>0XQqsmpt_ZGWQuUBH;6)zFb@k z)35JtbS}&TXM9%2GU(^O5o_*j6A2SEb(Qx%*0@}<6xZaF<^4Sl(-Tf{XAhGwT%%9+ zpJ~EtiHooYNf@r#C(CCWdG;mNSlUsHfr~ryNrNr{vOIW^_8Yz@={KG!nFNRLIr{6!&mbW#mQ3=EM1pS8Z8TyT<=E%*a9eeoR8OH}_sP_z9Oe;%@ z?|BUXouT`AxaiK3;(JwB1D&D!M{v=deLdf^dI0DQ-M{V#An6~Z(9eRV|GRPVy{scC z?(BD*p?~gYaE7%1VTb!N-m&M*lgzs@!A`obnnVOMF3a`5ZZrA4*{j~a*r}IQ6~8aB zr0*s{-d>U*eN#U(F^h{QpVVX7uliz7;#Q8WE3;Vkyhu|mDz$aJXn`%SOgyxTP!5Ci zYT|MBSXI(3pm9HI<~5m1>|Q0hb7h0{I^t5LDv4!wwS)9laeu4uV?_8HB|`6Ig4Yq3 z$zE85!#c=sopD?b;2|~J29d3Zh^dakQI!f&H+yLil;N{~r~65QRe}wIn*|v!%AF;6 zjv(Vl{@VoKE_jXLO@g-yeoXLQ!TSXd6VsIXir}L}H2H4{{X7xj{Dv6DGP)oe2v7p(D3wkO#QO2JSDFPV(iUdoD2ydyln?1Ln`SgPFb>hB3=ysvc z5V}ifv*#A_gF>Gx?iUNqGaDH0dj&r%c&FfJ1kGMB$bC)d#|6#aTJZl}=$8clBDe^{ z1LMoj60lvY7252P1#R9jfqR$m%{wKaFBbX^!G{IEC-_sr-wL8yn0f@229dP}*&nig zMTlUQ2`&|^5Udoe6>JjRENI#R{GTawpP*?6;GZk>+XUY&$gzRp-XQn^!8-*%E%;f% z2LvAzd_wRk!5<0!MDRJmKM4LwFd+3TU64=FSkAKrIoBl3xhauP)QE2qtQ6#9H1auL zC2khnDrlaxg3m{Abm!wFqS-SCG<)WN*9zb4kpul1p+7J99l@sr|18K!2KDi|9x+QW zwY@A5{&9k)ok0E+p-&ZT5^NJZU679fssC(2(_TRH9c1z^5H#%u^Z}u-6ud_8dcj)+ zKO%UC;HL!d6TDy0^yBEXU41QJIii1y5YeA4mU1=q72(scUc34ZQQIF18a?pGxf}f( zeW-_=9kD(}h|pu&1F(-Y^j%1Vva5*j_YorGO?`u$(TDpmqYv^XKA<@c(qAPJv}s51 z-y(E75qd_2KA#AEc5v?M?vn2%#`k5M_}{o!GKk7XgFOb%8wejF1ky}v3WUB(Dwy_F z1FvPTJtF@7nBA>5c$Il9qsj~M&6W67~Ea(-a_ zKe<z z(UmpbUe8|1DNY&C>GQFS)z5z;)@}Uy{|om@+P)8gnK2(f7#7W*MRV_#A@^=TbMJlavE5s&xzF$+^Oe^f_+BY4qZvQko@VP^ zP;>j9#;!zl53W>$@&2cj)!{G03FG}XQhXg45KQ|asbI+5(uUK_+n(W22AGLGg@1-* z(A+cHJ%umOr`c18Hzrz1go95KbhrT?gZpS7zYV|UlWx#{JlN^@$mm*N=qWhFKQbD6 zk(B$$Xeh#f-A6`4+vw&#G8&@7_(w)Vt0*}(0A8RpEyPv%_(w)V8|mggG8%f4)TLGu z9~qrS(aY|^Z&>q2MptOM(U^H0HY9S7W=0JddZls7yo2^tPAqF3$-@v**`4?azWEy1 zwVo2>4RQ{}rZT0U!j(&}IMt1}Ji$}GVC2$x|D2~6lXZ~^93P;~(+hH@WqCPsa$et_ zF!?^4{}XJX?Chm2dNG7=lI=4N5hB|&mOS60$4vFwDS{jAs&x5F#PZqAzO zJ~NiPd%*DPJM7cP`vVuh zkHYT!P{&uH?>btX2Wwp4-LTV71umMmARwNN;SAk)eL>L4@!Xod zPDtD+_Z3`-Qp`F1JLFb50!SGe&i=Pzv z;#L`FxLmS~iT82LnS`16&KqlL!gzSU+HZKSuix-_HuHv;H7tRINjyEbJP%KF{f5uK zna9kd7F>KDy~HO#z4a;d<`mj3qM8A*Y*>}KO_37^2Rn2+e$w11K1s2b_~2}(K@A8q zfc5ES;!Jy%L=lq8{)z9~p~{#u3RvfOR)Kl`2sHPwa9lt>vT~`ov;I@=B*7{{6At)H zBi*+Oo+WsWU`&vG4&@FAzE_a#pZuEzKPkwY4e}oq{GQ-X1%E5Z0-;=v;9No8u90t^ zlK{>0L?HWXuAjFHc8Z*N9s+mngW`Jn`QrW#asRa7!-5DtX%W}4h@1XOdzA(+5loeFvJRa)k*&4LIi%Rj z@ETx-x)uE*uNi8=DJ?_QpRZc~2~-g%e~ z4c11?d38F>;T~%ve=!g;57>ig=4`uQXg!(Xw7U$1&H^OXMp{4xqtyGBGAIoG3}vLZ zn~E6>-lFL-_;280ZRBeZP3vN)!4O|LOAiO${zs6Z@4$QDfN?2Rp)2U=3QOsbc?AAW zO9euIq1(GG<%P^+;Vb*8u{0RcsIY-|Teox-H0Kn)=O#F&2Lo3vg2&Kv4Cj4TLWTZB z>Kf}ipn_pW^4b98(z65CMJfLiYQDirsL-Vh`$jr2G}BaWI>%696%M`!vf&_u37V&% zH?M>hDf|%gAfrnK4!UrMJ!rVqWKC%her|(6X3!k(^kH@!Srq&dEZNt``wpS>|g5dT8 zBc|1qU&8MFuE8g^5Ox#jSxyYvimC0$fKQBX3YtJKb7B}xxzPb159M=H7wWK+<3V2% z>QruYz&BPO^2vPw_Nm`zFnRj>KE8=Hvx+e_{xj4RcHG|k{^}F^5$q<`(~vzztW{0n zdZ4#=0XQx;9_&+49Ll?&WhiXpvDta7R8#8c@qu*-k5k?X{obQKKT}wq-q(FHM$7x0 zmPKj`kKXcb{%Ar=&LyyC?S;qtofx9S;`a`-xw=?>0`@7J$bS6U6t>CoKRVw*HRV=D z>_>d{*!g}AuKsnlqd%GqCcSm}8dlicLRbb?-ohtkot)J;Z7s&Z%!LRs%dLuKyHzpY zTa%|`bG&rxXR^SS);P`bk9wTUTc9^!z6$<2)~;UtJHmF9Gpkx|C8%y|V@+K}M{QkO zO{+5B+Z-9H#(w{EQUq!?Z>n!RbxUhid*kL!6&;P6+Ur{Dt7_^xYMQIs+Fqk@MMqUL z{B5dgN06Aab!^_!-qG69-qBuF-CXy#Drwv_L5J06-@KBUq9pWa>8Ox6OtLm-6|`;Y zXlrk6+;pnVtHzf0&8>e&jH|cQEBkg=XWy_pYul{?w%5vv-fNBfB-zRUB<88nZc}!p zZe%z*q+FQW>g!sO!m9QyZAo7ht(`pMYz~`6!sJN)T}A2YLt9Pt-HVj`bH=%y35K)Ntqw|f|LTl$;~IlM+{3uZX1p0L`7YdE*qt9()mhNjL5mpYHUgUV z3gLedav{Xg8M^Tr6LfN4#?sSd>xWlB4imH(z?juo6yW-aGcMfJ^}gMZyU_^&ogC4X zEuFazN(TMs;9`1R+Bq?F<_QRt`xs82b9d{uuAkUK>{S3gMemX`G@f{XMPAnP*of%GLnuEQ`-N#6r(#^vF_HPBy2RjF&RAcnu=2YaZ>NFT5Vz zZf^Uk)1Y`vCQQ;_Ur>`wMgQ&@KBt9=`Rq|T(!YC2`$A~lK++mDmSCYm;{8hw4JC>SvXGmkrVZTp=DuZUt9-To% zXtTBq_i~|65L_o%Be+5EG(nDy)Y~m+){;T9ZzKOw!FLLpHGA+sA~gF+$~`3b&w@V` z{JG!}!7TJilxJT>Tr7C9pn2y9{L_UV6uesSwm*=jTHIfr$LgMSn>B^N1*) z6NRoNqTIOfL-%ut$lS|?Htz_aoVl-(?q49H9(+~kZxb;9|4QgT5m70odse=fh`seo zgf{QepgcDUeI^lw*CBKt5#_&E=nILc7uN`VkcfI?78ntqM@XYyJt^-0Mnt9HcZnI_ zG<5Z>f0)jgZ)Vuq-95N3b)Vpyj>%dHt5ld$H%;!MlUuslG7B91tJBp;4_(xSxtdRI93qz8Z#O)V}V%g zR1*XK>`;}2upsTugqi|4+cDG_Y8s17EeXd8W9f}JS_hhPV>;r~;XDAjZ!!128BK+w zGnxY9Q`N;q1qZ%yD6inaq5Q^zvHT{cDR$4<_iXFQJEEKPNx|5*o^Kt}729?c9MEgW z4i$|3^0|!0%%;p(;b>OzCF5hNAQozx9m~ZTkjJ?=I`oB`z9H0*UOFx2#nPM78^(%N z$!w(4#F9-c$)q*i)N|53H}(WKgkv}KREdrh#&|BI*N7dSaXCgJg#Dnw#c5BZ;|eTJ zGy6Q5XS@&ttM9&WW4I|%8`6Ct2VKZXDMI<(mt{1Epg9AYr#$)K^WjQrb?8MHqd{(nE_SOTw)XtZDX3T8_>Ms2__QY~k57N1`hNNkn)5QX`OUpQk-o*Q zOn~A0N}%(JLux!%fAf%9a`F?cht%*`s=VYQTf;OR9hUWcb1GgYkGtez6XvZBV7t#?m%i-81{1t9!CySM}74xxA-X z_`dAM?51GJ7)mj0%8~V9TTw=n9xXUf^+KTJ;zL(gA9$#6EWIfUEksA``KR<7a`^yz z?tN36OAp*|DA(lN^k=dgr=UftNG4h$)0f%UP?S09jn=#nC@wj0)u98>Sv*D;9btW^ zK4+-+UUW|AHerIA-}BIaf|W0~r5Zfnx(hr!d@|i;Anh{ta)FTP?1O2~(k&SJI=lRE z+J{JmL%RWq=UX3zTkscjv3q|p5+wHiat@8>Tl48(hxx^+U=;&M?EOtUkMh9~zpl*< z!2C*Ch>t-M8-RaGD!u`juc#$90Kdq%#5Vw6Na`Xpz~Tv1FH|Kq0Q0j}i4DMSB^BQQ zyp%dGwf?XH_^XJbciCs5EIsI5#b?){f1&*Qtb_`+lDfvkycAEyIFfnSa%VGAaNV7h z=XMG21}mXL%Ndg!>A)vra8lk)d_)x}<&&}7K!<}2CiotPeDf%*8TwMNaR{i!38~zI ztygLM+FKT$n|cIP7T*KZCzu_=bi;eV3vq|7Z_{zsYH%q&g~K@wqHY-cj150+qVzx0 zWvb@)O7!}G5gP_)I^Fnj1RF|afT^dttT)l6%Ft)SictA&GX35_JXAAhL#UaW$<+U9 zwMHPzxZDe+xz!-xK&31;MBoQha<3KTr0Pywnl&h|1U9~Em~YM^h3E#Ra!*3*Hz}F{ z|9QM~_lZu^e`W+Yhmjd2!rubH=?{aI3#Lit_bS0M2fNzb$iet;!_L9X!^RlFzJt1c zYRiE+!D_F^7%ZiH!Oqb85ncUz6YN^JWzpw9F_1#~VGMGZD$QcZ<1MK3y$Ul>{Y93= z^~QHQ+?LSyOz>v*(&0vCS`&D)sEi)}1bxh$m8zfuEPf)aU=1uc(ZamEowJo0+5}#0 z^d1D`oz#0a^)95XmU{1iZRQ~cQ%CYYK^Bz3!pIk#1PimdpaB*p9J9dW!s< z$Eb6Tk29B9HI|0S@TXzHzLZZfr1|{RoP?bggMH3*R2BI|D*N;BqUYVl-!x{bn!}Ir z=;Cjs3ZBNumHs@z4hMe&qKLuIW!D)*Ih#0y)tui@c=k+iTpV74>>RFN=w-`%?9ajD zoP`YW_}l0xak!CbSmNhgL5<~p&WFfZ?&nM=r{a!;p81+z5(?g9ItM*p^E*VrLyqDO zyWqW+@;`fh!{2d;KY@+EageqsJCcRA z?`zDMAnJmeDXGDuX9hLP1w~&4Ho6RVwm+5eu+TE&ijhqzhyKn@^qxJ@M3Df3(=OzS;@F)bMKV97JBtokPc~xpQ#vyQ5sq z`X$nw2`lb$nJx|q%obRgDO3t89pP5h7 zZb2Osj0iGiG>op_Tq}gr^FI-fMr6+4_(BwyNB*W4qA(wvxeqr8Iz58lz_#@DP3zkh z8^(t8(&nO)lA@BTlA?+-yflSX8O+VnOc8n?^YrxfaD+the4MVE?Q?q+ZplXj|5H$x zt(bSpywZvy>Mmhe=^N5ZPMKF+QncbsYAITeRjq{n_om@|;rYvp5$^orQY;K5oc%o09-l0rz?@iMs>zg3Eem%ATC-}cYa1})*71%JDU_P$(G%xoO@8?>3*I!ufjp{X1 z&}%0Ie(LFxebD4j*6+10_NHCp4VHU3<=#m7%Jo>^OnA9o>r%wGqH6s$%S)D*tS(84 z^utOoc=KDe{tlj#~69E|o4V{;2$ zMQiNuj*hCXfjzOl=y2~qf6YL5R35)H_V*6s;H%-mfj)B@R$})7#$jtpyPYRH;wGs868Z#&Sf_;#9y#G){YC) zRAdjz&erJe_UN9NZ1;I>=LvTW^zZ83Ju=wIyixM@o2>hrcmB3U`@07Q&AWh>?aKq6 zLp(BMb0I0qtmKv$b_YbQklpHKa%mDto?ljnvFE6pqU`&Jjhhg|iW+mywGCo;u(N+? zS9Gv?|8Ugu<2frios__up3eT=c#Y9|j*Hgtbw@SH+kjTi29Ae2;tz4y$XXzBU`}-#y$j;l<9Bw_SZNnA(`wkZcT(lFkn1hT1dG z-Mec)8l*_sDuk>U!RhICr;yd?UM%^4?7a(oRmIgly!Y8B$w>&13zq~!IJp4f7LpJJ z732^CCWJeYBBF*%ASl8ipaw+=iWaRmthLrlQTu9bYgh~|9`*VH_1NFtTk)aT=wkQvuDq&Elp6avJN!oU=vSN zWH6p8+=gp(Rr}h&<;kwm8XQF)D}9bE8D{I}pSG_P*mrCD_*7%z|G2@XN^8^Q{W@_qA44eRnopHt z!hLrusgg(E$WkSe^aL-dRKa6idZ}{e`o;|}H6Ty{|0tcrJu6i~uq#Rp^9NF?0e#;S z`jQG3@TkmFO`rfDeBt2#rH0}EPp>!RGdvypkekJ4e%Q~^u@B1>pZVE6$vo0?JpyHT z@Ijq@04CSuJvBh~`cbEKR$o0BL499`z6$WDk9o2BE`&d*@2?sL5NDp8&69pm-^bo8Opgt1Yz_ZTg@8e(u^}P|uA167iz9--h>e~i_b!DB^_c$0qeS zW1njE$>ct0dp_=hz9?wxtiHow1ohQIUk-TGHvos#*B|lm@9R4N!p;_eEpo(6@Pqp5 z1Noy~tM9}VeSFaor2=&}f81vk)VCJ;c&}joI0Iw#ot>hu2q&~$brGyS+PVzt+Y!j$ zpn$%MQuM{3udnvEHAUYY&}Z8pC$Mb(XcGtje*JNO6z`?h+5Fv>qVHP)eJr=t_ehF9 zZnJ8(%2bZzms9k;5zxoUM62(|Df%!A>Cf&^kImn~6n%rL%^F#rkD`FS-=*lg3;Lo6 zx6bP0tJ>iCsE58B2vJ`#4x7JxlnXy%=6QHs6?ppUO!Sl)6RHh(QC`UYaI zpKF(_vtHUbwSI=B;oU7?bXaG@_$oA5FB7J~HaU1qcMJ}j?yeMl*AFyyZ&UTrmTFMn zigd=v3NpKCGiY9*?KUt--~(#R{nm<-P+sG|jjT`Z44tTSDbX z{#^Chph%(FS8i$MkM4QM1?%}`l*86b4ZOA-x$q-h-|fc5eHd}Av-Ls@u$}N|$BChx za%z1B4(dadN}54^^|%?)28nf6pQSAZ`Tve}<6Mf6aK=uAU%8UoL@3vhC(Eb%Z$gYz z;DQ9h!yP?Yo>y|h@w*Jk;aQ?@xi7*yc*60!49W7@BF}!&INqTXj^9~GmLDMU?B|W+ z9XR3m9foB29Fb3q6L{tlj&>@NGNR}Ta@(sQ`?_deX??xoc7m56}zDVLskmcbHlPq5> z@`+sV4wP`76HSqiihN>R5KRcf$FFQ8>n|1gm@if8`{?nKMl7UpaR!_xJ#~WNNlzQ3 z*;6K*Cp}$|o+RlfS_ylQg!AM*TaZqi8FD>XKfpUy=?`xP(#}Zmz!Vaq3dHjsS;57L`b=p8BajVUx=zcDWBoZt0$Qfa2a?|A$|>1{%1Ie$@_*9gDE zF$|FzKPvP9hvQ4q(}d1(7As92^GAaK^hYH;&!L$B(rn{-xL$5j+T>@5^F^hnN_c^@ zU+HF{hdJGhtd8g5wG=uO=$si|2$~{y;mAY#u24EEbg{$r$_(c^C6GnHN>bg8oi zH0y>3%X5{6GY)C4XQtoMpGl#)t~nUa4fjD>mT3gyXWeY@v{bQv1p7cqC1&gRHD|Ld$2>Vmm=0%HPCe7OW5~le7aBe+C zr+vQ=)S9GaP4E@hG2Q^_YdE7LDS~DNZ)4!%I6^Tq_Yj(?`!ecu-^eU8)(+L{{*l?b ze`Jp1L>da@>>1tC8@uH-c znDKPhLPx%#khNIr9pN`4ob`1p(bh*y%Y}O3h%f6ynh(fPKg$UbhxG;(iF!HB#G^dN z?^&paBss+6eSztv6Y=nqO~kVmpBIRO74i7tgpk-MB5vZ+4iL^~7s}yZ5LGECdci~y zgIfhlq$T`=3TS=9@ym_2eTR*#`xhCUq!xHL(=7>lOE^ z-0e!=tN2C514P7=eNt%bPm>1zhzR{Z)9{y+epSQYQw)cVe1F9PBJ_=KPHz{&$F4Mb9!#}6=gBt#r z^1n;0M!6M#L}a-&{AHzotKn}czNeUmHqU(J5zoXzOr^_-voZIh^ohjPFleoG1F;2j zHA=4_o`dtO^hV+;d^1Yv>xp<+xK-(UiRfIusPxx~xL-V>^bd(EVSiKU-w;1zl8Km>@(ExwZwW{cS>JKoP%$tDZPgn!*^hnzC-b| ziVht64OF8b?^nFu3lyV@<%)72Lb&w*z!}O%7A4+XMcnd)#w|b)r$q2v#Z8Kr5z!A^ zu6VWLb&4NT{Dk7?h(l1{ieFWHOz~+VuJ<1){!~%=Y4BesjqCIeiqc<$eotv`Dd2TG zP;sc@a7At!;B_heIB<&6vJVtAHxMv6n~@0oeI<|Ch&k< z2S_?oF-LKbVi^(FKkWn%Cn-)=oTYf0;xZzx`xT0-71t?VsCcm=nynK;Bg8F5+N-qF zh#BATzJ;twJF6l>NZKjdSBx~;O#>0_U=b1h`*I@Ae+#kHaoUJHA4DkYAdYvOP9n;) zhlu>r1+SY3Y5d4I28g8PJ}TD}@+JAi^@1cNe^DZY29$Cj z-_ytk#)wc>uh>9DIp!%YBBDIaic(ILYb9x53lWK~Rcs@ooEsJ6M3i@%qSOP*y@NDx zGcgTYV2BZnM~Eor^F)+0!ghmll@U>%lZYsXjCYX#b)=DhZvJ7uZzm$ZUn3%ahlt1@ z?d>sNe9yxCaAyzGmwKJ1^@@B*J%UCQ$&b_-9gXXV=2wKXId`fviOL>vs zP7U8pM85A(`Ys~!|A^9GCl;Caz|{8)X_V(>4S$u0aycvq!oylV+TkD_B_h*Pm7YdK zdAaY6;q!Fd_J5~Q4;=bkqEl!NF`T;f0WqzE? zB+iA1bD@dzH;Gdmh!Z~z`!Dx%HVP*iF6?fGUv{!^=$q=W)ABErbt9_~1WTeajt*tu zj5+wq%C8v~&bXaaByA?CNQMhY?6iCbVPS4+)SZ^UrfkYrR=!7`8)2UwzJ>n8PD^O7 z2HXG2%0UDtHd*qiAhF4^fC(StD=VL;q`%4XWJ-3*HHNRO@E(@fWJzmDiA|QgP6C@O z$5K?kvQmm5mzmRE1Utwg?(1aoAtS-ebop@O4hadD)z0mNS?;OiPBh%Ga_@%>O}jeo z_bHvtX3O)^|FfX*HR%I@@QiPR_F7{<>)~E_a-j z;kHg>r!c6Rp+s%9LM_BS-CHO5lr{h9P^C8bO+$D<@h%IHV(Yb z$Dyx+9(6YC3D7}(Ik}D#1CRQk+SkW1n~ejnvmg2x*E$>a92h};i=ZzG9`)tnu=#rl ze#T)tr0YZg=W?xM7?0m8TDhyxNVZrZ4Wk_AJ&>ii4f+%0CR+kX8M=P(SU>3r8RprU zemdrlE(1cra>N7mG8Eyq9ANv`8sqJNzJfpk)=LZ&&v^$OX)l<({_*%F`dHsg6Xo#T z%Q&gqd@4Eb0d`WE{vGRq(3}GAdZhfxiZc}( z6c;KkQdlYX_lt)>}%i}SS&!9~2Yl=@PKCk$);#-P50hAx0D03R1 z$0;pyKA>kSEpr)Z=s!pUS15lK5lvd=M1g$br(T&0MbnkJP~eryzmC}7arP>54KwLS zHT)SxUPU2XXlxHmuYd^sQ6ltJ5D|~=e=?WH{+02sCPM!`MCj)|iuzF$nM0EFA;-4P zIVDLS^0i9y{>6CpN;eP@-?X;24Qo?u;ni06J=b&6i68u%x%FsoaQ<9R8EPjoH-F`t zhayddE5|-mxN>fBcUNI^2=?akRt{@+fdz9Cw%XjLf|b^Gm$891v-qhl+R)0Jo7tG( z;k^E&Q@7#il_6qVdefqF-HyV}2}O5J}9}#Zybbg{ANMlH=UUqo_#cTu6s&O z$IrXm*AKX%agA-chX%}bk;lA_+#{27_SR)SmtD4E*37n#6fAGMX2mDkT3Sjw?{0xr zFm=qgr+E(na~?jq>145zmYH7rlf^~-I#v{?jaz@{m#>C;a|*_+Tj$lnI$+7zkXT>K z=kGb7srquja%!H|A7K z>n;U1)LGW}_Tl`FVd=F$E~TZmv1tbCg}4G(vAYpk%MRMuy^jt; zK7RISeNkCQ-rW57pr%2v;kLWZeYOym3Sqx(toyS(SaP%SRgXq6yXTG%9SU`1G`)4$ zfn_u~XDQ{k_2O!sOhCuXz8XEWyBi*t*VzvYN7(iUGkCm#V|wiuU||Mt7q8xs*h9k% z-k%T!b8H-jUFfL!3g1VL>%jQcasf0Fl5GAzpQW-GV0wk9{zsrX87G{ zGBb)e=B9ypyE_n={W}SxF1r3T_;F7qxs-H2n2-Xa#g8jDCdB=oAGd|V_fU9%dlP;! zcZV>?iQ#w>hg$><17}iAzW84PGlwsu+(GyQEE;$*!?F+NYf_gsQFCvxtozBz z2W#*l`byw)hI}7C-muWU|!!T zek+ANYz~x!PkNqgH;wU!{Rw#v$G8Fk9M-~cm&;9IY>%x%vMr{&oDuGMaJHI}Qrk{}?+4M;(*QrFom;jxp#~;f zxXKBTSvaE!t5A8yd{aII`1v9=S2f62oXC<@J=jG4SY0-)N?GGm^5r7VJrA|>)dhCG zx-d9j&5s@p3o;mIcye;nvN;W2l!p0k7(EM4ZU;Ujj=>8Ce~Snl)EIM4^kKBi074Xp z381eO2LcEaa2#y_iWe3wND-+i~Lows<3@Lelf?@DRali%=VKfdHTneX`MfcGk!vVWk(S1O1%s#Uk_(D4Q z!PI0}=Un;$Qe#b-)C&9r%E2Qa2@t5vbGBaQhkt+!Y(t!&(I!TT;?VOmO}vW5LT254r-GO({3ZDHU9gtekp?qKp;vM6 zoZAQycvv6EB6B;#c$v#)<2@I^ryvM)0JxY0-unR$81Es#i^hvzarO9RM%ZYqV@=a# z8<5jZZ-dgTQ95Qi=>C;>d^z_o+JoXF!oT!#XPP_s)Ki;kCVAT`z1%3CWpL|ElS=S< zaMPI-3I{lQL++^cW4!IrvZ_)VAew|{mW2HNU})6^NnyVWxn5>;(#Vn(upL!ZQdVA6 zUQ|`#tM6I7?(J+JOmuivyuUgkQC2Hi>gnOF@)-cOvU z_rT7|$yL)&oN@OgZ~Ag?c4aMtOGKt@F$_u7)OuOdMtX%&@7!Qmwby?d9y47x$!OAX z-WHiE-ikH(FqyRFg4V6u%#!l8Fr0J&Cc4eD+MErW)-Gv{Z*JdK&##znh;Q56-q#B* ze=+w0YwN^(fGnYz(^_c)0Y;aaSFPUI zx(M$R;!>RnTRg~?*0^22pY+*bDb3ME(rrZ6&4AJIj9K{^Ojy#|8u${I$sDrV zxOQXflElsv<(SOs=emq+U?YRE()1tkVvjxfvEJ*k*S0-9dvp3Z6tOM_&#~;l@Q!e- zFy1ACHe!N0dFKd*{ZHN#rZlb87l6SQo*Kq0_UJCBFR7!tw_^((W!$9Ci&f%(kT;S4 zms&V6?K=_HqQ3?hU&|P1Iu2e(xylA3Dj@jHXRb}nLSp*9m}S} zN?#<{WAps=ZPS4d?Z%mKo-0c;zi(JldL*BKK87aT(eH?P1PUwRM;XDjy4j4jy4v8&T~fiHUTCefbWtK@`Z}O>%qM4Its~8M57v=BXbB+c9vsxiJ}?jG|2?I7@1aeAYy>i#{c9fTe+&tA zjL%OKSVx-ujdi30FAkI6fTs))KhT)p2nENEezcS)o4&`8e*Bb2I>S$XWHmhhNhSGF zD)oUa@sJsK);1avLI3C}(Z~iKW8|6iD6V<9NwE8V@MZtO{R?bsAouI`lks_dQ@(`=xs62VL6DO*R`=Uh8ogPQun&)X z;1S9p9vc+%C;JePFSaq}a~*<;s~zXRh&7J$V$UbXyg z98@~|ejTzR;k++Vj@KA*recF4$C%_VQ#?!YJjJ-8tX+WI9;I(kyhTygE+G8#N`Fo9 zDaD^EzNRSk0Qq#58(5+kQ35a(LPpO3BGQ+AF^D%-`PD=W9#2p_N#$l~ zxa`|SyroLZzFp93l-{KBTZzzfnewk9;^fQzUEuX9cdLefO6e~tKBD|5m3~U;=afD~ zgr46j{~h8Wv{S{u5|OWT3=~*zIYiKdl^&^dIT7(DD$4#{&@+^7R9vFCQc?EpLhqHN zfj1E=u+K;7&k)h5zohg5BCeC~EB&J49~3h%T%ex8ig;-&G@4z~+7g^9p$96;^@H|> zOGxNf_U8ln5}Nb~MZSb4&6NPeDT=2k%Km)t8hH-m6Iaj+B2;@vDlDDSlJ&JBnNa zLiuh*xxe5d;QfvLYylDNE2`~5t^?G66={@9?vIF1M|oUkf`}sVt0zNNvA~9LB2#UXwi%K zwUh@f`G=lGN;easZ==$2BJ#6G>1&BlZpIz)^K}VWw0iQ?nkjI#HGQuEn^HZsHY)D; z`~99m`f&alu#u=G7ptYXuIq-`PK>L)LRgO!;Wr}rl}xyazwMpLRbFEkcFsAaW9 zT0ETry9c)Bv=m_FRPU}xixXqMbAUI`!rnw+9*%qAC%^O8PEY({#x0+p zK4*M~Gyc?rr440`(6c1elHF0?>mnyvJL+&lj%+J#G@KFh`o&8-$cx4+BnO2}=XMn0 zOPL{jH`NhNSw|>3t2gA9NU2LZ@|x;;!;V)H#Wzj!k3=Wb^>#Ymk!ZI0vT47ja7m#^ zR?T_*)p!g!+AsP0mD9t#-WkY$g_hw`59fzM7}fn6?a_S%KjRHY*!Bl&tu070y^Vx#mfq<^8b%W&FqiiI~V;dTf8*TThd|5kKi0C=_yEgg+zv zYy3uBBzPIPnW6B#Il!z{$YA)>7b6U-rLq=*6~5n4uH&)u4}a!$1oktZ44eXnj$yIP zsh`WclS?T?=K*H6dmCtXqcC%n$&B>R0^!n*2v#fMN_5<-Mdruw(z%rg8u(@UKEfPC zapd5NcfvR=xf9@_%@Ni#za?1ZEti1rPBAMEZACQ@m|5iYMk8NV8s#h^UA7ra##kg2p)7RJT6NE zS(extd>c8XJin$CLso$i9u{Itaor)G2BZy1e;2y2@Mt!up>7j?GtP6}0{30`(l3*b z7`lb-%cMG7(Ld};5csBIDS10xm$fyFrNqJ|KL4a&?H&Z-vZjPTj3gq=VBocQLF@-e ztRk=xaIxzSV{=8!TzAxf{C?hm;RE{q!rl`vsTh_)C}2(1S~Qd_EQQPg*~e zSi*#rMj~g|8QCRFy7Vb0v1ZBtm>$(7eNGQDs0gl08f3h>N@;Ln#Ss@E9ldBNSPHI4 zDhM*ns-wbSiOdqEVP=U^-^-Vh#pNWS=7C)DL*&&9e}M+c^KBFamWL47Ze3?N;VSVi zA}|uT`1KvyqUa<(V*Knp#IfVZ!vUQH2D|dW3y(hei;wv+4uuy-ZFE)@6Q-kzp!;X! ze}GmSGWG^O@V4PKC$EgRZ(ffbdeLJ5`bWDTw$IzJIv{gS{6fZ;OIw$|OmPd6z7F;iCwWKn8(10>%fTqr z^;gSW?YdR?X4eWXj~P=kGB%=m0X}h7)x@8&5i{^VuW4abtf^`G#FEh9K*XQ9F27ec zqr50KZ{GY7W%Ev5kB^;Aukv!DUOejMRLXC(bp5F_s!JxyH`8WquW#MBYWuA1Yj9@x z0k6q5S}RL0*c!*jsGM2bXLsN;67Bd((VA5om$t5vPgfoD(@|z-j-TQ&3rozHGkI#R zkJThcFR(BXSK~uR_=rzq^Zav`bktq24qxkWS~qbiN8_e#t?lg>#J6p=>q3&&eJovd zAwE&Xg&!z^l=1Yf4kb`P6p4{j%QR~{bYh-x8On&IHhwM<$*glYI+HfaqV^4&QA6AL zB_g|mrf%k}rqdUlv$T21>9d;ApkQT#`@s2CGRej{*shKbII*1t)HAU)ZL8Y#EG)s| zAT&8GNn(x5aaI^%jK4-oJN5s)MIq*dA;TA7E3Q-(%VJIzEkw4WxQU(HM!J5HZ0Y`w zSnXlPe#ctx!Cut%r0iYU`vz(D!sQH5$KJSZKtXJGdhE)y*j3@!hrQSy{Vo!pFRf#5 zJ##=#Y*MBa?4HI4zMRU{XllXT+*;c~R^T#8 zU3-z-^io4@_mIlh^Zy^e5Mwy%o&7k+uXMEr{9k)_Edhse7~P3Wm6Lk`LdM|WfIcW^ zbuglpo1p$+y1{m2@AETKTw<3a$-V4{i=PdJIpSrC*AUUM-b5U0&JW-9 ze;G7(UKpE#QPbY3FGe}UU!vHe*hxg=5q+g<%Ny};2EQ2kd1Z3nx#SalAX~b;Q&p6A zk@y)!8qJpNkm2lmi6<-0RGg!@RB?qO+au-M6gjs?`Vz&f6!$7}mXhK3Dn6k2km3`H z-HL}5-%$*qF*1Ix;t0iZBDyb*ONcefKZ%GAP~IH^PgDK^A_gQ&6wgtVcZJa3P8#*RIyxfyy7Iq6BVW1A>K@-XDjkLVmX&9 zwkWPu+@{!}xKr^8MY$f(olAbuKG-w+?;g<(aLJhSpz;7|M^YZhqv?pelnaFDLA*ia z14SS5E$dZ>JRdHVAGk*L$t8I8n; zHfEPEFBsGqelL`peYIQjt+zAE!}08^^BP|6DkuoYpV^b^`vx{J)NuPNH@o3k$UVL1 z$+t5~-1y^%GaKJ8&5Bn&&YTTsabnpx`s26?$A@w3#&IQ%EF9scw8mi_?(5(5RLL>cZaajPzbF zJ>$JlefmLn%=-8@>IUuaKRRP{T8{(DtsJyJb9CD1bz4g2xuXXbW|ue5IyF9G!Q><1 z9W{kRcTw-qEzq}P%IVJN=i@`JE|~Y7w=+g(7mkL1$h<#x(f9nG!M-ow4}X47u5xqc zWfykudE)Ji($ctFb)YLU`J;!AJnqPQNS2>2j5;Fzqk8n3!VRdW*T3mDu=f1;k%!X* zc0TIb^0(7UNNj_(33B9NrzE?4-mJy*-D;<7Tw&(e@uz<@9(pqpUK)QczI03eu8+3= zzPsR|xeZNoy?B0nZc||gJ~`EUO=nR@OYs4*_fgmO+;*=w=04L^-&FKa5#Qgsp`KwK zA-q8jUtAb>OP_3WN+Of*uA7DPmA*2qcV~K6-AUcG3$n+c_Sb#oNTi3gI`S{q|Mly$ zr|zGEx{YkCT=HJRhS)Qx#qYq>=rRlI~`69q_#8 zq2BR6-=&R}jEZ50Jm?tE#JspwyEcf8xZs5zsje>B`fKl_!;=%Qw8+r+nJg8E@!z%u1L zoZXb&P}GsI95bt}VEbKlnLn$4G@~gpx3DA9kjoVb(ZV>a!N3|yBJZ}EL!xH~&d#vT zOXluq$dw$ieYzbO-@R43>zA+Q_AbY}82i@`elCvK;cQ`@j?L5~)wijy-yfUxTmTg#vH?E|6HS*BX80omN zF6&TM$K?;5)p&M8X2;ocogI7XBKz~_WI&@+@#ew8jzvXh7JReo)x+jW>h3J)m^U}4 zb7{--hpk4I!VBdd42_HRKG9jCBrr863ab%r`-HSQ@`-g4hzuVZ;JM!#=;yz`f@=JbxoChdA0 z{c!MaAdW(3Qq$B%11J2I4Y25?CN9%SX@tPhv|2X$94iAUW_+fjnexhyb zL0(_}2+~;bsMnD(H`36((&;F9&2hVvT5qE5F^$_W76^%=u*P(!l})3S4a{*Q9!l zp+Xrg4Eu=9k)(62Nhh39g3+3Hoe4{K!nI8H`dRp;wV{JdhvUD5wV}h*^-&W$a6 z(Qp17a{O+dZS;@8{lyoVlAkcLOLl5~lH??1lL0@5=1oWiC{kCsv}%^&SIS zMFzTDO6x8*D#?*yGFIYX?Ua*)x4MfxRvepOE>|PEr+ZBN z^Azpx^4Y|Fj!%9VW#accK=%@8lvIBbV$@e~9QIJ#9{Q=eKEvzegis>BXbrNCXF~zoz13`zk&=n8Lp!|gWPX{ z?Oy4*J&>V`;s~<u+l_cVInMAfAr14K6uHx>@(e%gtllq?^*5w4LQ*-CS$__^5u2F3l_U?7Y-XBgvpe|<$=|cY zVhL;-<6g}CucB}($YRc3xoi7p;9(EZ5H3xjm09dS_()y`G4SW&aN~Jv0nA}RC+S_N z=YnVHy8*r-Y{0KW%QJ|GR)S%F-X^eE+j+a;VV6+w1$gAF7WB}^#!;{u0j7SV%ouZ1 z@>!~N(pcWor4aH8Lidv8mR^H+zO}5J*;_C?_ztT+O>=OBF2Uqw9amlWsMv%)72K$T*FoN&HE1*aGVWn)mE;b{{C z!F5b=I=dm)jZQ`NEJUCbDdLpz+h^e!D~2*m{bdSGCF0n2y99%D#ri)>!346cKV*fn#ReOmak--dvluEzXmKXjqS z?pA+)l~}3;FMj1AV3BKJP_QvSX+>QQjD!V5b9J$s+VaXvES$@)bgC0k(aZFq)Gogp ztd_@A%MiP?j%)6QUURvNX`;P#m?UE)AVv(FJPu9ol#GIEk`UQPODF5(4CZVOs z&&kmS$3_Ge@A0Y+kcc^QgqCS}zcZjWYR!+8So340iIir@*D=Zx3JjzC=*50$L+JnI zF!s5=Z2Z~eTZd)`nd*JKJ2v2e)hPmdY8d3&xsM)>9Rk*OxLDLVO2@ z>zs~XadYu|D-INZ@CJ^Ev-RSe-N?>i`#^|G=&0I99lytcoDzC*cusuhK6;GqMevDR zjo)oJupE)V3Hl6Y>m@h4lZWjiak&X2FkL?kesNT^42SD96J8PTVuBIh_TD%C{{AI? zE(alixkGj$K9gCOo4&J@pf!;VukV7j7X>$H4~!>}cRiGrFa!sxCp5V{?v(mzP>3OE zVzLe0isM9agq(IZAKwMj;jJimmMAn12ht%hIQjfjz%<6HeQV+8n3SiKScwC5M5q#W zwV$|G)CfEI9z8jfhWszw(Q(T57mGVpE#z#sBHRwCyLsteMCNwp|uc7amZyr z<m(`1pAQ$M#KUx9JB*(R zi_5~Vw*EGF85}Sf$aZUz6s1<;IB75|!Ak_BtjS=7oc`p!vQIocimfp)~tC;OW3Yu}L=cZ19fyifbAAu zLaI_q-YmgODESrRB|IWtzok6rvyv{Zwq&V|AXzTc=ENg+2>RG%(XnHvV?&{f%CBkp z^v0BCGoj!|-8 zaw{;eF$uTrY9}TelE?$6y!W_%W_yR^fpJmp`toHnqEB}_W!Cz&YM`>G<|ww&G@oO zXHQy*wby4%pW&5Pwyjvvw!-V$xehzm6AAnw-5qpBC?mkRD#I;bUsf^+lQjvk7d)4# zgs?)Ju$Dii{3+p2Ie*6cr0^0yD6ZFEqKTXYj1=KfE6%)dL9D3UYl?b*hZUX6cS=#{ zuWtK7_3lX@Vj;Yt%O`n*mS5r3P4g~Z?mZ7P0;u2gN~%b&=I`zNDdW$G6TB~7HqHBi z$t`UohR)#6NeKy@fC-_2fwJ9~;SQcuHDU6Vld6`4B7uOPWVi)Q2)JlOS;@RJYfl(Z z6Uqz(UmI~pH&s_>>0@w~yiHLr zFX~-fiOeK@VC-iOPwuG~MFxAj)8~5cZ(m+Aa>1!h)2k+UPrB2*1D92@!0y>z-dv*C zhGfCr5&V%V@XlQ~qYOzDSI(R6-RM?&f4Z!)<|5=^S84GHup&9V5ZK0t${yOS#T=ij`RjhquI>tN~wt? zTav~kWYXI9=GOM}H*CU+YRn{=>m}8mMXDi+gzdqkRqgU!GOW^WZC|%)P3wZ7S;lR; z*gg@HtGR09`leMiEZdlVgQy03M+|8oo>`iOqo1vp&)kbS? zvG0_1t-D5*CH&k@;IAlWRZMkSRL(i|vzN|V(zvL3;gY3J z+eNdb$)Pf7X>?xeruEy}5}OmmGNszPT#YRlv&2ZLez4Azvw3FSyxBH^1>EzHoQ9(f z)US-KXlyEP&NoVDGjC7j(UwL10wrSC&tBFzYj%|>kM22H72jYBWU`0r1O@yz8py;( znW>2lB5Sx`Be6Hb<{!pY{Z?QK>7V`MY~SFriZvS9FS9-ERy|t!tmb)1jr@4FRL#Zd z1iqn$i_z+pQtww4~H6))L>n5*Y+*m`vR1mhTky2!+)wX53K zN+ervw7B}8vEhWz5W)Q>c5Dc?j2~wX$d2vyVpnog2xYC~n2*D3>o~TYiM=LQq{nur z#jXs;uJU3ZHakgV*1^hAe=v|B0Asg5e)PRLRpOZUpH#s_l`W}J49Pp@* zd9nI7!XMNZ24SCJ5#;M@gFmS6Dd?*JkNTJ|tM5AagZd_*Qi6Q}<>;=)5!BZk$X^!1 ztiCV8AJkV5eNphNv-&;^*th4&w03)bxIrOpKsE_Bx>Z1*UpuTJbu&=ew>We@qsIMhZUQXs% zeG^jjtwjL)YU`{%p7Wr-ctGDE2wQ!e#lyefo_i3$da=&xo13Dq6Z)bMVtI$)u=*}a z(N}@jM8P=_t8Z(HzPq6BDb>gJW%Y^S4$#)!fmdOlWJRlk8gaLz=xdvTPf8$d=8to6 zR^NS^KNAb<-f^;m=2Wb{M^fZkaS}daFM33_a$klgSkH^5<64It)5Wq~Ki%)9=<7ux z<2DlkeNU$7!-6TN1>w{;5{E6v&r|fZU~NC;tV6Iku162%uLb%ZL$>x+p4RHO_~1)W^fa^-8pImzVavZ!8;f$c|rS zZ$plI7p$WWx~S?i6#6t|ir0*FEH53uW)!TKHk8BGO9jGhz2w3ls0OFG9BaD~*E*}3 z7+^csPDEx=Ufu^z!a;pGIGAQo-(PDmW(-8M`XH9%g8YBSzOnvE+KqkO8-1RwCBrzD zeO&e<#sw}6Fpgy(_lOmjp3)~E-?x2S)|YX-gCyLs?Bgcxo4i9L+_CKAF7hjtcYuVW z{oCaF$&qt6)fY+Rj4ay6O_t9S`FXxPd+>zw@D?Lkevrs-^hFXiOBTPWnk+v=>Ek*F3j{r9{P|qCloXJU^*?138((7db9jJtI2UXNuO%&PoaevYvI~vxwt4( z>Vt^H2NCf?xPZ9Ck+CAvFDH#5PX!VA=QA$LFMBkRel6*x$QQ90`68Zo zxg0|>oa0?0vMh+C1=;74kD?1AD}rY!o~IaByhL%2;th(oDBiC4dBv|OKBf4);>(I} zDLN=8^D#h?w)9AkQaMjU6qqU^1O+|M=qH$>2H zDE$txAFfA5*>j6z)`&sSWc{IirkUs3kvBAt(r#>I4l z;wOmEb1xB%P3&I-KTjIzeO1H1sq}Z1epcz95+VOP<-bcDyw<*o_-PC`B;>|?l=YGY96dxxd{*?HN_t& zKCk#o#osEvt(cEFA(pd5agyS6#WNKzRotiegyM6Gy^6fHsc(>CiQ;6%MT)BxFHpQf zajzn}#iTvud@5xd%TbIfmMcm>k8o7I$YBag5Vu6Zdc_7sK4VbdnTl%^*DG#PY**Z_ zc&XyGMCA2G#g8jW{|~W-zf6ghWYAMd`D5nCFngzKlZ#Ibtu1{b2{gfjbnhRlHI0GMXOQ14C(TVtMEU*$aE#L973F>i{uHIx`T6rWRkN%62E_Z(6FEk$mrBAup)?G{20 zQskDFP!x03ijx(mD4wR+q_|Y^LyFu@#rWqcayJ#}OBMGhUaNSs;;o8ue}??0l$QH) z8OBSbf%_GoRD4eHr;3La<^BwLZoFc=zbnf98FZH3$MO`1D2`ApQLIp$peXlg#E&U` znxfpV!C#{EGDU9fV)}Bw268JG=^csyCsdiZKXGn}_Xkvg^k)@Bj4S2-g#JdJ)6i~X z@$OTLj#Ov5FgNUu%l zjYQN#htfNUNdIP~ZzV$c*Ofj%M1G!8TJn#4y{L2#5&1i!^k0clB>Wfh+{haYuOh4WHh9ydsf0652PfT)f#Xh*t#RghygPaQYSI$T<1E56iI_HNX8o4I9`@#TSxokC`_#5s&3g3u(UcFv zCyJ3&1fP(_d-5Zan8C9PWBXWW8eGL|taK+9FUrcs<1LHz?N*@>Ep9AyGPUV`-=bzt-Gg~=x$^6Q| zBF=!8buhEv>KE<ixX-<&?h?|GsAE=*T)5UaW(tGw7GtD{=+@`Rt%8Uh=uQPDiIPi*{*bVfkvtj3f zwtfa(4kAjAIvd7kU?k=ek*MZI79q=!U ze>dnn?7s{;uA2xtu8Rn|zU}TeTlst->x9=h-)jd3d&%FG zJ*rbReG@juNPDF%LZ(iX_ZUEVkAWe`DDu&$Cuq35$3XbWO3zemP+X|EOz|v5Ui;J^ zSG-hlx8g?>Z&bWT@qWcG5pfE>s(3*8^4x=}XFW2UF8G{+S3N@W+Qe2WGoXW<8H1d^ zVeJNEY|;O2+cCz))l)zCxR{?Mg7e44T#>Sa=?gn7Ltpntl+YH9G4GQMcO%ykLTT>_ zWIRX+r`;uxv6kSEc`pQ&Jm$qf_gxVQe}>>jWG*nAF^Z`KhO9ZLvyhHZF;?~xgH`i% z18H-uibsB=HAs6qYIh>0t~PI4AN7Yw{_u(8rGMLyPShuG zrdP6Lu@=9b;xj)sjD0BYebybog~_T0?jqc}m=4qBUCgG-zS_otS4vQ%wI-w&{rv%Wc?Y%QvmE$Y zCqaFDhs8Rv&g!$Y#UTIRF%GeMiCnK4bVrXff@hNQspAaXv;$7Y9f6zO8iX+gJjk1S zKh(*+A1L>Jp!D`=AW_PJ9-~;Pc!DC^DZ^(evYnA$tay&%I>qx9*)Aw|iK65O=csO; z{ferYf%5OkQ;#uMRejP_1i>ADuBz8GLjRsTI=Ig8G?bw@=i$(t^zr=<{qj|>H+?`^ zIFeQ33~7k;3~3tPGo&GmBh-T`=^5NGv%`T^Pb@(h7e45X%Q)zc8{8f435Duj%PG6H z3zkQ}mh*Ualhc#kbg1Kwjx#!jcf?-vT4Jw-%Vxdi#$Gy1+oaFp!@^JCcpk@(aeN!c zAspYs5q~%&UnotV;|Xpla$a{w8y+k>Rk@*2a~`V8d^Y!p+pn(pxvp^G-^Sm%-yQXG z*V~7U)WF8NqWsVck?ZT+gMW;;J@{JcoG;a-KkL1Dt;x$N8^t>6W=?CIlIRXAP@MC?848#yXw+T`Ht)AuQ;Q-<}B>Z%A7LikvDO{cfVie z^vs$w_x^?N+_J^}EUkKy?;Xs1^Zk>~`ODRReiT&bpi^8`mwPNma3f0sLPVXqU8^-kOM z*qiP^=dG!`-Y>iE$b^~K9ho?D)ST;%R90ViWYVf|xf^v#OGbSDNP6tLBNgs-N5(F` z?#MVujW78~7gEZ30x2yixV`J9E@%87yBF2%>WXAFeYXEw6Z3OVFF1W@N&k00-u}{v z+|%dY|B0^tZ>EQCDYG>&|GB0_%+|e5j-+5$g$(=_gJao^S)n3+k=dC|=x_2)= zQr7G2zYd+qLumh}p#!2J$+OU5(Z2?`3kP|8w>Jhn>icDn-@Rq$rb8e5%u|Kq<}`hVF+bhqj9SyFu7Fl}0vQ#!FBw_x5`?#X5K zFLWL09^I4ndcU%w5UzoCr_4P$=d8klr@NeSf9_^!h92toZcc65>*453w|S*oGrTZ5 z?u9OfxdSu1-=CP#J$(M~c@-Un!^%3oKP=SyzIRp~>V2^@$}NB46{)F52hHy+pCFcP z`}KrJ9ef349TV=!m~-3Vv{6p^Q?GcV-a8x~_2Nh6mX!ZEzr#oeL zp4I<|x5%CRrFT1b9_c>N)&Gc-lRGCm=UgdC?7{fs8>(P`ZIJ77PcGB_J#JrU_HbeM z&$tF$TEcq?WN=`^U_3K%E(MP{`yhd5CXQb4o`4IaydRQfznQ!T9K13Zf?*CGpW=)Z z$#@b~jI~N+@??m;TKG=RaOU7$*L{!?xiG@0cvte2 zzhP~M;~tT;@-fNpxPK8pCxRUJ?;?};G&Q_0{y};C9EV#NlB_y|hcMOWUGP{DIPeyx z3Z5bJ8UJ}xhDFX$7M<=|1CF}|LIs<^je=8n6+GXdhYJ$_O3x?ZVGRzu7(X!E$9kaK zjpKUw-CU$x_&xZ(fTQpy_~9bO!e8Mh1l@(dgNLsRhdmCDGrR&n90@(eu)lz{6&|OE z18nB^1so&fqsv7(%KE*sCObt%%5ssWkt(iJmLZ+~6Ic){{3B?#^&@ydDL z#2|B?@1)o17oH1|k*vu6>nZ%WX(g94wzQJRd16f~5wD4Tz_bz$$6(dRoYrq6PVPJ^ zF^xJ`Qg_mXFUdP;Of)YKC3ijXBLmbF{W)2yX-EvU3l;n%wfi=nI7+@wg{$RMe;Lfu z1C(4Fq9SkaiydX(VtA|2T%cLT$qsj&$hRPAt!Ww((&S7;i^EZffb=ZU_d7>Jg&klrNpJkqZ)KU;*p6?Ewe>fah--o3q@my~j~ z4GiQO`hiQ!*<`Lq8uu`r&xE)*v0x&2KS$((Qh0`Als?Kz6ix+aJ~-IQ!JqNWBF~8X zRY~%01Py-*^e=FXPD9{_8R&YfpF26eA3hoxsK;?NLQ8k^BEH!Rqh#LRo{pj#1bhQJ zS`j$HT>`^B?g)1=RHI2zz$uPF;+K#Z;Vw0yOUS2CD(lU4-AoZk1Ho1D85Q zy$DZ7;SOl{1q}E0e)tkdWbSn?a!RwB-6A|ILD?9{6)l2?b=)fvmWD2*T5su2$-(w-JPm;9P-YLjyVyYYD93#c|Eg<)Urgs34b3NDjopO+xv@- zg1g|^$6C1=o*%MStmxQBz;TyIqx}n_=P-J9+J7OsG}>P=78~t5jAbRH(U!^MF+Prh zj~*clXg;CLTclXOkk+#;L+Y!OPj+J{+XkuP8hF}89OZwJf?n1HG)Xi@O3F=-jdvVx zdgEQg7;G6MU1vPoBEF&Fx)a=4%x#XjFLXljiActs$%|HQCi&9UtpT%iJwn}~uDL7@ zU0PO3dYE);I}k2ysRBGs*SL-D-AGW{(hUe4#-=fPf6#%^JJfDT*rVa-TJ^ z0xY?mLl>8Yxns>dHS6gO>S{o%F8MUi!&n&!6k=kf#4|KI{*ytM?IS(j4=+8PS|_A2 zuD7@M($XE&JV{0sZnNn@YUJ**39(BjP5s6e!M&Bdc;!B zNOob9?!}K=b#H>*FHD)LJD?zKNcy7;aMNZ(s@lDb#g6jF<;7n^Z_P#o)v$3plijZ% zQhJ@ax$?TNVU5+W>#Si`#0Nbuf}wiYn;1Q%R3>_A-A8a9(-*jIt;^|{j2*5!+5I+r zkyniF)r`fvw9zdjlfuoJiPgGqBP{X~qdiaGt0o%TZlBRka8E+&=`kaAqWfK>pV8^M zY|v5$l&yhfn`tC?engQo#XX<=dc#jCFG_j5#WX>q)8WL+iVtFc+S)zNw04iPXptIq zU=35l;xq$AO>Pp4oyi5k)y(Dw3@=X39Hb!za%Ux&;gTt&d^F%jZ$0F(*C9QX!dmqVBS*|e_d^}#xy(vY z&?5DSfJN$J8-RhKv9cXa$%##Hc8yu?FY~& zy|}Z8FwPI4Pr6oT5rMZAql&)eIG~Dftsel7INqn29=4%BHT#$pMd&AP6o2hLfVfKh$}QPhOrW^tYK8F>0sFwb!{bP`TN}u3RUo^y` zJGeCKl28$>%(KO-00V!FBYKp8iLgT>l-s;}TN+M(>YTc(y1Kf$s=BMH zv@M&9cFBOFkJVn{xBsomom74NZ&eOr-rMf`(Z_DDm>pd>dS!47f*0n$F?Vb+ZNlHt z$7)9b?3P?due2(=nd^UJ`nuDOy^W4OR=ewrExAXp443c!#`J}6kfV>4#X0C~JvoX$ zZ|!a$o3;+IeKTA9dGm$uf@(*47c95sdM|$zT3}#ShLfYq?Li8E$&uXU7VSu9kgx}~ zII@zqU~3A7Eh5+g#lvv9I`a5zNwL#~DGV0{9)_>=k%zIRz+OQ{8NQGV6TVVBWV+*B zI0u3^e(=OD+)DU3_RtPh^2tq8eJ$8CK zw@r)1{IMZmVHtmHAS5lf2llB%e_OWt1~dD7d$FuR*=(=|>_tk6a6lwj4(%Npla<>z zW1=YNY>1orvjyDZ68?C}mJ(LR9$K)Gr*NR+#GuWd_9_PoCm|+^g3hXKDx#5FXV0*Y zM_b7Uum`p-Pl{X|uF`}4wr;mIH~qsEc+mf2`o-q`#TM*b{h+@+uyr@vBS9?UF~eGz z$6rum4;J&6%%+S*I}jBl>_J2R7{G$9V}t(oz}`Rfw_pop(7*Jpa&dS1*&Ge}hc9M; z*fWp)tl6Hs&Cjr(y?bphn|GCk&HSbD32mRgS<6j!_>#BjocIUob?yUoxn(LaLI$;9 zlYZpFa9lQm;Am*%f*m}xZwPpcTlkK@bbcz)zCQ1(_Vt&Ws{~D-XQ2jvwU0CnGm47J zwXa|Iq|%#f>~pPgbB*8Qjhr7gLSJjkCRLV9nJ%4Fd-gV~>^qOxWXCu4!&zl(ODlVk zzu=B1_Q2{{Ov9$^slLZw3ePZU`EDNBv-1jMUf8_Ud11DRu6e{6z$~*F=8w##`{Vei z&I{A_>NjS&jlIw8k$oS?XNm>eludjCTDkR=Rc;!z)2?Lp{rsObYAsX?T8Pggn>;3# zzu10?+jLK}Sj3-gu3J9n+Vk(}{Bdg-7Svj$I|6;^c`1ML5os&f#zC+6EC_qq9I{kS zkUrl&U#(SnUMOLztkU0?)u~R4KQTXg!mx3ZhmT-8w^!^`G_L5yuZXip*|IilnqAC%65v^O?lrhi|9-K@!zLDt z7(8rzo0z&x>&}JHM}_D$dT8un@DsS$Lw1vcc^%yqO{&VblN>2`J-AbL^g8aO5uKiy z*>GO#XqD{NZKGqeqqQ@$q7^z$iFPTBR?Cjo$;`^is?(C2eRNFDkfFbeCN((w)M)LF z(NP_v)ia})Wk*lQ%*km}`;2I%>`pa1jf&=GN4G?>>(uR9r|vn;q7}2VlKD|feC&Hu zl6ppu&1@CDBC~ew77WYR|IyTu!M|fpKZj`d(z@+@7S^>Rg1Wb3%AIq(>A(4 zE&If*7Fk(m)UFrp7aOc+YIGSlCVAqj>}IW^Ez)*{BaXfqS&$Q=b@Z44(F!(kHc{Lh zW?r*;wd>Vy)h1dl`;6XATQ{WFxEawG*}|=`AX=Cit)3li)3H^wT+gwlI@#1QTcQP- zcAt(Z*>$7G*COOoqEE7_d0$!e8#V#{+*%ZjFFpMFMk-;9pYZQNtz zFh>`@VotwHvlFxJ79q!GN1sZ`4xaRx$q+|4kq|E3~ zGc)T&=Oztk8Qjh!wP$KQvV19FBOQ=hFQ;9!X2+c9nCygm)!fIjRXKB1yK{1z1}9O5 z%iM$MNsYO~PJ`Aq?VaqO9-TT3OipGZuCTjQ*s^|M!RW#fee#BlhM7m5nf8xl(DR~7W`i`(03*?V23~oKZaCQl^NWsJ@UGoa_ zHwu+S>0tt#HJo zPQ!+=>WFlyF z*dNc>EIp;b&Rt*%ZTq9v$VII^2l=-f0tm zdM-n-h8<@2kQp)joDqe2!K*rQ^oVi8`*2od;pp)r&YfJ?g}J~p@0B-s!mv@D^7F^J ziL_fuFiR6pwXj9PEkRwTb?err@44r=S>I(^aDN)+X`9xAxkW|%bdh)iHoI9)&AYJEpLcm0kKO$5UZ=Qo({aeBxw#57_ew7qm%s*OB1u5InVR-Q+pD8uzB{ zGA(#x@;(oig}j1-ylG7BhyqqD)?~Nd{krvy^yTg(!Q1+*e&-DCJJq$8@XSja>OOhg zxJd94^tLl-ZJv%O45lM^pZu>l(YXSfXL`R7bJ*EdMD-9v+al~-DJ^$)GB*$5aV^Q& zR$`}08M(7la<7Z#&Iu}Pt2ylKphV|N^>b&J%e^iwcTU;d>r->*mdU*#C3jvl_eLx4 zT*;2hYnw$39KT;jr%J(ofKHFKZ~1H8^km|&v#nBZdb(7yZStd@`V*Y*V@rMZP}cRa z`*!fs#@?n0@5y*h-YcANJz-KItI&LI4;Gwc9o+bX29C5om+G9v>iFt2p^ezPrL@

9gBvxmBm6yA_Q_5b3#ZPes-wq-HZz3{p>V!eVbVPXURtS;Osu{zsZ z3%eBeAdARPMdIDsj{JZK)#uOJvN{{CnTuh2lqGaFF6(R=)#a^H5i}mTx_v7*QY#iENKfX)X^-9>@ zi(Y%*(Vnd?e$Jn^H=Fi!nF(Kyz0~RSRp1DL4R7u76P9VO=&4bi8}I@hvAmnzHfQ7rgd-?fG}|+Urk2 zANq$~*xqSgd*k{=BDoYB#uOn?_uCw;+&#v=lF|7M*3x4_LYAl3x zb9lhyGT9D97JJvl9DlLAq3s;U#P-TY zSUm;LeKs+fytP-vU#v^`fdccdoHu;ANFIeYJ+`h+CU3(Ju^vGetG8=MhPJ#rZ=Xl{ z4zbs#yw`sDpuMSX=-3)L4(cm<^%aBq=J66+<>$GWV*rDKULKc!dJ8INjhnYtQcKU|kA-m06<0WjW?5k@4j1ahSi_ z*#@gji|l=IOqH7>9C=fnzJIonUOzYlb=0kMT8M9x|6vz5wq5>*-oc*lANs}*5ZU1u zcbw=ChZMq{)nFgfKjvi{_Q8I0>46}35aI~C!bjRium*HjwRE30*g3Yef6PmI**p&S zz{5jgrG0oo=#o3dUaRCTv6!A7J0IJkz1u9>mo66hKa$Re1|MCKbiR$r;YCg7!_Jn0 zWL5>kT^!}&I2ZF>EOc?Ii&wf>=eoF<$PZ;BZ&+l3JYex8PM@;K=U6tY6YJm6 z;>moI;i7%LYjyVZtPS7VV*A*7HJP`tEt~1As47_GbI!hP;hy8cc89sU>)*>F!w<2@ zs%oT*6D-o+R3b;tgR7O;{ITr}i}i_h_-L^8Z2B3oeIAYu$If$a8vE={Cfa()VsM^2 z+adOuoZKWfob|Wu2#dB(wb;o;n?CdTE)H}t&&5$LUg6>l7w5QmtBcEAyx+yOF4{WE z+TZNrP8avOc+kblybe}(oQq9eJk>?}cFFRWxH!?p87^M$;%zQ2cX6$Y&$wv6g0XhK zaq$-y%ker}|H>BGG&t79x~~687TH)j#YMaBLvq7NmOX}nErcQ|8HHiWyk96t8aTA^;`_D-H-b?=ean} z#cN$$mr$yeM@42|gBG318moIky-Z_;wNHAY5QWu=D%JgJ82iM}q z!L|6ww{!KKT)w;WdCvWv^PERIU+#Q`^EJ+MoNsl$!}$RhH(BKQJmcaU7MYH}yST^o z|JcQ^T>pa>d7u4ck?BuinX%!@S){#+F7g}rAkQz*gM1^`Ke&c4b!}a~tLs0~#d$8? z?Bbmk8P96xwJtv4`rE#xjsGR*x18T~{@D2|i;VYc7k_j8?U&}(Kez@k@fb7hRd=zT z%QtfIWY<5}#crMw~c&+Pyv-3h1?{@tka9-o$1{a@m@l_Z1 zxOmXTD7yhRp5t6R(Z$v-cCpCwI@@9mu3hfp`4)LTmpJFUc&&@KSY)_6E!O6DRxU2L z$Z!w3{Nv6~I=|@rs`F0g_np6T@dp>}1PE)dtVPC`>D<5~ROi zi#yM=*omJ%JKOoG$+?lpTIc6n{KUmHj>p*enz-1;#nW6I<6@zUcf0tYi%+}wu8Vx^ z1)nFZK7wf55BB+D+r<_$U99h7GZ$OBc#4a*PiggCUF_jvUl;qkc!`U7E{=6^qKm=j zJMBz&p6%jX7jJR#HW%-4@m?2KyBK`#)6O%_TU@k#51Y;%E`H?VUKhV|@oN`R3-Z(Tg>B43^d zb*U~^a(a8q2g^WJTJ ze4~rExftBTf&Re-EQt5H{*Sw8Kc%zbo^>(UuEBOny!C(I#ZO%P%tbpp-txsR{^;Vb zE~eP_2Kh7>gL@g_YR%yhA_i_KkZ>!SVK(%Q*&v8#*r%O>j|Y)27?I1hI**q)-l z9dEI^sV-jWVz8Y>|LdKDdm-W5obPsVxr={s@gW!g>SAzjB!+v|`2`p4Y*`!cn=Xd; zNczC}Qy0H*@mm+|j7b~r7Z*!hOl8Gn{nK5vOA?stxY*joV0(@J=R05M;#DpN+hOE` zdmRy9cl{5$_=AhVy^Y8R+eyST-S$avF9m$L^JEwAb}_go0{JhTgL@m`;2uN7;2uN7 zQ&VHpe};?KyJ+`Nw&(Sji%+=tfs4UCe#kd+pZj)Q1FN@7GFZICMY|+}Ip4*pE?((k zu)RV4dgpsx47V@VIZZ4kXVsOtP^8K8H?HN4S*)B<8!xy+X-Nl(MUgzQsE-rBK zb{B)~9EM-+9Bl94V0(x7nCt(9iF52nsRzJzb z=`PN6@j4f8aM3RDVf9O0T;<|w7aw);uP#32;(jr!Wo{PbD9=^nRxQnA)oao{t z7lZ9S>aTIW(ZyR_47UHs+ZpjTo=03<@8VM~KI`JkE^c%29T)%Z;zus-b@87re(U1* zF8=Id)V2#5Z&??Qaj~k4HC(LYqMbl*_03!iwny>F&bcmjb@6N$&vkKti-TMo=Hg{8 z=DQed&(iJ;=c`?u>*9PD?Gi3FJ@>k3*Gw@#;o@c&pL6jI7k9XLz{P_u{@~&-F4{F$ zti3E38@t%t#WpUU?Bba&p6y~^7yG+-jf>a0IN!wuF5cJnZ5RF4`B?mQQifF8*RZ-o-2z8@OoKgt2^k7te69i;L&G zc%h3$F3xswzKaW7w9Bekd-u8cu#1nmxY5PUF51;stbT`!c7YZ1XD-@xR?I)TX!nUR zr@C0t#mX+)Rah)MwKAu7+YRa?vh;V!q8q zyYPwm0T&-}alMOAy7;ty23cbQin1c$SL;TpZ-0U17xP3tXJ$;#Drrc5$wYcFhs1U*#g3J9Yu> z*#0NmQg*!F_Iold@)|a_$m?R??bv+dkaaLWaxK!1b(UvyE{F^oL>BKL2JO+V9oM$w ztC~*wX@73?QF2f@YQViZ1?;bKA1inOdr#m>+->Pna&~3!!6S8?aqrW(*EPl z8!g)MNCFSlhEG)P#?%zNO8_ zp!t-fN<2=w0bIxfzvG&Q!MsmOJC79CH2ggXWrG6_DP^WuJ1J#@*_T%4pO#B2`zE3E zI`CUiO0fSQ-uvk6pe%)#CBh{Of3o~wdt7?&qtswZk{SgeSoXNo-ruPyYs+dXjzpGNPpfi$kNe^3M)E^Q@ zzbH)UbzoBT`Y_=|Vf6YN3D;RE>#lCX6+-MVZd&ODLyYATukH~a(w+sKO*dyLS+Mz( zc$QKMeOQ6gZ*cIPFlRnY<_rXWYCU=wzqy|j-gqfK5Z}&rEf{^ph;!^rh1mU;;y*$TezE^2 zKb1bhotysjH}c`g_})Bx7)+gg1>ASUWu?D=x1X>7$*+Z#aN9KJ25`QY(Uf9vdi4jliTb9_07{YD}7 z1Lt_pgoV<}1&j3&`h^SA|6hJ*Y@ZzVfx#w3(AmmQJIh(uh(9(Vj#}588XLw|t=3t4 z;mXi#Yh~*?@uzjY@R8~+@#^fuR+pQwz01A2{6DE%;OYoLcP0PY@%FF_AI_%-hXLZ< zcH6EaX}?jh`C#LFKqPez$54$k;2tC5bmg~eP%)qcna%*_3Hk zvO5_c@6$Zi_Uzi^?dcbGVeUzKXnVc*=xv!eMwAd589_F6_eGc6w-g zg|wGTKWopnD#H1)m&f5SJPhtve1|=7U6`})QnWq$4R0p>tUWeK%!%) z3Pt)ncFKG?j@od(*mDZsYt}?H-YE5C1mQ!Ayae&ij%ipAHp7Gc5Nj`kKO3jEm(PoO zq~(gTgf1M9_59C&uc~9T@uwubC#^+W7OKU^7|x|w5Bq(qzK7X>@#>k$(%J7@6YEoh zdVBxHx^Qh1ll^`*vA(QVAKSSIhd%nf!ffW3cJ_Nx?dQi!%xN7uMYVUVc5gp;7j<_ zj2FpI1G|n-*zAg}>yw#48@KH@+A?l2_+1y#-pk3LfkmErbC(Z(*G0aAb0-&jxOlFM z{av)r0&91yi}vO;>zbp2njST%Njh_$!F`F)rF*dhzt zJ{OB!|6eRJoUKc2xQZ58RD$1w5o@~s4K1=B4}KR$w9cl(-W3)p4WcbY<}4Q*xOj?1 z#($cNU0n?36a8)JxBYipzHE3~Myx*5B8@k;c!cp%64V8+8wiG{-j+TaE@+40g5w^< ze%7D%N4Vi8ySnQwk`IRC#SVs}uD@6QZo=}UWvdq~PxIaER~J=U;-9`0B}@H&Ub z8tARZ8tk=AIMy(W5gqAR!^S>(bb^mDPoNFt$yio#m`+ z#2=e1N3Cm4jX&OSJxwau#8b+s4(pQ8-+`TRyD2+^d`VCw23^ zy19Q+_lT<_1l?l(?PU|&|V#=>Ff9sE{rtvzsEnEMrLdns(+H>RJp zXZwQT^x1DpZJ2PH4lsSI!ba$C5AE-G{;?R=EnxfHmZ7i<>oR#j6Z!G}Y{A%mTG&~g zb!~YNJ`POX5PRS{n?CF8n3+D0<~)x+^tb21E>rw@&^!KK<6-1>+Uq1E2p?Ju-^*6l zo){|J8Ak()WEhmts@!-#RX0?}u1t zo1dlKpB)z|t&i;_g+thJk1ubRd#)$u?UgI->^xfS zqU>SXK5}W5EkUJSG+3h4b_Aldv*Rq*qAf$Vj{cvHqu4m~I7-ZAgLRV)A2ZKC+!XSU ztAwB7VLtKGJKk51t0aD6$4k7=YPY}7Q?u70nKzQXcP!eowa6}YB$e7ySeLc z?_@k!VJ1&bU9 z+UmT+`F-b)Ei!BOxmfJ_+YYP^7aVV4x{i3fh5r8W7F$=@^EksIGpmb>G#5;ty$fwR zZE3Y=%b3M17aO>EibbX?*g+?Db?)J!z1x!6QMP5nhPU_mk&eUUSbuxbEK(PapC*I) z;B^H-J4}@Admrg|Os4gxo%$~Jvq*cREi(KxiwqyUKCJ)ladkm^ya9sgqdpiOH+I9d zwTRoh;e+Ridzfh_7!Mv`w)r{DE;H0=()cqcOtxb;;qO3B%K4MyHf`Ft8wDTzcOV(D zm3{oU%`t9`l$=wP7CBg^DDrGl`$+ezzx(yk{4>8joKaM;A^*&x!x?k!_{^h+BSoDu znaDi5++`$*MJH0G3)jgUF}1}xZ6c}ZWzQ*>Je;1%!KuCf!ME`V&v;VoL!(SErO_5i zSy?UXWo5OBz8%SInH8;>*^=coDQR3V9{vd~tzwZA!ub2M9mHN&r z*{xe==R}f3CUVf@MBNETw8gs0i;n$cmOY<+p z9MA7D=a%OG6>~gq=ZG;sGGjf8OKQg)&o}q-UCp^J&G_vcu*h?z!xx$}OY?RPd*tJ= zPjSgAv&^5(=7XjAx4r!5=3M>@y5f?ba7I#0HvcNvA}IOVI7u9w+b-@zJ}S1~c&3O) z;WA=7H!D@V0GAc7!fE1-xSZI|xk?v*gv*QVT=)!e8Qy=@#3$e)v7J-JAg(JeIorz* z$Ja=`ontjiZ0EMm7C(x$y{%sU6MUW2|BUB|?elJ~xH;DLx_S9a@eNWx1_-1iMHWn9%8)9wm3@>kYR=ri~FUJeT^YHEB`>?jR*~`C+7fJm$ z_zrPdJ}efCGqJXJikI(;?~?klc!_v6UMju^YkM2K{G0e5skd{&mWh+>gQrvJ`>zIO z5pZ2`NgFTU3nxka2%IdobGxGAJ8_D5ojGit_qUy+uKB&VjMV>vQ^nQzpeieFfz!m@ zv9>?h%TLASr2bZ%E?$Gni(kMM#Cx!||1U3JDV@)1sc(cUiF5HWVmpT^(z$g0kHZaR z{#@j+=Te`AtBOy-nc_Z}Mcj48C3dcm=4aw8 zsb7TaitSwZdSW{#zJb`z1&VYjogbfJ>lN#YOMdk7$5hbmo8pp&SckV8874`4b`DUQ zcr@1cPmz~jgv&|&LpWXhJkAvFz`Vs=S6uR`m$!3%vZOxBhFx8;o%2&qTo2b5x5f>` zopD2PUz{Tzj+4X5VR^a2%U_RMN&RA6Bz^#2D8oPQJ{c(M2je3$q}yhOYLuMpe0Km6~y;*uA={4RX2)PIRr zihsuUi7RjrWR{yi^4Q2ZtYrYMBUh2E!E#iyt3*vG3Me)`6CGkT1viN@dmUtt6 zTl^Z{A^r%zBQC}}#VH)9eOG)u-X(5|BmBN5_JS9ebinJ}t8d%iJ-z%;TqODFUVbs= zBinVwB^$i_dpJqP_cKlw*Q(0;SbRE85f8y-#Ita!coi-yei^5U_nE`V-6>Z^7**3)Gx$U#E;{u;$66! z_(y!KxCRIFjuUsp$BQq;)x}q0oxUYreltEn>OaCY#P;T@DXxoaiM!(3;*q$HcrHFs zyas2Aw_=^X&%JzFbv}QkzA3IN?uF}#C*b!lX za1*J&0yh;e#?8c=aC7k<+(P_2&K75Kz@??QGtLnY!8-qDdifQ&mDF#+t;PFr8*wTJ z7~6^)Eavl4DloQO7YwHD)D!Crug_eT(3yn0T+qS#eC$& z*U!Vf{MA_ZFP3=ujrbaA?;WrH8!umhBXhH)z7f{;JA3&d_*$u-;nm;ac8~zWwRm?`+aL9=!#3~VV#~c zy!@qjfz(gJ`g+dw^7rASSmXR#T=I;Ue;?l}?S1FfA5%9m-xM#D`fga;AL8X_;M=7B z4zK<(FTWk%F7;n{^~v=T^R@9Jsc(;Udd~Oq6Yw2Ue}h-Q%F92CmrDHyUi}YVzG{80 zS0nW~Sf}S4FFziykop_2zMl7c`B$*E_m!8g(t!OFX|FBT`u<-2YP?eF@Ac|m@bU-n zeNtbEgB99-4%Yldc$L)8@apgJ@-O52rT$B=KD|+5z72jr>My`LJyX2=3cOb8U-0Tb z_wpHyITuap+hA?Kzn3q9I+e_Z=ACM-)7h@e?r6!5_ zCb*o`cgI>k%*)Tj=~92USHIEAzk|z5{Xwt3V$;NYW1J!NJ+V&DI4^$_t}OMBc=d03 z`EPL*sju2BvHg>=<_F?xQa{D3zun8P!^cVe>t6kSFQ3{x63LYM1~^$>&#qp6D9)1l zB3xIz+#CKGFTV%Zllq@=eenq`635>jYra2jAoYc~q4+j$`1M}?E!;@zzri`;^4W>w zZ;UnH6}OW5A-J{pDsT8DUVZ~^BlSCQTk*Hv@D*An<{RUaq`oU|C(gtA`pxw6OYq53 zzX2DC-^AtR_5ae#r{u8xB=wnimiSbBt++p)EiS;6_$Tq}_-FBl_!sfl_*e07_&0IIlOmDd#kDZk5XlXne=~D@ zhH^a2%b$ajq<$n$7Ei%Z@m!oKz8hzWAHj9SPvLsvt++`14|8l{`M0>_doN$LU1B~5 z^MkY8*dWCvo$%5a__w&Eua_TT!%O{iJXd@(ULanD7mGLI$XTW1dj)?Y*5S? zYg}2}8CMba$5q8+a5eFCe5`mLK2CfWK3=>UR~K)@`uw(f`SlPfu6QP{C%y^S7vF>R`919ApT-TO{&n0?{2^{6 zK7bpGf5lD26*_XfSDcBPiQD4l;;y)bxF60I55q0R1(+W}=EfGu;*uhBa!mGlaI=?R zj*G%H`E_3YWt=8?yFaA1UyPGve5t1~I&n=L73bg-aW`B>JP@afCt}X#&W%k%amj3) zCSGEe>09UJU&7_2em71Re~ZhDQ%+}j5TAf6inDPgaTk1ycmU22Pry2Tv%UOXxU$qg zf~$yMz*WWX;cDWq@v-9MGkAZCkH^Q0o8#)@&RC~!fR`VSPmuaqxQ6&nTvNOj*AhRE zYm0Z`I^uug6UFvQXNr%*I(^N(d?%bG_5E>O@mO3>T!ib37vTotHMpVpS=>mx6E_y` z$2xud)+!-?EN&w8O>tB48Mv9aA8sxlja!Ik;%xD4xTSbC&JjO@b^3OC`LA#*ssA0f z7V}%7NE>lu+*W)VK1tjcw-aB6PZnQ^hlp>(I(=)r{Bt-@>UZIx;sbb?IJpbo_lT?G z5#nq-Qrs0^CLV~ViZ92hk+3T+nd{}3;b~IOZy_Sn#oO=<@yGZ|@%Q*DafPmY-y?2> zlf%)oe(vn$2VuL0XRL(Z|KK9=^*BR@U+m={!AX*T(aV2?uaWk?^XmETJR#3_sgYSy z-vMj;eZ2e_e67^;T~+o!;f7z?w+5-nmp>Ql{2t-uug14ZdyBmK zhrIktc%jsP;MEs<`SLyZK1k}buujj(Uj96MyVPIi)nDc1@5GCw{$a2F1uy?0zC-E{ zd-dhcO3c^8OQpU8*6Bab%a6m$rT$v4eu#~xRQ7%K1MtPXNVV?V-pih&x2n68C+TF-@#SHU*W3a5?oDuY%jL2#Le(= z;!gN@@rAg$_;P%L_UECR;A-)*riVJXtyuWVn^2=~1ssAhPEPfSt5&r{s z75@u&6SJ;}bQiO1M$Qy7uOdCf=i#%&m*Jk`tMJ+4#kiOFQGAa0MciAw7oRKs1@{qG z>6v4uGKd*ZEk8qyUAI3w)<@&LGFFp|u7oUVj zh|k6&#d(-zB0m48;8EgR@o4d1@EGyac&zw6JWl*A9xqP0AQG7%u8Sv%PsPuP&&N7{ z#(Mc{@bglCC*C4{1iv7D39}5xpYI<0lK3!wS)AUV`zVRC@K$k0{Hpk3yiHtyUlZSq zw~N=|*Tpa6H^iUdH^slDy!U7n$*w5)5Z7U8RBQ~mEsTa zRpOuUO!2XK5xek8F8|UMmo&gSJtukjGjWpC=iy{=A&!dY;S}*QTt@sDP8Gj~xd2SA zO^r>@9{gZ5CiB-`K6xnnyGf<_j``!_HDCax&;U*JmO5}YYM zVR&MDZLsEh;Vh{if$NHA;`-vnI4aY(-pjv+8%X^=+)(@*ZY(~2L}Gibu;zQ>CQ?5P zHx*xr+2+WNO;T~m9ayJtotJ+Vw~+e1I9vP+&J`a!lI2UDZ%eHCGjS)W&-3bMc=_9K zXQ_YKtAEAI{{wfC`k%b|s+T3^n_;%O;`5^$PL}B(?B%E6v!wnO+*ACZH~b4;{sVlr z)E~yZ#1%&+j=vGs{2BNhslO2S7GLfSf1{Vb51%XbPvHULzk9~q7&&$ui7fbz}c#!z7-tcdF`LFOLQeS2a`kq{6k*; zWjtEyKfzUaBB`H?Gv)PM>E)lo z*GTeExtj#6wecHz&DED!t=%Z@lE2C ze6HUju7ht8x5o>_eetd0ad@G44!%vi0^cs)gxN=qFJC+H9pZ2Bo#M31`8}h!F1}0L z5ib$<$9IeK@lx?Te2;h)UM7A9FBiX$BNvrU?_pe4mXC@BiTOsDefIeC>x7fV7vrdS zGENcSg3E{>#Hr#JaGLl-tkd&@m#;jD?Ki1!hSSB}aCz}yTtPeyR}|ldD~TV*k%6Vp z_jx=t{)Q_qdDqK-jVsFhD^r*_d@Yr z$?`wU%g?~Gr2aO1t@t54Tl@mPUi=ZBEB*oN_%fy?<{RUAQlE=&6c51j#rgOa@r{^c zcJbw771r@R?d9La3#I;Re4Dt;bUrV{weg+e_IR=QJgnmzJrqbK>8zj_>#xiTRe8W0djvaVCC2JQ%+yo`PQ%--2He{{?RqKZkYv?|b=TyiMxM zUCHr&aTeY#J_WxaJ`cYs9*y4;&%!$XyS@Bh@eZkf4ZkD)6z>%Of_I6lUd8zZ;%4|g zaaXM4zr@Q=#=E8dCj5c;e!NHgH2z5ZF8+u3YaF?_^z}@gnK=FvvF1<4$x?qVj*2hC zDdMYfs`yS^R{SW=6mP}){6F#XKjFGkU-@d*-{K~?zPJ-^D82|c5?_uRi|1h-|9xKm zDcn@*-@(nq|HRG3(ISpth->1O;x;%}+#4rH!mhYvw3nZSJ4yZBxU+Zz*5Tjw^7}F0 z3FJnCuDB$64c~u@>tG%J6ffTwca!?DxVw0cH~hU`eiJ@Z>fgbA#NXgDv5Di~;*xT+ z67%)&c~XBG?km0!pD(@~_Y>cU`-@lNBpKh6Uj8jSKo6Ye%8GjS3`7T)J z&m~^I5MLwh-R#w`_VUl-SyKPLSAW>cr(ehRfz;Q>Iz6X*`3vw|sh^0G<@w#<08@DV<;Un4__|XX}@>Uj7-JB=v9MWbs}c6@Q0Q#Hlwh z|HajDs<i6MF;-7JbxY9iK z_r!H^HSsC9y0`~EL3|;uC7ytF`mXcxOL1+f|0}K|eib(s@5W8U2XJ$7^v1;T)xer> zghHxX#T)P{@#|Qh|2{AO6TV;S ztK7=R-j* zi$B6Yh=0I8iYwg7wr5@>mw)MsOHMQ=2MFBS%b)G#hnt6&4qu3elrJ5AwwGUm*Tol5 z&ZqYBuUbAFoax!?yK#EbAT;`KN~{3fm}K7gx;%Pryi4{<|WP22?^D;|Q66JLdo z7carp#ec*6MkqJ-5*3&1#5KglxTd($-E41*o8j8x9=MKp1U^wb3ulU#<1F!JTvz-) zt|$H;*B4h^%I}TDIk=(t9Nb7e1~(R8kDG{B;ilr}aWnBpxViWj+(KOa9=7krC*hXj zzBorb5w{Z0$F0Q=Vt%s~e|=uYZN;DBlf;o_d>*8GT8Mu>p5bi9VhP#OGz+J_E#ofej;qKzE@tNZE75qL* z+z6i~?uvVg^YGc?nV8?qy9Kef)#I3F6(jhWH0uQ(Wy4w(rEPa078~+)z9g zHxkdqjm7ulCgLr)srVnbnfO=SR(!&vY+s4n;dbKl@yX(R++KVWK1I9+cM!jVPZjUO zUByW(9NolqaCh;k_)PHt+(SGWpCw+1`AvQN^?DSaE#8j%iNC@Zh*KY9`$Aj~UntJS z1H_l$i^S9MK=GaUV)5g6xcF^6Li`OLDK5XB&v$WSJWAXRj}{NbW5ieEvErq;P`n9G z7QctD5Py%Sh^zgT&sTA4JWbpOPZv+XGsN@pZ1Ea=op>vrBmNv;FHU)!&o^;he1kX_ z&l6vYZxmmNZx`Q<7l}9FJH+qfJH*6)yGw?&=L6{rz#@`>4@Q|{xwVSQ4=Xv@2z5H`t{v*pLl@9-_ zm(The$2(+v-EoR|EKU_Kz-i(qaJqOmt{^VKmBjToa{NJj7OoPDha@<*b2kt6<3U?QOjC+X7Y+`*TZi;(}&&9pPg}9ISF5FlA z4DKiX6!#aWJ;nM>+yW02_r-(6Q}CtYrMO7^Jf0=~9M2Y)-^}}6oP+0zFTnG})A4-q za(uJ+MZ7@#6<#Q=^fd2(aT|PxcmQ53z6vi9uf$8mui$0k1Nb>{m1j8qE^d!s6km#8 z7SG08#cS|3@mqMi_HcxYkP%Hb5e|{7c96xUqgd48UoUzY3>|SK-N=CShue}#=3n~=1sm&k@lP7y5e(jeQ_afD836f7C(cVia*88#c6Nx{f4*&&Jp*; zt;JJtTk%rdPW(J>Fa8{N5SM?O?;FH9I9GfD?kt{;yNZ|N?&2455Aj#Hr?}FN#O=p6 zxVPj7VBLPa3ip-#O59KU3hpmHfCq>xzmvHA*bWbp{Ka^PxCjpw-;aljx8afEZ}BK` zwVizYh)==e#Fyd;;%jlfcr`8%zm5yVhwv5R4$B&5L$LqwueaSyyfJRWZp zFT|U~f5V%_d+>AOq}_?z{|)hrl0O^2EY8PU#f$JZ@g}@o{15zwIOT)H?f)ithva+X zo#IJ&mv}LLPy96AE#8awh|BKb_b%cVc(3?;yiYt0e=c5*zZAcO_ly6D4~Q#&7>Rr% zJ{cbrUxE*buf^YqAH?5_-^4$P|Al`R*Zhd;BD6Sod%Kigo|yeXRR8 zC0O@w8vG-1|K@D0`!|;BEtSod!}#kzk}?&HM$o0eGjZ!W;Pe=`GT%J%(A zTvz-mt}i}_8;XzrgwJ2`>A0zQ1a2;#kF&*p#W~{LxV1QGFQ32S#<-pMT-;uK1@0ie z2X_>|h;{$%E3Er(l|D_}e`|wv|7`%){kN;I?!T?Xy8reH*8R5wSohy5?@Qc&Yln6J z?P9F^Z$()5-|ok{|F#Y5{@b@$_tz?Zmbksy4D0?{AFTUpldrsAgJdvJ5{7Mv~q0_TV;e8KV~ZiU;5`{Q=v8Mudd1@0++ z3HK83$GyeJe3`hv*cSJd{6$#z7iZ%BlD`j+5^u$0#9!la;woRUeIq^@=Zgp70`WDt zQ2YSCLi`$@D?W(liI3f%xWC!~-z@pTc!78}UMPML-!6Uw-yuGXSBtCvlh1$gX?U%8 zD1JnIJzghXi`R?a#*d4?#~Z{o4;C$)SohaI!@9p- z{@cX;^;TH-*Du7nzkU_g{q_5BW7&V+hMS6uadUC?gS`L6XW$(1NZeX{6K*Sh9JdpH zfc1Dmq&V?-K?AJE3wmNbUN8~s@q*j29xr$j>+yn*upTdn9%B8RAIarky5f?m<_ZA< z*YonNG1qL#ja6{}IGiT#hcm>ZaJ)I%ztYPu!queyAzWSj3eFUNfVI7Ey?okXwil$n z4sI;&fOEvXv9>qV%g?}VrT$jTHEH6{|6!afejaOk?|S)fa962M`Ht6LoQeC0+hT36 zhnLU8{iJ>h9w5FA4-x+bYkN<5`JH&U)bGcm#Hs&caS_+R+FrJoKNA;9{Uvy+cp5Ge z--NZjd%gT7JX`AD!gIw3@B(q<`^5IDVa>O|w@ZB&yjVOKuMkhdI(^rB`4xDT)NjD6 z#XImi@fTRz`_;=I_XD3FQr`k^6!*l>i3ekCuh7fij9--c`|(!s7W|g@?^xSA;N??) zf#zdv;Gse#M)k0FMlbn zFZGjgWAQ?qBmN84_BMO@UAV2(e~sIV(|=+8Cq5Btdu_dZZ`@VtN8ldfS-6k*POR;% z_3|&{ep3G-9w7c14-p^pYhru#vF1<5!=?TLJW5=E^TpR=oxY`BegiI)`q%MP@qSz+ zF2UMfwcir+*?6|pcf)hVLviGa(&ceFPNP?>f$Bd@aw(&He5^U_u@?P&))D=N)q!;aeb-pj2nvwVx9g1 zFFy}Am->}BN4&`!eutOekK0OpawI9zUR(p~_*;AVp17mb55>9S>E7@Qz5E*7RqD6k z9^&2J@Q1v7dQwuPm(gq5@p5nYjb8q3JV@&I<00Z`a^m=F zVa=a}hf95LJW70-H++$ozYC9(`t>+p{F*oXJ}>_pE|mJ?qDhgd;+9zFUk@*zhp&|S z>9|OIn>YMgFaHvrE%hJax#AzZ;WJVa^G)!4sqc&zh%d%E{e@osCVacpKY$mDpY?`+ z&&wC%rBa_>CMmK)To3E`J9_yG@G7aFfLDvJ_l95L<)6fBrG5uqC;q25d`fC!zBYbb z>f7Os;&ZW1|0pki4c;vEOK@ar>H7aMZ}_cV{!^SH^}k!a_}H?E<8N-3{F%6dcqq;g zPxFRf;N>5})ujGqTwVO3H~e>A{+P6+NG+*viZjKXu+F~$UVaj;FZDO$#^U?E;WvBv zzvJdoe+cJ@%auzUe(KqttK0x#G9I;lK3q(R9}TQeOx6 z5TAr~{`KlUl(isbUa(?2jaQn0&n)V_@Q1v7rAn;-rM@X%EbfeT{tfi< zSKy^mzYwnwuknW8;^jZWtEB#Cyjom^n=9z}n_|s($7`j2C|)O??hU`t%Rho2m-=mZ zqj;}3{LfzgxD3|+Qr{XsC+>-L`iFY?nfOJiUxK%a*L%Zn^YWkL?NXmyIVtj%xCYko zxAyYA@lL59gWnU+@`hjHW%YTEvllt_kNs%ALb+L}WgO~4*f0g{BAG*J+3A7RgUHJ zU)&Vy_&a;~OL2XvpN<=g7kb05@$xU@=2E{G=ZJsyhOcs5Vm=$UmHM8zy?7|r>7VZ9 z@4y|Uem&+Iy7BqH%^QBNm;W7imHHaT^Z74sjdlDzz5GbrOX_FgKH??b@aw(&8@Qj; z@5fy8H$MHz)f2~G18cq=9whaB@euJCZ}?eWei$Em^#k!-ae+7dJTLzM zo-g%V@B;B}Z}>xAzEVv-|E0buUM%j6b^Zk%cQoj(d5U=ru-{R#z!mFhIXS`Zm zrB>qjn_|s($7`j2C|)O??hU`t%Rho2m-=mZqj;}3{LfzgxY~UFOMPqnoVX{}`8U+d z&%`fE{Sv%Yyxtpro0tC_Z)?G--vNIq?u&K$$9VZU_<+=}#0SNjyy16v`ET%dQlFm5=fAiv*70}n z^8N9zQeS{0(@WQ%^St3#diiH?iq!A6dhsD|`1GvAd_!D8>O12M@j$EB&wnp}Gp;7} zYjAb(7H|07UjBPrOX{oCO^RfSn_?Y*XD@##t}pe|abxj9Z}>G{{$<=;>i6Ot@z37y zRq7??vvFIg?}@p_eEjooDAwtp?&a^m9i@If&J}O-hTrSuf5%;=zD9jM|HZAbj=!gu zABlTO{Vd!^yu=%Ry_bIj_mlelcz`&$LE`vpV9mF~gQUJM9wHv&4L{4vFT=y7eiI%g z-r)_u-^-^q*9QI2dwk2ua}>I3#EP@o+@7H4Zq3D@4{C~{UKZ=PH&Vr{<>K6 zr{mdDKM>Cq7kIdfxU+SCU#p2Fb=ifjte+6DD^$YO| z@fvUVEnfa3yh`eS#;e6unk0_DDb{>I>%HN(dHK)rcBxNp#^=Ad2G;Sn_VT^)PN^S* z-xJUBhF{|4H{d-|zXN|P-tP^c+&nQ~2k(>m4){xPU#!zV#>>ya2c&)_J}BPg4Zp+7 ze}li1`t%lj{)_8k9e)Qe-yi=f^#wRGqxAD*o;UnTFaHcqk^0?MFFxcApPrqVZ-^^M zeP^5@9%%LY`S0a##?_>L4X!TU;tjvs%YTn+Nqv=;eEy4@VI6;0FQ13&OZ`mTSbV29 z{5miHI&S`dxVjTKo9h3M<1=G07z_qOma#V0>||@~`@Zk{nxs+*)g&}zPmHBfDT+!Z zRFZw)_njn3k|`CX=Kq>s|K`5jTaO-(XYcR#+;h)8=ll77@66nz_SbL)IbDT&pT7Wh z{vfWR_MLGJ`O%>Mi9z{0xVGAF#_{r*p#Hal@*EYZ|7u?zH=9QT!94(h)&DBpw!s{MI9RQ@BVe^ljr z^Ky8E+Be6eWw!*kVsFiw)659*&3lz)a7tNmfTME)_Te|WWf z^CEbu+SkR)GGC*=!4{ci{5 zxgVtdt9>PWQEq{K{00W)FW}2+|2n=ZuMO&dFev{CUswCgHL3q{G3@8B9h7&&x77YA zd|RFw)PH$Uz75}1`%5?^AyEHsraEm0l2iT(%5&DbH!qp$C4v6c@RG3q3V3o#&WpYMGC_G=JXh`8;v~60UM!Es zOXNfxtMh+xQ2r5Ks`i`ka`^~eDSwAo$+z)pIZHhCNiK}n%a!p)xe50E^$5yG;;m|* zfOpDs@LqW-J}9rnN9Eo4qcGjKABkkKd`F{5lR-`+snR995t3RW6F7v)tI8Hu*%gW#23i2&nMb6lO@m0PL*Oni^@p1#~{p%8x562DFemrg} z&%iC^CAh8pDefq5$6e*qxTkyr_m#sM-n)N!u=7%QpxW2ML*-U@gxm*@k{`#Tl z8D6UPpX2579=uXMhgZor@oG6;W5z!@FJ3Q~!5ig=u=lS+P(B!MRr@h`r#uz!l^5fK z@<;fnycwUAkK?oQHGEM{!QQ{G1L&g%u`eQ|>NkHiz@ z@ilhl+LavNk%B^rKc?fPTzku7wi*Q@{Gu%!-fZNMI;0|)SPSj($Anqhr$DQSN zxQqNS?kZ2h-Q+iLclmSNLq39g%0J;=a>mY~A-&}yxQ|>5_mw+g{-!bQct45<%2V)Q z`E5K@{t6G5Pv8-9G9D>s>B9Ie7stN7>jdT9@I-jNBWamB-+7@*I3#UWqTr+wn#DBEBU5i7(61y+T8-$mQ`>xhcLT_s7@e z@%V;34~K*XUf(B}ulV`@M{>&k)I2PZUrxc1R^i^~mhoZJtWm7l{EMOmc^oe%;d=7NxW2p_H;})@4duJIk(|39^znd54jcYDG$NDUg-^4v&x@#v|oPI6;0LyZ)~Y%8%fQYX37%l(P(?{>%5{S#kqBSMGz8?yh*N& zH_M&y7I`GzD!+uc$xHBd`E$HOK8$zDKjK|-_)zMi_7Vd^+~{@NQ7P8Ha0o=W&GmCytc!jG+F@m2kA&8pp`PaRGTUj+NiS z#pMk+PCkRn%C~U^Irk&ff4LH_BDcZSo?LKZ^&;^YKvmV?12mgGb2U;gNC*PLN|BzxVpC zh@H2>6V-kgPLwC%Y4V$Rmi!f-E1$wi@^5&toZ|`Vzg!M4m7C$^@?gADei5&dm*7w2 zukdR5G+rbBf!E0eMpOUgI(WU@A8(K+;*Iiac$2&aZE%H^oRStcU`Y-3l+vUo5 zhujkHln3Em@^g5%oP_ttpWwanUc67fg7?cIPf`En{P>_;1s{@IE+51vz1BUzQ`r zQ2*tk_^MnRUz0oI>+&dkL!OF5(g((?cd_gL=F~hxAU~O!tNz~z$}>K5@Awdl-M=>G zJD<|V$F4X+9*raASvX2wiKFG6I7YsV3&%i`K{J6u{hKa6S1QTwmUR8^|YcL-{6dBxf2={g;d4 zCUPy@RPKnI$&cXX@=Lgd{3dQGe~DYk$8c-;XUuner5(Ra&r<*8Vz`}L2e+5I;12R* zxTE|s?j*m1JIi0=F7hedRsI!sle0cY{g>~@J>+`0r`!YglApxA<(asT{2uNrZ^8ZL zb9kWq2Occvc%J$%m%+p3MtFqW2alAW!3pvl?D_qQpnM0OsP^CDL^%adlkZEQ{>#jC4AC@oSBl2B*RL(Pz`Y%_&$K~euggg+Rl%K<=1U8;f24Yp3QBfxLZcuKGVTD1QNad{`KiufgHk-eDXe-@uV_=9j4da!DL5*T*q( zZ(Kkgi(}<^xVZcYj*}1IvhsCYLC%y&{g+GPDslr{P40_p$dhnQ`CVLF-h=DPKjC;e z!xZYjTo~7vYv2ZQJKRtnjvL7@;>Pk~+(iBiHblx14Dj^iyi~4(m&@JpO8IHL zN}h{9kw3<(<^6b#{3Bi`N6n=E%N6l@xij7%kHH(|*?5!uKHe;E!CU0Bc&q#y-X>?8 zMg5oW$2;VByi@Lmcgc_A-SRZNM}8OYl{eyj@@c$ZzJ(9S*=AG!$z$<(c`m*ne~2&2 zJMks?622_o#aHA!uTcNx3iz7b9AB3Q;v4dFI3!cxcr3)O|7%k7%z=DwYOeZ!DJcI7 zyZ%Sdy|;e_9IoxP#1ZmP94Sx4QSuTTEw9Hh@=07kzJ+7us8^}~a#c89w*OiChcsT*rlNaFn@+Y`~yazXwFXKk?-?*`y zXFl~`egHR>o8o42Kipg%hg-<6V!k6e?RxtNw~}|^*7CQwjr=!mE9Xt3{>v3{d$|Sf zAP>SF<>zrHc>(S$e~P=v`*2tJd)!S9T|oVp@54Rhs<@}z2KSPO;okC#xR3lA?klgw z{pCY=p!_2qET>;c{g(^l;qrrcgxmp-lpnzf@?`Am`;DOdOFU8SPvAuPS3FJ5zKHrS zm%(%8COAnRfEUZp<0bMUyi{I`m&-@-N;w&?lCv$Q{>x?XYPl(1BM-vsc1R| z_sTW#KDi^_FOS3rehVLx*W<(TaePF+iI2*e-=O}>#qn{uEvK2>B9@l>fm|a{jld z|8g}PBe%x|~!!6|O z?@<5cQn;1e0JoNV;WqNqxUD=Jx06?3?jewNJh$Nv@&(*czJoi-x!$Gz%jIwvxe4wn z_ru-fak#tuD()eFgnP=na4-2=+*|$|_mT51qyEbkaeui59w-mOgXQP(Pj3O8H^DN>0R|$nW6Q@@Bk7zJS-s;qO!bFKd`x~Fb5D@8^LZUUAs@ykwm;Y_x3N2!?nG593l6_k@6TECC|mt@+ur7@5Ke=t2kB;Uq$_w zV{x2Z2bYz*;|lWAxRN{$v7ULK9>${9ByJ=p^Y@-{qBzJLeIckoa-*Jsp!xf~uLH^C$2emFrMi+z2+5|n?0 zC#wA(oG5>fr^)HoQ2*t^c&=OvC&^v#V)+TYM4pM4$}8}4c_&^ee}`AeVQZ=Xa$&q$ zu8r5o-S9g3IsAqE23{|3#T(=+c%z(xH_0*UsQ+?ByhUz~x5@+XHhDbWF29O*$RFaJ z@(#R9zKD0rf8srI^yk!nxjfz{H^uwq{`i1A9v_tF;Y0E&d|2L%kI0wtQTZQyOpf`2 z`Y%_)C*+p+q&yg(k`wT0c_BU{uf}KP{rH@G6`z;GzNG%k1@J|=8ongA#h2yb_=-Fc zUzK0S*W`8hx_lVlkgwyA?1AwsV*S1Kzc>zw3gp#O^Xwu2|42@0o|;Do^1i7#|LfmJ za>`Rd`AqEbV|h@%6^Cnk7jcAq7e~r5Uok(BtKew4Esl{#-~w_Yj+K|<;_^luC!fP* zf3V{jvRHf}7xkDJI_a8vm#ZYKYRo6FfZGCz<@;g)g(+)D0+Tgy-5Hu7xTR$hVI z$=h&y`2y}B-@zT_T$`95$mMWnxe4wf_rqP~ak!iOD()_SgnP)la8LPL+)Mr&_m=Z+ zW_}=7#C_!!xW7CI50szBgXINysQf7&F7Lx5 zehE*L-^R1#ukl>@3{H~&z>DQvTbUoo58$P83%pz&idV{$@GAK&{E7TEUM-)+Yven4 zom^-e^8@)Iyj~uNH^`ImM)?iANnVG!CtTX~eF$%nui>q7y6wyl%56ac>A-OF+EDy&=|}l*$Kum+O?*b~h|kI+@i{pWpO@dl7v%N$qI?`*l5gV6a^_vk59H$b zs$3Uele^;U^5gi1JPn8B4xC@hu|FT!nwsYcjt@n#``5+c+Fo}Y zAwPv9I)BTn9ImJL6{Z zqnLX*rj1Wia0~fu+*1Auw~|lb)^aj#BWKx9{g+GNcJf2Gz1$6Vke|RE<>|PSybO1i zH{mYw8QfL=4R@2XAE5rrrEm|q0q!aH!oB3Dac_Ax?jx_jedTSKdt{~^?+bXKdA5hfxZDz-kO$+FasoajFT|(i)%c9O zAD@-4;&XD?aq7QZ0AG-+;fr!xd`TXTFUu4075R01RbGd$$%pZE`8vKKXE;H9&Kr1t zi(=RRx~X|gAn%x(tNsrU%3s7D9~KAY>u|WXcN9m+H*usKd6N1s$KhzXA&!yz;sWw` z94jZ`;__-7Cm+IPjU0 zd;<59lW}i3%Q@=5Tmtu%AHx0RZg`;l1Rg9;$3x|1n0rL0ou8ZV2>A>iDgTBObzi{Yj6LwLE|6R(t?!K>tX z_!Ie4yjnhp*T_HNb#l&&)PK1$UN3jW8|1Ngqx=fqB(KDq(I=|G?YisBftM zaw)t+u8()hJ@77hG~O-Gz(3{48!E&&Mt0k8vw`4{j}ghug?0xUHP;2kO6E8Ml{P;STZ;+);i3 zcaj(3&hlrti+ligm4Cq9c3nPZE->Hdvc`h-?uoUd>co}S#NW^<)S#JTpj0d5h53Tg zwCA6i>hS*#Kyu3KLHW9%{BWv6)2H?S2LJV6cg~laI6-;HozRdeat=IIE`evsRq<@O zF;0>@;|1~%yigv8-;!tIRq`A7V|f++MBa)w%17}g`8&K>zJqtlnf|1n%lYwcxja58 z*TtvgHu$tW0AH0y;~(V7_!oH*zAdlBcjOKDPx%NANf&rOzr|(re*PMiXZVZjLGAP6 zF!_F*POgQ+vBWkHLB5={T?a7LJkE;(YR6oL~M1-zWc$3&>giW;~Q*aUr=XE-W|4 zv2uT0L>`Na%5!ir`F&hm{tB0nPvVmDkNAH19~>t~{Sz8eN-l;=%hfPnpp-WLG{dnv zUwa1SPvLO2pN=EscW|WqC619#;R13p=F#-ur%X;smx2@iRS4r>?o=x;7nC>pH*fZD zkK~jtsSXSD9~P9qfD`_U+5Uomoz{PCP<|}6KOY(VxBXvny!z)zeKO@0aH!k{hslrQ zbn+`WTwaaS%SUkr`4-M7=L!uAiIA(}OmY{TSsshC$gkl@c_Yp$e~Yup8N$N&b=<)5 zxF1K!EpZO{F`QGLhjYna;%NCI&Ml`;7Z#F7E{*fbZE%eID9$I(#QEiq@O|HaJ!ug^S3uaZ&jbTueTKi_5og2|0KAu#l2+HGIF^1;@!_a4C5K zE-in7%gASNS@|w5Cl|~R7E)fWiyx5t;0kgAt|-5WE6JO2W%*lNMGnsx7E)C%fvd@l zadmkJt|2Gl2j%y0O?fx2CI5(P%aIXbA$8<(xUSq9KO{ej6XYqW*7)!?PE@`TuawW@ z59Pafm7G6Q81t#X^;QF~mOJA$@@TwHo`b)TSKb+$m>6UWO>;RN|*yefO3{hN5TycQpnx8tMo zF?>?KjL*uy;)`-vmave^at?e|j>XsI2k=d~F1{tV!nfs~_^$jg=J=!?ud%r2zXy;1 zCZ|jZ%9C)o+JA^6Tu@#ehpT-P93l6>k@BNB zN`4VX%ky!JyaE@Hzs9lh5nNpU9v^%lu>Zf~qjLIeoZoUZJ}Vc)7v)O$vRn^emD}R$ zavywC9*J+s&*IziG<;WHgxUMF^LquZo%O#HJUQh{9IpHbj*_q8XgNGP$5Sqh3&_=Q z$TxxKYlai#o~ikzKt3upmnWv?-v;veslFWWavUmuj>F{LIGub3hs)P-diighLCzM% zd`>QmBjgG=lUxsHmOJ1q@*o^3KaI1>Q*btU5za1ufTQHEaSr(q&MAM3bIHHqXgOmJ z=5umBoJTH$^U8H_jNAt2ll$TP@)P(zc@i!l&&LJj_i!QkOI%pqheLh}y#L?gaQPn` zA?MD?@s`WrD7g`imV4qDc|0y4zlLMwuW@ntG>((+;8Jq#T+H|6%DAlD7FUo*;wth~ zTtj{v-;~$lwBeL`wI?Y54&PFHZiW|fTP}d_%GEI+n5B&uO;Vlq1gz(R@-aAE?dRht zc@4fP?@sNXF0lRYQv1sxxfu_$1@c_@x*UgZ%60HT$Ef>Neg#-Po;U#iQyj1Rw zSIJ}WYIz1;Cojc&<+b>ryd58vkKvQ@Wqelt6Ej3`-kv;RGkQyxzBf23YKm+IL6kMUfbM!YOF4-e!UaoXEQempgg=b!&Ql2fkY zwC3b@FfIQ7k(`pb0Q0y1NFIX|d9ZHvh% zgM;$%sgC;Z8Ix09{n!7$1IZ~LraCr|@A%hg`NdSn2l6}rIxWvxm^>knmriy3|HqtP z^;5kukatgYY#@I;)ffN!KglUmQ?1wkR;q6X^0h(v-c-l)&;K6DDd%vgd;^Ecp|PA# zax@N?<8XSpHqIco#Tn&+n4cEJ|L^H}y<>1D`DL70ejR6#KgLn=HXJRV!U^&(_ysvj zk+6^na&bISu7fAZo$+M(QJg3z;wkc*c&hvbo+cl`)8*@UhMc}=Sja575S}ep$8+Si zc&0=18|r;9;cIE z#o_XYIK8|BXOJ)AjPe~EA?Ga4`6ZXZndOE!i`)xG%1_~}@=TmfUXHWNn{Y_|!0SJb zqvW4(PC0!E*1vK=94lABMdTK^sN4q^lb^sPj z%IQn8e{ybIR4##w$yIT2xgjngcf=*-fw+SFB(5k=#tHI#{DQn3Pmn*yFUq^|MENwH zC11m{<-hS9IqLqfkXPiQc&=O-C&^9m0=XAnC_j#u$P@9K@;v;Oyd1wRe}R|Ed+|H+ zdHk+?6EBm);=)3f%hC8fxg>sHeh{yaTjG^+AN+y*I9?@B!XL{?_!D^r{#4$8SIdX+ zXYysdM*ah@l{1%O{UR5{pUV~S7ji@VrQ8*-mq*~Q`$dhrTya;ENSK(~(Hk@5PjicnBaSl1W zEaSVJ59gB0<7l}7&MkMvdE`fMUU>qJk>}%l@=Bav-h}UykK+RJkGP;5QjX6z<=nWi zTpGv958)zmM_g1MhKtG1;o|ZuxP-hMmz2N4_sfTIocuj5CEvxR;mz8Vb za&lW-ULJ%WkjLT*@=RP&UWzNppX18%eq2TV7FU&T<7#r&2l#olTom_}Yv6uzYusNR zhzH1H@j!VN9wfhu2g~d65cx13BVWbO$p7H6a&(2Tka2QpJYJ5+&&r+gbMgrMygUIX z$n)?E^80v#yaB%`AI1~qD|nLpC!Q>4tH}IaE{12yHSjFC8J;b7$8+R|@hkFpJXfBM zUzK0S^W=~4VtEsOLq36*$UoyZ<&2e>Kgfmg+j4chRBnUck%!=S<>&EAISGFxe~cp< z2kOPwIFq~&XO_?4EbQBJ{AzmxCat8!$uu#jtVDSTb7gKx_1ak4xF|00ja zzsj@mE%{CSoBT2UUEYNMkPqS8@;CU7oQ(gJL#u~{{3YkWcjY4ZZ@D7=M~=rKO#v1_U}HNAXmVN zazi{z?v9h>k$7jb!1kWSyXBYhad`ngAuq!xxPI7}Xh)5%ZbaQQ`?UY>(9$V+g9{1MJ1e~mNC2XLf(9%q$r z;B4~WI7-f5lX@c;#X04wIG5ZMN6TGtNSna%8Hz*YF*r<4#OdVuI9y(a)5~jd26+$8 zC||%4^3OPvoPsmU*=kXL<$^d;E{C(qb#OMh70xdA#8L7HoI@UubIP-DE_o@AmRIB4 z@>ZNjK7#YgmvD^y3(hBp)n>gU=fwBPMQ{PRA}%P`!-eEdxUf77$I8#(BJvbmR8GRh zwd=$sX-{O4oFF3y(`Vi;89EA(W zg>gZ-JT4^H!G-0PI9Bd~i^#)qQTZ8MOiskb<@vaT{4Opje}?aux8gYY2reaG!lmV8 zTt*Iw=lqwm<8pE#TwX4RACPO~3UUivQSOc_$-{7Ec?_;1zl5vG^Kdo!9b8>rjr+=5 zaX3HaxWaxE-*enj6>yTaG0Ek)5-I3xcn|oFMozJ$Xjtn z`3R1Xui#AbZ#c6Y-jMNGj=_;~9L_3N!`b9kIJ?{jN6C-i9P&7vQ=W=*$qR6_yd3A2 z*Wx_#cAQr}hGXQbIG_9n&M#+Z#P}@d#|7llxS(7E7n0lH!g4xU5_jmy>Ja@^U-;fII+KkRQVp z<>zrFc?Pa5zlN*GD{)o%OI%IfjjPM2a1Hr7eo+1k*OW6i;qQ;+Lb$eE9@mj;aXK+*b25u(*jhoAnO~XQ3$g#Mk zTmiR|>*CgOC)`FJg4@bZ;&$?jxV=0FcaY!29pzQHll(RAEbqr%?i+y(cMhvB~R)3~2J3HO)h;sNs8c%b|V9wcwVgXM#GhOG`dqlndiK@&ou!`62w5+!5cE2jRcv(fA*E8cvblz#;7e z^Pf*~sJsJ*$)|BT`6nDMhqq$>Bgfzja#`87Z;FM;DYkkxR87V z$I9R1BJy9jsGO}0^B1`YE-u%=CFGX4q}&(B$)j;8IT4qZ7vVDUhq$b~4VRNo;qvlN zxPlzomidXC8&{I!aAmm`t|GU`Rpr6BnmiWwm1p99@>1MiUWW(Bd+|W|G9Dz~!DHlX z?N|@W#qe0UIvyvtz~kis_*r=jeomf_Lplbo?>BI${5cMj_u_Q&B^)mQj?>GT+H-x& z#c)X1!1Gnaq4GmGOm2n4&L;1{vGQqL zME(I6m2czXa>fo^KXM*iQZ9kxfODsRKh2UMw%iugUB1>+(+ghI|T#bPJ64 zKj2XL4i1wub)~+@`Ea;g3a6JJ#2MsfI704*Gs(knX89SMMV^8qEv&5xSWhL$SF9ZoV6RTN4^hdmP_L-at$0QH^xzNN1Rjck8{bRaJ2j! z&LdC5dF6#TMqZBd%WLs{@-|#RK7wQAZ*WohXIxDF8yAauTj4zl*EOt8oo^Gp;Eg!nNcJxVHQgPLS{7338Sm zjHhybJW(!%Uy^I#M7cShDtE&#%R})r`Dr{;o`Pq|NqCOD48J0;!E@zpc)olBC&^dv zLisnmNDlAG_$BAUZ^$L^M!5>!EH}VgC*;cbq+B1LmfPVoa$kH_eiWaVpTigA z={TfEVEkN+!{ik>o%{t3mv`X|@=2Ug{vOB5zvH5E`reE$ax^Y37sDmxO89=c9*&dS z;?i;-Tt*&=%gWE<^71tNfV>FD%PVj_`Ab}1-h&&+XK+LLN8CvM3pbXt^x^Yo`99oM zE{ogAb#QyRHSQqy!5!sMxU>8m?kZ2i-Q|V2hrAs3l-J;1@>bkiK8*Xw7ja+t2JR={ z#R+nzzRXwUJa~d!48JH>z=?7^o+7u!v*ccQwmbsQk;md!wDzdtI+;J4&B{I*;JFPGclkiLQIe*g}ZpTuGEOE{gp z0Ef#faC-SGoIyT-Gs@rK2>EB6N&XvWmUH)Kz9pB#k#a+vRqlba$&cYEc@oYkFTm0A zDjXw!jq}O-aRK=|Tu{D?3&}YLFkZ>Ua4ESeE-Sah<>Udly!;HVEziPrKP#`r&&m66g8VIhLB5M8 z$TN%BK@vfL5BBtL=^Ba@(KK=d=tMVrys)ar_1^AJ91_GuG|za zll$T2@>6)FJQ05&&%>+amH1zAKl-d*%B0pxhN7k{`o|<;nP{ya*qY zSL5UIE__11gip$U;EQtB;b9@)$R+V5xfZ@Gx5eMd!|)aPIsCmmA77PM;+yhjoGhQg zzsNW7Ejj(e{QOWZgnySS<3Hq<__o{+hx7}H=a=<)B&Uo`HUIwmNKSbr)dK_hJ2+Hc zi^JqyIGub3hs!_V^l}Q$AmOwM{unC9WEmOfs4wSMzVgA@59CAa=3*25H2aV!}rSraGd-kE+xN&OUnyz z8F>XRD}RN{$p>(G`5XLz{41^?r+<{6C(3zoCAk!?EZ4$S+}e{JxW%3&+bPa6P#?t}i#k4dfoUq5KGLBtMTE z%d>G4`EA@({tP#hci`soDcnN-5x128#;xS+k1@ZLi{LhLW!zS7gxkqoaC>@Za>gh4`I?*$KP;EQBjh^x5xET>Dfh#V z%1_`?@?`v&yZ}Eguf$KtU*pm8Vf>{09ezr_jh~jYjOOQWav}VTToI3z8{%6Uyyg<3G!L|qWm+SD2F}CdPmNUC(Cj8CAk((lw0E|az8v( z9*tj?U&7Pmg?PIB0iGdm#53h1c$WMo-aRv zljN810(lW$D1U?($y@MZ`2>DV{t>?}r{Fi_Tu-y!l1tz>wW}jKc>7=D&?_dbtbE zAP>VC<#9Mdo{lrgZ{W=G$2g0;1xL%raBlf~oJYQc^U7JCVg4u=#QEe0aDKTSzEAFe zi_3#>3HfPUQl5hEmlxqU`2$=^{u-B-58*QMx44S@8?Gv69LxMk&WEeZWpE9-4t`K> zgKNtDa4q=>+)$o`8_Dx=WBEPYME(*tmG|Lh@&(*nPR1?dbmN#m$hmPR`F`A4eh_z& zTi~v8FWgOj6nB?jz&+$w@Id(;JV;)P2g|$g5cv!qD*uFs$tifaoMSxoTP}u2%hm9c zax?st+!H@7KZ?i56Yw+gt9Y!u9FLQ~#1rNHc#`}Lo-E(OFUc97rGClz@f5ino+`)V zm*oz4t~><4Dv!hS=NP}`y!c(Y6kaCR!pr4W z_&vD~eqVkJuaIBFAwvV>ZxRlZKfvMgW}HDjfg|MWII|r3Jf9E9d2lwl433iHaZb4t zj+TexJo586MxKlF%kSX=@&;T;K7!-rtGK@WH*P5BOkg~c@5fE$+PJyg4!4vCo~zSniEqm!H5JA96l+}#;4_F_^kXDJ})1^A;SXK z|BpCKPB)S3U%n4#kSpT|xdqNF55SS~IGjy>1xLv%a87v(j+W2hJo2wNM$R&c>t8O0 z3&^!_A-MyNmxto|^0T<1JO?+Hm*J-JdfZ$-gj>p2aBKN5+*Xd7%=Isqz#Zk9xU<{_ zca;a??(#FZr#u7qmfyw^!vpXCI-FVFha=@nIGg+jj*=r^;{B70;ApuT&Lg+LP36A0 zx%?zQ~nt5mUrO2@;SU;{uLjTGfiRqkPG9Za#eg>Zh<2n4!r*ZaAtWNj+9@)+2j>C zO5TEV%4cx2{435QXPL_TFUR8Ma#h??ZjM{aeQ;ZOG;S|X!5!t-acB8c?D@}5?D@|* z?D@|x*z=!^FW)==DS$oysfa!QX^cJp>481}8HGLnnS?$6S%^LV`3QUdvlV;(a|(O@ za|6c?4ZPp!rt$LyIR+P(%i)r8eHV$eDbeD;L3! z%hm8`xdnbo?u*CBPvWuiR6JgO11HF<@dSAno+zKkljUD=q8u^n-uY`mJWY8eJVS1R zXURSB9QiRkSDuXL$%}B3yb3Rrx8cR|X}m=K8NVfm&t^R-=fm&H&Bj z`4zlZUXJ(6U*UuDVSHHr9v_wO;^T6TSMHskm&B))*TQGzw)mnv7+;ddhp|Zx z<1F_2;}`7pN5rf5u0IN4uRp3_uRoe&uRr=?uRor`UVlu(UVprWz5ZB-z5dvbz5ck2 zV}}Lm?_ap6oO2%aS1yH1%JDc(?t)9pBXL=I5-u+<#uemGaV2>-t|EVftI4-<4LSRK z>aSc9*Ou#Gudmu;udjw+udl{qudilfudm+4USEBQy}mk#y}tSmdwumM_WCM&(!J}e z;@In}2eH>zt+Cfv1F+XuW3bm#)3Mi6Z(*;e)?%-x_F}K6zQJBk{f@n!%Cg|z^;9g5 z8y+~ns^Zdeb6i&LgUidKaRqq_t|Y&XtH__?YVuCpR6d8B%fH~3a>j-CuICEiw#qBw z_Htv~QSO1go*RXSDxZXh%M0-c`6E11-ik-br|{$Q4Ln*-w}|`* z%XM*WxdpBx7vcKyzi?xDG;S)tft$+FxdGfI@bN$Qpa5p&z_m!`}{pDNn zK=}zgRDK%|lNaJ!xde}pk9&pdUv7lc{u#@w9nO%iz?J0NaAo;1TvdJ@SCi-B z>heZhL;eZZlnegC=qP%QbOj`4U`J?t-hy*W>E)C|pB+1=p14;M($LTu0uI>&eHw z!Syenha1Z|xT)M9H|-)$D`#1c#Qld9xIpN zaq>x%x&Gw~@dUX5Pn562ljMi+Wcd|5Ri2Bd%Ukgb`FA`^KK^Z4sIha$L;0saGrd~yUc&N7Vairj(f>HabNjn++ThS50u}+gXP6| zsQe8cCZ|th{>!K0+vQ8}aJefUA>W8c$`9jF^6PlCya11pzrA4L6scz}fOU zxQ)CVx0k=edGaAMnE!Gu+)ch5_mX?!zVgkuzx)^;D8GdV%Zu?)`5Qb;PXCDcFQ1BU zmoLG?<*s;yd?OwyKa5AoujA430z5|k5|5Qj@HqLTnaqFrLOek(z!T-`@Fe*mJXwAP zPnGB5>GD=QL;fAll8^tG`7bxZ3+0Y@iF^%SA>WTz$uHt{^2d0Syb*7cf5kiGV`ee` z<@51wxgFjsUxoL{_u&2VbNCPWL!5S-=0DDmf5MgIDzlmYa$Q_iZjGzSSK#XMow$bl zG_EO6$F=3vxQ_fIt|wQS!~B=);l^?fZYmGJ&E$JJWM`e9`j#rf^U}#@Nju39wGk+kCb1- zqvQp6w7dh4kt=+{{FhI`5c)1&%Am4;1%8%kn@>_VayaZ2`zs1w#gXc5<v)X( z5gscq$K&KL@OXJ2o**B*nE5YP$CKo9@MQT?JXOxa)8+nnhI|X2B|n7c$_U` zJR7f&SK(FiH+Y@=JKiK$S;G96Pscmt#(1aP3h$P?;l1)Tc%S@lykCA4{~^DO)1Hsb z@Aq+ryck!KH{;6k54fsaaVhg#J{DJ(&&D<6OL0v(57(Ca;X3j#Tu;6a*O#Bfjpa$W zsXPlelUL#9@;5kJ{vU25AHIzFFQ1I_~R6{Fjf#BjmI2Nckc>N^XZo%YE<|`FcE7z88;^pTy(kNqB-h z6Hk;^;7Rg!JX!u3Pn9dJVE)TB@C>;=o+W4Dd2(mGP`(N;k^hBP$fNKo`8m8!eg|)o z7vOF3M!ZAbgLldmRxFkV@?zXZ z-h$i9#W+ts=yT@3d;;z!pND(NS-7v<75A41;eqlUc(6Pg50xk2Ve)i*i@X%yE^o)f z9 zcwVf&#%f$4w*4i6KZ(`%h^zls;Q6uov2pe91zr%VUxS-Ry65kS{fvjJK79@2Cm)4J z%C+z)xd|REx58uO9(b%g1do&N#^dEN_<8vi{DS-eo**y5FUec+%kqzSqMW{#-*1+W z#jnX{;n(Gh@Fck{enaks-;{^ox8&h?viu01D!+&cygZWPk`Hi(yb@QEcjC%&39c$1 zw~qUld@imoXX6@jPh3;J0oRrvz;)#3aXtBcTwh*^8_M6}#&U)AeBVnx0XLN|!WYY( zaWnZQe3|?xZZ5x!GvyC(3wasNlDFe*`4`+$K5PTuCzemat>uQejob?7$USgd`8wQA z9)a7-PvTtpP254Ai#y8eaGv}-$oJpm<8gsp4;RXrxU<{^cag8bUFG4poBTNL zF29C*$RFdL@+#a*{ucL^|H6IbV>a>kujD$ouY4))C+Fk-@>O_%d^;W}KY|CzFXO@T zhj@s*0uPtJ!6W3q@JRXC&D=lbdU&*)g~!M}@L2hJJWd{o$IH**3Gy^NQC@~8$zS8i z@?Ut0eB2i9SMqsyn%oLcmj~bvv{us}aKgYA>Z}1%XcRW|FvX$puxfcFJ zz7Wrs+u;Rr5nd?Yh(DF@$BX1~c(MF8ULw!OOXZDtnYsl9egm(Q=iv47TD(EtjW@~_zTo|Ud>q~^*Tq}p=6I{z8E=yZ z;qCIBc!xX&e=Wa?cgi#IF8Oo3Ti%KH$baIrim~;#+IH4oxh}3Gx4@O zc{gq@r|n?v4g6A1;)i#+~KKxQqM=?kaD<-Q*u|cRAy0)?fJq z+*3Xe_mZ=4Z@C-pBVUV)9x2(VNxp=mmiRZ|j@m%?8JWsv@eRelR^ljq^>@_M{O-h;oE z(|5D}%GL2M`CPnPZh`m6U2$4QZ2cXAGvs@5C3zgKEWd-R%8PI{`3qcK-j8d@RlaBa zmCwYrFMQ2rTrmJi#@`YWG`yULAmH#rA)m;2xz@{PEsJQDYkpTWK5 zDY%ck02j%da9_C?_mdAUX8n~`c%a-850ZP}!SZ!@h&%!hm!HNX6j@>%#(`4YTH z&clo4EAbNfR=iYx7%!72;N|lBc!j(Suav*UpUM02=kgIhv;NAb*a^=26;T*D8Gw0$&2u2c`M!`@55W=Lw{lYl~2LjAhxf>D+eFGA#cT%=Q`G{Xxf92Y^ntTbaF6ZMK^3}MeJRH}SpTKqG zw{Sgq0j@7^#SP_Oabvm4e%4?4EZkIXjW3q3z|G`)@MZFN++3cDGv$T2g}e!8$$N3O zobf-_U%5JNCD+5P<>t7JT!?ezfw--F2W}@nirdSt;9PkI?jWzk9p$fap8PxRBv<{7 z^;bRv7swamLb)UEEceG<G$;{NhYc!2yM9wmrgd2$2%iQE#;m%HNy^0j!Od>8&yejG27U&D*#kMRGbkYB)G%kSZx@)EpD{sQlof5Cg? z!z-loebw0ddpgdLFT$1NJX~463Rji?jjPFzosj z4cC`1!VTq4xUqaSZX(}>o667Qi{+1SGkG(1m7Q z6YvuGJiJuS!pr1tc)5HnULoI&SISS|&*Vw?b9pviC9lD& zUN2vcH^_x}qkJ{qB>x+4mLJ1gLO}R0yEw{sUs&H7x`S=Rc?X1$z5@Gc`)uF--Ub1|HZxJ*Ku!o7Vaaj#zpcj+*dBa z{p4y@S%2lT@c{WUJWwvcgXDpDuzUv|B0q|U%dg@Q@+>@3UW-S`d+=!aprcrSZ3_rZ(h8}Sl(Bwi{% zgO|xu@N#(pULkM7E9GMRnS5|H)?fJ~yh^T*SIaH&8o38vD_@7#$s_Q3`ANJ%eiLt$ z=i*KBI=or_9&eE=9?SYGACI@m_3(B%6Yr3_;IHLt@J@L+-X%YdcgwHgJ@Usmt#WMr zU4t{^?{OtL<2cq|`6OIbZiuVNIk>vq7uS$)#x>>t!?op?a2@$0Tu)w&>&xHchVntj zv;N8_<0kS2xT)M3Uo2mbo5_#h%j7q4b9oNVl-J-E@-Cbu|An*VqpP$2%4gzM^2NBd z+yS?d`{5k#uwY&X*hE0=W$?lzZdO z@(s9)d_V3gkHg*Mw{drQKJFoJ#69J`xR-p;iLAf!iMWq^J}#28abLMR?k5ky{pEY` z0C_APD8GRR$#d{vc`Y6y@5aOB^pjYB6GM1B}I zmEXb_%ZqU{`8#}>TkTY0+ z7W94t~IQcI;UOx6r)?c|Eo+xMI zNpdedSssR`$fNL7c>Tnn$0o8t9yF5V#b!yDzB@g{i`-Yh?lx5(4*R(Ubr zCU3*r<)85m`LJ_Xf8|s0PPsAOCFkJXav!`$z7eNYi><#8;tctDTuGjeE6dAqRrzaN zP5u*Cm#fuf{gvzDnsN(VTkekQ$k*d~@&mZO{5)xlPd;4Cl+=-~#y%TqqxP9_z1sChj6%g1gFjxSM<>?k?Yo zd&m#tp7I3TOMV~smY3l^@|U|BzYR1EHA@T zqFOmO?m&&i>W%4Y%TwaY=$h+`LxdeYES8K%jE1!*5 z$(P~Pasgf=55#NbJMcRBQM_J$1#ggN;EnQ1yh;8VZh$V+kBak2Gx2hNax$Cc!xFJS$Z&&E~d=D3>N6<3#s z;2QG1xTZV~*OuSGb>zjkp8O@QFaM4k%EvTe{gvzDCUO>TDqn#wmhZ&Po%|+lFVDrf@;cl> z{vLOfD>h~Qm5;}rDgT9g z$;Vv8`YYGLedJ4Vk(`hF%2(lj^6j|4{0JT(zl;aUAL2ps3Orc;3J;Ng!^7pHE@u6e z>)?^{<#?3b1&@}m#be|Vc&z+19w$%1pXm6uCK`DtE)v zPr@_gC3vR%EuJO+iD%14HDmpi&%kr#i|{--7k?uE1J9Rl!VBaF@Iv_+ z{HgplUL?=Qi{*`YiM$stl@Gd<^;bR-FPG29E97jvQtpmFlZW8X<$Lfdc`RNnzk%1t zbMRVuEnX+@#_Qz@m$ClJ$Kj1~UA#$djyKDl@fLXy-YVaTx5;DhcKKDjL!ODhmOsZk z<(+t!{3qTmAALFNuY49xs~%f_FT)vfXIx1hj4R9c;HvUdxSISnt}ZXcHRP?hru+-8 zEg#XG^;bRv*OM>B_2tgEp?ocFEZ>Wp$j{)W@<;e$c|C3>{|{d#AD7AcE1!ci<;!pj zIUi@q18}x{D{d+OA8sYTfLqJca2t6M&XKp^w(?K7om{B}>#uw=&XpVD4svVUQSOEF z&%uM`%kdDo5D%BH!6W3m@kseeJW8I7 zN6QQF7ruR1o>h-QO?JctW}7q^r9;r8;)I9DEpJIK%Dj`B2|CojgG@&cR*fKDe8FBknGb#69F^a8G#(?jmre zesX=>Uv7y9$UX2t`8qsE9)SnTPvRl+n|QeV2_7MD!XxFM@F@APj;z1(X?Tp>6pxiV z;&Ji-JYK#7PmsspiSi^oNuGx%%bV~Nc^{rCSI%Som22baayI@z?u%#0!|_b{89YmV z8_$+M!E@yGc&_|Co+nr6#QG~Ai|5N{;|21ic%j@0e=1*z7smEXt9 zB;=|;7@!|4QxU&2fK0=;{kCfNrD)Js&RZj1m zo_3U69Um>9i;t08;N#`)xVk(9pCI3ZPn5^vljJvW4S5beSze1zk$2-$0!QIo-_RGiP|H)_L-{i}1T6!$6PPl^H4_B0L!0GaRI75C4A0$u02g@_@ zA@VX@N#24Fm4C*E$(4I>|B-9q%JPNy2)P|TQZB+(zlo2P=i=k! zb@+Jsdt6M3qD!C2A?7i$EV7Vic41F z3i4iDQ9h;*^GR-uGvv3eaM4pN($!qYT@_u}nd{PnfNxlqMmj8i|kVoJn z30N0X-;o9=!_zZa(K2u(c&yx4!I`Rou zFyG{h@i}r&Tvxsw*OQ;Y=gKqjdGc0#zMR&d&sXHra09soZYW=Y8_6SZWBDa~fjl2K zk-xzg%9XC<^BMVUe39H1Uo2mPFOfU^OQh6D^O#T61E>|7E`)B!FJV|bc-;}S$ zljZyI6!|4QRi2Bd$zR~Vx zxVij19xhMAldHtqFTqpg?RbS;j91BN19={jtKd!YDR`TFF5V$G!#m}6c(>dG@0G8{ z`{Y~je))d#fsdhvd`o;c{bKS#E`okh|d{Wfr{H7cdAORq1|KW$ z#K+0M;p64XL&#e`1)m_-$0y1y@JVtZt|1S=C(F0sQ{)Hnsq#3S_I)h>DL6x3iYv)G zaAo;7Tve`i9pfR_#nt6@xQ09!*OdPc*Op(!b>xNkY@U?7^$k2pg<=*HlAAy$7Pt}55X)#OWYO}Q(s zEf2-@YvAok-(~-9JJ@ZSXuTeF8LH6SFYgmsvX$-ulTR<^FU4P{a+qf{rAD%{~duz{V{kO%}c8Z)}aY-LMSRRJ2k{`g=$S>jn z@^pN)ycGA7x8p11UvYoA@@?s9edU^XpnMS?B6q>p$wP1@jn4?&C@*$?WAVYNe-jVV z_H%KQ{8;<1aAWzf+xh%Nu8SMV?Qwm12yP&c#uvy_@ISQw`8Y_t>{VQ{8DFXTAF=Z~ z_>OR1HL&w)fSp%6?7aG7=XDcyUJqjD^&ECyQ?c_}h@ICL*m?bho!4>y=6)GDU9RVP zxS{fDj-6K*?7XhQ&g(zec}>C2>vQb9_F?CB+@0aPnqueG13RxFxT22#UhKS{!p`eW z?D;hhJFoTFdHsZ)SEb?MyiUf>t08t?t+Dg!g`L+>?7SYp&g*6Dyk=sb?<(9tdF{l` z>o4rQj=3wG*9F*l^}x>SHtf8{Vdpgydwy-h&a2|x;k=H+6_nSx*mVuuvaO}KZz|LzLK1j#E6g#i&*m?beomb`mg!4KL zJFiQy^UA}{>q_jrZpF^)VeGsnVCOX*dw#9N&T9{LUI+hQ@OfI~Ra{a7*H&KjvGZz$ zomWrnyzU6L*Zu1S?7Ze;&#xWWc^&+4IIlX`d9}sPs}J_^-GrUjgZNtAAIIat@^tLy zjpg_n)qjTv$Q4J2pEpjx{ZwBcUm>@~{pCKmuRIL*R&l@4vQTzyJCL z`+4KYN5an=XJ9{XkaI@KE=*!8}{?zuh@AV`B*rwGqCe&hMiYFc3#(F=QRpDua~i} z&zacozgA)AwHpu8c%+XB=XDl#ULCOWx*j{PG1z%c$IfdLc3x?Zhx0lP`~ArI*muT)0?#0e)9ClvsVCS_6JFhRW=huGhypDV_oY&ddd9}gLs~`6H-ikx-zp(R~ zh@IER*m-@4J--fnDxBB3*m>n)=QRvFud &A`sjy=pEn-CEmS`d`+4JI?B|U&xVhTz#(v&7WL)@p<4oL9?VID_(GxB% z>4yFOYY6uJ@;>b6jc2f*H)dl$Z|uZ=-Z=c3@bkub*v}jJ*w2Um#D3m*3j29uGWPqg zPqCjjw&7fz?=RSS9rv`}w?7Ze<=k+c2^I_%j;k@c&=T(TE*Dct2{U7%H ze+4_Qnb>))!p>_q_WVkJKAhL7*m*U<&MOx?um0G1-G-glqu6;(#LjCjc3#`D=hq*& zrt+%x0^_6S`E#)IYJr_sckI0Gz|Ly|c3umx^V)?yzm9w{oL58ayt-iLH5mK-*S*+z zjl<4s3U*$Lu=Dx?dw%_iomaI9;k@c%=hXr`ukP4+4aLsuKJ2`n$IfdOc3vB>-+%px z{r;=cOX0j~V&`=sc3wTP^ST>5ua~g%T8N$3ZtVG0_2qD0jj{7;i+w#^ft}Z_*gt<8 z8(2Rdn}%ZpP+YPMSJw5r6Z?L9&@18ZE1i!0^S|bS)qfxC{ofi`_5Tgp2j6HfeHE8X z!qv;bKZ{G|VefxyP_Li={T6Jm?~fffF}(kV*!%AgSol;}@ic7x7 z!{v6hR4f~;^*Z__yu_mo-D7&Z_7X7De|GO^ZQeB zO*~t^7|)UO@Cx}Vyi&dke zBju~`eexane)$PJLVg3^D=)xf<<0oN@=y2)`G`08eQUWEeq6p3Pm~Mr%ktIu75N@K zL4FdyB)@~F%b((D@>cww{69QZuJUGj+Pm`Ec%ghbo-cRC3*_tYJo#SyiToU1C%=o= z$cyn>`769i{vEHDk9~{po5*$X*K#KQM(&As$k*eqY56e&Bw11>EFI!TIOJ2t>WyL%jS17CG zpT#9>@w=+u6IXxG6xLt$e=mpSSP8e%^i>`+56)?Dt1&v7fiU!OZCLS8>U2*w5RCzZcHy zB<#G-#m?(8?Dt27*m+%vo!39H^SU29ud y^5XJ``CFc!_Mm)?7V)*&f|#bjIZ)K z8N2>`?7W&|zd!1Oo!6b%d5y!)>wWCJ)?(-NGj?9bydTc17OohHi~DO6?7UjzBlP)8 zS6o@X8XqFxiVv4ZNOa_#nAsP_O+L;X|Sa zSX^>L&|dZTVCVH1c3v+9+pGOF?7Ws>=d~F-uRYj#m0;&pbw)U^)3EbufSp$>?7WJw z^STi?*ZJOy4_01}x zKOa6Dv{(IG*m-@7o!3h2ytZTKwI4gLLl=beIuSdsy4ZO&!=7Kc*m?EF&g%y3ydJ{N z>pAT6eH&L$UbAuR#qT3w=d}YnuZj!9dDX(st2y@k>W!V(ZPi#@;kV&`=Wc3ux*=k+XhUT(_hOpKp8`SHBsz)%JVwnaZo`^6=*yjqvHJ&&Rdo>v2u_5qz5bHaV-YOuEU;RcVo}5$Fb+vMC|$XA@=-Qiaoz} zVBZf*u;({@r z^Li$z*Z97PJ-_Az?Nz@D`+m3!`+it)b@+aG0`~pz0_^)?d+ht+6}W}=cPsY&@Dc3$ z;Y95F;Y{rN;d<=*;ePD<;n8czHyTKB$vN1c&o{@;vm5sP@H*`K;bXYA?q45Z-w!uq z-w)H)hVO^9vG0d%uQLo!8UYdA*69 z*L>{z;d<=6c4OyNf}PjV8^d{>ft}Zd*m-rp&g*LIyza#QJnAv*&!b+#u~%`)``CFc z!Om-Uu)XG2l}+Ki&cn_t7dx+OvGe*5_WkgUpk8@=j6MHX1?^S;4R&7t!_Mo7&EdRi zV&~NqJFj-wdG*20>w4_GMqtmcC$RH+6+5q4*m-Tl&Z`*v{(sOG#zXJFj>oZAaY}pT*9rJ9b{zVCQuw_WXJj zJFnNU^ZE!ouNByNeTkjdFW7kz|N}^c3#(G=k-WXule(0P#- zu|1qu4eY$m$Ihz-c3z#a^BRbq*KOGI>p$3eO~B6UW9+`#X-k_aUCQBiPShFXLE`#U(T2>Q~44JM8@(wu`Mt2Or7=FMj_#@NZ=bd_Ha-A#Q=aeczyc z^i^CkJg)xPIKPK|{7d8Nci>o$#U;Pvit7KU?_#yV@zudTp61x?yT!G?0mphME_nc7 zUH_Q#%63s)G7h`Hx3T-1AGiHR9D5a){EU5mm3N2h&%*A%1&*~~KE?S?d{lG?#U)S0 zc?OQX`2Hw%|3AjL+V|o1=f}BCocqN2U)cSR!Lc5TOWum}%DC-!$8CSeo^XF>W1nwU zocrV0tGMLOxb2^ftDhF0ejvaAvNp~E3@qMp2KNvWALVTWseLM@|yftq7pYX_I zB6}zYd71B<_hsn`@6{~eYerB$_D|LK*R>$m?O&Yfg^;g<3 zT9IsvMh#PJQCg8~iv~?nY*AW~Y>VWFRFoUvHeonQ4?p>61f@xjBwCTI_oQbVY?1VA zgNmdh8m-VivU4*XQNXy`;B4Z%D{U4jPtrdAfFteWk2g{tKO~{@B>jh?i8Kx+lhwXm zc1}T|&n;4<{vt(L`Q=x9q@aCvTtV0TcJ&jB8pIXl78K^kHw((*+qKAQ)jGaiP?oG+ z!?=BPrd?c7cKhz}y-^h38RJyYE^f=rBxTvb!6h5GvWg_bS5}eaoT3#8$5Ofv{t44mu<40 zmsKR0=VcX1uJ&j}{LZ5l$#+gyjp8O}utm}vKv_kKqbi-}@pG_ji=e-x`=BD(QI&R; z?5L978G?Nzy)%?mP@C+ilHM7jTO>QG(k+r5Rnl8V&{fh~Mp;FQqe^*UN-a{9liM*_%b++#>*yjK>7rY1n;yyfC@oLYNojdjL4Ntw5b8!l z5?>y@Yd_mJrNy?%pQqaC**SLX?6zPB>MLF$5 z1MlJ#t+S%fG;W*~eX5C+c54)xA}&tSbI>{(soOGI*rxob*`%}}a{1$&4uwV0v&6Z)7mM3Vq)7WI zEAn=o3tA9mq~f zgoDt%D0)Wu2?tS-cn}5o2?tS-A3cbitj?iJEU-r^F!zwc?s+W}+C|D!wa;VY(9>s7 z5bdq3_Q2bg?;$#w61OjJ5go3SEus;oY!MyIlr5qGr)&`&>Xa=)BOe*Tvau*vbPmP$ z5qf4$7|YN*=Ond%HU?QRk0Nj<93CaFg?+9dU;Mw_G_)o7E@ zs1_7vhTohA52B@o@z-T(VKCnlAM;gpfUOwwpkd_wb96^RpNWsV(LNKObyansJ`-nN zbi>41r>X<>nfUk<-7xXlM^y*vGx0GXx?$oofT|AEXX5;bZkRYXRCS;}6PJbPhKXx} zst(jAb6sCNC|ymWTT++uYAU-&1Wl7)P6s&uBsYiHE=wm#Lbn|<^AZm;C`>rMpfJT8 ziB%n7s{&eu&M2}~k_i&4O4%WECsl_@20qeZl5tm6st%J(jmTC>riQ9gb(mycM7ByY zFI1JP!z33)WUC~TLRG0cOfn-PTP2whs!G*ivgr`nEZKB$U8+8dxZo4!SY*SXGUe4% zS{bx#5L$TnB1UG5_I$G3F+ZVW*Twhiy5w^tvBlr_p=~^IR9reC6X#E2i@)1hgCcEn zpq(YoEp1qOIEhm%v4u(ww6nx{rwvPYmN@+qTd3qfJ4>9C+OTwIiBmJNg-Q;zv&8FG z83lFV~$S-Q6*(>+NO6&-MYe?OE-ZUpMQ z?2s-IraQ2uRhS88**Hc&jJ#UEAXb_> zQ;l?KWr}^4*Cjny>Qbj$T6usyrcAbU!xW=SUFuXzD-W>8AhV>`Qt5`N_n10OHcXvm zX=Um?Cdo1Jt0v`_R^m5K!WC_DS|pxZ`9@`Raru?k09F%4?YLc5+S{VJ> zQ{)8VzJ=%FBqtWMjz2TLtRCJ`Zu^d{bCNv=lvgD7TV9c!o5$DflXh0nGTB3Cq(drG zb{cFM{ia&^>1jKCy(V0l-LggJ$hWV;HCcI`iwd&xlRSfz_Zw-D@|YqG%Kty<8Rzpm zJvotIWXNw>&@%MFZCQbKP*#wW$Gh~{S6@PfkqbIh7@1h1!pMXwD~#_h_SL~ieYlgX z=yz8l^^woIBaVE&Q0CZ|WFih9Y3%DUk^1oQMX#8GywF7#Py-aORc=vBKFNq&VzHvq zJ4sfD$j6I81q}(gJeu-?miaCS(hGhoM{R=NW{E3`e$_7MBh+DbE??RUf7TeZi4?~j zd$c(Cy)6I!R%n~jN^O~ynbjur^`5eVgceyGTVeb1GbwA+GAQd9J>ytmY!u4M%6{`K zzO+qFVQx-AL3x+KN~CA@fI5bN-I$4LoS7XN>Yy@Q7yA})P#2ja!N2E*tAg*mp}aiZ(f| z3f)mbP!KuPphb4{{#~v%<>ygGZ`+aVR2eX`AOwvAd*jyO<^e<1T{0tAN9op`3k!G~ z=Kjk{Lc1s{ayJZguwCS;2)fGZ*rsLVKnrujZ@WsZ;VZQgh&XcI5l4osZ2Ra#VJY)k zCOEt~U#sQOF7hB*)@4~)xLsyko6NjWeSTr6i~MM?^cZ@oE_L`ML%$GFTCXcCVx1&! z9DPy^ioz#ZY8@QiC!A!oEZi=1lC%k(WP_s6Ne0x`p$B;mI`pJe>Tr^wU#p1J>m=FU zC)qIWBugFIed0;7i-aU83!P-CL;ZwKvSIinL+>3p=+N7RQio46^jjK{dYvTO`y?C1 zon)zXaCDcDWV9^YE_9N#2_@MmoMgbr);XbHI6%5o5et^@>!k$=E%F$`#Acui7?3|c` ziRTm)CY)1Hm~c)(VZu2Dg$d^r6egTgP?&H|L1DrEl*5B)esrAf}IF})^SdqH8sIR%9Y=M)qsoKsMka85yC!Z`(n z3Fj30u|@RgLqGLVX_9lgfL;^MDJV=hr=T$5oPxrHa|#L*&M7ENIH#a6;haK0Xo?$06=r!S-g2IGz3JMd>DJV=hr=T$5oPxrHa|#L*&MEx!tmxr~e}?7aB&S8= zBegw=KO>r)VAfsI08h z(k`MOvXt+sv@ko@OI4)X>|8%!#7ezXMM?|Wca7bwgEJ^Q-tKwHN^>HAddkO;(}C|I zIW`Eh}@IA8pgu~&zSKX<|McLXvzq-X!@@nk1j#_v@19 z!>2#dPl!Dh$?^&MPLWT8qNMpyoR~m^q66hqR+}WBgxhLa5DE9yNO6*UcsfnYhb1gY zK0#?>K0#?>J{&@ld^p}D`B0i9pWv4}lIOz*S4r~WM3T&(pzjp`7+d=>6Yf7tjQV1`1>@)~v7!XdD_ucT<#H=jUp3eg;eC zrz}`wBFc(oFqt2miR=7a)AlMGCwkQGe0+cegN0`x!Ihb!IJqY+mSI5WtU|znIGAsltl?UYtr8Yt@9&j zogcVB<_G?g`2kAiN4}E5=LbIkM(!U3Lgq)tZsrFE2ev-|T<7QO=KKtn%um_Aj)^Gy zSA)s?;PhSR=Np|LLF@boTIUBYkokeXWPX5>`H}BH^7+AUq>=eSAY^`I>}Gzx@%aH< z=jYqz{0x@NPdylnnW!fN$H^2yn#>VUb`vRFXG!5YO@P@v0cH~=FqtX9-Bii=*uwq% z4?o4k^TQ@h?KHEtjCjJ!Hu%i?^!R_Jxj=%<;G%~Q$(VvT`SASoRJo=F)+5<6gYwXT zjlyP-w?Pce?lXnS4DwD0X*z?v7ecz7LEa6aL1%8GA3}vTM8V0VY_~)x$&TG?3eG&7 zpW}m>1qmnF1;PJKpAkm5k(Sps&W|s4q>UO2Wp~^};l-XtNg1Z|aEG6V`|sC1=3}X% zIHEGJNGN>FipNlo_U^>0HJu?NOk+J<+E|^ceuHXaHHPVI#ftN#WP!!1F9+~g(Vy0z zrCwv5&SMd7W4*F8RKGzru^Pj4wqk{QU99?!0FQM#+pIz1V6pxXcZAzmulra*HL)5~ zW5s!AqlV#L7puN1z+;`x32RW(IU&MrtT%nEpqf~Xsj=ehun{ZV>te+bpu1nE1!oOv z+H*&^jrD6EE2t(`V`{8el{R99dtIzpK)P6`{p%Xkw116o8|$||R!~i>#?)A`r`?DZ z?sc(ZYud#sn;O=fbYtQ_oxes4ybX8ds_()z0Xs#twnBzx8#9(-9#~VdknaO?j<{&G zS(jlMQe&oCn}KZn zKE7Tae%n%S(DF8E9OC}v?f!r3c1IQ#f8m)DbdOHhX1Ys&bm=WB|AHxsE$ zHJS|)o8(uqaV{7J1M{H(n;W}%0|C?FEqaE}l-gy>|W^&~?J_~vTZWluOA3i2!sfN92oKRo#t zNv7d}*PO}5c>0a(Rb^1rsX>J=Dr7BC+*XrJ8L-Lapjum+a@`x+VK6Syy|Fe0uD_E@csQ-e(E)tCLS>4=pvH|ritg_(LaPvUV~}uV3*wZ z2By)u`|RQY)9W$e3Di z#?%|mm|AdPnntKh7bzNH6R9#ZQe|kQ%Fsxap^+*>BUNUO6rVtr*#uUi6O28)S@2H& z{Cl2s6aPI&>+l?^!*iStmr%sT^Y6Juxb)m29Zr34!SOh4x-Ay?&i_=g*6r3Kw?rUfTAvV%kXO0e5#5H&LkUT&lXFS%LplAM8moZjy2VY%P&p!hAX zMB}X7Q>7OUg*uN5&YYETn6tn;XZei?8z|`YbKe-AR6283aF$uYnX`g3XMuOl^4kN> zqRhCnQh&@@!I`syGiL>7&H|^-;(;EoStXdWgQ(6fcyV^Yi?a(}oL%s8M=MTlM&P`V zm1rWMg*+5$x)+>9Knr=1XA#iF2lBkSm1rW6sw@RF6lesrx)*si2O`fRpz8$2;U%v` z6M>W}i$HKzMS`>7Q95T;3a|uFA*$wi9m63ALt=~ zMW6(WU=THe1uqdSc!^-aO9TsEB2b)1!0%)z;6@{ja}YEFxieV=g0l$doxF_CBA|D& zKJ?}NbfH)j3za^Oz`ntBe&=1h5xIJdMA;`j4(3)(y#A* z0GB5skiPpo(_^yWENsPV%mXfA4YUA8^U?fQv5!roOCyXwuU) zq~~W1zfaTOX&$ly7M}&g#*WZ%YJl8%&LXAsluV~Pc*<4a?yegcJ3O@#Ctk_W)>^ZD1m z#Z6&YB6MR=nzK48zZTA26$T=;Hgq)ZFnubz*ugrO*BWO!JBKDQ#}jF}%V?zOuFRW# zAw&LjbjXNY2KVIh5eK*je=NNsHcu;JeR4&tMXrb~Ju703aYbx9v?9056brI;?{O9V zI6ptaU%cWUz7!);0r$FF@u?w3IAvmtf{ocP?-WrQaPrD zzx{f8wy$ugtvCO~W4Vka13MN0G`5;;_#2@Wl#nDS6EjwQ+tmv z%`uKpEXNAhfB{cVjWpcUx|ZU&$@Oj0)FNIdXK4UTEKaZMt}#w;>qeMb#H+E)B4A>1 zdd+(sdV0+}!qg&Ot!5Sh6N|SztG7I>w|pKIuJZ_(Sp3c{e&-gybBhXBi-0GK$CFfW z=?4aDWm7x9nsH zd?uQGKz}{ne?0$dPkcoTSa@ODY(LJAogz%)5^0u6{acvp3)<5twudnA^t&{_k>Jw& z3?`YpLV9U#@CH}Sq=1d|lu0o-hbK;BA6G-8l_OxOoX7dGn598rnqhQG|C(kLrWSRS zn&HyPM=Ghs)9-6ms{+!T;jOirVF4TIDZ}^ET;hr-N&ipV9dVy53kI`<>0dXl^QNQJ zgi(v9-(?{hdAwl>6OcqBgOG#?*ho(alb<^O_=%rn54$VJ$N-P;WrZ7ADl449EP47D z8(H!&N=+WMc=}B(3Ov=o$f$rM8j&W+6R?q6G7Fu#s z>R&2mX~N=O(?l(vewU5F$jCRACIM;S-&mRiY^0|&jmXQc!C;yY>;p(bZtJ$*N2v*+ z7Eiy+K4m22mL)_$8saTWh=7eWNl4k$j8Mv^#$c9^?_EMLN=*p0c=}B(3QTS!0cnWe zSwaMCq^E?)H?>kr7ARGQexD0}sbTuN39^K(MAVRzZX8rkzU#63Q?BJdb5>R~kG057 zPvPPq)5C+Az*pA3e7PL$`9US|yIDPnpIqok{9;f~;^%6562A=4llY;Ip1j>6zi*L0 zHps(Ph7Ma9!WSreZx34;I&5VKUs3FbmHG6;#9B0WU*!9VU*K&|!rPvNw>=4OdlKIE zB<8axF`qq&`Rqx|XG_X__T*NEWIlV%tqjS0_L`d+!V|8(;_>XeC-F49C-IH_p2Sz& zdlKIX?@4@#x+ib9$nRU^j|~#@+1teZxj}Aa2>0iP=2nJqfA*TVKmDy-)^9gRc-z*{ z7kJx~@U|!6ZBJr8dlK{6lbFw*#C*1-%x6zxK6`R2Lo%Pe=2nJeK3h%9=la-_^xF;c zYD@Eai@ez)ziyG=w#eHp^7|I~V}rze_DR6~xj}Aa2=lq2xs@TzXRj&q*-tjS?MZms zlkm1D;cZXC+n&UH_9W)BCo!KriTP|vna`fw$`IDi4b80#Vg20D+{_TRzkUiP_vZ$Q z2Xnn9o+@{(J zu0I6G7SJsgPjUT61gkEdKyI-3TxEmBXCNCa9;0lqc&4zy;&Xrv_Qw{BncdLE)NZkx zxx(ab>2Br<)4QRI`CUIyuXBV~xcuYx%Hlic8!TRz+F)-s*{_@Iw@vnTll{KQ{@7wM zzZ(%@ez(}oTw#8dOK@!ZrvJuJ8N=w-O}F48fw(OpvY!>gG7b)nkdkoM1A%o z%CjeNC-)?7^MCTT<@gp2SVulUo^*Te#QU%8=Z^OmqGuIP9h7&w?jH9y+0Z z*fW8HK9NH8^knn2Z)i48`v%!O?HgqCv`JNc{()6=IM}T{z&Lg_*J>O8O-(c7eex3+wMs6q1&#I94K~$FL;GXN z=S2Hba;VvtlGDw;lpJ&RrR2P`FC_<`eJMEs?Mk7t{zgj9MEhg?Rqjz-e>2u!)GcPLE~# za;Uk#%JVp?{l$2HIUn+L`fut0JFmZ!``h`DUXy+Vf4*)g~Rzqm#95;k^&T%S)dEYlDk3zN%d&At$Zg~jp z?3Rbn&iP~r?VL}B(9Zc}2<@CtR0w(6+~yCVo%6{sx3dczLOZ*_A+)pa9zr|&?jf|Z z?;b)s`)(CNo;J6yLuhB;JIp)ZSiFrI4-d-Pn-Y z+e;3M`)lx!+h2o+-2R?u$nEcmhTQ(1XvppFiBt}`+uL&tIemP+xhEQScUGGrw6nes zp`G=82<@!zLuhAxA3{6py9!CRwietW)UWRkk5A?6Q1XZuOQlfF(_PhSpYAT~w(fYk zD_@CWyTN4$5jtGH$g^AfDrIus z(6`GM_L9VG?)LIU*8J`0zF)q`n!hddRCa_$sq6@iQrQt2rLrS5N@YiA zl*+cyQYt$_qf~Z;Myc!wjZ)bW8l|!=G)kquSTa|Emdc)xmdc)xmdc)xmdc)xmdc)x zmdc)xmdc)xmdc)xmdcLMD3v`SEtNeXEtNeXEtNeXEtMUirBrt6X_U&2&?uE1p;0P3 zLZei6ghr|C2#r$N5gMhkBQ#27M`)DFw$M^4J3^yWc7#T$>2N?3f#+vLiG~Wk+a~%8t+|l^vl`Dmy}>RJMhdQrQt2 zrLrS5N@YiAl**3KD3xuYQ7ZK%JJMD5gtV*d32CY932CY932CY932CY932CY932CY9 z32CY92#r$N6Vg)I6Vg)I6Vg)I6Vg)I5gMh!KN=1lL)*%(lordblvc~Gl$Oh`l-A3x zlorgclvd2Hl$Ok{l-A6i)F_%=DXp4aDJ`2_DXp7bDJ`5msZlw2rnY$uAJ18KrL=N( zrL=N(rL=N(rL=N(rL=N(rL=N(rL=N(rL=PPq(3 zZ_APeYF|uiXJ1T{fObY2{bxsLw4WWJ(S3G=M)TPb8og&nXtbUkq0xD^ zg_g#%BQ*NXj?id3J3^!D>Fe~jAL%db_(*^A;r;knWpsr0Y5EWv{a{CE zbb}qC(F=BjMkm-7TKd3_(C7l&LiiX&^36Nb|HKwB%_gnR?&VFGAW@BHVX- zLL*N*=0=`&ghrmWg_b<+2#q{#3ynPWkB>q#2=%llr1i8Xq2-LKO0UkCBwp(+)h_Qv7-hpQ>qhb| z!!o%5o7+a->SXbn0?jjPNqF;<*tITG>`jUJTvc_wzrrPDL38QS-Wz7lC zF^FC3H`OlhPZ(vxF6&SS)}jD*^UIr*E7196ZR)`K6u{0d?^Lcp?Xq5VV9g3(XP37t zS73IpSib^T=XXf5eg%fzN3KBavVL{N`W3(?UNF?IDNwttUtO_&1+cTr`;{wDyR2Vb zv3>=xv&;LHD-gR+|KLxCO>3p&~eD>u;6@r z{E!*VKUo5F9I^^5I3HjC#uv#CY4th|>GliG$JalZMaCyBUB@9^eZl$o_&GM3AJV#Y z9MZiPoR5zmbfe>sji3Fg$#~*4ve|2@KW5(cQ>wzc}L1 zTb9}A?&Y!DV{vqMGc=l9b@%hws4*Me9Sx1fMt4t-O&PP%-PO=&Y;^ba*nlw`-JK1M z#zuE0q_zE^uq( zwzpBYRXSMhxeMId_}<&7TPq!`_S^+-ZT#qMknNR@2Ato8Uu>*tV`4gs3-ul$Tdbi| zJFnqwI2fz9)7Oa4^w?1Gy!pLN>^Mj4G&eqZ>Neu>`OaS1MID6-hlUZ zoFjIcen56)BTm2P>-<2c&s)u9|)Tytm^VvD5T}tEL|S@9j8O?OfOAr&_HPz|wX<@> zPIDNzZu$Z6-i~v`PSX#rn|=Vix8oeK)AWPuW`6*@x8oeK)AWPurXK+B?Kns5H2vVZ z=?B1jJI)b1O+UD9`T_9Xj&sCL(+{qjegM3;;~cTm^n>fB9{}&|I7jR>{ouOk2f%wf z&Q&`%O+Nrm`vLIQ&dL!xO+UD4`T_9Xj&sCL(+_T%egM3;;~cTm^n;tG9{}&|I7jR> z{otnQ2f%wf&JjCJKe%c70r1|AbHq;54{n-%0KB*39I?~%gPW!w0PpQMN9;8H;HK#Z zz<%pf8AAD{40r1|AbHq;5556}20C;c5 zIbx^j2Va|h0KB*39I?~%gRf0L0N&eij@W7X!PllA0PpQMN9;8H;A_(lfcJKsBX*j8 z@U`g&z<%pf8AAD>2 z0r1|AbHq;5556`10C;c5Ibx^j2j7~00KB*39I?~%gKteg0N&eij@W7X!MCO#0PpQM zN9;8H;9JuVfcJKsBX*j8@U7_wzP#44{n=&0KB*39I?~%gWIMb0PpQMN9;8H;I`=pzZkv7pytm^VvD5T}+om4?@9j88>@@x0w&@4Jdpph%J54{hZTbQ5-i~v` zPSX!=n|=Vix8q#3^S$W@z-d1K-r89?VyEc`-@@x0d(#hq_ja5kcA9?hz3B(Qdpph%J54|M-t+_Dy&dO>ou(grZ~6i7 z-i~v`PSX#*H~j#3Z^t=er|AdZn|=Vix8q#3^P}kpz-d1K-r89?VyEc`Kbn33ytm^V zvD5T}A5A|1-rI4G*lGH~kES00@9j88>@@x0N7E00_ja5kcA9?hqv;30dpph%J54|M z(ewl0y&dO>ou(iBX!-&0-i~v`PSX#5H2na0Z^t=er|AbjntlMhx8q#3bHGoC;Ts^+ z`;+>CqY$(s2+^7fB(v2tg#&&hv9aa1e*M^9v=&F0t)?*?@K-MyTYmd9TTU`tO>;Ql zk7qQtyag~@PBL3fgE-(z|BWqg1I(6_%vRGR4*2bW#+J7NX3I%tt7#Mm{6&z)mbU|D z%SmRdX%+|kVUfm`w*+R(NoK2Q7zg})lE#*|1!l`hW~*r$2mG0n#+J7RX3I%pt9_BN zeUlMH-#1p0+3NZR-c00it*iS0eMk9!gxSV9Ry1voA)d*t4mXpj@*EiZX z8$oQ?a+2BV`bPV9BZv)KPBL3v-)P@(1hHYuNoK3-8|_<;AU13{$!v9fqkYp6#D*;= znXRsGv~N3t*s$d!vDLlsc($3V zGFx5W=-z%jtgkqdez=m%R@XPWHy{sNuRsQp+3Na6_ZH+~>ov$gGFx5W=-z}pY`qE@ zNM@_+8{ONGhppEk18KG%ALAw-SE0Ib^>F++US7jC-?1QffBZEa1@t=e_vcfzq%wjr zx#wRWm$UtZl1mEuXg_!4=-ns&CSH-nFEA1G{`Fz`wIo-^cda7y@ux;2SoTt|G@3xq z)A)is{LI5Yyv)edY1FTeKU0%&tQb5LgZQ(k$smwqaB4Tp;M2**v10J57`!S5fh2=d z&sheajyH}KgV)92bukDe8Jrr=GWc}HajY1;DF*S!c2i1$B!g4;Sq7gDI*t{CUyDKf z;of8rNHRFJpk?sswBuMY_^lYkAC^o8fh2=dA6f>Vjy#SPgSW*X{-AI&2xKyN!mSg! zjt(wZz7GXU1_he$LqTSPiWGy#*Qt+)(DA-PuczrQU&Int@9Gn|s|73W=et^jvite2 z7MbF{{O(9{U!{tx5KCC?5vM=3M+jEj&pkqfvirG5h)i)`e!e8RuTsU;>VEAwr$4ph z2v*$B9Y=(+`?=$YOmSa+1tqz!QptT4OIYn+r$4oS30BU!{txHT%2FZUlOTVoZIhi4gkcs&*jKhF5i<22epUZa5l+0IJGSLm(? z-BrE2N$=;|%fn<&fk{h|s$@TIuI5E%vaUcGrrN%nhPi+H`8cKa;rR0Wk3Dnz#KXea zMD#k$=HRD>WDt>hr-DGa>}MwqqSbhRdAK}(*n@j>1~#BHIC~DvxT|G48F#(G-E44Q zH@I&b-0cSUeS`b4!5uae(`V-Gw2@Mfo0@%6p~;JVGhHP68V(!+Ix>2-MCM2F{17@jv_c;1BJ zc@u`^Z8}@WE--y=H52_(bl6ymjAMB)j%C6)mJ8!pHjHEWFpg(r#K&sM^jI|+M;nWi ztUdXsrG*jkEQs9lPt-}{_v4Q0y%2f>2|!OI8)uOSe; zfI#r-0l`ZL1g{$qyl6l)6(dX=J6(##&!e`{)1_$WwDHrWXy~*Vpi9xvX>&lABGsWw z(O}vFpi9xvX-j}EMMI}80=g6powf|=bV*J%?_PhPImrl6j@Os6h)&a^qGCok7&E1;gdT&Jypdh&9ewhHRW%XQj1 zsLP${)RUJovT*R*Mi`zqVfc+B49}Y|ymo})c@u`$jxa25-OBaZE?#zsU^y_3Wx+U> z2jf^KjAOYlj%C9*mJj22Mw*isX5aK!H5o@6i<5n3nx$xHg51>XliDmrejI8a0&2L+ zdetpOKFZcoqD zfG$Ntr>z6J6sZnfipD95-!{_jdESKKH;ynoZ^H1}5r*eY7+yQVki7LLufwH3c}*{~ z_*rdwvoxU1$?HmvWbEXnMDw6Aa3#}$E4dC_$#&pMz5`b>9=MY8z?G~AuH3VMD-|2K z+Pos!XNE0zuF00WNcKr(%bjZOoxGwDUGAblyWB+~zTBwvHGmYNM|Y8eON2zdmSmoi+pYL8I%mIjGB> z>C^{}&a?$kA2hm7TLSe#qwBOqP#-k9PFn``L8Ix^<<1#i2eNSR+eVmPhv!XncG<4b`pi9xvY0H2vMXE!WqQUSwkfzRW8)14Ko;T6q zwIdAAn=rg~gyDG;hSW}f^19kMc};KM`x$HcQd~frlh<{3@=~H%?gCdb9k`O~z?EzV zuH-v#CF6lBIS*XPdf-aE2Cm$-fve3cl6_{_a_5>LH#PgDvgJ;P-8*?jA$szP0_}1a zh4^x(61W4(eQN{{92z`m5WI{)@EQWa3kU?S9uT~AK=8T&!HWh&Q!&D{vDf9!)73^_ zmpj*K$DlD%bn}AIjGB>>D1-UnYIAxa_2g23Do7zb=o4R%bn}AWl)zp)2Yjy zGrSID;o!H8Fue}Xo9OV`5r*eY7+yQV@Vp7bYKNb^kOF@4Qlejq{D6^9UO|uL!Sq-r zjAOYlj%C9*mJj22Mw*jXh=JWvHYRN>PO|zKWU~}GxvAMFwONY%IMklJG~BI|R}|P< ziriGY6zT4g-BU{Nz){aUXb`-NK=2v@!3zikuO1M*bU?5kq;c?~fksm?!nCo|rD&w9 zjh-$=L#K_OE=5D9%>Z4BhEAIUx)iAnU5W9K16Oh$xRUk2m3j?axoZPgn^z?J%&_IoH9>A_ z_DN;SoesNq@`^(AG<4b`pi9xvY0H2vMXE!WqQUSwkfzRW8)14Ko;T6qwIdAAn=rg~gyDG;hSW}f z^19hLc}>4>nvCod(MhDuFwo+_y&Xz@fo| z2Eoe+1g{|wynsOP>H)z^2L!Jh5WHwWG!-LE8+%>uJY8+{b-8n$HvYQYxlWsby4<-= zn}fRCnND5qoM{W7E_bfemOx$ZT&FF9y4<-=TLyKxGo8BJIm7Ef77l*f2-EBEyonC4 z9btIhgyFR#49}Y|takXx3n}0yFD3e=$PXC#U8 zXy~*#pi7bJ(4}ZFZ2{1wXy~*hK$oJS(-r|;iiS>G26QP>9l8_^hSz~Kb$;6j)9diO zi4LzFVR+tz;k6?S&zmr$cKVao*Nv0c^c(7a#+rUbJ)q6W>sxp7QleSz0#`B}xRUF@ zm23yDB+&o$ItYsLP${)aA~ZwgBpK=Q?c()aA}~+9IgSo$It^P?tN? zsmq-+ybfgH;J1x1y$;Wt=F$!9Y|B>w~a8p4$qtD@Y)fE=S>)1JHqh12}5e9KY4xIIC)Kf{>jf+(;t8e zXmj$q?M_}wG|OG!N~Qx>avivm?ZB0M2d-p1a3$w~D_IX*sn@`jyEbsOc}23%3|sD8 z6Xd35pH#No>9BhzuP8)MUQwW3?xGN1?oa%VbqxpRisfh-*Swh^Y+;dv7sUOU3@ya~f=M;M+rVOZ_( zlNVCJPhLv&OOYQi^2sacu{@X_%Y<<(7sjz{7{~Hq9M4E|@(MAqJIcnSjm1e;AA@X` zA}2RB`=mBYkspWJlb43Ob@GY=TT79fYL_D2U9x*h2_87=nFkGmmk|hFLm+qof#B5x zf|m{m)`K(-UNq2XDn^(#cDfXebhXjbrD*81@zbSf=(HK2OVQA2b3m6O)uBt#VA=wp zOVQA2OMos#L#HhQx)cqawhZV}q&jpd8Vs)kY3ls85vJGSc@rI8JHqh13Bzkg7@jv_ zNbU3|uiNhAMHVlzY@vgaUqDo}{GOqr?0jZKtHBmUQySbnA2T=4$jr@iGIR5+%-lRL zGdIu7%*}H%b8}VC+@!mq#i$8#Q?pNMSrl#9>6js^_yLz!$|NL>5Jp zza-b1i(=GibC4FrppzEG$g~BJ7R9L3mOxq*qfT1{X;F+iZ5gCRG3ca4F*3Xk zWO?DYjWE3q&ztD*+7X85O&DG~!tlHa!)k{vaahmT`E29{|0REba^_eTOpoQkIFp+@1uN`4}9iBJQ;k6?S&zms3c7)-16Nc1Ib3W5XGdOlOBENtL4e|?!iZ&-N z{Gt%~?RnE^mOE8yPF{g4xei>(cHm0B16ML0xRUe0m8=J@)NA0%T^qQ%+(oj~pp$I5 zi{z$epH#No>9BhzuP8)MUQwW3?xGN1?oa%VbqxpRisfh-*Swh^Y+;dv7sUOU3@ya~f=M;M+rVOZ_( zlNVCJA2BM?FGX0a^i;;OU>wVXaV!(Yv0NC(vSA#{hjBb3BL-GWrpN9m<7i`Xvd>Jj z6b(&~o0@%6o2AH)L+!~+r+Vw;6$Q4IA~)48MY_9W_mmPmaMUvo8U!yR5WI#!@B#wC zs|N%x9T2>3K=7gg(Nv5uZR~U@8tH1Ir%Tb$Y2&9$(a>o#K$oJS)8>FKMXE!WqQSHU zK$oJS)0O~TiiS>G1av7HI&B%yrAT$?QZyJ|2h!B}Z6i#t!}BIOymo})c@u`$jxaoL z!jRhOPhRaWAfh*tUqDopozn2bLFDf(t9WzrQjKQ03tY)`;7YCoSF#b0vdnd0bL{DB(pk3~w5MS<80(U^U zZ;jw7g9Z;81TP~HyoNyV0s_IS2Lvx25WH?c@S*|HRE#if>~*>GbhXjf<<525`0H}# zI&B8(a_2g24(f7eI(4~orY(TF+__F$0(H4_owf+-a_2g28Pw&@bn0^F46g%OIQVTN zOs~W9COW)!gyDG;hS!cTJa59V+TkZJq=28il<1eDaZGrwr-Y!#@?d%_6UMPz7{{_< z9LtAsJR{A?E5yL=C>xVD7AIMK46<2@oZQsxliDmrejI8~UK;M!$twzMEk$msU5a#f z$?hp7c;KjK9yADEMj&_%f#3xMf>#d+UOFIH57Ibz(LkfA7-8Dj=~6V()kaU3qM_5q zPnV*h(`JA!MMI~}0bPnzhb~2fX$ycZMMI}80lE|oowf+*QZ#hhGN4P5>d>WVFuV?= zsq@=Lm|lnHO>}te2*dLx46hwwc;18|wbP%x+Fw9KZzR8fs3<#m;fI6x$xDe=qgn1$ zX>cXefh)NVT*-FeO1=YEG9I{+^T3s?2d>m>;L2SaxZ1oT*=L3=cdiL?Q?pMhTkdq& zy^~iIq9?B?&@OjTh%a|4fjgkww?^>5p}~U&!OI8)uOSe;fI#r-0l`ZL1g{$qyl6l) z6(dX=dtL54U2XJrxpSR1{<_?`PMd+c+__GhgSy$c7aV!_cv1}N}@?jj$NOSTEF|a$z#-xqKNmd_&Y?dM?H#PgDHcOEo zhuV{uhP!q0iUM0pk(+9lBHdlGdrAo&IO>@P4T6^u2wp=VcmaXn)dPZ;4hYtRG!9-g z&}b?~m^OC06peJX(bJ`9=(O?ErD*818K6ti&}nl(mm<}nOVME30-#IL&}mD6E=5D9 zEdsg}4V|_O=u)IQbSWAPuLEi7{I(IM*Wq~+9bP-a@Vp7bYeyKKH(^Na^e3O# zl|ZuoRtdoGm%HQnF@Bd@K{DnKCi#P9=|B?-l#F_yDFvEk@9sZd>f{fU%f3F`zx?m= z_$vlCAVUE?xdHUXy>< zDOq+M!gTp}osxgI!?HYlGv@i>_47}>`vwrIegMy>|C0)}lA1vCc>>L;37oAiW7=Xc zN!mIvfwlxppmqNNJ}^HQaQ1TnXFnHk_HzMeKNryayg%Omd^{arV=5gB*>vpT_;EzB zt_?CVLFfE*io?ofV*h!6ezU0&8rP?X1iaxL_(y9oi_n{;#FY~8X6bQ(1iYd1)=>#& zDfNL8@P@Wr2C|g9QUc!a7deZPO^GWd;0+64QL@rEV!&w?lOD{rc`0D?qP93F!5Im-EN*^s%fV13JCE*o+TgU4HcX&(N_+kC@H#z+|9V zN|0IR`PY3k{wLXbdwRmb?)dOnBp;T8q>gO zOarGeU(X+>%g<98HsI690iOmB_%#0AGj=z=k!Dktr_&a=qiP4>a)UE?b~@qZ#yZe|&kq3%j}Zg^^9h`}4c)dJXpB7M`eOd&?c?%tzS|af-4ghH`rqaE|M~%*j(3;;tj0+U zug|~UHt6Tqx6AeG&;QpO5Q%TQ5{Ii@k*k|sk#D!VBHzF4iu~C3<#Q|L=+j>=AEz&u zpXWX;5IX#g(AD1vUH^@c_;mOipLT`Br(GfOX@wtwq*J2rH@J#u6G@&3zp0#slt11hkU0u|WGfeLITK?Sz5paNTIP=W0{T18Z07+2+j)S%b{-(God-O3*t#K(_Ym050|d770DWa#C8_b)gGy?y@rSdyXW`*Xn_|J+~R{{4vq zK@vW{JU+e`^yU0nu%|bCWj3q7-(Swh*Y_8jH?{wU^Svcc0GvJlHvvzdzwSSt-+oQt zy{K8DTrC2w7C}|Bgt}S;TrGmC789>dM!?k!e0sl&(<7H*dgL-pk6fM}Uytv0TjKgj z5*>0QGKN`55i@@y7M(F&EAl93l^!uG_lQ}|3l{E|o6sH{rpRA8}z>a{3*ha`|temj9vn6nW70kJ-)mh z?UBI5$g_|~zu{);IFI%C@%Za#X;I1h7wFCqp5nbf{_>7tVg)jVz?s}w6zeDw#ut4#6MUzJ#8iogD9 z#41xf_E#ZRnc}m*`rtDD%EOA31p6xvt4v9;ztXVElqCBr4695@v%j+7GXAQ{c%339wuj_>a;zp$_PI7Re~`wAP2`#0ck)9rvK zjSPmu1x5IF`FZ^H@PeJn&1IUZ!hgT`t2gRi5x|E8{sDkX*y#8E1XL+(f?kimE>FkL zm+^GacMwY7eV$wH^L+g0v1NZAPY*5m@Obz6Ps>g|;rV<_@9NM>+`pY3FY@R!e*9%v z=!Y&RvOf{;{dpOBV(@G{e8^HL*$!qk*2fGMy?XezMu+KxV|ntTHLetJCP8S4yc}cYKs60JCy*+)t zyj)&j&sy6U9o74Edi=ko76g<3`P9k9Xnzl|`R60Zq3fQ{m&eyT`4A#Zzp9*<gWtCd;xPmwa6%2dlf}s!! zCbwt-;b_HvSz}raW916nX~n}#OrXuj1lp`jpv}z$+6+yg<$3~bwkELVJzI(VG4Y&xYGvgUMcfwmA8)w%pZ}k=GtoJ{{f>})5?KWW&4Q0u?a)2Tpg7(Ok;LC0 zPtWf^t0UiE3s0xV}DU2(^!vhvTfK8ulmJswXl|1J6tpC9&5 z8D~MyCkg1~qI$CEOA+bCLa5D$XL+qJ!g#2ckUt;Cm&^DND+&rM#Tv2am#qA*FLFc~ z9qxbn30{WfW5bBywaZKPPp}+{>PU>TU&;drCOfUCmm~Hf(=)aVFD0V;GI=NJ9?kaK z&HwyU;z}@$>#IgX38r!Q)@UffG`LHb*DPDYJxg2zWwO*05vQIAL8Eno*`OH}4JCrc z!|U-P{$_;3( zu%W(nLn*m<`onHfSZv zP_z=AU^Y~P*`OsVL(vj-g4s|Fv+;yC-(>NXj|IK)8Tbbq2s{QkEqR^cwIyWSX3lVI zCt}egm`T4Ui!#Fh5zJjXzr6j{FkU1KtEm|^Lx%pjMz$@s3G|*C(T}qn8>GJO-rjVX zFf(>-2JG6BZ`YRdaqYeveKQSz*2g4b?)~>k9^$QGIba6gaWeWHQ|v&IFrOgt`vGg6 zhiZT&1lRK6fP0DSag1H(JwUO%g6sce&XYvX{Z$pgRNk29MAfb?t%ox z1*uv#32=UqvAnN{9K1C1E+eO|8IdE`jL5_18IcF(GonxV6I8v{=ln@3_17%h zD2!%M$c8~=tdI?p3fV9yWMe?*>crW%d+t}bv(44>sW@>y6(`Q8;>7vnPCVb^*I97O z$|DBrOz#o&Y(&uW5kYq*g6>WP-Ju9lm%L6Od0>7z82xlG`srZw)4}McgV9e1qn{2& zKd+dd4n{v6jD9*8{d6$;>0tEJ!RV)h(a&qWW5RS2Q}iqS4zGjqa}KT&|`b+%aoU)!63n+)KaY_kNuN;d;=Fd_InT%qx~xOwx% zp7`R4;>k90n%Lz@aKf(|`RfM1Y4EQN{;k0g4|fPrRpLS3v(|SKBu-q(o0Us+xRN(1 z*DHB*a=H5T{)u<99?tTSqTLh&JKq=R?HzBu1@wHx_Z(I|T-tl2;)F^^-T}MM6eue8 zPNJs*Dr~u*R*Kle2_En4}AIoK6qjj+MPUQocB{VCNS}_>A3s{=ffMKsixWI}4f&-JKqv$^dvfA{$z?uV(WLY7Gz{ zGX%Ti%IwKe+y@-u}TGau2WXcqThzXQ>8;t!FONmRD6~WxPOiIp3cy%m2^h37^C2$JOE>-pY9V zxIE(}k8pgMK+DerTAC-&vOK``G-TKFNcaA5!T79i3zYG;G>z-^pkvqb`0EkJ_<4L! z_!+OrKH+r$d^~RXULh@@dwrQu(j^20k=rGw3-GAlzBCC*yL>JYWaMdog8cD>j4=)P z@QMc=$9Mew%Ant;zu<$%@kWL?Ga&ge66=S#yn?IO0~?=oW%Q@Mjc{Iq zksE*crn6X(%j1I$Em!N~9KG@=<;p(9kbl3f5A{?K4*(yY|Ft;`&{eSf@rpMf!s9>@ z8`xxL5nFGSRSxc%4f)!Z=Upy*488dI{G?ximM|SKX9Rfg3SO>$E}o9dXa+AiS&oF1 zOKTW*9hLu?#pQCM|JB!6%`v1zUhxjb7i2OqgyJxZl+CO-a{q?!1TO=9ykf-+k9h3b z;<9T?iC(XFrC#DwY-^%+J*&&RzxWp7WO87$+%d@<-zWa`F%2<(dQ|cQtNP45(9=_Q z<3kYm36|(IenM^vLa&2y3|E41Y?hmXfXo!c;W7mwmqA+}%7-BII`bh2Y%pUGS1Aa+ zp4CebFi~a#*c60bPvcBMT+KmDA0Qy})8__u9fH7CI|gx`g3#+=9K)3$9Gm5)ARsf% z1pZ1)EGM}%ZM*>aU;W44EyM6hj3?oB8eg+tH*$;_-my)n;wXjead!b{@;6 z)r(%9Uw*HXh9BVj?|r&_mN)xc_xTqdc05nU)}8(EM1TFr74GnJd*SsN6*=P9&f=g) zJ1HvraxY&Pl$R07T6xXc;AbqMu*FnCe8C=`pPoVv=G#Pen4wB=IcF%{DaywT zrF#YZoS}5LfUg-!_X}jEa*;7hy#SnV8397Y;F31Z1&=lJL(!3?nMj6stWbp{)q z;*37J09W;*37HNzPmoXB-P=fMsV4nxv>RSpJJM`T!<5 zb3>eQESLe7oiS*VqRwC?F3w^ESLe7 zoiS*VqRwD_D$ZcdDbAn+)_Z#egCPO4KaS0E)Fa4Nm(Xm8c?aXLHWA@Y6K!>AmgDBx z^k9ILlE>HQr^~N5`5o=04JmSZAHT*e?<73hYM_k##?QlV`KG7zCxhfYVtg7B(R=-_ zrMFd;gzoZfK3D$DES>Rz`}%p@xU!hXm902ud>9`k|1O;#`0SD3UPUg92@b#27W8Hd_}wn!mNy#Q z;&Ls&TDo|&yy7rR;B$y15v;2Q9`YxN;Dbuw3HmC5O#?EB$n8dSz-B{$)4w4%_Ye4R zAbhZhkN;P7T!hha_3-3R@5hymE4S+OEdU3igc>s6L_z_R&{t4aSdshzm8}g*kE4d+utEXyz&x2mpf9?IUMURZyJ+OCsd{_eE#$+JUpO@A0f+L_5G=MIy1css=AO674q*~j&i7+g>G zA0GYro$BLy(#L&1jgRYzzx$l+<2v(`eejcgndz8bDF+)sUs=MZWo~i&dAm3j9jhG$kpnMTm@&4 zjNlBOegYX*5Y{7)>t2XmS}wlgls~F4Jg|l`xuIhSB6Qj3$?1G+f5f zbeFP@wp`k1%cYIBT-s>MrOl*XuJeP-n8W2Vj2v^guwi6j!^rWTfUu;(%?mX|aiWOfl>t>eok`1Sqy*T2gka=jYR zDh@w`VAtlW*5b_LZ(^;njO{i6{6OjnllW%OaOJzAk2usl_|9uZZ15GaNm~({xD~O< zTM?VU6|tLhMQpJYWIb|>tLVr189!O|j>o>?`L{>~+_Syn=(NAQ1`=FHhxC*UDBzhp z$D;Pbf4}gKF@9_CNq;a#_VsG(&9}|VWmFoDqhT`#6{gaYgEE1Bk`)^Y_=~)1Rr7}K z&CDoV%>bUv3|H~Ykd2{m9Rn~K1CNr}7z)=h0FyEBgpQ4&a2*3M83R9+z{XIxjscjA z@tu#Ma2*5iG{*5HvxSQDFNVu(8CuHRh^fW}JpGoxfw%My8)ATHGWL8f5+^2o!k;ap z(*9AP41t54{VbFfIqpe(y&FFX8(aVup4ge~M{IOhwEAVK^+T;FPNKbH(F#cY$77os zt$>a66s@Fpc36DFT2P&(^Ce)3;1Qd$dC)Pn`t`6nu!xs2PQR~N76hbm;n7shf`ER+uK|8#0SZVgo_^zR+SXYUu#uhulwFzpr75(z5aK8`v_uk$ ze#el7f=@|mDBoBp0#fI`u}}nTq^D4Z)9As(>D#&?FmdAaEpu8x>c%Z|TEIq{IE|)H zoc`W9{hej{J9An<>c)5Gw1AED@1G?EFP$U=?L(+`XVg!YDLx}VNk;OMG6q`-fvtqV zRzhGaA+VJYA>PePENgdjgNBKb*3@fr1#Ws1+JpDa^-#*=p2B6OiC&{3GGE#g-{bZTqGwLVFpnleuFg-y9!qLuO z)$|k<2&aTBg?)8~us_WZc7GYd-YP>s3WQ8$DWs}E)q5nXNLBBVt}KOotZt}1;ehRVAv}a47;U*VZT%`?3gl!%vB7#rXok;K=P^_i38~?a#C;h;rd!` z3Bi0N1k;re%vM4$SqVXQesJ__$&qHG6y}MAdkN1 z8wj$Pka(^bGVxq7ka(^bNIX|4A~i6NjGHGRU*ev4j1%|71Bv_ify90MK;k}rAaNhB zi1_2{=s@B=erUx#=s@BgbRcoxJdn6=9!T6b4fy8~GBJd~KLj5E} z{F#0J68AJ?h(EI}@n=Th&$?q6&O$6I$*VXj-KQH0?14H0?14H0?14H0?1If>+rh+{zIA3QOM@Yg!El zG_B6mprnOul_?0cfuMEMjxTcK3=5=OZ^Ljb3dA%Iiyj~7$UN04ccf~H; zE12Xhb@bZf#(+Vid zS^;HcE1)cH1(fxzfU?A85cwpaEObSZMTBh*kz^5Jvr{FpAH?gqYm>Ho5>TEn6iIo= zP(WD&3n!eE4asG)G&ZD{$jbQzf0sA* zeJfdx>)DgY>j9MDy6zHO7hi(w3QTZah6%1~F~M~~t~hc|;~Gmdk#FLa(-6xWIxok& zcxBDIeDPz8C%=MnA}f+|C@Y|>*#(qkyMVHC7f=qB1(fx>fO53Vpk%m$vXB=^7Lg>j zO0tObuo=c2yNa~(4KS^I159iA0MlANz_gYRFs;~n0m|rQ;$(lyp2s_fUL)0efuK!Uw**( zMNS7Zcy;+Ad+8axzI>66GJ`i49Qrf(_3}kJ$}IWq@O)>Sl1HZhhDmQkOwZT?RFE8PwEeP*ayd zOz>&HPYU(nmsmq|IE`yr71TLvd`8-@ub?c+Sk&HH zj?^V^q%MIYbqO4)OW;V|3@)il;7DBpN9qzdQkTGyx)~g)TOU}4s>`6JE`yr73~K5! zsHw}KrY?h;x(sUSGN`Fb;7DBtHFX)()MZdpmqATk0+-aKd}`I@v;0V1k{qc^;7DBp zN9qzdQkTGyx&)5YC2*u}2A9+&aHKAQBXtQJsY~EU-3*S@t&f32)n!mqmqATk1~qjV z)YN5AQN2RQ%b=z%fg^R~;Rc#rK{a^=)$A2i(^pW< zUqLm21=S1|R8v?`&0$7I5(}zXEU2cjpqj^mY9cc_GKn4Rw5`F;51A~eX0o7~$%1Mo z3#yqcsAjUDn#qD{CJU;W%;?BuK{b;F)l3#tGg(m0WJX6O+4CUT_m#YBE-S3btgvRY z!kW$sYd$Ni39YbZw8EOw0*{$8mjsS#nZc!25;!Vl260rBgQ2gF(a!`9KNC3oOyKY{fy2)kT>MPn@N))-pXoAXEQpy zEvUMi(cy1c@q@nwReuYr{uWgIEvWjN(cy1F)!&Q`f8$CT9Imi>Tw!&&!s>H{)#(Bc zuPdx>7kJ5YTEx<_JVF^+PLd=Je!tcQFq3|G;cxB>jDEvq=9|{kY$xtTFhQe>B@}aQXAVZlr8wx+$%ZJhzj7qLd zoDFr`4W;iTm7z?W4fVYnN?&IxLzy@m>PI(}z6Di=GI2H(?xZGJU|8Z^fsSS+Ty7qD zo=>I`@GwtDvnsBm@v6t?0~@1RA=lA(;p1b3jnS-@>u9|8@%h8XXjaa3G+zGrC}U$Z ztLQo!zXJ}YKxe^aYccalwH2QO+(M>gaaHG*p zHu`L%(M>mcX`|6iIQnIy(M>seBG*8B13`*v5M(~k!WxZk&e5hCjc(4-iW-eN=N}K+ zn%+*&_!EZyh83h zs#^HP^G?gDs&(6IIaRg3_gYR>tslLXQ&kJys|{@Bh@RKLbbE}-agOMD6%ak=h@RI0 z(Q}UIc_k1%=ZK!y0?~7h=y^2|J?Ds?*8|aWj_7$s5IyIJp4SA?bFS*4^R@M|azxK- zqS`~#T*f4NUK2#mIilw^LG+v>dR`Mm&pD#!H9_>8BYIvFM9(>*=QTm}oFjT(6GYEB zqUSY1^qi}D*BkY+azxK-qT0K5j_7$!5IyIJp4SA?bB^eFO%Ofjh@RI3(Q}UIc});K z=ZK!y1krPj=y^>LJ?Ds?*96gXuIk-v)XT~dJ+Fys@5VWz=QTm}oFjT(6GYEBqUSY1 z^qeDlUK2#mIilw^LG+v>dR`Mm&pD#!H9_>8BYIvFM9;aZ_jRLQR*vX-O;medog;c) z6GYEBqUSY1^qeDlUK2#mIilw^LG+v>dR`Mm&pD#!H9_>8BYIvFM9(>*=QTm}oU3}@ zHtJ>Nh@RI(wfD_AqUSY1^qeDlUK2#mIilw^LG+v>dR`Mm&pD#!H9_>8BYIvFM9(>* z=QTm}oFjT(6GYFss&~6lFDpm%ye6u>Tjz+L*96gXj_7$!5IyIJp4SA?bB^eFO%Ofj zh@RI3(Q}UIc});K=ZK!y1krPj=y^>LJ?E<4_lLJ?Ds?*96gXuIl~RsF#%^dR`ON z-Vf)9p4SA?bB^eFO%Ofjh@RI3(Q}UIc});K=ZK!y1krPj=y^>LJ?Ds?*96gXj_7$! z5IyIr-T|+ewhv$e$uzwzs>uUhqi&zU9Pn1~hD|4#O)m{*(@Cc3#lbY4WSU+cOw&oG z=>@_xon)F`B23dsrs+k(G@WFcUM5V_Nus$v*d>}P$uzx8n5L6V)60ZuI>|J>OqiyV zOw-GRX*$U?y-b*R+<3pS5=sZy>He!S3k&tNm4>74n~TSLovpJeZ{f zdY<$IdHA_}KgiV{?58gm1RurbfevLL{7^y~2uKf$$TPl{Y(i={Ha&HhMyOn!`$P~Ba zMbG4xN);Dswo0ct{mGq1knC3NG(`c%5LEY zgHqqZd#2efdG$28rBY?|nk~FMmEHPL+`-JmP#eJR4idQ z#uUGR<$M9ko(Ys(15|tyEIT*kswXvTc<;NqNBTW!_@v{rmd|=VZTc#heI{)mFCmuf z)y5C0l0DM;Ay%`;n*R{Y?veJ7Hy(?7bpe1>agQtk5UcL7MPLYK_sBAU*DH&Abs>OM zagQto5UcL7#b5|#_sDX9cR7oDbwPksagQts5UcL7MPUeh#CZhx>(UAxC0c?zy&?Wgl=q_cLSv-a`+b4xqX zS=-x~I_l>;y^>`5+0K!NXKTwtiO8s`4( z=i`*xhvUohKlYV7+#m1w?G^m@<>B(|e|0s%cDhgS)%v>`agb{c-*DLe-fSA%{^)Fi z?Jv(J*#2I7f`4$6{`cII_<_dxcH(IP-pSL%K)z%Kzek5RuP^tHzb^PowCfjzZB`ck z&)ezAO2K_zxbx!&{*EpFn`2%0yZ_#gXR8QT4)1$MtONwP5)7~s2(U80z{=zTD>DnM zOe?T5r@+dD0;{trAdBR{LOHNl4lI}hi{`+>Ik0#RET996=*k|o#i_Ys5nZu}u2@7@ zETStG(G`p6ibZtABD!J`U9*U;OGFQtxgsebl`OG~WQkqC61#vUb^%N50;bpxyjdEY zd^I?EYjE<{;N-Ev$!CL;*9JF!r&%-UG;apy+sknpXKj{4zBWt8X<}-#)ZgjEWOU8a zdD1MMYnr9=tXb;!Y*JM!RuTa3N-)666ay>s3#?2ourjm2%CrJ2a|*0XD6l%40$s)?lQYCY<93Y#V+$@JAo1WY(ha{Vz+$@JAo1)w- zRWdir0hT$E&Q!`$Kq^^c7s)c40+!eXEVC(KiCw@roA|W~wzCXy^fkcI+W<#@0~|dL zaP&FA(dz&=e#co0(yGG*rMw})lHA|J>*jYwCH_OPeW*Pa?ER{qF z#Huu`Bmifo~c>7B%7eAS-K>fqN!P$dftyMSpnZEux4H8}ZdaPrpR^R z<`-C*TwrBpft6_mR^}8~nNVPLHU(snK8gI^J6Wo^G#*(>PPJ zbotaQBTf^Onx)Eb>?|Xnn`Pu!vy6OcmP(=oVpSSe5&*dp46riAz{>mrE0YVX%q*}n zt-#8h0xJ^=tj?x@ERv~NddMu6sad)ti)Pwcx+IHd+F80Ji)d<=COI`r2ifFI&C(^= z^i0jtCD{Z`&C(^=6iv<2B&TNSV3{N7Or?3eku0+*V2NG8GMfUH*ab|pX?v^W zslmxtgOj%gCw~o29vhr|HaK~0aN~EHHIq)2XmGy09H(*CW;x_*vviy$rZ!98{jr@T zO3HcCES+nbrSq&=>cU>;8f8%u0IUQ9tV}VmGQYse%7g-|vne2p zBsWVDNWX4+Z0BnxNSS-K<(XxdqtWNwz~XKt1QWRsJd<&b0%;jhB6tKiDV64t-zOxK)^fkcI+W<#@ z0~|dLaP&FA(dz&=e#co0((&F3@N|1coW_}&rOT&g8F8AJ)GSqgV`mxp+$;txYL+g^re|uFF3BcnYL+g^rf6!GCOI`r2g@8u zXDVeWAeAh!i)5Ki0ZZ%xme~}r#4cc(P1{=~PYq7K8l1c}IQeUE^4Q?yv%$%0gB!oo zteJGGM1%9~mU0AM8; zU}cJdmH7o$CKp(lSzu*aft5K0Rwfi!olOB*B)M5?8m674CSBB7Fw@S`C0RJr&eA1W zK-13BBy+P=KXbDjAe)@rEQchEC^yR?$s)?la!9g>a)+{4mnx&E`fmoG>l>|Vp1Ou#0F|abfz{=zT zD>DnMOe?T5r@+dD0;{trAd6&bmL4*TWoni#$)cHdmM+QSnRb>g$s(GXrAbcB(m^&k zQ?qnQHa%0bbV)WrQ?qnQHbqmjG|8!1I#}jNI#Vf20jXq(T_nqF3Rq$nu*{}_C3XSR zY}(!`d1`R-)!^iFUM(|wOJ1N+AJNXiK)%f zcYkbWiIQ@jG)w22X6Za@mb$Q)xkg!(1OO|+04q}rtjsU4GP%IY%mORZ3ard2uri^* z>TC+gBFW8C(=hEUHR+B}?ogSt?V&61#wB2ME>&C=ylvy3=ROlp=Yzp=B7d~TMJ zW6d)1rCBP85{Ol4SV;inN-)666ay>s3#?2ourjm2%CrJ2a|*0XD6l%40WYaS>OP6F5G&M_?WK%RXOOu?M zrGsUTq%)PW6p%`m*hR9;rhp}O0n2O(SYj72&8F?GlBWhIUky&)8l3z!IC*Sv^4Z|z zwZVmrE0YVX%q*}nt-#8h0xJ^=tj?x@ERx(TH4W3wQj;#~ESPC$>5?p* zX=mw@ETCy;X_C2Fs-L-84v-HOq+8#H41a@*6wL$meDmIo2#AUz(+oD1lg&hLr?Bt^@o-|A6nr7)dYnHmOm$^n+lmq}P!2l~$46MvAurj&8%FF^Q(+aH2 zDX=o3!0K!Y$Rf$jQqwT)EH&w(&VreCmM+P{nRb>g$pV^omL{2-rTUqhVK=)`E1rw*oxfUJ<8pre^8#saZyxCMGpYmEYJ| zMm{&o$gyS_`O++vLdftyMSdj1uU@(m}b-VR>@O?ldlFRZw*fV8k{^f zIQeXF^4j3W?=)*Bohs4be0w=g^$glh&w!Z(gSHb4xJfW* zJHd>dIKlCjRj}%i4`+4t;jFekoYmKdvl{zwR%aj1YVE^S>YeqP@131nK3qAya(J|z z?qKQqyMtv8k0!<)EUkaFnPtxI4VF1uFj(g7{$Od1pg_h-2MZDazo0R|f-VLY^e?cW zbAbgt3oPhXU_qY(3px~7*qa1nEvXEaZj)nesSK8`XRI}qnWgI)Yfoin>3YUmR2eKC z&&puwg0ar243@5Eta~bhrRy2%pvqwBdd9k_GFUpEmBG>lgFfQO6ws1j)H7&1J%ipP z7_^;W(3=E`wuErM5)!D+iT3fhEy>q>0y>m>o zaQ^hl@Mt^3!P5ALgJp(C6B7=W+3YWvSt63WD6E5NWU$Q2Rv9cadu*_j3ww^0f&~cx zRf5I<3%VFs(7(Wf&IK0qEU=(kfdzdEEa*^RVQ&(QwIn}SnkL8Ek{>LMXRI~(!P0of z+LIqFjc2Sy`N2{=^Mj=UW1W*9ERAQZd-8*&@r-p)ey}v2u`bFFmg1QoEDad+5l5zg zmIR}oLEGsW^d`Ze?F56~Bp9@vpxc{x%PKarbYQox4(!&}f!+E#uv=pXcI)iGZmk`- zO1<4)bG%(9InoSkR}yf(`{1_9nqtOT57{YjUhD-e8$|##-YI zmYHX)J>FoMdB$4g4VKo^8!R(0);Zo_nR&*##~Um&&sYa}gJtF!>mqNkw4UBznSntc zabyZ;Nigaew4I(oZxRgJPB7?AfTKa$ ztu0)o-nm}0-Z>^(IDdL&c(k42U}^lr!7{_6i3tbGZ1xwMS%OlTJu+Bkwkm^VW{(Y) za$yhp8ng%!04!(>u%L^91^o*w=v-hy&jJg&6bAOYzJPmIe&^h$B-#OM+3)pzZVwm`N~bJHddP1cSB{blHiwtYR}u2X^b~z;10F z*sZSvyES%Tx6Tgi*4lxq)Z6Vf$J;%(9N0U(Ts+#&++dmc=LSm`k0vHJSc-p*nWbyb z50S~(8a)l{sk6vF0i0yfd$JYR^Nh8~8!WA-H&|w1taH4Z-xl3>&`XgfWF-Xs{bonX+L1cSB{%=IQNYr#EBw8_G` zx>`6_TMOsvYvEjtEu5>fg>$vGaFu%Jdd+(0m}uer>6PKpc7}tc@ec>f438!z94xch zUu92Cn(zR6?EVJ0L!BQ^lIaUf5Bmh(i8UrloVqii40t-49SkSY;f^G#C^eM2Q zLxF|8Nif!u{9tLC9BWH{ur!{r*5n6E;~8sDey}v2u@>bAOYzJPmIjP+8U7jUCvnvje-ecHk=Yc6-h7cA4nF-s$Dy(RStr%gjGFSh{#L zF}cA~{ADpq0rE3ocOEH21E-Nh-BmjOvV}J!+3@qqhU_s{s3wjn<(5=9N zJ_QzZD6p_M3C3FD4VGDxV{P#U%gi&@8gH=7JY((g2FuJd)*^4Pw4UBznSrs+@dnGx zGuA!cV3~QwI>;L=GtXETd4r|(^ajfe4El&8Q$S0CQO}_5^bC5FV9<7gL2nWa+DL(<{TH?FK34cp0Ngb_bjbvez25! z<_Ajy#yTfISQ^h*i}Hh|@r<=7KUf;iSc~$5rFiBCO9KXd#E~hWCBdj?&~|zT%p@4J zonXLCfql zo8mjVyT(R)Mn=c7>Wi-)DNBh7V2Y3%q_4GmY%#!DN`k;FHRnm64Ach)sbs7pV zOiBA#Bqi-*k(A)iQN>cyKBh-|zCoK*)rY%tz+l_hNRK_iY$4m2 ztWXXZv<=G~a=_r)Skwq+laU3=0fXxzg>162LOEb?eWZ|0W>zQ%3~q=NvZTlg<$%GB zkwV#}Gb@!x2HT_g$<=7MJG+W%q``K#l0)mdI>)Xb8yW2!9#uXVXn3&S_NW0W+85z8KTF{GWK`*8Sy_f?dqr+ochIu?Mtb==z9o!4<;9mT$K3u?iwz#|?kx92+`GH*_vf=&jP))LtQ+euZyiRPakh@yrs*NNdv^9ASYNl| zz5OFS<#IUKd$=>y1K-lu4XWDEE@0rCe*3vZI|{{XHWXf%*sS z5a1S8^X}T_U7fwi|F#_imBzC%a(Io#*8O*!Sf}>?PMyx`#5$eTiFK;&)ZJ4a8?Yl(gnDAP z!&NoA9dfJL?a*7zZYLhq>~_{u&2Fd3)$I1WZ_M6V#@EDJ2@r=`84!nBDG-NRIS_|h zNf3uxSrCU>X%L6nd02b4JiwuL9^gkE0EgOnz}Mm0e1Jpk zJiwuL9^gkEu~JE%#+NJ5+Vc!s?cVYRh)-!kx%Ub49(r+>h!0;Ervh+FU`0`U0$HcX@1Z=N8Oh zbIv3EJv~DK+CQ=*zmb6}|7_KX~V5Z_R3dI;d#(^e1Y-Lb7a+Bdk( z1IsLCQ;`*OfvlJdN->+Vte6XA#avK|xv7;(x3}4okRA-fZoeg zI<2RV#cZWr%+|=o+{4+jmZ+|uWvh!>%DR~4Eis4ls=85Nbpt@nm9?F!W!)CR*=-C| zw;c}WSr)2W*5SNbZP{)33W|}1#K-7zefE7<@R93BM*DES!=_!}!NWcMgPr!vt7qZ& z@t*9I@lBn6te(-HZJoB+;#uxTSs%ikq@kW|*;#Gf0<06H-`V3u!^oDM1X7NG%}oZ_ z%w~X>9~Q9$$vkYoW`OP446uEh0rqm20rsc549I?`s~|yLvzv+)pP;tcZ*vu&puX87 z3>BZC#@WLQ6`!Ea*)MUKPxdoh1ql+I{Qy_-2~wQ>^j7f+lAQhMR`Chaoc-LE`DDMf zRS+-F<)Iz88R6V;7eAaQ!!kh0-5fh2i)m+1d34O&#dJ@V&Y_|HZ9Kn*U6kh)F5Trp z;Dg@jfLlm07zM^a!ojgEo!h$mF&9`r=5z2;p?yAP_o~|96t6IG};U2M@-b#fk zhVtNW&lqpg@v{Z(D353n&w~4|&W-)R#G7XMV!ZGV;7VH=Byrzx$Joe@p&>lY(9=CO za{ab4dJn0yH;TkT$H;2tPASIU?1qMWMsSz1$5Kd24-F5F4wf_W2-Z6|JTTVVzhh*J zd$%!faxSo9!jy9JWJhyhQJRx&0!fNeyEJg|) zPKYKacefok2r>C%Jv%GcN`l@*S^%8>m7B|^oSDdX1%>StU&bk0R~G5*>mBUf(ceGT zkK@@v$3zD|dxv|rYi|?dC+i$4W?<^ZmxtY>jvvOuk&&^UfiB+2b~;#!jOh0bFVNsf z{LK}aR@NXMFR{&M}YCv3P98*#J1T1 zrE*|gDV$NIf;;*3K$~R`w7K>`n{f}cdG|nj9(th7qX&lBbcUdcr_(DQ7{-(y!X5kG zk+Jq}J91gL*ZTOMDZzMGImwB@Yvjv=;K}Bf9ZN31P z?hMeOa_Gs)YXq$VcB3!G%AG?aGJ6gWFgz+A-Cg}=zKhFuRj0uo28kpRb7+ZT93n~0 z9Cl!`T|0#Fs1ZY^-<(o}^^9}|eu(dlxQ_Ji%9U@A@RV4{Q=lPF1&s2PmVl=KL!JT* zc`9H&9RlWgN-)n;U~!(pP@Si040sAW!c(9DPl<&*B^vP5fVFt)cvR=9;}qv<;1Egb zS$OJ(i1XC(sKQfvMt0rNa1 znCB_5I8R}y&Qmo8JOv)%DbRqY#6q4D4R~t6T0C_;s`J!wit{vZh$QtaJat3FdFpsn z;VC`xJOvixDdB*p>mocQ7V;Em$WsBMJf$VzDZr4Y07IS%m`|yId7cu?^AuQ|r!Z9K zsTu>G0*~+%XuwlqAy0`0JT+h~o;n`YdFnXDc^WuGl6n@Nx*_5`bv&x@lpcAW0*mpK zaKO{`5uOqYc?vY-sen;@iVx4>1{O^5+1b~`?`*zLGgV|U;ZP4`*Z?S`qwZpWu8 zyXh0M8)%%}G(^~qTVoM+6OFMOc#PcwS7A485q1NPu^VuV-2#W{7C2-#;gH=xtFarV zTI^PHgx#=2*$q6xZlW=E6OXXlz_r=!_|#&z<5rE`flD;qXJxk=rW(5)pQ`MpPsnbd zady)XVK;85Mc7R=#%|y-b_-mE-Lys64LHVbz%h0U9Hv{~kllnsb_1=(ZkTGZTg?%6 z!xCjT@Cdt!#@J0f!fpfCX1C*0i`|Y}HFgIs(R81c-ENp_>~?&rvYS33yMe~pO+$p; zxD6L!H_;fofydY_a20mb7GXEw7`p+-*e!6FZh=E~6Asx8v>Ll%s>N?RsxH}MF&4P2Yuj!!LiJ8sq39k@i(eO7k6VXCp)@u|vg`h@HT8fP~R5q9I|U4-34 zW9$YVW4FLn*iBo6-GF241{`Czz+t)t4%tmOWH-=i?1rfpyVV?FH!M+h1COwqXpG&& zBkVSCZFW08wb<>rRbzMH5>5A6+3kj@#%{-_D!b_uvKwfe-84kljaz;Zb`y=U8+eS} z0#{)-Z4q_@jSk&9iOV~rccOjpmBE75MeiN6h_!hG{$b=F?I`Fh26A8 z*bO+wZon~i3mm3f;E>&fLv{nL#%`Euv0Kd%cEb{7H}D9%iN@GXJi=}R*JiilQ;Xe> zTQznEF41(KmECTbYV3A=s!LCo-ueRINbV`6jPT))Z@#Ys=RScRZ@L$+cB0=eNn0$hXPjkv91zuT9nz zYm;m9>V`7{*N0)VqMA89GN=cOKJhiS2#h4~dG&||?|ud7+IX0?%w;qTR$YvQSr-qp zu4)*pNf`;VJ|1R$)iCR$VK&6WY^WLrOF~9!voRiKW7RNN$ubh=s(6^Is)o5L8fH^G z%%-YgHbuh3dzENd^Wj}sfHr6k(c#WKkqkP<736Xhqh(jre;3wajrp&Q9(8T>s6?wp zi5+z<>Js-~7d`5_=uwGQixNBPx~fNAA3f^&=uwHrkGejZVWM&W4bh`+h#r+_wJ5Q+ zZm4?HjnSiSj2@L}{HPnFZ6zA_zbbmvtD;9GS}jVftyfh&>Za&XH${(1G=9`g(Y6xJ z`*-c=9g_u$oU@Pb?sK`!GFA_9;PP;rueN)t-_CM)sP2KzvC%Dfk=`wqf%%GcU(dH9 zu{=X>pLezD!P17giV5V6H$=Mq>Ke$avVqpR)iKbz5LzEX8$xJf2wmkvShtDGqvSw& zIo3(FT~x|-8yaBQ{8+B&K=uwOqF`#y7udV7CaZs?w{XsTqz|u@b6&7*)azjf_wttE zeufQ(9+ICs?GaR*qAJ3u_{Q1@+@c|O z9BYI-w7T4Zp63oBk30A#?s12@&mAB>cLWHyL)7CA0G~SmeC`O~rbYmlI|N+rKo7YC zFwPw{c-#S&;|>syJJfyd5b?$x161RVV~umiaR|8+7;_1#!5z0~$Q{QT;SQ}XccACF zL&)O}o=EVxL*3^N5T82&1l%F&aR-3U9RNOe1aMO$fXf{ME_a}Z+yNNpjv74f0LyU) zh{ql3K6i+C+%Z5k?l{&scN~Y1JApBmpc>q9i-z2BtP$?e>T(Bqo;!p*?%?-+k2}eWcddMAsaqg(W;|{PKcYt`@q3&~sh{qiR zRO60gjdRCw2)Pp&a|x=!9k*!69mg8s4y`VCpy#cLWHyL)7CA0G~SmeC`O~rbYmlI|N+rKo7YCFwPw{c-#S&;|>sy zJJfyd5b?NUfNI=vta0u*4k32}V=h58xZ@TLx#L(P+@aOw4)i>C2zlIjL5@4reeMA9 zxg$Wp9ikq00QlSi;B!X+H#Gvd+#%p{2YSdIfN}1q!Q&3F9Cv_t+@bDshls}=161RV zV~umiaR|8+7;_1#!5z0~$Q{QT;SQ}XccACFL&)P!C)VHhuUZE2`6HOmAcX=B8Qf!$ zKt7KI@|mQNn<|A|HW|p}lOQ3Z1dekmGkL5MG{-B!JZ2fh=a#`dc3G$zzdU=KVV+CK zv4}ZHT@9Z3#Y3if_6XOE-DR5~dA=FYXWZzvJmUn(F^*V{aRv$*C%DfzLOI3}$}!GB zUfK-gF-{rQ-D4b)fN=tPjO!Y?KF2tN_>2?GXPiO-;|%UGP9UFg0{M(n$W5C@TP;xo=*9^))jjd7km&N$B{WL(4?q^<_z{Nf?wJbQ$3 z#_lpskUZlI=rIn<0erp@^66%ffNcVMWFz8pjfhV*gShE3h)XmE(Ka>8pNlh!8|@%s2UkPdz^`$OGv|rIY?a%0{X>6_IdUQ^^D!+ogjJA8PH=K{;pDv zaR%`jCz#JTg#yMI++&zC zoWVTCS*RN0JbRpRo=eEMh&f1I4aWJ!L&kab2;+?1Wt<>+#u?CO93G$dzufSF`urVd z!E%hFTUTGmIKh3!5y~-+P>yj1^3rA?k8uKdj3W|Z9N{XAvnHQ$MDvUz<}*%^9ODG@ z8K+QH#<}(?jB{Ngj0?>{>S{60D;{B-YmYHb>>lHY1dJ2VV;uh0N{(>`@y9s9e8wph zFwWo};{@^uLh1|3$v>}Xv#R_nCvTD%vZiY z`O}%YP6Q+|NQES-J0vlP7Zgy1Bvc%dKy^qWnokl!6_P-6NCM3viD+5gM9WA*Eh7n( z97zNj<=>ffnjWDI{D@X)1Hh#XRF^hXE3`41KM++CZ96$a8>e87Hi5-$zKxitjaw*3 z8^@HV4NVzspyYelr_IPV`HS=Xlbl_HgQNT#=lF}u_&zCrc)q-2WE6jOt#1&2e3CBm zXNouXZNpy{=3hVCg}?W^Yp2@G8-!y!w(S_f-vLI1&Lc;T-P(0xYS+HWqn^)>;m*-M z{1IXEmq_sk?(wQ3%6LBbTgZ5^4mCm_^6zYB)-8QQcwKQP+BjI=&_9HJ8|>bJSN#V0 zH&R*0vU>E5@E9BLSL&l4c=Hc`vJrN-Sa0V*U;p(cwu^V;#XUV>9`4yagujNZXe5O~ zoTQ7dP>u0@PubaWLmt^0LIZ$Ilbo>p8{2(l$=^t5EpO>5Zynh&z(3|Kf0i`YubI6( z%s*P1vudxaLGs9k<7LPl@^|dB>=Nzo863i2w9Y#Zb#5EoB7b1n^Jena^RG#J=#Fh$ zw+-&{I?m|<{&mpI-NDyK@amDsMz_Fq{5!YuM|!i^N`-Y^{S@>oZAlaJj8sA0^Hl(S z4LR3!j`fW2&!uKn@lE^#r&UZ|a@;^Hqm zSE*DaclfK!&M9Y4cT31fMjcZ=qmC(`(acn}@?%Q&NaY<NBC$w8TzXoOpH6WRjF#_ z3gbntz~;iU>+sZ}zN0rDtLD^w`1n3vpvDiXhj(p@VPh<^|HydMCc}yynY>VAV&WfK z<|*f!a0Lx;lg|L&&G-487e>?khEy#9OHgc}|(lT{c@yKr%q z3-aJdS^k1KXEw^`u}=I^RHJ-`0(}$XIz`1b?O0#Ms$6+$Vntd}nvJ zJ$bl0HehE)(7HXXZ?G+=x99Y=Iend@qqIG=AEy@zM9t>{izCkuoDf zl^Xk&Pwbv}&B~Dr(ld>he)In4F8k>db$v;xabjX~@(7EZd1ca(OeYlm zYkvI1bF1l9>TPbEXGwDJdah2?vxC8bRdmwGon zZ0dDhOY>8OboSO|_dT(vbEcFQPCv0Ho%vS!#AyB2B>nuJu9-Cb+-Opo*qq{%Jko@E zo!2twsaawz%=`k@&+jRqM8(Va%zdiix+J}Q>9UiZGj-{*n{VG!My%IXjg`(cq=lKM z3td0x%*E>b_veoPMpxq_gFi{OzsJbo^I968dNfI%K2XL7TMyf|Sx?oW?B|xwK3SM)KtDa_w7coS1y8l#*SNJV z{l}#*c|~#Nw&I+LmG_~i)AG`#ufQ=%O%JE|*jP;uE`KVWxh;Jx>HNXxo8Nb)v8nFq zQys;b1;ymqA9g=}fB6R~(7A1;iO28XI{`dRO7EXIJz=8Q{=Z>*>1DIOv0{4r1>a7t zpC~+isxy6drfcE!vWeuh)BD1+;;g0z>v|VH$)0Z9`t8JeFPmO5v2fys>Fq17pLqED zO&zd5!g`W3g{`}%mu`CWe)cc*rkPU<+8&(y#KNCU^qlj=V+re=JUi2U(W#c+4@~^y zL>t=J_AtGg9(>*to%e}HcO5)d-?jQD6Ww!9t-bGaNowlZ`Nrvm6YK7Klzr7zcy^}q zOVdlUJQZg(J}DOT;*8ge#aRoVY`^adNl|)uru>_C%|TT0W$VjN-PM%!_<1e!z~P3i zm)`gIo{pI&aF>1h_?~3uN7z5}Ju;X5|EY7(Re8QKC;bbY;n(4_RKUtVg>=@R zLo1|>w-+G_^=}u^a48BE>gvxykwRU=M=2WXnX*D-!y6!qb@hjjPSN&W*y>v7@RBwd z>hMVldtS`lGSQdz6R4~EH%4r%YbBO8eh-JK`w*N9->1E9CE=(45WDrSp#BzcG2n@-b`EnM$sA@Zl-PEg`&+( z8jm3$i=Fd0c8W~2^>P$u>3O&_eFf>9;5G1=*sJtIrg)(Zi3px zi={+gi5-c)Fx^exwloXR$}@>O5x7~Rw@Jg;SIrkPywP6|eUTh)t(5&U=*hzLbF9z) zO1RY;?p;~9{Sq!kYE1EiQv8L`QT#6;(l=I$w`lPvv*K@*;*(kNH%sx4o8oUlCDKn+ zirb@;Fs3n|kmCOc_vRn6szyH_yNh1I!oMf>KI+oAdnNon=%^ium43YvzEx}Yfh_#j zrTDwy-h2m}^7WJ_>sP6tGKH!CQx^Uk68;SJ%Mm2~X3AJs;*%_{yBGg1Oy5R)VL==L z$NS3yU(Tj;U75^jZtyFClHTf!a9ir*l`Kf>bYrVp?-Z>kh0wNJy8 z{(V;dO;VoIzvjO)-n3l}eMylCeN%yYI((k=)>|Zcirg^+=cb=v{k~E;KnKtpQ8t}q zKwu1b`x7V3${iRf> z8{VkRHTXB3DF{WdoA6Y7B~0mKh`vb1iDxAGZvtHO$2|IvMgJu9%Fw{sr<}Y?Q(hU; zpOrDqCNae-FteSRE zJU9Ior0O-r z--hQ0QF6t6?5xDcoD;Vfc}{#21}3r0IG?W6p&RJGvJThaAJgnlOC9E+Va-y9PZw$1 zOkHa6=^{CE9J;B8(bEybp+As%q$_44F!^jM@p-Al-6+E|lWkgn^wpgfsA zk=5iGsmT|4BpD8#vDuKpK(%4h{-2oR;;`n3&&m-uu^J20XW8nNby?=wVLa4%Y?So% zN}>E=xSNq@WgQ#+LFh~5U`Dqm%IZ2MJ2gkTxQ+?_OLL_6vr#)LM{3a{-N+-!8M8x< zG;0p@?=y(euZM0LvP)wB7WCx&*^Jgg!qp2)&+jEEE;RtqULmRJU^3)73|FPc-F|GfD-W-UyIQ=S)v)ybSWF9wKdf$pO1b>}9< z`B%?*;herjIQ)i$V%DOxIDgI@qAApdd6^3n-I%6*i-@0d5xlQN3ueQi(Zry`oYwT( zxhClI=Ppc}(~jA{-Zhsc=C02o6;Pvjtx3hPZ&BuoD|Wr-xWJ95j+`a<`*p?XGzZgN-?Uz|j@@lZeY%Fy-J_w+Q+;QN6 z3Q61DD&_e`uI3YH6- z!uk{vb6^%=9c@A4wxrXX#c;a_9|wY#TwoxI%kVLW;+|X*k|7GVJgfuygbk)>R)_6( zbB{-Yfx?E|emD0RCzm2q`F5#1UDyzNbT-5uK3D5Ti8MsPA=*@qdros!X9nzK8^7K$ zFeMA{fVLoU24KnR2c(BNAoohfmx4(T(GTY%}OSdbDm!Aohtu_Sz;T}4hnXnNrvrC7}%SwnE^Z5rew-=>=+si zyU>4!EsXEvl4lAVUQ8zH?&c124P};`Bdl9}a{SI8re5>OE5xAS32WNHJ?0Ar3Z^LR zZMK8GO34E3ZCjAIE$P${UWs!+CeOs>9(xeJ6s&xBKn6!|Q`nN*g=@LqhCMkKA69~r z&a9k02yuIq>Xl>lO&z#rdT5BkGR+@xSu2dw&t)ml+_8k6COP z87Nt6;%s@&DOfIEN6@eYV~09yzngn> z&z%e=pTiXNV6b7wH=`j_#qkA=pdc0JBooQH)vHd;y!KR`H_WnSs2~M>O$E8`7%VtlSu{$JVC0NN8c+B$BN2a0<6jjq#g3;8P zdn@rl2?|@OaKJ|8SScHFyCl|WP6F)Z$Iaqw0ylfS4$&&{ZCrbNp4Z&EjSxO@mmSE> z9+&%#`0zNyY#i*$W{+p%TKRud`~Df7R!H`sJ%#i?=g-5ZB z+6IT(uU~yp@nCDQRKl;|PLt~pbL*vOj(4a}6MnnEZtI^SJV9p{eN%n9iTV~rdQosys@=VaO(U_LwYW(m{wiCcGdOm#h3e~zSf8?UAg`8;w`(` z+w8X!_}#93W0fZo4uaBS2X1`XP2)Eyk9#I3k4<(SJUBUi{J4Qe5As>r12`qD-a9$2 z`{hGDN6k}5JUd1Y(o#Nl0>2cRv!ZR_L;EHZ=;M=z0Hwr1A~DF+!EQX>(WbIJnH+x^62v@hN)8@7ArDcpIpst9jvgI9a$HR7 z)+W2I-oqvK*N$}W;iEZS$Bs=MIkxZM_+;yWlLwCoeAKi`T3bFeGM2?>l~2D3BaKbZl~}fn)oH&lIe8o6NQCs0*(B|OqvHgdSI;5~wM<)8vlxcNl{2{dM@X?ob zPu`sDKQVb6{2}dbM2}1*;Ki}YWb?KirE+O~>s77mN^9HN*R`!{Ut4OK97pNn8WPEu1<`d{{L%{=wY^P;872cXW8zPQO;h~}fhm`9ulW;a8b(7&xcUT(>w~lAc#wnl4M1rx)NM2hNI~!Mg-CbJ;e7l@>xwd?p^ES7f zTOa1u_2q21Jb!MM9M{$@wo2XHIQ$mo>T7a3^Om$8KY7#CzWtC>lSUr0d!Wly(t2>; z)V`#3KQ6GX7>!y9wwm$VUIfPz7d1nvi#Q{!3yY&Y<8!gghs=->z;ZGJ zHMov=R-`EF!3r}*4?1JH<6l1HTsNiC2gmoHcp3h(E*>s#HT(Pa@5d$0aLpi?&bjJ7 zjO8yIS2h}t5IJ%fkHl(3&^c*6aO@@-lUp(7w(gsnnmoM!#FQW9#>ss*jq{ZF?{3e7 zBgZn{MB$eI_G!LtC&@*y(NFHYsh_n$6&vOA@oB`z`fb8~9X@um`y7wlgkZQpsJiN6jAefZ(=dhv1N9fHm=$Zj^?ZUC;|H1wDse=TaMB81*>jY{2ewy=}t`ZMVse1AFu%o>L!?2cPSLXb_0-gD@n>}t{ z&hI>VD)wDI`tA^y+KkJa#t^~YOtexvZ~U?AO~%JzFXzjwkA>yO`s zpWDAHoc=uzkyyV*eEu{S?@LwU{c$eddM6$q?y>Q{m5cXt#EYFDf0K*Xk9e#P`OGoJ z#{2hNytx<{?42>VW&OJt$AN2>=e6+brh{%U%f=@wUB6r4$2o%Cte-rs3DAyfZ-XDl z61!Qy{keFbgDH{yZ#`|zC-X8q! z`z6>Jk7K-z_eZ&SYggbAJlO4KO{h%aXVhaW?z@v&6r0UAAYtiC9F#egB4>KN90?MT}s+f1~(wTr*o`k2-#M z%=w=q{+4Tg&(eQR&VP>hSH>mh#FqH;a{lvj@tLj4R>T8Ee*Nc*e<^d}k64whm@LTo zFA)FLnF|IRXDfm^e*C6fd@`%D6_bTIf3mo;74gfJAFo;BEzVr{%T{G8CW~_Zi*m#-@fVAKW!_LZ5(^gbpuX?FMEooLBMWhre!OKle|ZcyD^SFh*7tv&_*c#cUWqGPF*!fy&(|m_TM-W!`SC8O7_nDO zF3j06m^xcADdp@X$I9{-VJe!<#=Z_7>3>BtKW(*qf5MaHc<7){OK064iN*HRHdlRX6^Nl77`OO@MWA@=L0l z_Ag0}sNNy=bCWw%H}yL&d8el@lQ{$+XGQgq+_`KWxz-efYy-0dYTk^Ok0dgPW;#ZtMMRKe_R zBt@JXNY=-@?;hNX7BA;kk?NIJxwnPXSS?6!E2!e{rQ5sxBR<}ZA2~npYoE+;X1~Pn zW%eF(9^+S1;UjU5pv1{9;+zo?CqFZP#No_>IL`yd<@t62%JDp>&Y4Z2U-j*n`%#DF z6^W8phQgqk>lcRfc)&T2r2T4@ohrAeL=mxbZb-kEs(iW136-x@d8f*EsC zp!#=J=l2-;KTC=7zfgG&IgRp5C^0=*rt%WS+bL0Qqw1Zi_o}{K_1&r;q{Lu*NaYE| zPf;Sy>s9CXbLzjY`Ufd7J^8T8PbvNgCHx*!{V!Gjy6WGiEG5ZzRsLA?=V zH=h#vBGoTYeU<91s&7!elX6v(^r#$G{H2tLvtRX_RG(D+)vCWv^|z}2tE#_G^^d9k z`;_>u_y;P#sQ6bYah$(a{hw6MDE=R+7s2@g29E}niz(6G3n@{4wdz-@zFzffRqs`O zm=a&4cd9&~IN!u$oa3s$M)lXL{&q@C2HvIeH`M+?wSQ9e2UPzf)xV(n6Dq%}@)^qZ zB>8uhKcmF)>o6d)T?;6oFIN3R)mN*2rRwWdzgG2b)kjqBS9z1#r&K>hi9z%Zm3OKA zSJnPL)jy#6CseIYQ6S>UN6lqmN;O2m7=%HL7@ zeQN)V>VHi6V%(op`R8i?Yqft{_3x?vjOzcPI>%xjuYnTnTcUC$CE{M9@^Z!bJ|g|Q zRp-O^g^nZ{Rk=^`Bb4yFS@qjgzE$PB)&4%!Kcf2Yss4wQT?rP|F!C#k|1~Az{;lfY zQ~igk|4d~AZoIHP&6Mz8ruxN{-MAN~a--s%l<@0SeY@&ARo|!j%T(uEiJUJ?tA2;- zZ&dwVlo%_1Q}vIkOtA5K36n^fSK#_5^9slkamdvw`MrzRC7D-1A|;~ts+9Qz@EcS= ztnwz6CsdwPd6&w!sC=i&cdPs`C9YGytMUPrpH}%rm0wo*Rh3^?d0ORnRQ`*~AE`_^ z4?z5SmGe|CRJly$`6@3~d8taiAJ6jFsO0PJynYU<+^O;gl?PQGQh7|}ag}^Mp5@=D z@@*>l{fGE(sr-P-kE;BHN?G*)eq39D{(QZjlFL+3eqH4^ReoFLcUAtIN|`6YU*<=+ zj`JZ(UeD*MY*M*gI|z@P8uvz*MAAUCVNRpp4vT`I>^9#A<+iTS`SDqpSg zwJP7N@>f*;n#%X6cx+XE6{I_O0Ez<{UVhuD%Yr#`4sF|tIib!3eX2sas>hE<0@}bd9%usDqpQq=2h^2 zqv~8zfb*C4s+9Q^beUg4-lzD3D)~DI{U24yB?zd?JPVRb5K#YTm0W^=`p;F?VIZO2 zsIp1rVwEdYmQ-@d0{U~M12@-=?qCsoS)3;G?Zb4>!; zxt;;#Z>jtpl`;H-U;_PLsIshbv&uo0BPw5_a!jSn+b&O%N$QX%RlZu~8&tko<*%yz zHI-a(f#p7~@FIL&3vQMSV^I+elI#+Svyz-Y-azO{`x2WWT4%FYI^6e_$rSfi- zA5{4i?-y=6%4=;rs}a3rJ9|P`OH_ z%mab9t1k0E=v+dA{##TIsT@_gN9A6Xhg2R>$#o_;pOtwbu1LZ8?!T%0ca=X=$;ByTKCDvaiSS>h`YM&r zS1I#E*soTd%TI7VJD_rh%Ij5fB?{VaQaPn^TIK6h-lK|13VU;qEg#7{4 zWj+aA=97?LRQ$^-xoicG|Mx2Yl@jycr&az`<$tN^RJl~;3YC|ryi8@g$_*;H z$_2}BRXMCu=9|Fxs(w(V%r}AGs`_hGzE0&^RK86mSHNKW532l_%1^4~S{H?mB>A$+ zud4jI%F`;pqw-%={zzqtA23+HUgbQM3so*tdA`bvRbHx+t2D6uH7a{l_Ng3Jxl<(< z%;5Kdn^aDzoL2cdm3OLqtID^lC0E&?|6i&6Ta~9(KCSXu zm0V_{(2aYGDi^9;qVhtOt5ja5vQ_0KmDi{&tK6)T%XTpSOI03Jc}V4?%3D;vTIFk1 zzFFn3sN}LAjQ0VRA5-~Bl^6~ataB*$SNVN~zccW=Vu=zyEtK>1KI%fOTSXo7@(xPO zW4BOZ{)tX#?UDN0;F?xMsv`Zh|8Q}3q4cybRV zzJGs&66fo^l;HV8lpUByC6MU1FHxd>+*qzoWur>D-wS*xb+m7V$`U1uVyDo;@&k9VlNixT;Ki^{iABCqdM`EE+&_q{6bp+sIjr1B$_$oI!p z-b;zbeoEy-l*s>QRX$4Df%}FkzeI`t_=?KMDZBLzL&(F_k^eVPHe#70O62=vl*qHh zMSlO0`eJk6jmE zKem1Sx{YlmZf9RV_RtFC1DCksV=p|RHMG}dfQ zmQE~tReh;*CM_(V;#!{{FDD&!uwlhkv86M=fMsGc@kUu^mN9pKhW~Dnf4R5xGY@~i zQPv8rD>Xj62`h^d?Z1!z*7t7uO#RjsvZibc){{-Ku52AXW)0CZScP_e?|Ho|q{Pf; zR`#~^zCiXHTIzZiJ#oI&#c$7w>AIOh;qm*M?t9+!Z#K@7obX61PuHz3oo?=L>0LQ} zV7fhvGV{dTzS(F|A^F1n&&#qhSED2?fB*P7({FBE{0MWKtp3LLO1)n9{!4Pn#zL~{eJ}l|(RAGd&z`ysEB8Ko>UiPlQvL9mx|e^ftBikt`ozlK!JoYC z_`~0ykZd4g+r-1n_mZtw{PRrbibM6i3wrAwxVB}%Q^~VU8`FQ8Rq9QXRquZ3KP*kx zl`ennnIt{)1R~s$oNl^gVQ&f1PEE3H%*x8%b-fRNf2I>U>)M9>*D^L&a;49_txao| zPuD$Fn7OvlHPca;d-|d^qNSZPrwa9_*RFZzBV99(7HD6dt?r6`*kby;YovO|}A1N1pl;N{7{?zr&A>+^=Nz0kXxi)M897|zej#Z7hzmoHLRupIW5O&hf;Gf^X zXRm;jf3TkFPXJ?u(+hd373%v$G+YapLS6me(pJ~-EozPRGKw}f+zzdnt*81S6sUWe z4rV>o6AWP1Q@xYBc0JXXNsPKHh^3AHgurz`?0Tx0ZzhGAKg7TF$GBV9@C!K3s%vP2 zV|sJ?+W^z=;-AL7+&fM^IY%B*Ngp#yK&D(ib@qSI{Fp3PHv0!G`&jx4K(jw%aPjGc zqvCV+691yaPv+L~e&ak=VE&)tA8w;YB1b0U#O0Vf-3)ADI+V62O&nCq zfcWI{!gPDO7I=M83O8ZEOZLKcPv+j-3y438B#Tmc#)9?I=?T`6dlK5>r2tYE;}JH1 z&*^%=>8N+h zrx9kR0SkFa|Q-WPnmc%O@c z&)7CMtw=E{M<-A;&judB?u%H~9o1jX|d612jD(wM!8L{p4wn`hNO4k82RoW;A zVb)g5>AF#>#NVJp{Zh12M+u#Wb_Ig7u74y z=BkC;i&!y*{ej9Z9)gE$gd{xZvZN*DPc7+EPE5`8?p7Qqy@ze-X1bQj(xAW2+B{Cr z<)S|XU6|W|0r`AM))MR`m!_Yi(~TyaJ(({}xtG2sk8)Oo^H~mEf(K9hOVbgeSOWoo zd9H;EP?8hrMLdVh;By%VGv4jH{83txHGIT4z2F=msqwfX!#C`q-`aWlD6~{EO14NC5bQL-pVv>PhZ90YfSJf(x<6C z-)LmHL~AASOpGgVF2JM3c(B)u2M=KOq;6|d{t%LW5onqwNN6i}TV?N2>|rFI1$13| z%Hi0qUb!BNLPNvqm8KE@uUD_+T8{WlwH1q4a#2bymROR7EE_8eD&i`Yt(_rqO5(U;Go17ll<$_kX;l9d-|au^rIF05peYN?*h$IeC0@#@*4l{Oz3f3j*< zR=u>#WUj8{o?$MoWS{CKP`SpaF4+0}igm?2TwdL{Uawr5B@jn+5_p+i#q@H!is_n4 zd#;_!#9fgE)de@%m{zmG=9RAP8d==5EjyAf#@X%yX2H(2rWh%?9t(Cd;JQn2VyySW z@m#@%Q3^8yv%(uEJlN;uHrsHriJh{=>}PhQOfH$(nqo@;I4eDIZm=33u|sB?8cUG)B)hHce ztUD^!9oEVNmGD6VDDeHARpFe$lo@52gZMDPlsDo7XNp(JE=iJYb=b4)VUT=Bz6cmf zQ}7CCa?QOiW1wKUuoFzl?QY5KvJ@WObL(7@2w0hqg0YLqiM@_1TO`|j{A*lyQZV#v z+>Y6_`sQTirqx*&(Tyo)1|YJHY-d|3Iitz*ic>$dm0~SErb*meZwwUdeq-PsQ;8B3 z3>YSXy@j??*pS=ru7up~hTMKPLo!Y-JJ$#_OmVHTQrMadJH>{9%eDgl@}O=Ch_&I9 zCOauui@C{(Q>V_^wEEU$<%UZBn$?O|l1k~_wu&eQJloupv>Par*_auzCO) z;RjnG+pF*|W75@b%qut6oSPj5T8t`V2Y2sLoy_94#|XKT$6Z^_%97gWs-)ntoA4pA zF2Toc)o}XqjAP2*X`Laf;dJ@`vOV%Nuc7(>&N^e}R$XkNv5|=btyR|4IY$)5R}YdBL>{3-01sPbNS;w+GU+*i~9ryk>Xt zk=r0YpIlSieO ze+F(~OxFJ?pG3c6y$YHq`j=v0Gy+GKs_R_`ZYPTth@x%`uA}={?>tylb#) zAO855{3SDI{j6*iA}&3IIO9`7w4R=j9qE&*0PX1Gp^J{)c;oSLtZ0RWld!^- z@aBJPMXR$dWmaREF*`bC%c)7{At}0`n7c$Pcac8mP z4Uss!Dsw%kJL)=KU+lP3Jlr_ET9*;$j#(YAukX0CuHy~Gj=MCDTi0$mUVLpN`sLf{ z>ZP1rZ2yOAB~?z7)(M=ct!>^)O71yq*UGUN)?0Je_OT~s4ioQeWOR*|wnFHbLC3b3 zNvQ4`v{K%HZ7ZBzAvh8RAe*7919{`28HS=JS@zCd_UHeHYXpIU*@k;rvOORFHsXWZ zq_T0cU8nmLcE1h#EW@uZ+~{X#lhmyr&sqK)W4D=0&6obm0MLgY9?yJNti-zun4M)~ zH;Z>2_T6|(5s2j(k9D!}_G90z--n>P=Pv!Yoy5oW`z-Vp8gw(?0L%{y?r}>vAalUu z61T^FEB4)Z-+|5?*v%gIjR4$uTyDAqjPYF|ZHyiH}0B*dGAP~PvFx~=uY`nk6z8mih6po*Ev+=$Oz>W71 z0vaoF13zuAJZZ;pdM3wjH;qpAEs^PcPwDRo!?jZj;z?+j|=A9m4Mg%-=G6 zZ2oZDR_ezx+?LO_H}8#Z(gySV3ghwqfQ|S2xp=>fdjOByV30Q6{keE=L%bnij90?P#^W<0 z_&?jO21)qdgx##|G3>bQJ&pTWhv39`EAg@Mp45081Gv2qH!3f+gC=ywO7x?HZ1u zm90+xoD+}1Yg7TPXJ7Vb4mN8B;953*`B~y$88__cWc?d+{*B_lIE&wy?Qw@|)A;e- zo}ztD&OS%tA(guMrHdHhePo{azuUUY6(Ca(AHND!RO~Gf|LzRq2w&N_R*dhzQ2cji zE@V+<<61Dj|0414$Xqx=S2nKg;`=WV|4ROFayc8_^@-QsSswNuDvo_}WJ z8D80na>l#*#T5m6MciM!(m@#Knw)-JPIu>e^xumQ&o7P<3zKJ_<1ee!nPaY%@@mz2 z4smUiH>pleH{*PGC#2mN&%IAh{iFCW9_Lcc=$+51evjz$k}pHrjs8zr1(N!A@nQKb z_%ws>cAl|E^o7YR8g--pJm`dYPBeqht8#X(onklo^O{4*v~Ni==IQ4qH>qBNPc!&v zuhX0pG_#a_C{Y$Oac_Ot?{rw;1h)*_+f())kAEAiavw!-y@wUteX?Hx&8;1);hR); zY;*s{xfLh(kHqYMBh1~{ki**BKBCyKiHK--^^0KzkgtQ;ouAlu!78_`08irvA8r#K zKCth|$hcW|K6kIqQKgBIuZfw9doN9{le2MwTaA92eYJU~cJ>R+uT8UYk_-Va;FklA zRTls!|7Ula`RnX1Gv}L)`5b@3AkLAAcnR_X;2bZA&sJRQh|BW=w^4n(hmR!y!*R%C z9-sW3-HXS46n`%y>qFkMJgS^r00pke>^^;V95}Ci#Ic*q-l~28d1WhLFd#2+A6e$+ zlpKL6Ig%CD;&*OJOiHF7NWfZ=34FDqpH{pGsNt1m%vYKCSY0m2XkW?@c`Z2Ptv6 z|FB9~^91D|RQn^8(B++7`2U6Kf2IEN3@FO;kxj<=DdplM`7f0zCXX!7r^+aos=P=g zANnG`PUSU}DBnSed<-dmy~_P6U#@b35`OYdF5re4yhA0Q5#w>*ulA3texK@}RsD0Se?|3gs{EGX@=h$`{7Chmsr@NiDetnP-tsQ%`8Yp_Lmr?+oSP_NpH^MoWrcp1>Tjilzr4c=`2qF+7-cC*WGxcN zhZKL5aus-`@-GzsI^`w!6VfWbqqw}odMWygI^<6kug3t#ad9~%>hpZc7vZTH)#cq( z;QLjVcTS<7QeECTh5l~U|3KwGsFZg=VLuOJJL7ZXc!5KTlyMb$ljycmD)Eb@`0;)W{m)Y=<1h4;s`F7=+T|S`$ZJ(Es~lFjQ{_ul z?o)YO<*h33RQV>AeB_q#-=~rfoKydZN*S-A%i0W(pI7`(RPyOo`hP>^X_enm`D2y; zp;E?g_!o41<9BP8pQm!M%B3nxDp#w#T&28ggmQcim*YW~N_qDPx{ULXd?c6l{VETu zyh-J)DqpGcbt>;vDdRlKzgKk`@1e_h4|$*BGTuX%aUSwZivOib8SjD1cn^76aXtdf z`u$kt&s6?GB_9f=oe%s{%6QLlhdQLJ#Q-U5F+lQpU*g+T?ocV?Kkx&pzf9#(m6Ix8 zrSg=@H>iBGNSSf$Q6p0RIXMj<1CK9 zo;swh59iC&r9P1Ts!P3~kE*_x665(nm4_(N-Xkido~XZtdpDN*mgqeOlGlM?m3gyS6Qx1AF8lJOPwd5C&R*S5j&`P4a&E8`=M zhobWCfMw${*daUl&HrM)h8%XAIaB7tv7;yO6}5K)bB~vpQ1!P zf37;ev@?H8DN%lf*1JXZHI%5oT#sPq{eQM&i`x4s(Vp^2{;k7fSC(|k-YMJ^f2VNW zrgdxE#P{s)6kbCT;x_(z5iPhMJ(~GZb#Ag;h zb@&wUN$^=Xy?86|EsL&8rx#8fxNi~OTkODli_7q4SQCzz&iohNL`zpa`8~cj_KtGW z@!R-3h)-jh^x=)FzS;Q9!RL+m%*6-yRr=oFn{+IIJZEpxF;CtPoJo_F%O;9v>eehe zGj|2wcKh7@$?W2pxoe7NnpYIhES$UO%%U}T-!UzoS+s)3THKLz6r?QQbDY>*>Pk8q zS{8q!>C)z>-gw{Z?rXSg{!sXJ}<@xC7F@s2Y| zbLUK{aQm5LCElHrw>-Zk*-CgUdFPJr=%EFw7iRcwp3x^BT)(xkW$`1kdW)BwKV8>b zKhscOxT5~?;uQ^#Cs#B+f_HCE7cc%~SKVKsA1^M+JA;jDUX0$Xo2j3>Xz7KQT=Aj} z$*L^{wB;eZGgvHMa{9t8DSGLlVj2B^;pr8|R$P91S%w#ii%y@rrHFR`AHrLQb;Y@- zo3jY@#ro3=GHq6|I5GG1oJ{Y6o}O-8Q+R@JFQ%vYFKYMI65et&?@!|0Nwl+WE5A0G z40rqhZ>plb_2|EJtF-))I_#g(cOaK+oz=Vhg5}fc^y2%r|DdpH`4i0pmru{S{KDS! zsfLx8O)MC=<};U1mwL;1Kk~8(9&y%blZh6*QTs@G>BOup4HE^$+E9}-@+M~kj=|$J zQby`?4sg>y1=AnsZ^N(u@^oa#(+e~InduV`E;K!i9$)?{yp5SIn`&Bj?|qBT+DnjUz^ znbY9c-hB;-^2Pg?mfmq@|Mp6hCY&3MPt3vF!{2Op_G>GrpKN&MYyS^o#j8w3csfr1EfLbxO(K)9&flpqNtC6GfB;DD$gc&XJ2l~%OgZ`D?x z3Kgq9Qm|^Pt+vqGr)X`Z)~BMiZM+}v@AtcB%{eneuy5Z_-#>ouyJ7O3wf5R;uYH}_ zb7s~~pMkGXi~4KbNwvw5%Ol2dKfXzg;oIF1+NBr=^RdbuikHJG_p5Q*>fzh4m`Yc^ zp7(s!_!N>YmxQ?{xUUA~RL9Mpf2xegQ|}o;^kwKwt$(i|wQf+v2&L8sB5eEjjBt-1 zCBaxM#aJ^i2Gb)m(;&MS^FgY%gI`65436&r=#LKM)n6M+M$h5M&yDQ;5lh{JT8ON; zKGvyAe+%M|?>nu*A1aJq8%r^6iKT=a_E}#}A4biB4$T|(?EaoN(ovTg$tmC@2Wy8t zy&syxuf7p1LVc!ux!{aEd8({{fgjeZ?HoSKle z=7t3A>p7(BkFxBGd3tOdSN7P*6n=lr?}_uXz)z|-)F9MS1TAr0yd{i7j8i}1oMV3% z9+~+e>XqLXKm36)H@QCPrJPpwm%BeOrhV|nqSmIGgC~M|Z17^@tIyq1TZ8yR)_2{J z0|Occ)DMrom#<24BR_jDoSa>kJv(?b5J}U$c62m5WcIv=StcOkFvKf^(f4?AL7K>L zM81ca$B$82fFAI<5sFmIh#X|wI@>+vz(Dktf#@w}Xh1K$h2Ocex6FNiOV@+3U}hm3cp6r|Rwci*Vo|7p*=$R{$Wb05x*noF8;o7f*&N4(C4r}j7c$^21=Hu%gJ z#5IF;z71{4etxM&)zgGekZ<$hdV`+Jt0un5#+ccG{8haw;Q3CRPdJc0OBH4}WHlwt z2w|qib+PA=uOJfCWy4#{H5rk{XiiOIBuCHped-5C3!g=g}Y6M3wRE{$ONYv>GjVa!%WH zPM0cNPhC0nmJh!xx5mniD9s_?O%W5Lp(f?iqmh{(h&`4J=T*vFDl$`DGQk7s_35>$ zXh;*UX86i35{&sKp)dK2n6K2D@ssM3aoxJ(gTr0vO+%W32W@*;UY6TAPMNm}+j67% zZ{cn)5}Xm4Ng2yCgIPZ$%CQle5k&oc7U%ox@tuCvkk&y>b}aL-zt{J{D?)q>fp7U& zKwtUFf4JkhYWMzXlw{Dhua-0p*bq zIxi-3CwQ1AFs}@5HQovOdXvwCe6YewK^>Gf-f60-Q|1TFQ`sA!U3lPBr$rkvqhXz; z_2#zbe**W47?*l%pzOvIxGBdP9>K4waYL`x`=Jnb$KC)Z0mZy&R)L2h1}6bWG^D(N z)Corb`uTMrNk1kEr0CU+Kj~{s=TG_E)UX)|{3^-65jj8`za35H7n+vqfMorPg?1f~ ztQQ~WcTmpn*H1rufH!=>8oJ0TBj^(I;8s!fFc#q z45QymNP^Ey!N(E`2S3ie162P?{6U1)34U-9bG*({vmk@3Fosb$z|FMJv$$-TND5D; zccTO<-_)X$z@YA5g6gmB%{N)hC6vro!>OH1IeAbC$x=NAKLL*>4t*LY z-^0l;K1Ed&6A>&wOIZ99mRWXb+nB#D*9l#&=a9n4mop^m>EbUyPf z!l64^v-u^mEnv3$5NXBE!bu|z)ot?ml#YFdu|os+vjQ{3V0K1!=!uAz!VjJ*yg3fu zxx%|J!5h{Dp6Y-WCgiBkQFPU)9=1wr*V=7JV%6Hi+W2F%U?99`K=cJm)Nc2IaLWMh zn_g}$RH!e!+{Mg4(~lI1VUer&L|&CxJ%@$oFIws{)NOzL4nT?S8M&yeLG*8_MroRl zF9+SrrW&njx{@7KOiiVlZUQ~H0`v|Pw*|^jWL04dJ_D>9z~av;WK|kN!(jax_Tm+V z>sgq^>R}KJtvLAmmKuzV2WV>+Uk)CB>nfVU%$HL17)kzqqRwaRAm}RkZ=9$LNb-SV z(N7t>oMb)7!NYMv!@dBUR@54UOTnUH8OTZuYXD0dHc-8RRBEKV<_4-PYOQv2bJPqP zw%Fp@O-c`-R+BFF(~1kA&nQ;Uv8vZwQ!5zOLq5zBKcx6({6QqeFNJupnU&L}X|(|T z;m1t;q?HdxEvJ{^oWB|D4l+U=W(7`6idX7Yh`pV~;kCnR;)zMj|nbgfQGVzquq zny!!43>a{GnWc$`ZdzqSsB%u{s8pgBBW={N5Pkx z4}-;;r?*e3`A)E;=Kq%pu1?a$vI{j(y$M}#H{s!)j%4Z)H@8Ib$+h}blJ1reh)+8M zRSRR@bjuG^A=dksZf=g^b8MBHtaG$mwHC8N|66HbZt~^O96(Bp=^84ibc=SyMJu)F zNK5o~mZR1Z9fHJc!&~E`wOVwRC3+)8&$mQjix#Cr&ykau-T7J+6X-z2%aqz`3EDN5 zt5Rs@cPwrRB-St$9e4ImrsD4;e-}(%T88rC`$M$HD7Ka28On4Q+x6#erF0kH|3}pO z^WaiOP^=)fVL~vd~^FlDH6h2dK@V^Wezo8$)aWVk}?<3Ur z{DV33vphkFQdxyDdOldR!0MBzgELqMf3)f#j7T;z`>>qUvUIFD0<*!0&NQ&+) zwkW-H_RnFJ4AFOJ--Bp>ZV4guvrIZr9c3<)tfc9ZORoAYBGn>`+Y0WRq~D;%0nBQV z)|kqka~+%0qDO%qT>)uFF>)2Jh3e{rs(MJNsy?==tF@{%aa9(54OQ6rt(AHq-9qb&|4@Dh&+9kz?Z98v6i^&C3>>}rNUAP|z91=eVStfpp{0GL)>aLX zgg%x)>&sQov(olhMW$^Fsq1;@vP!l`>*@y6(sqN^_AP2dA+&X)s7>EqU>q1!_k^Xc z3H*Dgt`FXkx03%vCx3>??ZS=Xt+SQ9Sp63pz@MuA2_Azzqgc zBA_X&<^@{`$rh!*{5MoeD${)oStH3$v0}xoj#P0X1G_DM5YM1kXHbGJJ_sprtFD#M zRW6bz(S*r~v>Tg=AKVoT?B~;s%O^>Tyrd1z| zTXum?{wF5SQQK%)H-0%lu#;|!C+*fr=@Ya6GE0!PSO^-08lnegYP^4QB4+=7GM@Zu zoqQU^gF5*&nojC8PA|R<3O+{yb$3F6-D(P5)~c1eb%GWsDC^4}a&Ic*w*7R+woA}7 zuOfZZH$S>B3+I1n`zR;pZDu{%nTk%sRjEU!LQO|9^AcS?_(D30 zj7&Tj;q5>bQyP`(9VGI@M-1-=EZ$f}@8$mCRw`bNEdDt47u2!2MX4(0fxI$QalfoS zX1~Gx&Pj%6D-}dKUlE)Voed^L69aEHA??k_?XoEDaz>mQ;Vwh`APIvmXZj|b=U_FPh>yF+p-_LfJDy-74SnNe zID7`7RWkda)O-^#%XTW19k;~k;0VjscnO?*W;np@UI<^?Pf6Fc;db1U6IbBmQ$g-Y zF}7==K@Q{57=vwxL`*fr;h<@#|vISxM9kRdwWW|VXAi96VmREP!rnS5epCR zNtU}K+YwU2*^%}iq-)o1S%OsZ%B+KPdW!zlt7Kv3} zW%*DV>x`i=<9hdmbOFbe(MagTVYwXP*)|-AAUul0?TZ8qy~d`i6kFJ5RNh9u9rOj# zXe3O+ak2u=UC4n@hQnucX&l$9Feh5Lcp=yVZudkXEij*83(R-f!@CS*N1&FI?I9Ne zgaL5@o`rBAg1}oXD<#jE5h4g-94D*9bdPdfjKg}}ALqz@MJcB(?V5Ibh{C)~1q4RB70?bV(*9JW_$Ty>3}&~zJqGSgsu&7_|RcBl;H3g zus9_;)$Ub9YhW}k z!KpQ!&LcGAKqA6=ecH&G(#oMRk;Cp)%;6GCg5b5c0=x-UG-0AX)y~Tr^yz&3)qyqq zH5`tSFa)HPW4xt8ah9v4l6Atbm_GicfZx(UV76b~lvee*y0EoJrVsyh(6p z^;Jo{xlpg;O3P?RM`vmNg~BF?cx}*6{oQ7#YsHNO=FxjqBpVr5y^Mt+%gC&G3r4i8 zieKKraSp#zSFKYYrKryt9KD!T`R^IU6pnii>UHS*e{Pgx+UZ@1V`$j|?vC%!z|nDX zu^e`u+!%T*M3x2#?eM6aOcD$VjuWIE4AjOD)_?KLz=Owxw&mCz7}_m}r}w7w(v2eD zD(H>3^|Or_Y&&hat1R|O_Sx~aT{dl_t=C3dzg<(dT!}}&UA~C$!ydHrv&&;+k;vIN zO=vqGZ@t_0*!DGvyiMEkwwz7d$nL^m%UhJS;^O%vVc7YP6WUI1rwe=JZ24otx8>|| z+x27fZTVASqv)~g$t!2;vCC=OY0F)83j6GM+b)~7(bj9Dt^fba?av?UeFmp=-@oI8 zlJ9o+=7N%Z?SgXU=Ek+w8J>%A#y8AsO=Y&I`Y{z_8phO_g`w-K7{<<>U1*-S3a^yo z7h{pUBUxqF=jB(<&L2`)Zl;#uNmU~9Mg{kY0SDudQUmZFi%Kp_F4q`J&aceR%P%j_ zb0z!`a${X7U-GG}#V)^=SK`sn(lx7B7rNx&u`%1lc!n+T|lTJVCALj*@*NSv*DB z6>}t6g^DYO7Uks^NJ*zu3@b1nxHM$GY)mT5&zl^cY~FI|Ec0*1q$&AhTqZu8;?e#> zildKq6ZiL;pB3V0az`PA^mOA5=!gojnoqKfkiD$DUCEYVyq8OlrzIYg@38qPL1 zmBAY*M9uTc%rPN+-;hXff52nblio~U0%LNX%dmF>YCvT{xY|{pPo}D@^1OU>g;rl* zM-IoycNzJ3n!@%9W;Arh?2^Id<#aQus-_eUEnIT0*>{n-cGh|`FVs*`Zq6(-Cx=`~ zukPb9_jWJi5ijFcebm5mpVPtWn|K85D$kc*#*KKY=IR^!yo_hT$j@`h{4pJMuY5j7 z**{}4XOn&hA0VK#<&Bf4l#g*4_4B@{<_uSj#!^*29HJHs8#bpfe{#XFCFOI=C%4XV z4YO~jszR&Q*R`6HFEgi?%|5%p+%(DTv&bd)$G*^2Rk?ah`IvmjyZXS*{Z#fmb8~2R z{hYk0Yk)q{5B59z*Rg&ozhYQkVL|DXF%47Bt{PSlt}Gu_nD1)wtNU9*4LfE#B%DL- zK!26BgcZ>+$5rB^r{Mn`8ViP1G_-|>RgTGb73`ZqPZi%6RHv2CcGc}OK~;o)=E~|g zcxHB4;poDmN|*4S31E?eUF;_^R8eKVI~1;S)A>bix3xPnRc@PG`#qUzK)tI< z4?^g2x6msPTI{m+{Y-V5tJqg(sezkZeeLcncE8nHcjt<==fV?3N9V#77q+(;D?2v8 zhbdjJ!WS$4!l_1gi_zBJQCU$@-@0Y`IxSVRdC|h^hUL@Rx=2p%?4;O+E__>5H+wEz zz*??4>Kb_75n)oJqrJJVi!O92VX2wcu>q;c6Gd>JN*!AF*sA*4C88?fe%0mmHL`Z$ zrkYi&D=Zt~zoTPS_ofw{E%RIGfK_aPW7f{LwdbyA-+;nxZl>eb<@C+Ed~NF%%B<^# za}rB~t#2W`(I&D)B^XZUsM@isxGtGNC$A82de?N4(Ue?Qb^jYuBNmaUP3dS9Lvz^P0PB;5D){ z;XzlY7tg}~%7^UQ6uOqCR<5zC!_}VMZEIUPHn4uqZEo0Dy>3N&$Le^8JGXfO z+@`GA9PeqaUZP#4=t^km*162?Xr||4t2-jLtF@!EJLJkvS5&;OqN}%bOQC2$tr#F( z6S`)v=t4uIK#pcxw_)vtmSbpk0~A=>>XAEj2+8SV)bNc@wIL3?QLCXlXcB{7K5xb zJcV7=huXssv3df#_J7Q|vvxM#-HQ5d#bgN!Yge>$FxV|~Uh}yf?cFO@wt1o&O|5UaFv`A7Y9xT z)7noVTHOp9~L({Sq&*{3*JzCL=*E&aq)uXg!GNB`tj6TPpvzvcx z)tcpNyNr#^@cFd5OR775;o}S(pZOw|IWiqe4vrq{u)=OWUUiyfn8zK^ceQkPx2;2a zNOe}QI@h+X?CeN%*2G+8m0s#cS6Q56bs}r*Hc}aE)f3Fd6?h6Tv!-TZsDwRvVMj-M zS7=p7XQ+Dq?9g<~Zq3at&7sE5j+K}PIy$>XhQ^hRFB==Gs;C@WF}AWQRMKgAa~~O6 ziX`PNl4Q)d<>SX(*tufe%GOY4%f_~@wvKh7$}`V6qw@6gjp{0_J6E){MT-KO67y4>WUBt{S9<`3sbOoAXNq+!b%_m)=*?T)r|qioU`aHJMH3wpZ_~{^_Gv*4sZEsgEQXSKGes4Vh27*s_qvG zZwrLCr-Uy%$&0#E*T1sG8_%@=PI_-yytKD$d}!s>&op*mIq6q^r}%OA$~xPtUCX-L z%NZY$_-+31b~AjL*67q1M*LUNjC1O<+CLcH;ScXL!?8pMA+oJIg)|@n2PT zXIhR~XIh>+(>mj5*Pw+@y6B3PTZV$xQX?W9pSi9(L3Gr!gt)jIBk{N46grOiB48yHGSef z)Fm!OI7O^8Z|U?pUrVL?7PU9EUm?Ltk_C_}CHRSZTW?I_#@vq4ckVXfek|dvExjyv z?Q3B#^qo@9)^IL#P9dR$j%uu>?rXy zxP#A!NxktQFH23g@X1U_#-9baWlzDj79wTqI@;FZS(7eNbIXMrRxkfQbEA;KxDMh) zXZ@=_ApRpV&l&V@R=|85l+&-S19{KLdpSGsK8s-ljsOl@j`tYOKphOFIGp)X?omca zu=Py`?Uefr^dwsdXv^_l$tlMVoO`qHVvk%cMm82;M{3!LFAF!Enm$ z0-^6EM9|jv6OY_|kPC|p!Ipaw=T3bmK=f9Qe|Y3#r;x+X8ZLeG7ki8uO0e_gmlgbw z#11(fh7kg>3I|`%p&Z*a-=pt1^z~NW$sV~FBZ&prD1!5qho9c+Ccd-O#e`UXMR)|Z9$glN3o zwjrXo`Wxwy+jR=Lut)B8$T`~$(K?*#k$V(!y!W>QNF6#naxWsHw{d=@M=pTR0(vXQ z{T{g-$X#V;3bgaR2XfB#*yX6d0+Hi5dcvdc_EY3bKgrH|e&`f(fAh#4K80K=`jJx~ zoow_rF6mdC8796GLxu-|@(mLaw)d@Q_EY z7IJo8Y(7+*4hKDQ4Up@tAN<}Uw+wQehwNbM=GW2AesG_oACyCwa_k3hdi3o)MZWwr z%&G4%^wGXmI4W`2`sf42S$}(=uebU1V~@Tg4*SMB^yNdbQy<@>j3C|)c6sU7!D%0z zr`YzL4q@9q`n7iIi$Gu4Rwi;J=?B88F9vaoWd9vF$qx@suO2J-`6b3_3J}*_*y^dh`Vx`X(c#t?vgoan|4S z&{rh736x`a+9TI<3c1%ja@i=Co5W(f80P>|5c{H^HH=&Y^FsN8hv1*MxXG*!4n3ABc*FT8F-RaP9Ky&(=ZP{f{61 z_Evx2^W+n#nmqcBeI1dIqdtC5Ve8ujIXey5#+uRi(~G_?ufA_sdnHicG90$PuY2{4!8{3` z9qha=_vm}}I%`ie>bn4kt?%2SuR;|378f-?CnyQj$K!U7-0v}Y^UEPS*m88jfo4Lh zTA_AQ@B6SF6n(bbR>-|iqa@g;k3r7aUk>k1)XPf9+U4Nd#?d{DNVVnb!`4Up7>JJQ zH@2ejZ%5+Q;Qtl}^#yS-Pp7^iUxUwM+bG1@`f%zBPX2#lA2w&%X&tY{%;QlUKG6JH zh(B9e!J>ECq%KXIKzWi}b%|d%xKg_1v$cGpmJ%glLhftl zmOsrSf0{@C0FV3tkNg0S{6LTVK#x2epF4tyE2P_=94%iPm&u8r@dQ4bJ@SLJJm+&O z@JT@;a34Fj{vjTFh9rb>W*S31@Cr#RBR@*ZCq~^U zkNjwl{AiCnZ)Os~#M5eb{g-O_ME@yG2;)yosYI5Y7?z^%X1qrcK4Kh`5ZR?8>GGoJ_~f@z%Ykw0C_H^oJ`;!gwNJo1bdUUWEuR>F(>?MtJ@PZPd}2Itg`EhdQRk7b^XRWj2;OJ!HT0YUA>pk+bJ@T_X`e%FO=Xm7jX!%6_%<;(2^~lfF@`>`!^~g7RXm9DwQ0E< zjx$Wx&hga8m0lv4Mw6$0R%$viiv7BLiTT4?Pb7M!b^kgeuF`vdx-uTa6Vq7Xp)bUU zx7IK01Kih^JwV~$n9Rg_ffkeInBYFQokFt@aG%?Yg$`>v&A3)*OJ5)34i}wnJRKpnSwXu9Dhs})Bk?l%t#ZRIz_c)>*vHU1>D zRsLbdKZNF-n~C0_&}G4r_6QmF?^l+-eC_F&@mFd20%L;6Tk%E4G#6cLEOpVtjV__B z`W|6i?usulZg?Kr zHQmS(+N!UaM!qY)&fxx<)Ze7_*BfWK;%6JPg|_t1F_sH$>6>e;bHz6r7rW?r2A|(k zKc7iv8uJZ0n3{G9!IEESZ!9Id zLZ9>&A~uDNzgw?gJU{8nmc)B*`U{gdsb4#Ee1H;vlhE;bkDPj*9$EfdHcuB z`1)*C-TyCC-G0#Y$fRl8-IcF<~zcXhuthePnpY+(|D*MAw7lR+nxfuG(QRg>p6_^LPclzVRV}@~< z_!9P%2FCYJ{}c8EB_EUM*@C?5CB2MtuUhY*rTx`<&Ybp!^{hDUb<6)B`Mj2rUrPi( zLno-f!exJHooyo}#2c!l6@!J7r|7ko(YfZ$=lBZ9{TdjwIX zT0foTGatBX);L1&Ou-p~bBIZXF<+27AuyfZPUdHDAuaTFiN9R%T0y=;#`HH4A%7Az1*!(P>bde30+eOJ7W|2g>uhVe_mUlXD4B_iTq7y3=1-xr!YXfQqnV}t1j3l<6T zo|gPD5puP};n<^9Q1ATzLA@tD_&1S;zB@#YTkrU);3{5_`w1}pAtD~#JtfF{Qu2RCoPhQb{EP7a zM#Lgp?+p+9SojKK!iPyWNida&{JF0O^$iocP-wk(JmSX+eYV8c2t7w=y|+B{t|ATG zEVxtT_^yMm8vRo61|rKX@jnon@6geX$A#uQ4WyqFTJIqb`k2svl=wG<<~wf8=S#uN zWQ(THcj_r7PJ@$TLB1P6{#isk+L|I*Cw%^f@0*491OzV>{#xSCF#d$zMr^>Iz=FGl ze>3rCD5uc-1o<1Y50f*0lO}SH2p=wjzY;t~gk7%_&oPV>g7gedeIF7LuW+p;olFFs zA@l&D^MxKRbfwT|2wg4o458-<%?+ZcZ-vmSh_GX`;5C9b5FvLPagkx%CHSE54-l6c z#$$p{3ICVG3k>7ef-ec5d!P7LSerc%-xL0)#AdXsAoqRH!aW|g?}Rva<>b8AF&I2ISW1}{O1IZ z5;tJn3cf1*H;7Tg_?zHAiO~D8#QRe%I+X~yOu=D9)cA79rRbhCgHadZ#0Z{!3YugY!UhzBJ$ru#Le7Z!8?WjU&PxC zW53`b;s1gNJ--(ERl&az@5J>*@I4~rK9~4Fx<&UTBHzJ8$QKEg3;!(QJ(za|YlzS@ zTjG}rt`hzlBILUTFO~Q!iT7bUAHnO0&~u~2-!1h0LO(3@V??C;so<|9{(p!+FpQT4 z|3rko6B7TP&@qWueJ%OEM3gs|_+Qv-L~xYwPbWgpM4@X1&lOxD@#}=%LfnV@2f=R$ z|2stJ`L59S3jLtaj}afn^+oU(!v7r+>0TE4gy4sQ3irH>4-ip~Y~qh`-y>Ko{0buE zP8T{X^h}}W60rzeAlM}QHAJL~2z`;zmkIq%;uCl`SMWCBf1ikSKNR{Y!Cw=9g7>Hd zj|=~AM9B9D9q11l}}q_c&tCk}uMT%pe=o~DeILa!IR zT<}K0eS%o_=yeEgzcgZaXhgI|y`ICp%21(;1WN?OYBdfLED|gcEEgOr$Zwap?x_~!H)5n41o_Pp*BKWI>h&V%bwXbvc$whW z1@*cSa<>V6m!MuRg0I($z(<7txZrcd;n;vlP_G+7>vbdWE%HlIAA%nW>UAUd+|`ls z{RB@F%oQ9hST1;m;6%YX!P$cR?SuN33-Y%Q(whYJx)AgYLfyz zc#K$X7~JTS_!q%91ob))o%M6lK<=JHd3g!w96)YAUDL6rJlHfGKTEV%3dOZsLON72aklzF_-!{RBV7K5! zf|m+jDfkV+ZwY=!@Ls|13+@v(v1_rrA8Ft?!3l!gNs;T8If8l}3wp88+!K-Oi4H-&J5Kr{L2i#o`Z~ey2;L;f zcdxnbcu??R!AAx4y7m%T*8=~CeBjH1+y;^KKLkGz{8&(Ny@&WDL2i{xI!kbnAfGLh zUqRfCdJvo>7#3_4TqwvrgekvVaJAqX!A`-Af>#iC8phRvy9M>G)ZlZ6L#|7HAh=)f z5kc;F$oS_4xyd2v-wSe+L#`+OF8G1q$AWs@i}=0)OD4Ikpa_2*? zGv*4OC%9CQn;kPdP1|Ado z6+!M#$aTWIf}aR}A;_%?8L!vBzLsWRUBG`vvz2>h&@B+;5Qa&k1t(K&}g35&Vnb8-m<1knx`jrlwhR zU%}G^a|QX$5Z4E#f@1~83v%;7#_M%6P_LJP=L?^^1#+FB*UiAF&|3w$Lm=b7C8*cS zpzjn~ua`mV^)irK1aiIbq@Z3egMMCUZW+k<*989|_^u%L3FNvVS+K8QhM-<2BYuR? zWr9_L+$fOihg!k8f(rz>4d zDZbAkUVuLexB}}wVl&E5T#fP*zlQP?aedME5{^^22BKiTE zUSkds{Q*s`F+@aUkzffC{R2&{v4V(xGEQ&;5s4=WhKcAm(*$dY=s##ujg3U~qlJQt zi0Dtt1e=J+tXZ&?i2L+*!3YuktXmLGru*Ag!EHnswNvmaBKqGog1d<5hkFF~5~~g4 zX2IKuF#c}A`-teD4+uU)L_d94@KIs|zPT4XOhmtZTJTvS`tS3CM~LXhFA5$bF2Z~& zc$|oS{kq@@BKr5+f<43)hVi~&jM$9ttOO1A2lRVxr%VhG(f`v0gG7vjY{492#Ck`M z7$S{vq1Um%64DqSrGgd2DB53e0uke7l3PSR|Jm}F|J=1 zJV8X~dRwrEh;jbD;3~E|#yhG+kMjqK7~c@l;CcmLSGpCO_@>-8@B@!v?JAAd$f{~h25qTlLu>LtjB^mhDSLfmN>yNT$RKOmw% z@|`;N!#@*I*(U0o{cSK2{cS7}{Y?L!i2k*lH2PI1@lM0If_M*}&k)gn9wDONyhTKR z`J9M;(mxr9{!u_gztHO;^oQxB(GSieqH)orhVKaKpNO9`d2#p7vh6N^s^yC>wb#_@GKp^@qCajG zdKsJ^UnfQ}ZiF^CelTv*iLgIN#P}%{ zx`MbJ?ICm{aVOeC=q4h@RlCp;BF5KNp|=q+&Tba^cH%CKKcNp3G47rh`Unx@?-iks z6EO~BLVrfYc+~Gdz}|E}XpBq!9t7wir0+z#5JBtbRrjDhgkDHQr*0QILd3YeO6Y5d z7{7akzL|(|e4o(zdDO$`S3>J~1mpTyp`RyWd><3~6(Yv@38CL6V!W^9WR#h8aqsV@gn11tchpr4k*HG)_Ya zz91WIjCr$X?yIrdeRcKUjTxc3x+R@~Xzkp+os*)k#wOhHO6Rq}al40heF3c4enHpO zn_rEUK6z7DZ1b_MYc3kn{rN@bchA{+YxkC|FLwtn&e^c_;$<89UUJKZOD_G>hTXAH zXwb$RVj*ME#@(@k@XZ@+!Bnx+Zo%-aT={;~OS!dVJF-o34Z%S0lyOaa@Jt8XQ-~%0g9BbUkWp7ycFoFN=kO*T;&C%fPulR#u@#`;YqT(xOx0 z$R!YoVD>|ReY87x;DT8fG-RR7{f+bMcg8A=-003&WiS{udU{kwWKh&77!+Alp9A`^ z8XwvjJ3aX2M_+uouzpa~2S+$NV`Gs*^@M#pW8*@Dqgg#cBj=EU+?j?yM9F&OJfqIn z6Et&X4Bn?g+hb=K=5hS3VC>XcSx6I7!6S2f!UeP=te)LJvEC@jKBNk8r9sP&*Lr-% z$JK6&jSoT&ZB|*YdMbQ7(0+sVDdhiav{-p9+b*bnu|I7eb&shnsWYS7V-qW~_8D5o zs9A+|ESYI;k5$FF#j}QkJ9L)myZ*{R)U3JwN?U$#eW+HKQ-zmNbdKsc&Wy~ur20TK*yH!1ZV(p^1rHjB?qI(` zxRY3mW2zCp9q0bA5l+I9f+HCRWkQGx;^+f<)2yrmSu+;IQu^O`)yhDQ0f3vKyhL*3bRnh7mzuzBetG^z$sZps%Ry9~PyC+r^ z+C5B-EIyWV+^VOQwMj*0ba~zRv-+S$Q;dvd&xUHXo@M9>X7ZnZrzYLK-zfe2(O2GB zT)!ta(HIoHY^plKoS@Ah&aK@Q8yEJYJ%731KV#{>UFa#k?`Py>!~&Dd(dN;BuAc$N z_ayk~R{C+_>N=z|kL}Od*C$%j6ZZR#r=hnU@~216gw`{HYGlgMNwcz1!XTsqk1^d? z9dqVmXC(MmFKE~k8?Pv)Z8LX=@^+_{w(X#`|jYmh% z;`qrkvm%TcIcsEXMzkRmtJg1x?2bPDo-uCw`aKa})tw)_(3urooMIkT z1>0g{LTMN)W12Eh`V@0pth9pKVwh*L^$ZhJ)jg(fP-L)JnOV0xHU{%o;7G83cdRs+ z6AksG_@?4V%N(75T76(v?&gfhqRoww8#f2)OJ?L^-j0M}8%oJC?3iJM?XnrDN0x2E z0os14E|tQ}|J(h>=y1=w+b*izTJJ|G@|427=j-tsPwyYHkF(pSuu19u+FhR$>8|yo zgkiNf;)||p`00DwjJ=V3)KActboAv&A$n|3BoES0)5RuasL z9s`cnJz5<{TyS2)+$dTD(y`elAjXMW_NqU=cB zEPsA5nu8v)Flyv4TR$(dE4p|6ZmZ3@L(wqXtY}AUOgIfzjS1$n%`oGZh6*t!;8GeX z!_4mMiJ3L1sX(NUv{@-e#u$#!BbBrE#7d2LBQPH&9o>Uc?Li62-4h!bAFBg(8JeQ1#2UMIMb&8QEEJ{eYte$oFoMvN{%Q7! zq}K*#J&8VHZdnjfoBPkoh}3Kj)-8x^+Z>3L%s6;rLKtb{eWMcnf&Jb9et^F6K8}xY zT#n-k9J=qs`%RJ_56N{Y=#5iDL!#L=MQLb(? zWAmf^aLw}jj_hyRHz@l3sToIDqn{#nsO~dPyzO|0+rxfNap0770K$06EG}IFKRve;&`jZ#}L~{nL+Fxrb0+ zU!b2_xhtJ}PV_#Vdp@oeO6N{p+i;{O=a>~|&+=jY?oUe2!3xyJqT)H!EZo7WyK%qC zR|#@7Gx5i#lJ%PrDxiPB^(Fn0_q)Cn{aC=C)Q%)Re@ZQM`2tDBqyi~-BDv{LYQqjf zh#Q1BzkX`5w1W9%4*rJkB_$#IPiA5!+&~KvosYRuvEFtxoMFmn;!36Vji%LzooI1a- zsI-(RjQetn&LKVUQxwHCloi=8@JmK2A^QjTJFn>zGH9e8q^*ASb0kjtCeF*v6vbyT zfgGkw6+t6#lv>k-Q~|z@ZT1n;7uZHy`wD3WLL>(Y=?{!1nImLU;0%(vLM8{!WLX9a znG%>za)^+DzzEtmOq=8@Obsj{nJ=-$flk(6fsn%kM_IT+Ax8vWqN*YxO9Ee#EEaNP zfKPtR;X;lIgsEzTkfQ_rnbAlgO9SJmd6bZ20&`e|QX$KXKCJ97ks|#tP6ivPSil=* znL2h&RKF4Ydr`8c@5Z_BVng*;d@Drp5r*|ZA_P?LfES#Av(*7S z76p-^8hwHBM({%LE&^|p#Z%*q%xz#@2UhU=IJpZa*GpW5k@*T(KW5xNaPlNh*oC1> zRTx1(vg8}RKTWWPf%PU>zl*an%fO-$nbUCcIZv8!l9CF2S!x&lD#Kc3@}WnV^jWC3 z>|fxI@~L~k2%d&*f=tD(I-nYgm0zDXfgbp6(g9TuY2WThkc-g_s9uHYgO!=x#`r;y zz>~2(D)8l?K>aWy*a(saZLwtHwZb1$ueEq6UFKF%m3b>pSn|w!al-NjpT`O7@V`ZR zf|2n&RhI2t!lwryca$bAJzlY@SLZ^Ifo53}a{pm{H`!iR zHJIvqnpO)Ftrh}XH&K@QF>_pH%}NWwE@d9SV{UKpY?ZzYHsCZf6R|7|Iuajpshg}s zrQock=qE^&*$)(7g@=%Cjzg9Z2Pl65Q03@3xvk9ekkv?>?NfllQHI{@P79lksY=6B{9K-pnApEewPivCul;YU6d4my?G*c-K zSesYD>}Dy&NzvjJsC1@nP%5NegCR+CmE!2MQ>2kMM=1_eo5vTak{Xrbe3;LHV-zSl zXiln8sz5D8!ID-}-z1bMX^T>voANKGT%qcs+$udYD$Xgk75iyfEz|S0sw9-JQ1J!N zq^XuHhoB`}q&89Z62-D3msI9*sZtyRwzhogxP{u7YtpSeqsxb++o|_9o-Mc1vtwJS zLW-BBr0*)lKCXFoL^g|bvr_Eyj)($9_t#0cQTrmMUBt6TSi&Vd>tx<5aeYu3s<>ZP zAG6=!e&-}-sQD_0biN{^PoJ~F#C20nQJ?++hS_gopR@YZWg+qD1|b26;JKVi>b56 zi4ApGLtxTrAOk3?F2I0995(zl20BQn&l#@k2QMIMvyg&9gRD%yJvE*A4o;LM1nonH z!kYQ&oa9A)7VA10N(RerGln@0th(0}sz=bKe z1nO08w@OZ{c%gP$#ll*}Y9xGy`a^1h2}$TAFws}&EJZ@6PLR%$GTL2Iws0N}sK?)U zKrYmSLw@0Ov^9lTek39AqUY8LhE8ZJ5Tjh3$(aFj_8RTTfYI&@+9d<>?Z6(*aJL1z z2lJFYm7z)hwHk$|tVjkL$G~DR*tD}c*c?M}aJX124V*~$e>2}1nDl+tmmKQTetix6 z_QX=MW1MQsVEKV-C52XQ?%o_}=_+fr2>kA)&uTVfv(~Owo8S(^nvc<|>%@%pQ5B2x z^7HfZ&CHOwuFMNrA#-h6enq(s73Gr|s)iIyo?I}jynb?h!(?-1nK>*prl34OzaU=+ z0>qI9CuVWTToy8m%FOdZ=E%_5lfQ-^zELvC{?l}oT`#%`ljAutt9mngR)a6yA%DbB z1&i~_C)ZD@&nvH7y>!ahswtc1R#q)L>xv;&)#1wv=eAZYE(mWb2r<}NKCQ&c=;O!4geW#&<{tTjKcym093{4tf`O6z<|ejd*!hs|5ImYG{ZZUZOzd~PpmU{B zvv_&q?B!FdYwFKwT)tr8{Bvp+LaoEmk9MJBtZZMiVDq|_aEydlZo90rsA=!uw&Lv_ z>sE*KAJ2~LjcaQ+wl!>QTd-ndi|wSQdu@bHTQ(rs#W z&RwbFThWOd8*GT3@K%&CfsX{c+E%Y?X%6X)sbM*Ggrwn!Y3c0T5TREfY^{yTb2w*$ z4OLOAB-Fq{TDIG@$ts^fenZ3Zx#ujjygC`p9UCreZ!u0ar7c^@pX^r}OpLAEqib8Y zSk~JnAeXia?JUllxNJeqg1V{&)0UmHVCwRz9Ua~69V@U=d>Q=Jbgg5<;w19E4o*(T^f!+PRTP7kZj! z3wuw8G9vBm&R&m!Te(ICvDFLWBTmm>YzQi)Tt$T~sRy&ww`D3xIDLyx4fZ61s*^!d zS%vmNJ?Mef(z(tW`T8z`W8}OQZQV7SSGKow8LJ$NcO~XMJ)_Au6<>9vtXfGFOj~W&hs@_~YdLqFD+^w_t*=v|M<3_nLRc^WLBFJ5I4@H_P}{@mwo-y>%vPZTvZ>}r6X^nqp zPiw~xu~yG+kJz2txpk`@XI~fHagKS~9pSzyamUGg=w@2erkjV$vCI-~zGLXSBRpf= z9cj;xZm#DJr>wK1nHO#?^#9krUeUGU0YgiN5%|lMHpQj8D=GTD3sc$ccyTP-At*;ggr@oLwA8oPqwc^~V zZxRgW{9y-MUo#j^eI?Mxw6u?Q+4{EQ+^Mez6=XjvK$MmvUW~)3Zx{6K1&{jp#KzWl z3(lSTVt82G1fCsi`)&losjmb-+tM!TOUGgB+mCanzD1~vW8m4r*7sjvIQ3OPUkP~B z#~%}HeLuswQ(pxhY}wC15EU=)PjT+lw+Q;8;89;tW-f)z$gKgi-U^w+1 zfxaB@D9c|&Z2LaKxl}gV*-+-xcj6TG1tH|r*W=JP zz@cxHM_)D?-}#KlF7I%UKD?e8Z(o+%E^m$Kv&UaE^s&9{fM^}&ik!{wgxnBzJPEd3 zJx-kEpaV#@G3%K>+SvIn_2~O1DviHc*}>Mg)+6^gDuer++QF7~@LO5)F*Fnxs1GW)^KK4^P*m2*$iBn$_ z^c{f^^%dZ-_1)po7r{fnS@xs}Ia?p!dvWTkm~Lp#_|#YA(D$I|s{oJT@uBz~)t+2I zQy-5xb+4DL1NP9M+R+W(aJqTW-w7gRS!$${nd&Hai+E9UWmW*^0aH>AH zXq?7_sb}ao32FA8MBv_8&S2`^mf)CrCUeq>nkCmXhHH(9R^bRw1n%YJ45q=m#zZi2 zM}be7P!8*!i>tB@f->p`E|GupIOgWe3tDJ@o0I$>Kd- zCdSW9Py9I^`a%!Q>z^H{f4hg?4VtVb9GMtTHw%4C)BTKlJ@N-V^lwF;_h8)n z_dPVf6SV{F8SJ6UJ#@8)Ug)7$d*}@w+TI(3*Mm&_+k<$Tv{2L8#`lD_;s+Q%@}&Qn z#5Zbrj3+)D!BgBK>)D$1l-*vq7B$;XmmH7YdwG83c)r3@#{*aQgXs-jW#h(=AFGu* zmb&uGm*<&P{8_K#84?~XchXSzQ{YoPIqUVoqi2VN_(RK+pLo?c9*64(nNH{b-k%BF z4@ z*i9Pt>3nPPQXuI%D_^aTH1!dokG}6{?{OgQd7TLRIOd7?Z=}IEUcI;%z^z2wXgx3S zj{}FzhAjDzn?Rgv7~dg6$baWvE-J1_z+Qkf+uWcWn&!vGbVicIX+hKa%Ri!Nz8hUsa$8W0BiD+cr8xv0x z#1cgN)F>w6=Dbw+yicbbnp9)8AgWl?Fij(})Ofz&8o`L*MS@odUN6XYrv5tw?-kr9 z_>|zYf-efPUYV{(@C(6o)F1i0#u7^e&k&p@IA5?yaGfCUW0{U?FXByt-xuVoLF7Lr z_!~i91Ih0Z{8CW+L2(bd|NQoas!~&;GaDwos5c}fO7eVgh#B}q7ZV`+MZWq+P?UC*l zp&t@_O7MRKUlr882O<9+X_QO*91P-(deT7s=?3!ci$2cyBEfPZ`1;#z@M}mzei0Gl zdbQ9O32L8#h`&MT+Xe3z)Zb=LftZ7?c)zvB{W}7 zrM=pRUl!(7(!dLe6_}?aev{B!h34D9jQ^(4+E?EI^heUbTP6NJiT{z%j|%;i(9a63 zef2>fUyNn?KMTGk_@3YwMA)l+_5ro;dEgLCAmr=sWq{?RF==Su^T6?>p}$(>8iYPa z1vEXjOdjz%bJjg#K^zQ|= zk9hFE6gm}i5ADek93ePGuuf3>euvx|p*IU&D|mz89fCg)d`eLJtV8+_g#J=c`>137 zxNy=A2F{nL0*(5*2|6frh=}>BNKjudL8EFlKTL%1G{FYJM!_b*X2A}@F2QSvm?w4# z?iIXQ@BzVx1b-~}--0g)9u?%;j{05^{09;9z`KH<2!ripSp@Q0{ zG5Gq+J>W#)pDoxZxKNPKZmCD_fdgD6^w$K}3-Zel%Izd#oL(ikOK^{%zK=xweM0|G z@JE8YzhioSQ$u`4@HxR_M2y2%1oizN=o3Q61V0nx3#^pqT9(L9EQtEL4%F9mj8A@0 z!12trF_FLZ5cwGeu~G0mLG9}pe11m3@w{Gev*5*o{DOkxc(>qU1)1TohOY~o?YW4L#L`1(WA)>!l5YbO~Kg)Iq6H$M)M3l3U2zwV13#?}d%$I?3 zdb|KJtTml3sPjv*-bLlO3XzYor^gRa=MOtd$+yQ5?BP(PUD_VlHHkDZOoV-Ud;zt6 z$hU!fptckCE+h@q_QLLEq=8LD*ssSQu$45*(Jt6Ygq`mYVP8J`0qj~sggtuQ06UU> zpppMvBJ%wk5&0?Jw;&(wBO3ZENke}&^_^k;jzs<1e$d)};{A-^x%U~};+~>@2qtFQG@9vY~IRu_aRBmL@R*l^UPXzQg*|*p5 z8)yA(v-U3Xk(TlG09`VX1IaV`&M=Dpvi}ED(|?l!&lbOgYX%i!%8%ag!=uR9bJVzh zzELtGa)z2`t%Anm#Rp-6rtB~%b8j3lhG^#l*}Mg5pP zKJyRzFWT3q{*j^~kw4%F;K;<+{661r_K$ijCz1;dLD&(59YKd3=~im^^yu1`R{uzT zZsgB6`r**2;d`Y3sZl30Q6Do=A2SnWu>3Bh*XKqzKcR`9m#Gj>QVme zCVHkY4xQhWKEpWfFJfzk_(lC8b|iQhfUg?zQtOR^#jWtiFfwp7r7pd78q%gq+9gc~ zPXu*eveT^bqpy>|m+CWcqOfi@xPbEpe5pPW@%VO}k92*$q(5s&*$P3QKPiuK{*+&l z3M4(vxIjufAn~R8d7%8qDPVo6UP2-3OZ78(YJaJIx7Oj$CQk);k@91(+h3~l;e+E# zbw9?n;d}R&_?vV&PyH$UxHMT6fKYRAYR$7mMU^S6E;Wz$93dRM*EjfWS}H01u|5*d z<9Ta5@mP}c;)&NV@d6yZB~DYYAg$~^*V)apviqE-sQFfQUoyLkAef2l@X;wKd_Fmb5|dOs2VNX*f{^+Y zfBvub&Nax6qKe})_ii?u&4wkI2T35_#Z5>A!Um%R2+0ir4Z6WVB!ut`$>sqGteZ_B z5epGS#J3`)Xc?{22vG`wvP!8ErIg~OB89wEtb9O3e1lqIk<$O~^y!(tcNc@0FI3r8 zbN_w%oId@S?w+2RbLNh-_RX`ty%X9RIM4Fp$2$Inkej;Zr;Wdgng!{6*#v9j0#kgn zWK|X$rhK3*tFF}LV&y06CzLf{Wo_{8e4Z{`F89onuvoU1k1QnZ2&mN5L8s4SPL1FJL^k;ucs+UY6$v+v)wEogY)jxxz;gSG$pkGoGe&Tj^^! zs=))WJ=M_U&^Ov$2XW|=jt;5B_t42P(qn#&nvscDV`ry4H*v4rKgq2dsjt1 z4vYe=paBylYJtK|7*;de)(GeWbxX(Qb`&`jVFu7JkR`XdNG7|A1m14!LBn?`J|gR2hedRyvHA_q zEm=g**C7SK1v-M~9I-Vz!~oV73}Wj81E5BYzIIB;>N@0X2~a|4C^5vv&~FjYQpt|q z5w)qr$Xl#PXj5aCr01IJfV!k3<^iYckQ$&zhj#-}?YSl0Z+L9UBAWE$e37A1#OgYv z4WO{$TT2U~MA8Dut30crAuVb?fp1irvH*6!rA`8=lagcALa|hmI*9?GZfJdMDa}p= zP=vs#JBT6P)ca_m?or!+sC(T+dE``vP}EXDx4F`^*^sW5CV;C#s3NgBfdSNY46QpH z>JufJ>N7)ZB?0so<$+Dr2x_TNQW3gtXn6=w9_S-Lu_C1BuCCPPb9aEH&DjA`2_|pZ z8FO|}hq{j3ZZQ=Ji_vULT57caq$Pz;D0{k9GKV#0VN#NXHpS5Gk%*`v3Y{B!eNumt<%jf~dCC!boSGM& zqR$SO_`y7{&DQokZ>`zy{NCQP8~h3H$n(iKKo&BUS*=I*tUvz9o|RpACFgOUvSs7oh7-2Qudi@~8R%OlpF5L?HW7N3 zamK)g!M@Wjxm1kd4Fe>z3`2yM_34Y}ei#onWAT>WJn`J$CwOmNyhR>noBHuI!iy|Z z?dCRGe)I+R!v>eQ;B}}f^~%$dSO0z4VBe~CDiwk!- zOFjK!+(m=LNdv1k%gJlC990v&!4J&*r%vCTna5Y@Aa=o)wQKtZvdxz1lr5X&r;+W= zW74XO*3CNca`jrep}+5h=*n06i0(7TxYvYRl-U6MOF>^HxqQElF%5oAtgQGoUFH5i z2eRCHXyzRh8A4WSe1ooTsehnazs7Q>d{%yZqEddUyVvp$?-RvUqFHyEw{HBl`qf_N z4$(1iB~9y57v1c`l1dd^^> zdFKI-aHx(p9jP323@siB+r7qenLjZ^Iksqe>|cZfRgwKgA&U$L`cKk99oT>oR_H(` z2IL3}b)@=cB>Ko3^_{7Bs_$~qX`7=ynI<*pn=5_PhqA$_Z=)#s%BXK)lfM0RH}fS4 z(JzyAM14G{k9m}w?UcUM4m$FdHR=1N^l>+ZKCU}a-)h&#x-{^PCL4E z#C9+is4p$Rz?j6Ya9C11y*LN@9Ouk-7(V8+;voTHbV@!T-A)?8f1blKD>$9K9m+PJ; zQxd9LF&pJOoAk4ymZ2)^)kgWTCSUevpwk?2O=jHT(~^d&t%zkx)vRJuI=rZkDfr-| z0Uw(*R2z1joS&&yKgN3qT`^)RqYXRO4m$+G2gA@0FB48tzjN5D#dMH#p`>J zZ_QSVPkzn;J}~K;LcQMO{6XWlXCHIA*XYsN=bc_`bVqix({-cAWOq8vHT3|U|9hRT z8{L^b>~tu9Z1$9B0(Bb8`LJDYaI%m~#nAgTVLwf?w;OuXQ0%qc8$tJ(!|b<< zr0}3Xd-P(DZLD;6Z3%H-?HS{3uJ56hzr24B>tg%taDAAnXOXOvDb46=e{-2W3tDo_ zH$6lu|wOx{g|Y@wsjw#{*t)p(E!S`kjuv zL4ZET@gheqLZmZ>K=ydxryXx}{IcUt$J-q5c6`L~Nypuw_6|=wJ_~9C{yZrCFFBoS z5Fy8VVQ>N{zS*j^>G}mNoCYet*{+FyjMHYbCO&>v$Z<_4|GD63y^C-(n>FQUFA9G< zDEbPgKjiccj-LmW|90oIf8c-l?smKfRQ`vY|D@Bua@uUs#Q%fS|8z7PG?hPA1Bd)m z9nJPk>1JE5lfQs;;UecR0mV1lGvzzm=?#us9p49vZ?hipW zM*e$FKj8F3PCw;nwo%f@hi8%B6qDE<^s^3$E>8}iV{IG*U~?{NAI zP)qSyj_W<0Pwb-4Y}A$iDyOe={te*4dd2SeMd#n-{5zcfw$owuFwq2POY^Q2d-ZEV>nxT&Lq?Q2gnjj>DXu3m&i6El&43p6{q>VB=n-q0N8I z1J-rsL(Q?<(dN6x`O(nA1&)gxmpPu{xE36pWfwXQIBs>c`7Zu-PJhzzGmc+zyvgxa z$2%PFalGHr=D+62Q_#X+JO0-3MaMmkEzAqawK}czOXzmF}w{<~i>w*vyAJT1I5VALievcz|C(zi9fb5sSFF4|F35`Vz z_#MZ%Uf4RJbhBL*KH+@s(~!sbgn9mFNA7K*Uvk6{1=@UwgcF^f;yB&$jgCh-zQqxL zO!A-PXtu1P&6ZWT($mj%>~pkrMSSdA$bW_72OU4`h-nM!iv2&RaHrF|9BqA3`dv=n z<9NU0!;X(S{?c)`<8zLGbo`s+i;h^$P=2T51V{dBfb?$18ID-akba!wB1iL$QTlRd zt!FD8FK}GzxYcpHqxsB8{sT^b)bW#!pLP6#<4(t2j<-2}%Mn`~%74)D5y!_JF}`7) ze9rMNj(>C9<7n%Y6**Z7_)V%8fHLh)6rt@BhR=+O>)!wC`>ahZ>=|_R}Sj8DirRlZxT7<3F zT1TbI%CT})4$l!NuLi2TZbz$!gl!)uwEC$0Iq-#6FV%xRIrXW7s?UjzR?nK-ObgE@ zUG;l6sQScp{cF&yGoZ@1{gukI^-|@W$2ueZwhxm2uRzzl9Y=)cV(7K{iMIMle=qt( zTR*AY8=dY4Ro+LO{sgFUZ*uw@pvu3`=^ufr$J0(f3#vYUb^0Hm>Sfmh>f`lWy=}|j zhK-vG>*l@LHXn7&+@6|+z1udgS9=u-+vWqc3xDwTyI&Z2h>nHT{uBDI)W0nLnVOkk zOa72BXXsJA<{-<{h15E4vx{|Q1h#MbkEC@#%ZRz~T1N7)R+gvMKW!tq{Faud*NSSf zD{Qbly%O1IZhDMmY}pRvZFdUn9~wrG(34lwFdo)?2O6Dyqa}=dp z3o*_p&7o}inHUo{1o|NjDZNUJO7>QMU%5d+9E(Fu3Un@Pi0E&NCUzZpcvn}YlI6L+ zk-FtSes?wuZGGT(n?7^NM=a-LyvX%$i572g?Io+e$-5BV&`-n;^N!nJFZ(BL3q`zT zeVI4JWimoduXV#4?tS(K_LaX8PQDTR+IVei_x0&}+m2)e+5r4-zQq_{HO9g5|Mz^> zo3_d+cBqav9oh<6V696-lsjCB#96or$fb)xSlz=jm6!)PmItm+F+|?+N*EOrg@am? zo)Gc`l1mCp5cRV4RTu;JFkB~Ni2BY`LX5+EKtxv*N_b}}o|fCI>v2tKluLQB+=~@Y z^ccBteeH*23f2E<1Wj5-&Qt_Ba(QLFj47nI0@4ZS$^rv?A!bP4Q9fbEu-;$_AG*OOSj#2K%8aV8uVu*6w z^NQ6U)48XAQzV2@^}R_P_MqfyL_E-5!Vh$$?YKVam)D6G`$gNQVk|3~T`$JZhcWA@)j0s@jYx+0|@h zNhrp9nKba;B@I2n+}a%8ZQ z-=6OZuXUO^MEVVmI~{KUwOHNec$cR?==dn80rYdH1r7H_oKy05I$FDR>gZ?E2_R=1 PT;@0qR3^J`Qu@CEPp3-Q literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.elf b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USB_Bootloader.elf new file mode 100755 index 0000000000000000000000000000000000000000..44684703e7a62921099888af252405c824104695 GIT binary patch literal 719329 zcmeFad0-S(+BbSmRrQiiC+Y0nNeG?JLP!XNMMXpj0YesKM^Qnes1rA67PV272Dj*l zFDNp&4mz$QGfFg$s2E(veVoK?a2buGBMffMW`Znzf4@^zS=5>P-Fx5f-uI7NP<_s` zp65L0IZK^dvf{+aHBw4pADSo?gi>*i!Z|I+Ei@q!0%$yOux!%p@8Od~0{eCu2vntGh!%*GPXv#E!^7IK5jAAzkm5-t=xQj~sfp zHYB~<@RCFCHuBQDofeN1Ji5iBgU4a?)3~9jYM)qqN24gz%h$Ih)hE@>=q~gn)mP(Jj;t)-+mTq8*x-&N z*7?I8v}ty?r&fftMzltoFn)A+zZIQq2ev)?0NdGM`w)+`yLItXr!~mX@Ahvz>)!p* zOPs+OMW;;33A@8X!pB5TZaBHV>Ic6Vzh`zVB$LZI~)brtXU_sXxh8P#e;U^r#G<5Pl}?4A(3Y-TLIxNL9?x z%fq7c$d2l%&H{PRxmXnVn&i>9G|Sl9BkrMlJ49iiX6$4U@}F8c>XnvYEbcPC9&fzg z^2h3gcH`@6Z$@|8w7a@xrySwvc6ILAVT|0?Qdur1e%VnuITWdmX(AXdSU$9Nd`#0T z>I#>7BNJm)nkTGB%Ihb@d`4M=5%xFDZSpnFYRsuAt)0>Bo@zACYD&9dVxzV)r)EZX z+SD0MRo#D_T-E*iAv3#kQiAoTgL`1@%tj6R-MStgP@7+`NA#FWq@w-G&D>K^lGFQ7S%j_^ZHMFL$a6^l4t9Zt@ z)!QICy$#zVcSTN(5WypjKT@KWYrftz|r;&#-$fw8{ z-t|=6S)(Iui7(0%U&|8WHpNAetdv@D&F1QacT&4$Vs-wzEk@vQ`Gz)G{AJ7D9pt(_ zo>Etxm#%&6zPVbq|KOILh^Cq+s-5rXyVs0&N90H?@LtOgI~=v=RBMqhTh@Wg84Pa~ z6$jhV>{~fzR{SeA^$+{cx-wcLVqY9Q`zcYD?klcEk%s=Y*R2ho|ma zzexUG#1an?ueT@cjvssGk2f6H0!V8Yr8_!grzl<2v9;R>I?Jl|T(R=*?$Y71yef7n za%J-F?(*sNk5uh)6n`_IA+WA`&a%KVvFE&%cPz^;tBReovV6duEh2Q>=A=D?GQONE zk4p>O(sCzkpHa;gSliNET@{K9(I;dB!ePUFyIf}&Z^bjrE+!m;OVQo%fMA$@aq`41=sf}(EA=Iqk+0IdAX&vNvJF0`@&FG`M zt6bxT-PAU9-wl#iZ`Y86#`o{s9r-}Y!(&Gtajo?)pnw%`z? z#N~l;rR!Vt?IQNh0bdcLX3f$E;osjx@9rL&dUtolqGxxHOPRDw7N>5126avDm|g>( z#oEI%cJd(^j2CFX2fReY&OR_TCOso-=F|+Xxed{A+5uT2N-t>_gFMBTz>dq>FURlF z_T_;m_pe{EVEb*4ycQ^{X?R4&1|NE;MHJ8P6cy)ojH%WB*sw`|?Yf=1xCW;zaTgWr z5JTs*>lG(=z$QdbMJg=U#+4x&iapyaq$u=-Dr!^xljq4Xg%dw+>Fy}$bbOsyn5Ur+ zEEa`wjBj4R|7MFQJJ7+}WN%O0?;GX#+6a!9v*yYXxq)EW$1SAEl!T6Wg{vd?q+E=+ zftIR@;! zx>|IkZlPpXw|8>9>%GGTnAf*yRb`^x4~@Zayvute1LH-)ktiS$`)7CU;~bm3nA=#s zyVaxCAKOH<)Y~Bn0%5dq*9C_wH#0r&@YbE~s(4r9R?e5?*D9lK5pzUEn;b1_R_r_U zSa{O+dwtQxPlYE%_xhT{lSG?Z83lc<=r0Fcr3vj*WARbhoyiSc(P;7ww9Ebutst>h z#J=g)O80cQu&UBA8@En+hd%-Is} zdOKdbMZ~rMZaCoIV%qs~n-O$x9o=fci<`P9Zx*rR4>)Q@Z*er_HHz3N2TE&3Z(x?QD4O?t-w<(*#J&Vp@u5hJE)?Jd5| zxsf~FPE|hU?qX_HH9LXr8A;n4D&(h}?N)_JhT)5J?HxvGXIK#SMXB1%7P zPl){5ozX@=iJ}j81Z%pt+I2Qies#=;)nQ=y_?S=2SsI?S3Gb~A$A|tV#BKck{bJ(&9dnu*Cv4s6LpuiBGEir&A#i!^gm_n=O(@vWAYubW zZj;#U(`I&u#00EE*^X)r1d7<*IH6AJ`_HLCV>jGQe;eZQl$CamfjL>pJO z`WlpPjW1g^ZBCy2RB>_meViK3+(>!V$1U@>1j8Fz)>IqsyfqhV>ybvdYlkaXiT14A znt;=c73vDNrkpf{9)_;_Hz!Sgs-z^m9j60lMZ^))9N)IghBmk7^%ksx!meN_To?{R zQy7|hZSulW#HM_+Wyxkw!&B%VpW{r%nZ_1HG>5kMAswCs$w`oe-`IAvVKn@-=!mx= z5LvcG7AMvZ2xl}6sq(aEG!@09kK9p zNJ4`Mo!XQWmV@0Lt~zhiM96wA+0z=$cCCKimT|XY9uH~-9G@lDtyrmUod$jESNb!! z4s3NH-nC#RqP!}^sDI{wr*Q6sq}qvCyK3NCcVOBUPu;Kb>YLkI?yELFWShNnWNb|g z>kbjS_JD8m(5MJ@Z}s66ZPbl*#Q4k_PiW?Z(#g@9`O%_CO3izl*@MqOPc3XXFKb?x(=ooLYS^f@F{m5H(F3Kh6l3p7 z5iE`RRF3v`!>80yM6B+cYg4j8skt zhAV60UDePquzoyN_Jv_llo1|XGYgXQ4`&B+>f&9;9mx*53gTTS9?1-510IQ#@bO3d z!3@CVNC_W>I7tOuh?H=}kxYZpGT<$q z4eoGSy$Gh^nkucqhijp<`rdprqzC<&MfC3A5OnoJ$+bp-#8t9r`v$%jpNr1LNE2Yk zMMxLnyclN~=Uki%asCr$Dd@C0-0GU_tSO8n)#PH#55*;Bu}Z8sw(bn0miM>K0^h+(Sa_{zlbk)DvvSIG@ywm53Sc*1bmlj-^-`oN@H9nyCR!zwN7`(C8 zVcY#v@}zgr7cH)X;+!4LnJ2Ziyt-dp_DuDFiTa2CXnt|OSbATJx5zjs&f6E6xGSwB zd2;^j4_f4?{MmI|66(FUGQ|8}Fg{$h%w4}>R&1*%daz}E_3n3)=gCn+!`(Y#TWMP} z%4E68J!N~gJNiiH%Bp2r{l_gEW|?&%Uw7+-{G^%>TU>)(H5oNo+qZ7^Nbi()TkZ+O zyKabYtS)T})?9;?`x^9Z{hi^FM`p#spnh$2V*6=W(@0$FoYpigZ%$)Q^R)a`EjiJzceq2zb%_yo zxoAIaa|&jWm9eOvT&IUqg2`x84UuMadT@oW1>IKlV$A8oenWm$IF&U#m^voJlZhn)@1+Gv3Y1yDy?DB5Tv>qK74 zI2!I~8ngNKCZpaR-q4iVJRT$9hQ{zD`hfb)_1=ihfk*S%Z3)Jh#4@h+n+-t{HU zm)mSx-L39AcSCO^ID1OIFK$>R)7P}s*6VIfRGMAToB+*99p*~o!!|QLs*TsstKym^ z-z%4jw#o_nBbrltKc&GBYkBQ_aXbkzrN*H>cbMhR~`0k z>8)QWd_W(wZQQLP=xlEhF(1|hqWrk_8CcJHK0-g2a_}hB`Q>;HtQF3r_u-pZ6}Zwj zV;vC3wOK4EUTjHwBHpz=?yFCYBslZl^EIT_`y;8`YtU0_b<8WbR;PA&;3H3j>*c7} z))L&}K`pprm!feTT2=jBhZ`$$+b+B98AFbGQJ5Ze)URy{Y+l=x7+%vfAxhuVpQ<0U zdh5ImMktC_bfX=2#JT>Tlb_HaBmU;`yR^{CmbKN*Z8=eALn3;I#Cn?g=~EZVJjLN4U$>{XfC80Nopa;D$-t}DEQ&*esiRclGeFdTBHMoXvZYzk!yAB?f zLCyu#L!H!3y$KDT+T4hCg%;D{N4@=}E3S@3wHKGjg7Hg?TF#_LFq4KSaa`hfme?zE zRgcV7O6F3?Tx!Z3Rx;-`eVgcfEzDO&H(wYyX_48&A8vsj)E>y|>Y~m3G>8>z3^rQeW$shA}GY5}c#n zpPrW(IW6B&_ABg)X)&LF(0S+SqcGAI4Av0M7sg$kdL&dn2l;E`vNPWGV7#I(5iKuD zr?h*p@6*sx#&|EC)G?@5hDz(S=y+>Y`t9?gbZkcmSS?yuw|7T+B*!`Gy$~aRu%mt0 zyPm;G?TLfSJ3`QUMcj{3VGXnvwwr6(-#;JQk^wtD+%hq*Fp^fE9?odUK%cm#TD}v& zsE__ISbms>o?-G|w6$`J8<8T*we4EO)v#xWK%}wF*l$^X`h)e~g_QHZME+}}m94OF zcZ%+p31u&}9M?XuPTw7!=nWeCUpP@5)G|uLd3EP5JJnyjxoBCDb55HZJA_e;ec5&1 zqB;JII^&?0>b*gZczLg@$OwCHNUQy_#qT%5Z#Ad(8`s{{8Fmb+prw3G8k$iWGb(MGnCCFz@{-K4r51KQ3a_K$v51LagtZ%Y6(9!(DURNj-mX+ID z+#_$@!D|u5I{W9BIN9zTC;pQkd9TLy&?)iiru1bT0jkdDoJL*Ut)jqNG+o-`uWhK;!|CCATwU zC9q7UoP^T7&VzEg9MQb^otE!*#JlFkld&rBH_Ad>od(50-S=Wt-XKTL@F$et(y|Y8 zHLpibdcIr5wcS4SFW34@ai+m<652Wbxk_`k>+++Ppls;(=uzW5nEx*nsq8HIV?{N2bP^4NktjnI!C)KmmSk)xjK`AMkn*^ z{|Uiqv&?a)5+$4C4CBpSWBXdY4c^+kh&igqy9OPZ(BxmX5m&Dhx40VI^?``HHZ?3G zGN{&nYEGo>ePh@htCz#OIngWXm)E8$ix^)r;u$RVgjRmGGc`6x1f~5i5%~ztZY|=iRguT z)U2ELxtxezR?u-aY-p@=N7ht(wtFJ0HqWa&qc$OOM!i_xT=6DBay2h)l~n z-Tz|C&K+h?=!p6wlk0t$`##t~jUx8|)wQ4y)Z>g}{}Lu1Ph>}f<#taWtC(74*1s1}(}*X*Cu_}~s5s~AtI z*z=p{XFGkda&eoOh%*r<|E1szh+z$*>tIo5MZ+k}e@Ejw5$hYQS3E~9!yHr`7p0fA zmrWjCUlbYEAPX|EGLCo6Ib2pdv`(AnnSE|2_k6fMncbf7t~U6rj$AeK%|ncHckR{Z zvoW`U+L5Q$mxo1mrw{R`YqD%CCCX|$cr_!+Ct4D#p7&t40Mw2Y;1S)z9Ujrid5Uuu z=O-PfJ+3nzE-esZ56+8l+Oa+x{On71>~P)A>r-A=b1ZbDjMKL05m&vtwqve{6zmeR z!;0Px>>hJHMqNw5%QCpel+KB58fF94tAL{|SV6NksK2*e8=TaEE9?Sa#H(6P9>mo^ z<<$|r(}lH*=oAUzcOozP+1%zi(*HTfir9Ys5HuJvuIcC;tfP46xW zu9+l9b?p$bQ3u?03H7sb9`K1GQXLI5yNg6rQAcm}g@mwAKl81k@K)S8erxW_v*gGF zxc|1wuh-mKt+nI6dG3!pum%=$z_(JEhYx!pm0}uxePWyX#WMp{!Y4BD>qdR6ghx!q zuZBk!s)9nE2;2;u*|JIoWR(sMZ9L9uoD%>~!dZ(vWH35bU8OCr`p)y6XJqu~s<$d1 zta>PFpKfxhC64rofSG=bbUf0Lcur#} zPUiQZ4Zp)#Bpfn9+$+p8QxwN9ao<+8R!b0eexLI0yy=|0qPn=ej4cicaCoc3U0LXxKjKmL=|&u+SxR}c)@oSJCVhUUo_iCen5$uR$^B85NOw9WJEz8zd3BFJt`f}toF)7=o&jFqF;6Yg) zew>?tFGczu(ji2`!i`LE)S8KyYo!yBZUmijJnS^6AR$<9`|zUvnz%UME}!_PE#g=! zrB8X-^~x~89=%l-Z1dUa_Mhu}3 z8@5whuboo=(^h0Whm?G8A!WPlvtSlw*Dp)}N0s{RP3`jR`q*ia#b>8%M;^94KlQs^ zU)#P$#ogQ9@H`*?56zsF>&vXvpQDnri6z5HVCnMdCw3bL5RXBrQAsDZ7 zkrKWOsVxUk(KPWiSPX)q9dz4o#Ows@L>fa%dnYCd@isajREtui85W-KSitx%CgW!a(g;$@?L*4A%1brti)@d* zl;aUpzrA?sv5$4gXyV2?+ukPVA?^^;Q5F;HU5OxAiu8V@EWaIT5NQl4{W&DvgeN0q z{lZ8oe?L+*n)n3iETokg=6KYOGzd6`lT**lE5160I@-)MNxeCg7w)=L2@`tC3gSFWB5*V-4iccTG0@MwKTN+fJKJ z7q01KJNCyOwl(hU$h(?}o`{t#3qh=#XeC_&q%vuRa4jLj)X_Fu)f#se@`~*wn=Mhe zP9($mXWDGj*0_&HUW|ukO|^#QQBCDd$*+h9wGEQ9vW8W>U_DNuC8QZ|Gzu&~{3b4voS*oW4S)Y9UC=e6( z;77j6tX3!z11>;snUIlq2}S<$5k#~?cVZVg-!>sHiu)(|DuUKbuOf6fy~q zb^>`Apm`9v5>WCwOhWSTS-{F^DG`AsKl8BVJy3C>DLEN)aT_E{CbXo!PF1;*RY;Z0 z=P7*J0&v}rQv)u2$>RA!7RVs-RbWg%XUS3_LkgY=IOA*(WT8@W9^p?QD2o()4PcS^ zFc5x(LFOi8Ldc+gxe!W-sqE$W&600o$d><ySyu<-+}v8h^x@N&k;wf!V2)IF@UY{geSap5+eERJLrM@V`uS$EW<239lgW|AXxByx*&f*TzbhE(SQsxI(4_ zrMemG4OG5V>i;a|Kv_V^7gck~e7S}KA&J~<?7`d|mmS-H)ZS-La?oSu5bpRp?9u0*KrFkOK5r4S{kxju z4zkBy#;@~6GA@9T`tJ7#bHsOP^2#Gf-AmZ~E69SL;=Z2FikgrVj(QSTeh6a1wYeD0I-3hMZj%s(i>OcjN)Qc4a0yrvDnI(Z*d zX9TH#q-;Ur(60#sb1X5($X6BSCSvB9<-Vmb>xtP)%sAPhF!unH#Tu64tP-F!NRAcR zjmZ1UfeajtpJP#G&IQN_^n_eFP4{%tM|n~FOoO6~A}XCGzfwv|gTP>`U&u4%L4}zE z%mAmnTwV-p{>4xvuaNWrqAmXrE3{lP9<@S442)6oN_irZAo*{=S->&UB{B|^wnE;C z#G&&Ig4AWmhS2iAR?Tq=bQQ8^KaJCkvO^cc*=yt*;JOerFWv!w5Y-m3xi(p>a;+?$ zgZvus6i-Fw&&cFZNrtNNbjiu3gptZ&U;_XIWA@`n{SN{ecnm-LaSpnZKU9%-I(U>a3L0UM zM5f`_xLitlqk?i`s9Xh{YD6j3Kwfbo&=qVbA-=;!o+f^vl)1KZV|M%$guW~Ky&(U` z5%d4yB3JJnA$4@`$TC|qw6 z@^eN*(7OH$elY@M(+VBJO>{CECxJhjy{yz# zge9_*#U!hqp|UAUF1c99FUTc)DNrNNa7gmVM9G-9{Bh6i?bm z)DTzsjI`$o1TqE+aVAf%p$Y#~KQ^&3_8FaY_KYm8~ zMaTrML&gJg;1*;!-UjYLhJ$L(<;bA7(GL{n_TERp%T#i`4(yN2ypK#JNP*9hnZnGs z$Xtv}&Q@eZ?nY#v#Q7SF`w^%%02tx$OM5@YIRGQv2$9!pp}w zLYbgQ+Du0*kk>+~oCvn$DQvR^Dy!Og0ZC>%-_5K@H0r@Okd93HC#AQVQL>8CDoVEY zMv2Or(%Z}^xf2Fp@;00G&yYz~D^xS6Q&s80q427B;b5U@=Qtpxt2_*#rr^JbI$M+U zEH_QxrQ*G?z964fINNM$Fopg~&U3VDA*HYVBvM#H`nd`&0I9H>lIJP@O@yywt$wBG z=OJ6@B!82}KzsnZrG=cMx1BfGhDL!I3lk{%CspLPAmzRcnBAiUXCY@#DfMf?{4eZ6iQEuGs&L)_Lg+bUf;d3V&^{#bB)295!8rb(SaJek~a#@%of5e3hB zoDZ>x;rNkf8JP%gr6KnoP(mnhAv{soL3gY)u7zcXVP4@yAj<0u2HE-8bSPA@alHzP zzW^>83^h-K=QZ-JG4dhq9|IiT-XB!A8A)q%7Ccd(BVvmcZFGbkGQ7hC@IUu|G3JDp7*`r|||flx_k}U;Amq zzY&?Q>6LZJ{Sa3{TLESjv{jU{IaJUt1P1Fc7I+*? zK=^10>s7TrRnfE5rTi5|#qbUobT@%3pI1SP6+7zx2^n?I5QHRxk(_GMWAK)7(ksWF z2}h4i8!=Fd@_L$h18#i|k(?^Agq9pocn4+`Op@c-$<<_%u7!xH!M_U4{A_5P%28l zMCMJHHoS+HPg{s$ArraeQrDrs!?g%_c<;%N{a?8nnLIx+h@pi@$v#}<1ufL79#lms zvT8bqu5S?(aT6?HhMuJ6VTxbQGDnaRYW8>r(jj&EHBw2-lZUFM#3)7m2Go4o@~#JH6=N1yoP0Hcs+BOJ(Ny*MXg4q!lfpG+bTa1(( zo1E3=Fe{ za(`v&KATdUrA^%mWKo!$S=cB!8-}>Qpx9{UcA8?0-CqxgBB>RCv&Yd=M;e?}xO;}dFaN*MNOD>+Y;F6(B#3^Iu&YUo_Zp6%qb7#-2K9jVI z7M^v^xr>L+Uv|!t1!B^a*}?HMgCmBH7%{X`$S9{yP3%$SmB15n3JUuana9~c*1`Vu zpRO=^_g(1I{(Cb0_iL=3@Zd9w#p=w4IYFbq7m`J&RwpiDPYLIt|xY z7%3OY2SAZu;m57kX-f#>b~*!1zAQ~L_oY3m%e}0wygZ92`?4A=J*sQIEEUfkXaNhK zsMNix6yW-IEL0>dgzDti@xzWd zXb=tM-Tq5xEUy;w)vEhFW^V&-ptAh~vOd8wJ^{Zf;~&VdjI{5NQ9ksl_)Go@tm^pz zXnjX%#mErk?Ug-%f*jz}(~;p#g;C55KxPIqIb5qPL8gEzd=QTUfe87M3dnVxEq6OJ z)buB2sQZ0n0vmu)TJ{3+(YQ?cAxir?v2Y@s2s^bx)hptE5gS9DHMX_J9s zU3`tmJdMn|iZ&f9DoZL9v7{Id!%=WrG7!qyg>pF~W2M;-KvKsEh#)LjF`KJCu~PMk zGk`3*0*(VWd-Vpw2`0=Kd>L@D+V8wZU5$MYxC9$W*DCI;OsoS`yIiN*a0RGP^%Z_n z%~iHpT}+K?JVaQVJ&SBO${;VNJ8YAVRwmU0X_|DjD&%g$s=FSoy2U>L(}dBAJAfOX zrh&&Q11kut4n0OmpA6VE@i@~&wV?S79<4yRFi-d3>KCiCy>bRUyVUM!Rwb0ff7WEU zcRH3X_G|Ewd0d8qCjM&30g1{fZjLbw%3|3PcuJ$A! zXyL;b?979ui=tQc7Gh$3EMWalvG_ZNn?|qlissL(VzKw;8As16B zKLHp_{~VqwGo}I?19g&hjJCu$RfSFUajWCxE zuL6rDAgVl+*`X@84LOYDyjmVPT)qu;##Lq=M#;T|@XD%L3GrMC{4ToSeQO`?Wn7eU zmJFhz_Avr;{C}Dy`_F{^XUX0fly@$y`xb_D7?(o3wYlbGjWl)N+1WdHI;X${ZJwg_ zyBqB^CruKZcTh6+27X=F66XC2ZTClnxu$cP_Y8Ltz7s$0ChFAiAi4^%BDAyqW93gJU?76DU%k_pnm;o7AoBEznubV)ACl z>&be1Kh8|qLfhK*{)zS4+25@5S-z^*PF1gUC{GML$TF;hZFn8Hf*vT7({Rh?Rhl!cx0PF2 zk)@cq%x0dZn)!WJBvM9F@rN(}J0bo)G}7hTd6c?rY!Fa24w<%`7r>!;X97>h@KihYOilxDzs^I%~C$z_@>?Twt5 zQJh@mfDKnucd3euFM-S{ps{5NcH{t_!k8;pu%9s3vDmO+7bpj;{BkvT&V@2m89M?B z^&6lf7HYWZTF&rTCfvsYPnAzv_A#l0BAJV^KTBD2DwUrPT#;INoKDu?5xk$lFpt!K z5*$EHXAsm;X3=_5&m@=yu*a|?4%4vOUc*vR6m9KmShmck{NVnc%a--Tj_;54%PxB9 z)c)AT&}ug6sp?{MFij|y7cycOnL{fO>R_WhM)nh^XOUvB2fMGCRa&B8ITP`4DurL@ zUrq_fZ~LI8L2@)hVT_}nQ!j)*c^f80vxjfP(XnWMZq)KyKU>%Qf z<$MDDxaua1uXl+Y<@Rw({tm+PXk?Xw|3G*ILu9;yw*iJqAs7-76izqy@%*nXRDaK} zrh*%}$TkmFDqB85&u{!Gme(`#ss7kfc_JO~wnK$we=UEf2mjTdKU?mi?s$K!UoNDU zfU}<+wOB!<)X>0yld<(KYKT6a#D*K*pLIzO>u|-o&tzT2vTFOYa)Zp&TB}$yIr#Y1 z_Jf?~lw2S3!CX4xRj>|rvbcGQ6$5Ct+8vS0osvv^a+iz&*+#DQPDu=(<2@Q$WgZ-s zay)HV@4OSGoDAfEPeFYQl`4xNBbh_#T;a)Aosyz_+?GnGQ~GpP|5YdF-ldQ(JJKUG zNE+1K-9NlOV~40GE!0F&j#33tCI@B@ z4~xI8w^JNsaX}!5@R0}OBk<>99IoiwPvk>}ic8jq*Lze}*i&EG#%ci0 zoMHd2JUE?$ykFkH$jak7&pha^>&Uv2VU(vhjofqth4J?uHAd)k6W$NFpNmk9fINz}-Po%t3)_B@3tLmwjY`$gK$@yd z_!PiDRh2F0(E8S1Rjg*VypY(A{@8$QVhz6S#YR}{jVQLSTD6mzEq@2JOm_EkU$Lwu zw$%Nzj?8YH@)6xo>ZThuK(kK`WMvALGsGado{m4Uzwj2CPyvFuRG6f=Kcw_7R-x9E zo`nz+f|I|>aQ=qALkJex=$7m@_Q4HQc_sAuZX@+iZU=3_eG5C!zf&BwZiC75Wb*q`6*!l-^}Rx@#n% zZWA(GkK%G#KVU)**WU>JXhKfcW7K)jgj}wa}SfXZuK9O*UWJA6RVb9md57S-pdqkakA_)l= zBc5TVoW}yCdmzC?3)I|~u*@V2)ZHP1*%oNHD+prMrVMnrc^6L)SfJDWJnNEcfiCwO z1oJG=?cPc=@|8(iVS@W@f&~_}*!?!m2w7l>`$mF=7C6XVMrL!HOe-vPA5XB@q7HVy zL^Db(u+04|Wd~Vcxx0nTgDr4~yMoj*3#@QYqwEk194Zo7wK%-y-G)rANce)47%E#4 zH%UnlmEFBd*y-r-GIV2SI?2q~vQ1ADkP!H1xl{pNW z%D6DA;AV*iC3twe$M&_f{Wu3UfYj`-sf|NlCRTpB+78Z|z`7bLzZtyQD+#-014Oj7 zVP#S(RG)_t12s-tDvsec2sQ%NNq0QQ-H_kw6+Y(L*DKu2Ty5f{RdcFR=CbB0!}_XH z=)-3%?$IWVC`F?)KQd{?Qc;y-l8?8Q(Zx#HBw&;P*R6b)1?HgWo>~kvQI4g;{bRl< z($5g3gzh$DiB3?lwdlN{3jgSR}-TyFv2In=`@4=GsAQib=lnrfnSc^P$_ z*E=d*$;<7=u^)h`*reO%} zKm*HcQ<{(1n&}-e@Fh|Pas)LiH1HoRDad)(LYzX5!n2{qM5t~NRQG<220VWbLIFo% z5mdXc4m{J(zH8&SujZH}B|EH*;s19jZ-c1+;w2HY`m{EGVcD6#`9B#uo5*OISLZ3j8dGjFMI zOtG!tX0@Z1^4xWHpnm3doTJ+0Wn-GWMQR=AuaxQ3Hra?q=U>QK1J;b#zmGA^L0LM(e5?EwI+*mj(WgP`xfdP-Nz z;&R~3;ts3grklkbrYUlUSzMe-?f_#NiW6$-fdPv*Hsx6JemJ%B&F(EM;UG%LQ1u(D zeET7g8LEO~m26olZb40A!2pJq(4S)qEG7*SO78HjKiLC}GE7%n{0%M@?e@ z!x-KH=0ooE3Pt$}`z0VrK8SAeZ&$?ID6gXUL#6L6!0xlb%}8|LjEst6zq-#XJ({E@ zzVQATwFSUrN_#a{B~I!4Ea`;TkTK&p5LM2*7Ay{ZGA>ec#t>1ds_)QifyuQmTNN&^ z=dzVSJAgR6AjjQN9rwQnsKFQyoN>6BiV(Jjo4FWTINZcQu#bCj7xaI~aAOT84)uhb zJVDZpJ8`C!!53e_VCN@*_%4A91H=fIT4&<=tO(V@?HapA3vonD6s&2iS-oA>U zx+}5T1?q1Js)y}Yvu(BlOo~%>4VgbBsDg4Wi@_ImMKU+3SHKS5Np|7+9CsBeiRW`z z%Ml_u1LTK6{#20_suZYgK<&0r!-aE%#bpXvqgaZ1{yR}UZ79vB%2+9WRbdcHmLg($mOLQSvrq=#;&^8sD-|&QFoy zdui}x=4B$Ss-Y<4uFA?_T2U%RRyy4qfsv`T44)F(>(FPQr=^Y|Vi2q6(5C^BCLcl5 z>1|R4Q#uLi2RHbiCE-Ph4;y{U+}l#J4NWROa|cEG8&dL_D^pD6SQOgkcfe*+S(Z#E z<7?(<$C}v+c(=s3OiKDMoudOrz7JTC`7j|ixmQv0&!(hbzCzktYJ`?%fo#!qRUrPR z0EYvzSi`}$kmYa?bWpQ-e1lv5|DO&AcfbxU_BejkYS~(HY$Hqu*zcHkAn!8%hTqyxQdS2;&$MujvG9qTCJ=mM83M{Cdep=n%3KP2znJ zOy=_ANA{T?!BB(tN#;`!vyk}{Dw3iGxa7$eN zrQo{`xF)D$*M&NaCnEV~;P`A_j){|#MDlu|ZU<_FLQR*)uxQ}#u~<*ESWgtm4_d7A z`mzH5M~gLVvDS*@r!3ayzO2AMZ?WEGvhrq2@+%f^Yad=kc-vy;oA;~%YVZ39I7xd` zFb~jHVsT-+gx;=}lCIu}(_aDjSoEE=8FY8G>L#OsOj9@gO!!>D=~xuiDe85AGR}r< zi&AgR3GDy!0!f|x=*)xEdkgetD!mshMCq>pm#Ne$a0kGo$HBW8t>N2-jB0ln3|nb2 zS_d6}4efeYN^0e2Yd9}G%gzpi%ms&gC>XQVK!Z;WK$&@v5f8gie6FS}?@KvC6^rrY zfm(HxQbj-w9P$#AnPu_wIHnp7B3M)^HN^5mIiyU&Iw5`Wxp*IUtx|Lx75U{(mip+= zOT85AX{Bs~M-}^NCOZc=xy@v!W`2H$+8-c0uXaGB2d^;MHH<*=Lro20WaU?S$j*09 zP4*8J`(0p9UkpEetiY`RbJSaspQsI?FQ_e54Z(7aE~$mz`8j$Rw4a9o&s4QWXUQi6 znWm=pH9Bdl2sg5pYt)9&9|5bPZdL66BpBwKUyHz;Q;B^an6X~5VooOj| zZQAMm!;1qy(zk-9cOgDE5DakJjoePqB^wAVg zSNzukY1Sa!XmsLLNW!WH>1y`>J7A?gOR<*?H*1h>um;lzp#}lPIv-FDpN*4w3cd_* z@|aXyL!kktLN}uq<4DFF;4Xu>1*5vGbe4RdvUm1wZLzu=A!piqr{cO1N|il#EAUZ( zkZfZxJkwwDbx7u)N|nzjRy+f<%Yp-2497mwJsq0z~9o!qbZJCSo~ud z!O5tH?pLo%N*U~eHa%cN*AvRIp-qHxZRkqMytBsvL%-Er)@Z_5hNl_zb+zDgdiC{>lAjj>`UaCjxHx3$x0Ap(>eJB{ z`W>4UOVvJX)>COnU3G!l&@2}#OLXXsdmLm~6sdz;cC%bSrm=ePz9HqM1 zT6QI`e1lH|J|Go}td+Q`C416~;I|JVxP(b2LjHx66d9!C37Vt?zr6MU6ylX*yOb(5 zd;A&5#BsE2yn+t`MyWB{VNHybZO6$%JaQL#|E@`5VixuZDD~Bv1iCb3q%xp`N}kXp z+4zaKu|P|H4JS1X5En;j>S_qKen(p`)+E{Z6}Y)%O~7QH%Kd;otm)E6t$&r|;&wSwXv8T$r~)ckWtBIQ&mop&CpR%ii&5-fX!Do&t4YA|V{^+#0*iq)= z6RGz&;Ie+jVooG@BS8Nw@X~ny1<26N{s=OxIF?JlXH7L-Qeg}yJ)9$NB^Dpyp$=j> zBRn|@xGg9yQB9i(YW?vZkbVtR+97h}DYRsf8Nd|c$M|+o(7@1T3NBG=9@kM2tO1oh z!9NEXYVa>cMzvusClMK9@4~dg?^EY;B9TKZ4F9r6E+-S2V`2Dh>Re7JGS9;B3)Q)t zRAjz|;Ww;vIcvyV3&Ss1t7S~ofu+b}C=QP0R3Zo70u0LL_v9U62nxDNk z(VS-FfCnH5Zhjd%_f9evykj!*o7X1eG4yKTzf3egPwh6o2ar!M=W*yX(9X&Rx5(xE zBm-H%Kr6rd?$`(3++lQZMTLpxXS^M}hRXG^#IgrW8~7JbaygsHatp)1cauAp#SgVG z{0l3&d?H;AvoK!~Gmx0d-e@B^0Yj&6Alkqaad z>T8xjCdf%dW?{eumfyBCM{F;#6`CaG2MhZ!>X=ACzJZ+Zvd&NAOkz8`MY6dR`ZF+C zIgvRU+aw!~BzS8r7t${9zz{>4Y=Xy)Aq*kSk4@sR|i=H0C=eWWVL? z;Wk2$PMeL=lI7jx8Yd++M{zcQRW9$*K3-|R5Xcmzy;^C%ov_kBLFwNDIJG9r(w{7M zQR&4}QX#*)`WEQ&^B$!OmC|p3Ob^eR$enM}GLNy9E>cQI68?;u%sVYLfK%5&n^lP* z?9Y%ntn+0`fF9hb%ph2hItm%q zJ@X7?@X{GjH`=JXh)M@4^DX8IkP}(fWsw?+Wi~Zm!-<+56tgrhedK`kz+f);2BWfL zDe{4(G&%ndCYpb8$V6X9^uU)*H2?aLLg#Q&Gmia$XlY_Nml#z$O$@z|D-Xg=Sj;ji zl-X)(#3efCb>n2^9ei1X%L8Ms4RQHs%r_yy_dzxBBGs7V1s|yAc%jxXsyc{1Ji7b; zPXg$MKif}LBa&OcZX;U5ys&9zFmNO}5suW=tz4~8nioqqnl#NdhO}RskZxWc-E_H< zGhE9_Q}<`Erz?yjhz|Yc3DD2Wqjr|_zi@fPs~?V($q0;JygVYIccjcvQ13{|Vyuz! zV`{=kSqqk57%7Q1N6IP4*&}5!@`-X9Y9bft`0^4`x54kxd8gthNq)zWze-B>T7Gx= z$72Bh0i@(}v4a&=v6(X(AohP&Drh<&%yW!)ypgO_Qji~O<_NkAvcCBw(W%#gIaLjk zvvn5!5QUTEcDz<1-_<0A_*Lg^K+7x8om8pst4pj-ie5s)KTvQSFs=BTf{Km>^-#&X{vIeg+YAwSVr&S!wrcA|7v6~pmL;Jgf-^wWs24%R0_ z4yCcz>ar6&G&T=4KLUA$Fbio`mCGN4LSG{H4XW}J0H=AtZ58qr3!zt%g6paFb)2@}%m%zMqQiLlp9n!)=@jN?no6mV9~Un;9ynflq$*oxE3xrF=6+A^lNBsynQlJCU?4VvYR1SM zMO^|o?E-MC7|B!D47gX#=4T1~MEM_B%3+i;K$UVjX@3E3fSOF_68xN?Zvgnt;Bc~! zU=u;LiaGiOfY;HYv&eiV!2Cz)qjQq57wFLWH;M+RP&k(+E(U!dyFn*Jc2O*xWOt! z?PJvUHam#ss)AoW!>Zylvhyn07?TW_+8ACS8^@Un3VCg8jIHQH=4G*QJjW#|gSE-2?r>}!=6O^|{C~tez zC^eG#Yfr#hqzQa-8<>RG4BuOkmomxVXAqLfmcp9;5vR|*%J!O9+0QCIJfn#LR9$o6 zqZnkD)^ki%7*?$2JUVE`=DNcF)_9bs7oj}WgmUf(WPh;<9rG0hk8yLkrd`(sP%TKc zW<{|Uq}mN8WG+ax8%@YukZQj+A#*{h-L!xjv4hS9skYjrSqoC_X7&N6p{*H&^3;M< zyT#-%_v^J=O=)YtUR%d|#7?ia{#^3&(p}qNa+v$|+U+FR3sUWl3l+o#>CGs}ZLk=% zAl2?1fh=lx&u@`pL8@)E%jKoJb}!N9g7g98%mt}--*V&=$@l^?-dmAL6-gYR^+E3f zgUhr%1qcM*CQxiUZMIU8lpX}z7=FqXY_F4TJJ}w@PYQ-Jr47@_Dnx?5vj7;d9fC}9 zoNR0ee)?LmNs;s=B2nMVWi!}zk&Rz-R)zB61zX!&a5`R6GTC;L?Ig0X&}(cqU8Gb{ z8#b>^zSqddwUOfEH>s^sQ>Ky6Bil^Ar^$C0@+q8w^i-^Fl{t?SudJr4zOm&KL=xvA z{gxvp+eHOn`viGqHNQBnikTsjI2q}Sih)7pE+w0ee9|)L)wi$>f#%}onqG6x#Z_0tqAlnX5 zxl>b|oSvvB=O)i~;^RruhqZ)OBoY%(01_fuLQfu(cpUyLG(y?JV^VY+C5Ukjwa9!m z(lu0$^P1eLc!;aY`I~C51e1G!7@khdoJ4-#AmN5p5~I>^P&}hdaYtSHIPX|5Dm_%# zDh4S;Uju(?TCX)mUn}u)NL@P1br!(JVKmGt29+4+)jY7ML|NZg`7hW0-xXoi-YOR6 zqmUFhjTv{Q7=)@Mm}PjoptMcpR@ z&bDMwcN*mH1wOWZN>;tZDW;#rln*1whh3{pUK&6oizY`YlfWdM0aygQFbB# z)iUgJfl=sPL54guXSvFtkRd$| zK}(HQ9;|SvZa&nc4D!~ckNVl5^2`0cZ;brHsMj}KT>ZnvZ95~uc814{yF@!Uyd?+@ zbZ|SW>e9`)>eI7(`?ZQI^lQ`ktb)m$+8Z3`(7j!!UzbMEFzgU2ws^mxBhJ&i{a>&Dv?u4j z&-Z)I`JVmUGc$J*X|RLMh&oNm>S&srK24CY@vYk?J&}CuJA``it)DA)h6t z%Z7y|Xi9b;4mqdiX93J)bFjvoj`QH9VlM8guER=ngGa6-!4|4m6$1Xe%S z8dRp~v}Wc+yJF@{R1?-gGdM7FQkZsBU&KO(X$#Fa$@D7L)nw7#rX(7Ds++r6t<0pF zu46k*IZf8AJ2r4iBjc}C^OZX&{Og^VxYY7+qMmJMnQ3;mn8TC;CRk>L3D(oCGMq8M zbriikGf|twkQs_$k)N8GBc?tu&$?!-N>>FkeK^}Y#Bvg~&*bL;ooxhhenL8DBBo)P zt|!%#+zK>fM(wC8hy5qZsJ41up zKzD{VV`XBZ3Dj^HaRxuZ+o)!L;mn(pMbE>?ceZ_Mh0r^Ibk`C&Oyu4|hO;`&lHqjH z8EyjJ=AY?SxD00kCmtm+9TWq`o%GQKXPa)#SaZZMcSa3oVrPMI26Lu6XPCjR2My*7 zHE7sz&6X%)hErn5s9xyl+}VjP%R!MNO?M^*lheE?W+xR{_y74L=$eA!6Xec4j%t4q z>m<>fT&O(r!$MObSgBx`7_GVD{tE5P^~qU1Ie3p=pWOUak-5S+E0o^l>hbo$E0lYq zcl2G_$Q!C-+(>zElKfjL@7^<24z|SYm6{C4n|Wt~nRg~87J0XEIiQ?65_ebFbh)i& z`n_qh<6Cr0r#G?1)}33{X^G~;h)T2;JDFKsoFRJ9IGQrtVkSDEF;y-*=z`d=$@_7}#$JskMPx5xAM%YP-HWoNmb)oA#*xD@ z`ieBkZBkk$Zu41{DY}+8Q8-5qPKAw=Dfm`*-p@Jb9ZX|_(^SrUH!(2=)5Mf?f>|3T ziP)$;bBib2JFzu6y7KY!VrJwr^JxN+n!l&GrAQ=XrlX_hU(>$W$-Cu4nr6~N7 z1s2KZ=18=y8}u*l>E(=i)H#;C*&XM-+4aaVpANlcGtTIo4^a$!=5m zXVWQSSfHW&M_8tz6642d!bAm|6it^&)UuS|#6;TQTk%hH2a0$2CB_t|aM;JwE@!dE z!#a%vWGeR~-;YhJ{xdFF;$jcRtW5vJk9ZpQ7P^K=JI z>|LA=#x9?@1yJh$%20RCPGz_9FZgCYO_i0*#Vs*)%a)>n;&xI^+%l$UI(70QwrY&Z z9+_B96Po~&g=rZxyE_d#InmRmh;E#i;89a*Oqgz#E*4^SCzv?HGpZ&lGuJy^cZSJ| z=FZgSa$WOuLN_mT!4K$PN1wi${xjF~pA6kg|JCzW&jkM=THS3jQyZ)}9wnG1$ux)# z|A4;WY0wF#d=pLicrxouPI?HP;AZjvYVXEm>KqXBOm*Bmj4IEJD*7!O{unEiY;(_C z%=ZYK8v+gu|6O9DJ5AB;?w?WJgi$%ZMbmkQz?rj6uXU%U8K&xInyMH34?UfEb|9Rq zW_z>K>);$cHO-an^l#cueyDk9#Fi4>l6UQBAYFfnH*ZXbHN)DxCE&~;o~g#y*qm&{ zJ)YTKI59nEUEW*IbhrMHyT7@-OXqYst;`T}m@~yV&3g1byP45UMxsM| zHjX|^%)33#1ecK*k&Kxs?r4$j$Zs$>U0RRe53}U`%iAQkW)lNJPetO+gZ1z)XY@gR zaug#tL8K;Xk!F~8SDiW@@0}GBy1E7@nngEF_u>=G*qvm??&J?1eINGi*$=T27*kS5 z8h6I7#4Wxo3cHUfffJ7IsOK8~EF(V4#D_};1AkAOh$~)OaDnD!J9_(i+WH#1LaiO0 z@&3?)JxiCIw4-@%FTS(izoWOmr#aNz7jGQs>Dy5ljd=q)x_W#126nV{4>mOS?`Z7n z+Oar#YP4`iQ%CoXXf&_0qbaYgxj7Gl-0q(4cy7G0sUuIRzL=uI(zBzhv71C21uV+^bM z2K)(HuOC;E|EHS9G=Np!RaiUF*f%gjfl%!&@m5`@<$EhSnsBKK-P$oQ5btYfZf(;c ztnjlSv0uO!A@R_#02sA^hwm!f|F_zvd9SEx)!}55Bt`Ios##;^0(Q$soS zUoME|FU$(t9}R?X9m)!4&CSX?IXg2eqcEJibzx+YJ)sDH-nF9kr5A=GIrg4V7%#+l z9Y0lt_OZWZwJQJf=dV-#kAtnr(PaDb3!?V*Nc7bULdyTc1);EgZ6IpD2EjFfBKyzq zug3E-UV*}JplECMocy_2>+LU`AF_WO48e~&e#riLFy$)yGZ6e7f+sIn7tRdlWroA{ z|6EWM{0Iv56h7q>#D`b$_d}22|5F3bod|I;@?2Ql|Xy0fvrU5i9t!0&CYu1yTmS5RU0Pwl`|Gb;JJ?Dn%ZezX&nUukjMW(DkFwiu*@2w(r`dCh?DisiX2@=f z+9!r$vBJ5_?X+mw?6P*dG-^L;MKdBRGa{7>F~-9|d_InI#CweY>!iRsds-;p-W8fV zHy3fyo9txvEj#(_l1li2k`Mkw|f7UcP-W2_3{5 zaFn;(tc;3o*`?SDjoi4?&&h z+K*w_Ot{J(2-!2Ec41Mzow{x(DI*F!REymgveSy}-O-3Woues$k&|Cz?RGBlgQ>?6ae0 zI_7ir>^so6CWqRWRFMHIN$!U70L_SvqO2R`~QRUhPC5(^U(wC=;gnBZ*6b$Q3H@x z@RwLIZ3gi4cPw=F#Ly~xT9G|4aCXNkz!JBVv3#!5`Hj?(Y z?Leq<`L>Kmq@&87d*GB(yCu3y(Jp&xtEaUN*lKo|$2B{M&P;C^R@ggMq79b>$LOx3 zZ2d|waH738bP8PrT~PJa#VTGKJ800xi6lI|$U|!>27d?oKGNa7%yf_&k^-S@JF_T~ z5!oKw9w}RyYqGhO#=o2-szhb{{!t;vLnd6}fBetk5+Dd=+k}%(Az%;;V^?a^IF5NMD~B z&aVv5$_g)yXpSOy#!kNXT#rCHzTxb8mDq0@S^_cj;SYPTt80#z5@BIPqGm0$c zCsKR?cLxLWwr3|LqesXqoM?}K1L!`?JZF|Hxx`2g}x`n zXVxSBOrJwQai+(xBPoID`H|8xPkla;8kowxQf9b4$a_IEtX^Wc$H)iA1?+Jl?C+LT zE|~3X%L|rcRok}No>*^ptvkoY^QdBZ_UTc(IOK_X*?6D1xB3IV;t%-Y_`r-j&v5)b zj*C6z8TJRwnW`oRmbW3VxV_3V%&tofWa(P( zZnujM*sG$IB@ugfkv+cNqxXx6NUI>fEjKThJ6ca4xFs!+z7g|c<@&13J)Qyj^)%Qo z=g4Q%0^wLjW>#d;^4yB$B?TFg()_%Wv%;Phzw899RB>^o(2zszKTilu-NrFhQROM| zk4FiA4Jk%4Vig^w8Tq+kPrQ)7Pdr}}9{mhfqO9;azGixFC zQXb`NCvzE?5M8{;6a4kbf$V(Vkcd}Sw*X#dyGw@3_u!Pki5=e5KQkpTeZ8qt{w|aK z4JaM*D!l-ut;Sj&h1zdT!4nqcynNZzz>M9duc^%L#HT(|18pkp)#^4Sj_~7HXPWn7 z5jlF9QNiuJ4KLq2@|NGd{d;$OAhuOa0rr%T-5m}4ys06(D;ma~IA5{6V!jIIM2gY3 z^VS!yuPC;gx$Do3SsU65 zwnj>KM@qw)>x(0$hdPSO3gECtZYv0eM9%y57G(YzvvW==oLz_&_XmNWQB8cP6}rgXYa;MbxvMhFimJ(gGDTx_W-*?SQ#qq zA6oTxW>m*w%y1^Q@!L1#Z?96Zx7jCLg*$}xd06*y%?@4F)*X17^%6|l3wGN>fsp+x z+)NK)cb`_jVS8R~cFvsKoXYSH`^R>)9XA76b1TES`K9^#dU-gL*Ttpwr_PJwo^LT` zAWUpw`->Mup&UW+B6*oPv||4%@&dpz5fPeW7xM#m0S`6)c zthRGRA@4{Hgy!Y9SLU0Mr7V7VTmZRL=65V#A3ig`z%zbs8Xt(Dnmu6;A&mOl9eu8o z|E0F<>geu6-|%CgaASV-P!hMv95eh)0<=dU65CqkE(Xf-SA2o|{Qw-C1VZu%tE8f0 zho`*QqR(Oju@9+Zt|0bkvG(G;*tRfhP2V_$APy#%*lqRpg6K&nq4iB7e2_m6&~gwZ zzk;c#Epv(8Q*Y0Xo`mjWH2FY&`y0VPHZ`|#&FB5)3AlSt)p~M&{)Vf^dy(m$g!p`X z`yM+mT_waD)@dI34$5(SkP|D(nVqw|G8~RDCv0dkLhdQtEmXQJ3G;Koob3GV(3zjB zEInN{UIVK1IHo~$fYH#@(=*Zmr8wR2H71`3ZX$u)j;Zw2j96uV`cJ>zRF)#SRGa^;T*B?ap`zGH*U96Q7uLI zo5fn3z*fe$;n~zh$?eO^O7ikH*queFem1PW|2-=4MU2GU0_qTC;rxn=OY^eSGWY~e zhJsedKT(w$jS&uB6H`>j?BZcPkz2xVQyvYS1ryE*pgxOaz`v5kZk`1w!F`H-cF3L)!Z}wY6R{%Lo284H z?S&-lW|o`10H2L)|0RhVYN!4AOl14YF@b5zGsD>?Q1!ffaew2g@!`hy!wA`GPQv*7 zV*9x z+?*PiRuS>+M<2w!glBmDC=~?=_i$>mMXkMsT`{(%9@YOC7f4^MLe{Fj-1JZM<%X8N zUB}g*InDDCqBb6~IeiyvPEXAB$tCMcYV}NwW33AA@*`#F&e@ntO$Xq^L~T6TB)vp; zIyH=`stMOPIc8_K?e z8yW1ba7-D_3XazzK0DO@1wGk4cX!LIA%IP z1p|MC;{$ur0h|K{>`&qteotV@MAIsKq^JE`I}q80l$^T*KD^WZOCS)oryj8T)WPLo zw4lV?L-FaH_9yY&VAvZgE4d~wk{4MVF-FJm#4Vgl1#*hFmsIC9RFqUK!L}~16r~PV z#!Ac?@{?3MfSdi|68GJm*BSOve1|^+MTo>oFvw%Eg5}06J{8kG5)4Et&_=Apy!Mh< zfy(zA>_(P4LHzI=1U>7;dpr93j=MV@rY-sShC={`Y_G^OHu6D(_MdS7?-%e{gZA}F z?)zeV%Ao!Eq`*wwb-L9e`A?08_Kue0HeU_xqQJD0lJy07JQSWBGP9c!y@ch_owoSY zJNxQjAg66U?oYE~93qiC)82e)o&AuM#s-ay51+GN!(^Wt!e(zHAks~uH1YiWIuyL?Rzhb7RHK~ zEWa(!F0RKNH_sXaTucMGSsO8o@LtBaqD(clOIf}>u}BT=9qR7vpQ>@Z8+Y6_&gW~{ z?*{{Fw!v)x4TXWq+vO0~O>7;{;-P5CV!WkLV5bg1w|E=g%+8u?r?;AAj1SzhpGpo) zKcmhjCmXHejoO-mV-NQAFIpEMNpYMRgGAnZP*B2MBEm)Y9cV^y>;@t4o z;^nxNYu~o5eVhHI3tNL3Vgmm&&LrKl!uRmF5Brj_f$X-daGvQbe0-Jta~vommmrR} z=(X^Vn)B4wCY!jBe-!%uptv{-r&Q@FsorVjY}>x8s&r1ay*^~WkM=%rp(+Zm^V{#s zKbW_Lg|KJT=h^A?7uzd}?0xn2Pc2oW>Krndtae^EGjX+2&OOEcGTzhpfwoqiP4lh~ zZz;MOXn;kY`>ngiV&#u5Dm;`I!%yz$y5VE0>}T-C*?I_e&&>)~Y+rmzT7j8z`NS#v z@|3{5^|AcgoaJhMz@1pEU~#PAl#|^XAU;FN{t48eu$9%tcb4X(mF(^i@7VWob7ZC! zJ_^d_vEqbK$?lYC_QB+J_VC{NaAsA>`r_Ck`&)Q}^Nb58ZRt(U@rft zDzMLPU7m$3GNQQ6zY6=+cP@w)?1lvwMKVso$SMvm$twV6;+?dVtSVTOS6UIyjO2!M z3ebNRAF_+rVXPHa*i-5c*_rEbR8UwEjLs}UT-?Iq-3`0}7F9K&MwYb()5&pf`*(GZ zG`_fs^N~LGsn}=PQ;Sr`{{+*w{;5&i+}VHJqnHo6v15Vhm!Y`f+zM=1^SEi96tZX4 z+h+%`u~+x9+m%(Dj<4*Vw|tML0#40r8oFzP)X1C-dFy%i*s;Jsfo6 z(q78=-t|HFWoeU2452ch|GPd-i$)$(KlwO)t zdYN7NF{-afyL+sz1E$IMPWP)co&3tu?w0oO9Z{w)>oNppT)q~=`RplAyDE58+s%aD zE2tm7vB6QBA{`APKL!Ux4Eb*+pAOQdgnta?{(z+lS(hLOAn}#D9cs~`3Es&GCke?-Z|!2a+yB+lAlf4 zAsTVcFm3K{CyrSem)El1q>MI>Ci|dxXF2gM7IT=fhw)@tJj3yjbt4&t!uHK z^6droSN0pz{ziu2qh!p7@%U!LVioV`I-s1d0XY8}YtrW12o;d~>z8r)#=#mT^QLX$ zLS&UHd7@oeKE@5}#) zr}+3BkE%b)d4#XqbmVn~Z9qL9)!&cn6{GAun7*`)@phoTcnHqlG#G7fO1r7Y^X(gO zT`u-4tSicRdae6{x89j2|y_k4VQEe-k*OEg%W}Qm8v0b(gg{7{) z4^zg&Sk{|Khi9*}QR*5Q&tR91BI5yU-6%31zuxMTx#fT?1ewW$=dF4k@Xk%Nm4~g> zPC5t0y5+C?J$RrZ4?`D2m-&!+2r60q@`{l2>@&$>e|k?KK3|LAn4V!?({3^kBujDa zuQ$qg963^%DC2SDfD_M@$)w4X$4P;s`-8NPy6qUFJj;7PrIT2@Xdh)fnd5k8JCk`V zw?L&k^1Ni?L0y&4%5W-{`O`1G)YyXgGmLd(42M@05!6px$RB^mB4_P0yo5xe8 z9{sXgA>*@6Wjy8~>xz6W1}sl(nBPe92O#H(3j3K~o{q4mA?J(N$m?JbCmJK^z31pH z(s~zXFF(>e)^(GxmI%Homnr=08`AFjm=wlyoaneEi&`7$p?L6o{0bNWBquNQk z?}Xm-(4)DXJ>6{~^#`B%xBA=hU8~SwcYjA)cf2LUAbt(XI=yOBs63>;RTV0TSst>{&6`+8U=ue|(^}ymqbC z+S$`+tf$d%g#`@*)|O>EC@-j8xdSIz4g8EYG+W|0!P?u>-;J+4G^lSMS^XXR;{0M4 zGW35VY=`r$wn!UAPD3R=Fmrm<@?66)#ew^WfDkWtSd>Y||m=0O?F z(9k{Dg}Uh&u=d6KdKy@0tFL#U&#LKhBRB7@-P>Q)vldz_QJ&3>ogFP!LwpY+t?eFI z8E>OCr*xk~J7GPQ((AXDm;J5fx^TH_k#UZP+qYH7H_u}oX#+DX-<4;A?xhvzE z_721wkV;$kQWRvxKxa!|LwS3=d1poAAbJr>fN%LVH!!n?#=gGBy)3S3`+>%R!G2jn z4=8i;msm~cq-Dq&(aJk}ns=)D8SGuv*Vog>ipLkp8rXIX1C8usW!;UPJ#DpdQ&^)y z>m3!w*xlCwvuS%jimVfk4-EENai&||gRd8^sBKugp?YmqZLDE&!{)LI_G#EtzO`~y z<+fEd8_1~O!ML?c{T;R0WrgCfc%Y*z-ZMBL(_f;}FW*|ep=$No)61)Iv2vBw)Yh=4 zXBWOJ*U{bCFwoc0Wzq7kcozl^dX0e2j{X4)hr8@bE90Gwd&~DW(nlTY%B+F49o=o!gI&G-R!?gyvbW;htnC&{TZ2k*O=oSO(_wIK zVI5aDHt&RfV{h-;7LIxJKWm_?7p=&~$H%LBS_gJF_Qh3~uzGh6)UsbgUA1{%&u;c( zrltm*Gj||O)kOXL@?lNSZmWsiPHD0$Y|u7xen4U?*vMtg&Fs6Xdv1>RaayzVTenW1 zE$`{-QUd#k+bf23M#V%4C5! z<&B-qOILIZtcqi{=&D6Rt)2azJuvk2_yEgEn@#bozW!d7 zcx?wt*3*rCcvQ=)I&abo(@{dCjcR~ts?}(Y=@P2xl~Jo!32Pm()91?fs(yuTs%l@& zfv_Rohl!0Qud1qBRb$mSi!FwPQC~gS*=eb|scP&}s_1AcH`Q%m(9zA()5|f{+pKL? zmnJzaU`dr7&PRY7kmi=gjsa)JP%$=jbE4%sjM;FocYu`}w^};l?B%d~V6ZPP>?~J{ zBLb9d=o>Hs#;H1Ydkpif(OV8}2wF-F8kM7(l%UHT%Q0=B2X@wCLF6z^q|0W25>_+6 z4zgQSUQVIzpE|exc;7A>iu&NNL<<1a{J2R?J=y{+j8Q=af-@Bs z63k;*wy=xhTG7D5H*~e{V>aCbXcryB#IM85=2Y8iRui<8iD-@d_113m9ZnSJAmw|j zD=Hc`Y^r0Qs_hxyl+Fd8n+1A+)}YiFKuqiY{m@I(Y$l7 z+a#XqRHI6jIzFJ%s^kX2$(7w*S&q1>gTgwP;+nR(WsQ@Y(_ql9Z0u)G@7yVj*0aCW zn<%ETL7TXm8@HNxGxq~d4ImFuB`Z{s8<2Or4_hFni}H71w@f>gMc5j;MyaHY!3sEJ zoYH$b#!hsMe$$vbn(85a+%2)r*!kRs*OjpuL%p%H4$F&MpNT%hem&UVvm$rUWht61ZCYfGshcgE_Nvq1x^P$Mzv<)Y<7H53)#|OB- zMZ4+Bim|e~I-2`>61^iah;?b5`cPHmhUog&L!g?8p*(6pVoQt&)m>{knrb_HvDL+$ zUK~^B&iG(2=i`{T&QEl;+CKFDtqs+c4J*pZ*KewBsI9BnR9ZsV&QQNpHZknodS4Sgq>a{w$dUO+6?Fd@c&HkB~(vUKmf(b*fV2?Ih zC1#BNfV&*^oufTiNOXmmwo=75(UELfv+jlc&CTj2m09U-iRlUkHKU?bSyo^dIEXui zzCm@1UESpg&=pf&v7vmuzj_9CyS1+Cn9HD3#kkB*T;guml$brDsRx_vnt1D~J-EH< z+_|=^M>PQqVqH2F+~0{dTd}vd5lcU9g%-`PY~QCwS-iWvb7vWDkl?L!a&r193W`8C z$<8=_*ANZUQloC%s=LZM2T(bx(l_+>_M`XsE5pJKoUC1LF?PEB&vY;v%gA~IV&ur( zsrvi^7;8EtmkUf&xC?VDO_T~lK`Em)(3NmgjSYi}S?=xK>|ba*)5#qJoF0yK05?52 zFA*C}Mqs&_mu^>86{e3mW$KVyP<_9s_i~k34m;E~SZ(jro^#Z$sZ_9%V1=!1?CRy6 zvDy-NgT zxykb6XEU~RS`{BOuEwcZPjgSF6SBI88$~Tep;uLxnRel6gca{~DtpxCRZ(4AT5u!P ztWJ!$H`mFkZq(Y?9Cwn^p*34J>Dn|EfH9+{LRK6)TfDcfr>zZVN@^K)0(Dd#u;Ij@ z7tgH17DR_(BY`tQ(^_%8#=1F=q-5%;efKJLAcAv`fq}k`CP$Z<;3TBO2z54Y8xP== zwjU>{vY9c+2CCJ~v%34zFZ+lJaxLx!sQzgDiJoM zDT-EB-A2b&6*<_yLfyf-#f&wqt*tRlpm!kn!ScR%n?88KkqTx7jxu$uq@(FVbPx3P zbaHGuvC+{@2*)uBjve0zcTMN3CQX=2)g7-+UZ28lj(4~8^wpe$nNSCd^mV1`9JFv# zZwuyX9WG1A(Z8xX<|Lt0UR&j$=_i~mWevOCq8z=(G>VRkdpIX@yf>!yiaLLgPQmn` z`qisyuq!F6+f?i6MxyTYp_-1*V142&%U}{ii)gi~9#*YW6GztqQ}L+OIU^xqvommY za!x|ZkVKnd$sWYUNf+3(u3INg|7zfXvanI^XvLv*o0EZ#0~Oo>=`7G&dm9Iu+f`MH z)=Z?QrxdO2#2>&pd}CjWP-n@u;c&k~jXTF~U+H9Nj;@;(Xo#9swX5n>hd)NYG~Zg$ zR@H7;Ek?V|wT7JQf__4i2nQCg>FR(EvcW{^(-T*(g~cl2@*b*shnQEIK}Xi?R- zGH%rRR6KPGt4&NK=A`Z}lIrM%XPUh#8(*`mS-UdPGQD_1!X0i%z+H|^KdeCS@8xz- zXQ~GslHmQUSjN`kO_UmLowOQC&Jd|o7w_xp;O;6hXFDf$>PQybJ?}K8jy_g(xAgX) zg4EHfP8v;)mBqnK|Qzb$V;) zwGp=<$f#lEs@n3JwbgYSYHIn$Lvx?*vTCW2lT2ONii%Z^<+WA3f$_$+oZEQUq<$>X zo|3{2vrZimt!g;_H2&2bS8Q7SuN3;2(MPgx zq_EN2Wz?#!Eno4^8vY^jmqY(UsH;(XtUjxTv%8%}x2aiGy`iQ~4Eg+jwnR?XVV&&9 z#}^L31+DXye-=K9g6k9nsTaRG(yGIh&##0?c^{a+0vxb5n<%^7Abu7^z4jsKDy6lF z-ks{wBV`&vD>ifhzSgXv_X#JMzK&I6(&Ps(_#q8iPM;qHk(SZY2UYa*l^?=~T%`O7 z$iAoKv7r~?zYG_!YLSY1Q9YugC|`ds<$QkhM7??;I}8`F>TZX3r@l1OTY(H_kxUqW zIQRjjRzvS$xD;#Gg-bGaTalxbQmwwEYlGv4hi45@`Kxe~jB=Kd$dtbXjd9j4Ye|Ov zEUy!;#7s^F%Yz;h@o z%??wz83Gmw>6r2m_rb9>=)b40Ic(JHCh#qAu;Nj8&#TK?dN0A*R{w=VykImjEUo}P zp@KGl58e;;rAd=grpazkUq{|Fn)q`ncHNiYy{IqgG1q`qL+`h6NhojT5U*Z=8$-%; ztMX0+JpdQ9&biR!!c3v33Qj*sUuV_FG8P%W0B}T zudWvl(U0Ln%NYMF;N1yF75aCn>--`5mm?>J)4yI_FCC&k2N^M(eneg857A%ggxA1t za;}Z72~!peQ)0rDO*Cbl%04zkUz@d9m=#lIovln+JVbwwx{eK<2mdN3oW3?UCd^$d z%#8_i7Z1_gI=FzfiJrDR(c|}^vTlb{BSgH~kRxxqQt22_uC z6exTWE_9TFj&Pn6Av{Daw#f?X>Wj~H61n<-z6xLMNqZE9L|UxHPr@BLS2pnvK9uH@ z(0buRY1X6e2jPyLJ6r7j52Z=13^dHYqjuO$bKs6`-(qMj`B0kFS_=2?ux|y@;V?S3 zebvxn&po!L>EHhj`^*42cCKdNN71ia;Kc0Cc?&;wspgPw`0V}|d}b7+k2DH~*souN zgCf26;B0Hq9^!?C9ul-lhv+B4DFH9o9Wlw#<4l3LrrY7t^sABcV^2954vMBL;L^19 z$Z=FJP;u%^Ln4l8DO{RLku|`9U!n@OLBk@7D>XnG3=XYJYZAGxF6fS7x57F0+vn^rFHX5VT+V;U|ZB8sn`9p~! zTl&K=@LFYSExnuIut5k6@q()oHVEunRjRUU*;q_aY#W#W8!5@UdjGkqA993h zqB^ThlQEhxE`wvG(!U-KO?CskPwPv1%sk2J!v=x*uZGiPOweS~q&BUvt*Z~7%jJ=i zyaHZuE)Q7S&6KXfIk$7>M!1>4RXBT?uKVru`ruSJFF1E&KcalykG9jh#OUziTBS3f ze9p@%GA|-<$c!H6SQXBT#X6iGJEaOw43+IG5XKr+;ifSan6f|Sl%4fy!Z>;#fI}aq z_cgc_tk6rd&%u_G^aLD+=_T%hddOK&hbT!whKNd!rjM*>@?r*zga|)`_o}+AqxU-8 zIO|+wi*dCydx)H-lHN#fI^5imY&duS{?w(}kyEnW^1S4vhAr*i+(c?kA_!$#G*$FW zVV5+OrDs(=249=)ZuL!7akiw|!*I6Mci|8(sE=-Hl44PxhD$;T14BQ>6-(z+5Ou-2 zlyyO-(X&Ua08|+(%%2(Ue!XC37D>e z+{$?S_!4CblT-?B6>+jU0LS|j`UmxO3VrqXd2H8l>#1K~L*O^z##;U8sB;oG0#73F zML4lU-U+;01-VsVf>=Wo-LJ&8UxLR}MYmwic=U;~iawu0FYjfILA2VuaP8h*;U)tQ zeek`6ao(E@qlYePE>p4N?ZvirQh?t;QZL5Y=(e^A6`e^$AIBT()VH!z-^)2FhMWTU z_=z1(IqCC}60)4+`y4s_r+xA-fxixCTdBiCym$mol=D&GlSXb#W+Fd@W989Dm*=%x zd6fSg4(ZUN7&{Od`&|UH81%K+z3)|t=>#o2z{R>%U%Ll4luv|1;`Au?HHNFOQ7VX< zcNJ=kf>`bo>DL-5)30@#p&HR^;6#xo0W*#cs!~B)sC=!yGs%~YCb5=Y#lNvQSQW9aFvL^bKqcCcbj$dH16lEQ? z5=Ipy5^-zCmtM^W)znB;AP##cSc7UA#u=tqh)teY_Cf)Xx?AZ+!Pjvx2YX+m)|pWg z3L^v^XJ?aT@!6G5xctz!}Vp_B62^5KVKA_sRPBiDXMoPrw*br|IunPx(q<0+HQ zXyHaKDn*PPBMn-ox;dCIxu7^+gBa`Ow$}Svwv{2j98d|_w;D0#5+21QsLH% zYqeAn%WFc@9S$Sb?@`m!2bX^2Ryf{f3K{E+FA@k^SGOJ`*obA()j5tM5Y-@6L~tU7 z5i=8ug@`1K6qmNgi$|sF770W_T6QoiPcF4C&cbp^V)M93ddL< zk8SudP58ep2e$`(=vwks!UrW_()`~WMRatNq3D7p=%27bq%v}kO135+e*WV97bNmX zY{5oY-v6caIB3VgArX3^qsA~5lIa|ycs&7*`$GDR@$WW@X=bZ*tLgD^Ro=g4XL5;*S8By93# zz!9NcIS^7*_su%FlvB6nYekop(aI z(MY|W)F{aPpRvMMb8ePKF02gVqZj5i#+nd1-6}O0#2Jct`9vZCZ`B^Zz~nIMXcPjY zEAOpDjtOz%-4Ism6>zK)`p@cXcebGXOK?br-q+!rvhwm#I93Dw?<7RLd;$)U=zR}P zR|BuUuLbm&nYVtJ9VIM*(GVqIgy)s`eC3B=r|dJzdW0cL5Z)vu9#H-i9ZWABPG`%j z=~_UK$$9Nj7Al2}N${8;6*dm>it3{FsmoMoyGc#ho~V7#z2XNA5qX>lk-YGVjBJ`Kk{P5()K?bh_|;K$*Faa4Iq zt115#eeFhh9sGtPr_YBlB6;`lh4OddkR-h{h;a)?kDA^Fqwaf3cOyNckXT=-@V-*0 zp+^O=Qc-HFpjvt+dg7=Z&r??haOR^08A`d1-XeABe!_7Ha2Xsmi{R^=+9~uV!Vi6>lul2lpNq%M zO`kes(wvSDAB-e78I2a-$KboH6^AhOLsOLx-z|e>T)wZU5|D2?uMf>{rlmdOz%N;xtZP*I@FYf z8NLn2u1`M&55kj8_CCUr@gPAo89j={Q3Eke$51{W_lel(=PREN7lk$MghBZi;9w0sioF&j6gEnQ zgawT9eK=Y`pN}Iw#t}H5P+FkWVng)#L{b=F>fiVx$ZHezSL!(QD&V{d45`uz^!P+m zuR-Lf1W|V7j*|-5Pp# z!7<&MAznSK1&L_xQQ84WE`xLGiI+5MjMaM~>WLA4oj_BDTby7q-_r7=$;x_qd|a%z zLEeMHID|SGnWU(g0mq?8pU-!dLy_{2z@b*?ZG+S4^6D%tpvQRL3>h<9DQu)i1z|6x zF%?upZv~vLE?%uvf@*rq2J=ZGw;Ge1SY4w~qIF|1N2At^p<}GwtVC1PC2n%=VY11L zeaWaTgIXz^=m$n5g1lul3XTB=jsMTalV*9&^b*#Jq>q`K&>h))nO`b~VZp35*|Dfw zGi<^=a8ixW_bq^9|Dn%k`r_K1j8}kH!eM@;w?kdl&})LjXLABWt+?2u!%Y#G>N+@? z)05yAm0C5umz4M%<-d+=Z;2T4hSE4+`FvckG>aV7Van$tgQdI|+~>&Y^D)6vPQJ^L z)90gtrJVetBc~t3{3GSRM%c@6XjOV|sLSdJ&`N_tLrsB~t}kc8o24(^`T-WeAxY&w zsjuByUka{;L-J?B>(G~-@cQ)SdGIb!mm4pKcfGo-{WQGW;gZqzsrzTS4Zp%s;i_{B zuJ49J()YuAP+uCuUI)GbN5kmz@y4>;Q@|N;qBm1znO0LipK>gtw1Cfn6ZbGCw^2Lv z@e53nvd8gDA|r<K*~)*@B~?D3rz}gI44wps1g5~7sV-~iWx%0< zl9IDRL%e28jH8fi>I4L&!-*qI>MVm}v(V=gnq_XQ!PRictp;Abx~!qs0;hAE>1MFQ z2}cGLGckPR^a592fq*(VVE}awz|jEudcu(p}V>2^O)|o4x54(mTxTVrB z%2QNW{?HWo6>u0)^!CH4PdxJCDjj}ww3v!EM~SQHQ5(&5IXq^D(wIoZCv*@!K4;t8 zlfQ|O$Kb~5H{c81<7BG-6pqzNpO4zcHLmpQkGOhW$$L}iKLNSeA!GnMRZtDRhv8DJ z{(boV$c2fSDUn8Oh-o~kB2?3R49>_Y^wEDo`zxn>~x$b~?#VIMwNpS;d`k&xX?ev_QaNC%* z^*$VHk3Jtpj%#;{9|ul@LsQY40*C4e7UBzOiLWeDi4trlF<&I{Qm500?8V>o;quAU2R0zRwRP2YJp%Um#p}!6e`!sqLDmXE59)Rj$CmQ`UrMi*c zBsd%28XMvTlMz!tb6`|1MY9|+bEeudrCLL;1WpYDUX<%_Q{tx){be{bKD}4q+y*AR zrDgOcAf_ub@y~*Q+2KghQ;WKUv7xgd>(E)A4Nq5SV#Z)}mI4(@k12WjMWSMQCnQSP z>vjxdh8Uyb(nx(fQRjZbNH0gGw<{Ajeh(fWP%rBED&Q?}h{WwQFR6?qxt(T&?1}g@>9^_Nc6fZqzi8q8$n&g# z$yi@Eff+={FSqk+;<#&7UmxdnNPKF00j`(8@%M@L!ySOT0nWURCFhsI>+q%L&2aT_ z=JhbbABB4i?rk{p`Y_?I3w@S>jyCff+va7y=fdyAtZ^rEt@A4M_(g1fJ(!MPG@|3j z@ag!$cRGIH-K1~Q4%vJN+31fJ&JUyW1Lr1R<1>t(38&-7 ztLbi4AD}r}mvJcL@2Z*je&5*P5C8w!TW738{vI72Khi|U4=>U2^9}#E)fa8zM;we@ zyyiQ&hK)a3`;QiG;{B_>NuM^=3%hvDxBE=`yyjbIbo!k&E#vhL$MKyVx+mexi`RU+ z#-zjRKf&>R5+2^u@mSovc+I0{I-U^I@gSIP4kksDj`11BQ(w9wA?GzuS?PF0NyoE7 zI-bGN>7%)$(==&I-`K}E?>UaAHAaWmJOiS8(s4YDq2r+o9S>XRc*sJ>!xTCmn$YpE zgzibl@lb(|Ck1poAuumq^LLQw`1?n6{M{qEx8cmo*k^p+fAg-GjyI=tyuYL4?Ui}) znzul7yrH4v*4(7SYwm#QxYIM?yyhN=j{6WgF79;P8<-cbxyG6ouens3*XiZu%R&qI z`!sbuJ)Qlb)}Fo)evKxy%K0^#5T4D&-)+F}qMY>a_yL=;1<1KE-q_S(1+X=-#+;g4 zX(f*vTbUZ1l9V2p7MNkr49p5d0#>wtZ`VL$6a0Zb?YEn&0X#<8ipJaV+;l#cKib!W zhw?STZ|vIT{Dw=k8&B}U!yBVo($wE?Mcd`{9-1GBy}^EpQp%I>c+wqekD^e1xnJ!|^3Cde*~uo(f;K zTFlkue$ANh^y@0kn7GtylEY^5Ds>od+^JW!!@@1=E%SwdU)`?CF=&&T~$S_NImaYz5>EFcSzEr;g z7E5%$VCqkDq|~Q8TQKF^$Lg1szvtuhh>_bWz9EyIX>Z;eVM>|poN%zwTS;|TyJ#Pq~9szpTqScr$3wYCyDgG0=Z$P&-|r5&$wyn@-zSa zZkTS59lrKRv}d~Yf~%W|&;7lA>G)T0gB`peA!0<* z`w{&LShqp`8u)SqnD+UbkTbs>?2PKA{GzzQh!c3{O{V0*?&OHT?+YjxOr9XXVc->2D?KTa-9$Y0Xz%Ku8{OdmXkIAJb$0Ngh zu-2pi!qn@?@H;+v3YPqU&_59z6uby*3tkRR5?l)&Be)HmEcjgTSix6Rfg6D$SWcqbvc!^KG0eq3rZwDU`d=dDd;G4iQy)XOZ z-v=KO`o9BTBKUpqVZk%Tdee)6rF<>;QlZ}jzD)4B;L8PH3zqhM-Y5SK_~SzVm*6V| zzYD%f@MO$&GCe-;N6O2=R}1|e;7&P&_ zoiF95f&)Uo8XOed0k#Dn04E8)nQW5B{PKWL{sZtBq5m>CS@1A;tl*j0qNfPX2g~%= z`Q**uRH1(!c%0ztz~cqq2c97Kaj;DPC7=AS;E6(?pK4AMybyeX;FVk;S0w6x3wWNW zpR;}Pi@@&mi2A$1C;vQnl1T5{U}^6!eDb%zQ-uBm+|o=HoDB{MJ`Id1!Fe4SuJOq` zz+s`k9~=>UEjUXsKR=lx_#0rWJW(G{f>EVze!ua_{{{{kG3sM7Z^1W-vDDua$ZM~`@#Pu_!{tof zh$Ez*3ZBLzrB#XfHV1saDE}!wc_nzikjH)Ui^16PIIknaxB2AX0tZBXzXJyakHwLe zE%+pGlHm2=F@n3m$%3y0j}`nyaEjm`k&St1-`9Nd6L5ro+|heaJt~%fTsx_gR}kV zg6D&02wn@GDYy+R?K|X?e-?bA(El!Ymf$~tXA7Rl_Ywr>f#(X|2+k1P56%>P9XKTT z%V25WkA3nt!C|344ewz@1fL4d61)|hE%;n;j^Iy$=LvoYJYVpyzzYNqgQb0Q@m`6P zF9)9_^c%q^3%(e+s1x2F@4yp9dER z{t>uP@DcE0!Bg>3i&F%j0$w6`3;0yQd%Id=Jh)Nl{{!44ID|KNngy4G zTLia)1eW$q#MzmY$H04qel2*P;9cNz1>XohPw>~l=L`NN z_yWPfkY!ycI0w96@G>yAB+l!|@CLAypADAx7l(ZEJHQu-^uFoSf6ga=2Yf*2PYHX| z&jm~Qdho?UztyLIkxxDZJ}C4b^yxq2lfMZ*B=plFUVBagOZn;GON4%tPyc+M{ATdw zLjS8i{h#>cZ-B29`e|8Sdrk&R`C9N*LjO##Y|nn5{0eZuGOr`U_xR+Gfv*{A1vIh5mg${U7_}e+Pd-=x3blO}`8*Rt6!o6By9X|OX@To%oHt>GI4}!-F z`+wq-{~3Id&>vrb{g2>z;EM&9fe#8k1AIvEKJX=iKMDS*;4gy@3;qH4Qo*l+FB3er z5c>cP_k-nlyVNKD6!=!5|7GwPQGeg{$zKHDCiLF~-!3?H zG1ec!nczDGF9zQ&cs*F!*W{D$20twH4})J4d>eS8u=hdmuZ8>>@NWgb0haZ7!YN+) z$>84!{nNp(2yO(wD)<0c+JA>n{y6xLLjTv`*9E@`K0(-@w8Sev5qw1GN5O9hE(gCU zxE}nL;CAp|1n&XAE%-3_9l&?kQpJW=TX37jVQeeel_Cl;YS1kVLe7Mu&7BDf4ZRq!To zy5Kl?n&3h3bioI~GX!4)o+q{t=LvoSJYVq7zzYQb zKj4!DzXLv5@c0s(_X^GgFA|&w&K0~I92Hyz&J%nF7#~0_)m`$)@J@126W5cyKKW(f z{YDJ=%|7`T!6`!ixKI89I3V&n0uBmJUXJlAcs4jma11;~@G5Y!;BDZsf_uOzf)9~} zeK-5$_k&Y~{k34)VKF@6P~2u>3m1)m_e96U+zR{@rG4c-c|G_-C{YLP9!F}LK#(c!b z*@H)ZBRC-BU+~Gl3%*FC_e-BX?)^OS6Hdo@htSUf%k)q4$v1&77WzAV`WN}+H-irf z{V)3TANR?B1wJJ7-|^{BT;r9`2VWxei^0M)pZ;%s z^59zBj|u&$VA-D8KKU}Rw71$PKO20tNN>MS|9YSNe()!R{&#%(xcBnN-v(bJ^e3$I z+7kgwc@g+pp4xf)DyBQoA{*F)n3$SeOw|w%{3Pcy_Wq^Z%7lUoV>%d8Z z&jODTybGKx_;T=A!MB4`1b-czD)=ezIKi)g#|ySAvA+^L3p`P99ym>KCHMrvt>8(5 z&nN53#NQ*s*ZSo5fF}$6Z-S=?ei}Sg@T=f-!8i-BrU{-7o-TM1c!uB=;F*GVfKL?M z2c9MPFnG4$JHT@UKM0;H_($Lj!LNZc1>@e=3JJ!&m8X6d`{ZlEVWEE}I3joeoF(`& zaJJxE!8wAz0-h)M`{4P4e+^zB_&xARg0c6tP8N*4mbFlDIe3xaZQxwNJ>aO|kAw3B z-vy2d{swrG=s!>UFRruM4)edh2H< zSjwZ|BSL>A_zl6^!EXxg1HUEsQt)2{-wJ+P@K?d_2>v1XUBSNx|5dQH4ewtGo&o;5 z;6m_wg4cupA-ECzzTo}fVZk?n@q5p1|GO7_A%gVl$nZCP@@K$fjTrl*KloIbHQnXuLn;O{CTjn=P{rBm*B}l|8L+ag455y{!wrgoG!QmJWX&Lc)H*N;F*GN z1WSAF_sRbUe4@~Q89Ym{btd+cf@gu}2wn=FE4UV%A-EeH5_||O?YYG#|0*~v^q&Gp z1pg77B{;bO`$xgq;2gor!Se)f11}JK4p`cA*eAaOe3H=rCirB*&w>{UegnKn@T9Y_ zpA@_h92LA8920y7SlTn-lV1tW7y6$A7YKd~TqyWO@M6L5flm=UqY?W@!3E&61g`@p zTjq6SxXCBK0Ng0_Zvr<7{!ehT;3vT?g8vMT3m)Hu^D@C%U|Aoh`Q%%`dxd^Kc%R@a zz~>6S2YjC3C&1?m{w??d!B#WMUT2l+8aOgM2`udi`Q!!QfY7f12L(5PZNY=!B*B-0 z#|XX!oGkb&VEhJ$YtQ50du(kT?^mDo$zKDX5J<>_E#COE!ESWKU+j}tfCD1G7I0AT zdEg|$*MVhv_xa@C0gn;-FM^W=zYR_id_vrt-U6_cmx5D;em!`c;9fBHAZ~qK3YPZW z?vpXTmpULo{v@accaC;uL}TQ&FaCW#`FFtUh5n1+3c>IC;!io-D?b@rDfCx>s|4==OZ#{ErXj^@1Pu#edN!e;2$}=%??*{i5I)Sms~hledDm3;hG&9fCjYi~pcc{xlf(6z=$c z9ek$X37y{j7l5UF1-L=zp9yXkyw4Z^dY}A@;0~exeel_WUjfVhpVZ}*XMlGK{bgXO zzu6~02iz(2F9j!w{&|~E{xxuy(0>x#E%+61k6^1C&m$B(8!X#ziBG-}e2&oX1osL4 zs4xEQKKVDm{X+j)@Gik`_~K9K@yc_+yM=xUc#q(Eu(ZF=C;u22_n>b7`3!iU;D>zi zpYzGz0G})LC-h?fA$T5Gwr8nNz7@P*==Xy|qCG$ElivltNa#NVJ|Os6@Wq0UfDZ~D zcMjga5}XCTL~s%Kqk=bq4-4)FUn=;c;L8Nx2L71f{{~+!_!;oW1^*d*h2Ydayx%1_ z3w)K}V(`_1w}3w(_#E&xfWJS6xrFwQjG^j-vy75(E~pM1&y`kT;S1P%&b4YmcJ z1x^yY4?ITjwcupI_kmLcKLM8Z{Msjf51cCWrw?NPCO8HjFL)hzg5VbLM8Ow;(*)lD z#uuf|Bsh7G*ZvH!l%E2Q2>l9hmf&V^w%~o>d4jJ7&lh|* zc!A)Dz$Xj-8F-=KKYVCV{*s9-#&9=X{0!q522# zn{rJ&PVRu;mS4mZv+4I`BmQUmkZ-vauvK=ZiV;AeX-X+Dv*DG_o;pv-Y@UM2jmO*ko*rmEa!QR z-!G8MV6VSkAn%HgseTYXE>FNG?;|nk7QRo;HH_=GToMJRR2pLj~vPE zqU!VF4su!CQLcy6X?a}(`AfKy>fgeh<+-?vyb^bn_v0tzOE^JJ!o{`zT%%IwrLp%< zy+Gat57Y7n2kIvU^3U*a)o%#Yp9bk0bT`1_biA@EFz4 z!xQASIIYGX3*?FT9o1(XP5+k*;Yo63JXvmur^w|8V9pNZd9{Zc$l-if`v=L7lQ zc)IFyj^X}6E`i^dYvCDkM?6b@5qoJik`r-SInA5AzUACFy?j58 zmMh>4a!s63?tnANFW}7bSe)|o=pP>i^5r;->UZO;@_8I1|A}+RS;jHHlMCZm`5~NF zu7UH*?Xb76e;|JY7f}5N_#Sx)E+%ip#pT1eq*sygc_lnj_091pxhH-@ehrV7C*yJQr}!=TJN&l16Hk!OVL#u$19|p$ z=trtAfhWq3;mL9v?0(TVkPpXGRR2DnDlfwC%3JX?`4pZZU&Axyv=e!MQqG4zl*`~* zavkjF-zkv4fIm|GI6Pba2+xt1V?Y1hf&3hvtNPpc6FJ8uK0j4{0MC=-@dCLCUMP3P zi{zKEpWj=7d=CCf^{en=c^_UP|BU_oLX%VHx$#ofm&VKFn)n;JBVH~)hgZrY@hW*H zUL!BTetz2n`44!l>i@#)U-l&asu8gPsdy2FYpd|1KuMa z$9_J)1@h>re4eW63*vopMSMVRjQxDN2lB!Apz0^$L-MEiu)GEzkq_cC@@4GpPxEf- zJTLx1_2uwcxh_5@cgEiSfq{H1KCk+Z@dbG~{z=}8FUlA2HTgF7_UD?GIxm3}RbLbT zCbz}cEvlRy}T4h%lmK!`B$7#&ip?2!*X$)S+0Y#$WP*|astjK&%`nE3Y=X&jC07> zaZWk=4DOHR(m1!=5a*G5;k)FKI98sG^UB}jeDVp*&tS#>cL)=c{>1s^JTtkUmLJ0R z$j$J*a$g)LkHrP$PjMl61HMoG0T-74!A0b|Kj8g1xe~r#ZjFn{&*S3q+qi_h06!pa z#U*=bdyHHJ zSCVVsN8~QJvOEMoDo@8%(0jpdJV6L}48Dxbv7aSLMg>YjP)?Aisi#$ck+#8RP$KbK@r}#~IBOWLJh{wwjpE2K-@5OJ+Rq+J5BYsDI1y7XU!;|E1 z@nrc3o+AH&r^odvGP2eSKf&8$!GE1auO~q=V$UzM1BMpmD}L^=Lh98iB!sX?tueiUG3*w4$HT&ableR(KuAiswj%HQBt@&VjhPQ-2GtY33~AwPiI%JpzNxd(19562zk4{>*S zCGH^~#XaTU@l$fnCG>Z>EPh&UjGvL8!M)`-@Bn!(9w@KJFUmjQm*gZoNG`CH{whC; zUzXe9SLB!Q2zd$~DSwSe$$Rk|@~?QboMjpPO@086mFwd-<)`puc@&-^&&5;a4ftL8 zM?6iA{D%G_7sT($@%VkY3!Wnn!E@#5_!D^<{#4$N=gHUbd^yW|3NN~bI9#*F8NiQNB$Vc%3CnM6BB=D|6IZOoK;BoR;{FeMBo**B<6XiegWci-&dA-XuF~75v za=d%t_vG<-hP)VmARoqSw+WYH*l2vB~B+F#?f*T&M4o% zp4Y$J6laxR!ZGrPIETC$=aPTL{7zXsTg`*-SKh$uUw#bdlb^!*<+t%Y@;5kMK7nh< z5gU2^%SCY=xgoA6_s9HBTl~M9$M#LZjpP-$iF_J2lcP5A`j?C2R&o>EMt%Xem8aqM z@+#a>K8ric={K{b&xE(P2zGy{iKCVG!Wrf9IJ3MMXO$1*82J|FckWX5Pr)r5U%3v> zBlpJj*H2(Kio#1gh$KE@mTpJ9w$d`=f@9^=;xr5^` zKZ-NUPvWfdn>a@P8t0IYV}8dm<$Or9ljAQxfa}T4a07WD=64`d#=nP~$lv2;@;Tf> z&ajK)FPFw`9{c{P9rpdz ztJwEfA7kHNZNXV}eZPWZic9sB<38je$*doTZf zB3H(RC9WqQzzyU-aU=Pj1F7#HYvN|gdtu){j>oN(FUD=;!?>+{ z3%8dG9!!1zSO<4i-Wzw5C*bb#QruHMhI`3L_!+tIA>PlH>*IcMKiprQgrAp};{ozX z{GuFrnE9}LKYm$mgont_;RJaq9xi`}N62UJC^_ws)c40F@L1(d@i=(^eoLN?C&;Vu zMEOTNS&lxM`u?~So~FD7eouZ0&yZ)}S@K#uTfTtj$eE5Y|CP()d2(y~nfx+dAb*Iz zkT>8(^3Qm&ob@OBkv!6(P|6dVrQr-b?krVJX zc`n`|Z^OIfU-2F}*U8lP|Bv7U$~)sj^6U7BJP#j}cj6QBZ}^NHdy1cLk*ncza(8?| z9*Zx^i|{4+5WXzm!dK-&r}=pmxgP#a?uW0-lkxBJ3Vc&Oga4A#o#FGnf6{E_}E7sn;!X1JvM5-u%&fccD%_|U(P#H5Y5oO~IV zmvf$@|H_qdCHVc>p)o?N8PvR2tXk1cWh)c`+a9Q~eTu#paGv|+79aofl zV$T=f#0``$!j0sExQYB1ZYJM*DfN7@7H*~dY3%vpTez3+A;;Hf}JWoz@h5j!W z!wclb_zU@Yyhwf*FP2y0CGrn=nVjxw>iO~mc$@NOc!xX??~>ocd*tu&KKUFzAZPeB z^?bQBzNowvz9helFUzy=Re2M>CSS(C$vLjkALUB;ceyk6e0>D=eEl=*`T8F0`TFnJ z^Y#3Rspso8u;=T&u;=S<;bNLUFU2L~6S$P6juYfT*xNTQkk7>k`NZ;|;2xfVapC@g8{{_VNw~@UQ!^>o5b}`^=0vBxiJpC7(SnS;AsBp zzX#txAIPWRDAg}au9r6k#-9x2H*jXvX9-1wV&o#&>#rKfTjN}+?~7yQk%93u1Nl;% zU-dh2oP0ho{&pbG9T5>Ktol;8m|Pos`#S~lfw-jV$K$f{+`#x%f&3sYulg&vlAJa& z_4*57=M``j)i=iRa*x3Hp@Dn~uBG~gxSqT*F#cp9zk&G-wD>#cSC%vpp=NSX?EUvx zAa9FXss1_KRvsG||8XE+i94$PAnqz(4UA78l{$~Z-Btex?j^Ut-u~W!d<5>J`kA=D zyeu$&cObur2dF+GZA560oDX~b4+Zi@c!=tI-~{>A!1#9q`64_*^;_|1`D|eP-+??= zx`@y?)tAB(D<@FMw8VEnIvJUTiev_$m<@p8E`_Wo-b$ot@xsvn6%gTk-J8G-R% z2l8DwO7%Y{*UO;{sn>s3vXz&^ndQbfM(!RM|4JaAigT&{D;z6t35-7-$p6CmRi8U! zL?})!iM{`72J+6hu<8fnV)BH*_)i1*I$ToqCvjQ%dSHB}OsVstxV-AC<4ST{?CpOx zkdMVxR6iHT%PRxp_XqN;xR&ZOWaj!W$6>Gk;XvL3^BIjP*Z;n_nLIKuer6#57PnIU zLEKip5*VL0OX|EJ?x^~zxU1Y6d;9wa@-eu(>gVEK@~Xi2gMmB|_fdV8tX%))BG~J% z8pzw>0jeK}2g&0Du(&$pT-+hKN4?| zX9mVE4di?A4%J`5d*rk^Q?I`OcK!%Hp!(MMh}<_YeqDttyh7#M#gkY~sh z5jv;(BKV?Q6?^}+4&=|_%c>ubugP-*<5vapqxib&Z{VA9mfWe=Uj#d^j&G^H6Aldy zUyla{#*Yu=^Kq2wHzwE1Cj;Ye1oG^8=>MuOg=6H}*!!{Z3p~J|7r=JCNs%rT?qG0HD0{JjpMfEdryu36perF*68P`&M+Pw6Cxd8V1D+Kc9n9n>8_kY|>9vK)vGmw9a zTdDpaZYy62j8B^{bzTs6RDD(4Rc?*F{e1)Z7~Ea;b8#IdRM^7z2`xq*Bw9-{h_I6=M<7@sA7>by7}q59f*wA=}M`v(T{cknpX zFT@k%je+qe1NonLvg&gep#RIIu-9KZkUxQEsD3D(B~J;AUl_=@;yJ26kLSs^1LJew zlRAG8FHn7Byh!eWz5PQ2`Ep=b-zO4H3_?kR7Fn(1aKZ>ub{sz7&XDO6={Y9|z>iCxGJK@mF z;p_3h!1(cjd_Im+{l?^a`D9@HjX<9LKKj4vOW_!~HunDO6vzkTT&kafW95Z`@f!pA z517xmPw79mah#mHaO(A!!p`gC!m96qi^)R+h%}E&L6=CRNopOk^2V5j|}7=;S;J~h0n+b1LLm* z@(iWv|Ee#7FUnQ1_h0Kk{v5un`tkUhJU1|YRUki#udDtBzA0xZlY0F{u=DEpmg+m< z&@177I504Nd?25Xqg1~!xn4dQ7=I&>XD>_tSA8iQBiF{>f1LvPV4O?!Q*f-jFfe{& zApZg9SN&}qC+B%E_4>mpNcAIeGx>wS_-_LF z0o+RUiMXwtv3%qolLGl7d`tB^ zaVR0&|Boj-Wd|fC{T#?|2lDJysh<@dUl7lV{O_lGl7Alj!9ZRc$KHv_+XeDxag>%f z5=YDL$tq? zr{GHRr?`szEsmFWVlV%PKz<$9QhmC|=+AO2ZX}n$&E#s>%Wo0Ld*D{8e+jph$Ka0g z`?#z8CHC^y2l7L>yXr6EUh-eKkDNK4{wc>{FTZ>suZst$z8xMU_r^oyp*TT)2YdN* z1Nm}1LiOA5X!!&lCtt-A>LI08O!E5Al*xOepkhjAdRNotKk%!_P^4oZiJR2X7 zm*OMxR(wJ}iOmJCDSq*8}-fTv+w< za4~rWE-CN8W#!Yjy!;!kB&Vy*^;OP?!0e2;*0Vl__EvxUz5Ay>+%46QyznF$sgd*?C|yPYwYdY639>B zDAoUpqvgm3T>s?UI7TjxbIDb4tlR?Um!HCM@{nYQ?mQBc-U;M$abeXj$HnCBxTJgv zmz96R<>hn@x&Fy_<0^7l952_#-oEyMydSQm`eC@9JQ+8VKgG@D?{F)5H*PDR!yV;6 zaaTEOqtx4XA9nsQ?ymX0`Q1&Z zUl(3}`DAN+<3QdgkiVJi4dL-~lFk4Adn6{U3gjmO`QORj7#^RiY3lK%lC8W^An%>* zP2uq)0{P59zA}&>Pxj{U`0F_S&gL_}&C)D&UJ^TRh!Zrv9UdV+g-6SS@i=)Lo*>V_ zljTKtn!EwekdNS5@+JI{d>hY}W17<+;|208 zyii_@zmPZJFXcmck^B>0BL9gw;r{=SBqn8O!SQK!=Kv-q<;BiR;$O3c^Tz^t>*PFn z$iI)oq~3vi1nz$)=KPo$$iKx=+Ma_rT2927b?R?gfiB2-Q;j?2q+a7Fn^TuB~{E6ek76?qq~CSSwxa)#Csq3UuW zTtj{o*OXh~T5?}pTONh$$RFam@^V~H-izzYmv93)s!c?wp?nW+Bv-vd#>+tVLV5U$MfV)c!B&fUL?PZ z7t2fV5_vCPCSS$N<(wTNLM!C*c%|G9ua;lIYvjpzt-KJglQ-e@@@c$5zKJ)=**iwi z{=?^c3A|aZiMPld@m6^t-X_0|x6AYK4tYK1caBqDUnlV{`47BX&fbanoBROYE7!*R zJQyF4C*gzgLVQTxj1S8{;3M+i_^6z_b42KvTm~PP>*EvhllY`O6rYmc#i!-3 z@ELhKJ}aNc=j0@OLC)KS`KSC4z9cusm*uDMRe2Z=MTC#nOw30X{r@8|>D%NyGMw*D z&ei|V2l9Wg`~O{CQ;&ZLM`?LYakShUXO`cl-Jjrc#}K_ZJLIZ(r~EkHB|nFE%WvX6@?5-E{vPj>kK+CE|L_4hOKeFO__(|tpO8;tJ`*Hm|Nntc$=UnR|K$hp8M!t-D|f-?#-TLf?Y*mS>i+)_4n>9Y`pLQaf0sZ$2>bf*P9R^1qqMxO zI9fiBGs_YE=>Kv7oJ)QL$I7j7e)&1fXPTrOkMX#$JRcX6H{p`TEC2?c94sIfM#ZBdxaWi=`ZZ3a;`AnLW}uJmplVMBQL{!!iVJV@L~BNJ|h2$kIESa z)BolB@Nu~+J|RDjPs-2XQ}Ubmv^*D|k-x`h<)iqV{6Bm_&hj$-U%nq-lB?s(atC}> z9*9F}!^h(t?D_w~8 zLwOu-B!7Y%%WH5G`5100U&qbltgq4k$7I}9{sMQCx8UybS=>{;g?q_)hSC4! zvbc}j0QZx-;{MeS`il-;2-4kKnU%OMFi5i!aD;;EVD{_>%k`zAPWaSLI)ED1G>R$}~E4|GyuH zqQiOB=>KvYZY)>EP2^U%soW1Y zlSkv`@@(8fUWxfk(3Jgq2)B~2;ns4-@$`SWFn(OFhTF<*aXa~W++H4sJIJ5lj`AAZ zNj`=<%hz!iIqO^Wf4La$CfC5-<&LP>n z;t{H^heylZ@i;jFPmpKe$?|eMO+J8U$k*^JIr9Yizg!H@lWXGzayPt4ehn{{XW%9B zw|JR+2rrla#w+Cf@6i9{>Ug#MBwiyA!E5Cyc%A$OUN3LP8{{*1qx>h{B~m0{53u+@5JZi zpYR1aVha6Vz8hbXE8xp=Q+!o^8uOXPDaU6d_Wb{&q6vxWzaenzUj+6h!h2^{6rT@$2F`to~vOk*RvT{FM zPJR=Ym*?S%@WGU1g;^^#C)c6%JwhAwdCEnwtNxS zkt3$l|K)tRp8OE5FE_#spoPZn2@8QPs65K@Ig`3J3aWgscJ^H_#AGeSz;+AqV z+)921x0XlXHu4Afad|mzEAPYY_e-mz;41{a-GO`^eRBKe;VVke|bTeUA;~pWqRyUx!D_r|>xWFFZlc zGn4)=m&4QKCU}PY44x&A!gJ)=c%J+{ULc>qi{wA?VmZ$T^ndvwyi9I}m&*h33VAAC zDSwAo%O~*~`FFfl&h{bwUoM8%%hmA)xjo(}zkoN%L6TToWIWJK>}9 zOZb@l4n8h_j!(!NF`s##@_IgvPsxAc({j$)^nbY&J}cM7=j12w1^E?xQJ#V?$zS5j z@>YCR{t<^Vg|{~eyZ_()F?r^2{vdY$uN%m_VqYHy2l6R6O3V8SN6R~LX8C6vBd481 z|CbBmSh*_BFSo;dW`D}@7>EnY@8Dwc7r3On9ha3a;&O7@x%7Xz5UwachAYY4abvy&&;b!s>++3cDTgZ!WOL-e^C7;8s<$rJ+Id&fXUoMB+%8hV4 zxhHNfC*Th9d$^;#1b32m;m-0!+(nL@Pyd(m<8E?A++A*ld&9rao2JPwageHA=fZi~ms1MmcS0-h`{z|-U{c!qoq&yqu*)BolCc%J+S zULd!@i{uyZVtE2yA}_?t!bjx8_^6zSkI9+7r2orB@Co@bd{SL6VToYfFJK<24aDN<(-T$W~=UKz~=gB#L_1_~g zX>A}shJAhbUm(x+75!h!djLnvb#Z3-NgN};hI7g9<5>9{oL}CLd&PvB9D z@(|oro{GE4i*R>&8}2Ee!@cBx@H2AkH}rqG9PTGK!U=K@?AQ0Jf&4u@LiNk=Xn7wV zCtt-A*We~gWLpfl%K+z<-<6XExf(|!|wmtR+Gns^Fr944^SaF&lS!aCg-|8cp{L$jD7u> z6v)5CQCi-194-HZGs|hd=l(#x7w3{6#j*0^IKTV?j+5WUh2_t2F?kCvDWAt><;XSM zAISIO@^TeiQErDT$*&s_w1Nkr9P|mfE`vbW&ZYa$m&1?Cjc{AJCvGPv;P&!+xP!a|ca(SGPVz%g(t|L z;mPtQJWW1}XUPBHS#rKj+#kpl@jSUDULg0!i{$ZmvHTfcB5%gasl9{ur;5SK{^ZLA*h}iZ{yXw{U+T$KlQLBY2D40&kUj<8AUtyj}hf z?~uR6JLUa&mwW~9meX(L{y;8>_sWmreR6BOUw#%JkjLPI^2hj)yb2$d591?pBIY{^ zQ?3u0wsC(T7s1En$M6Zc9X=_)fKSQe@oD)}d`4c2&&tQ~Ir#>@AZOdo{efH@Uy^I$ z%W@}tRelMFa)+PqB<%ZxFO%~;;e2CquKs^KkYC5{|5j%gf7fMR`B2B;Ul9<-EJ- z|8g~4P40^0<-xeRJQ3HBKgTuY4Y-zk67wCEDd+d^xQ?7{H~n8OhU>}IaecWxZXgf9 z4du6RBY7TfEU&{&S;K$`nxUGB! zx0CHl(R+)=KF`A*Q3{of6DmWSXj@>JYaUWB{J+i-XJ9PTOqgL}!b`{@62 zIowBXg!{=oaf19B_Un6EAYXzy$P;uG?Id{VxG zPs!BB0J}bAz=j3Pc1$hjahzHH1INfYkJ10-GB{Rli1W)&;W+tqTv+}P z7n4`wlJZepR{kBAlXD)Y|I1}@MY%DqBtMTU%ad>wc{#2oAH(tT|8R9V^9lODTm;vY ztKnMmt`;JWf`Tu=TE*Ow3A2J%(hP>w!H|CbA4zT-LN`cwrsk=x*=a(~=R z9*djHb8riJHEt;%!L8)qaBDg9Df+)$6hAJ<iNgK#f-B7R0*fcwasa6kDBPLTh^etqXSlR7VrN2tC5 z9xeC8{UMDZY>*cL@gM1cml>f$?9Q|J|gZIhx@qYP9d_W$G56bW2 zL-JSnu)G}~kap@dY`} z1^T~S0AG?H#+T*h_^R9+hw_Gx*BjXL|BsXNeBu1tdznmY}lPlo* zaueJ@?u8r5!*L^d25u}b!%gHpxT$;zH+)ZAMyURy#Px&|8OU`_S z{x28BedKuDPi~JBu!!zVQc$PdG z&ynZgdGcDkKt6>R$$#U;ax53SC2|G4Om2af%P-*-@-)0sUWHf7r|}y3CSEINzefL; zOW^f#O}s(wh&Rdu@h15#yjlJfZ;{vFt@2U4P5vL=E@w%k|I7E|opN=&OYVSo%LDNq z`E9&co{#s*>+yd1Bt9Vjfe*^rf204)58%UcZG1%Tf{)6B@iBQ4J}xiBC*;ler2GRu zCI5|2%entY|Ch_)vvPfWPJR+!kcZ-n^1Jww{1v_|Z^u{V^Eh;OxW7kSPu>3u;81)x zFBiz`Cg;_|dB;HhTykC`oR13R?zA-tk70!ljl+4G-vir^L(Rf@ z85|S3^GHmp9mu=j2-Oe9k@6&*MqY^1%Uf}@{3FgF-^Ll`yZ(p>Ws)DnndOFr_1+EYz*Y5ady@JiF3#~Z$^Z2%BApKa(x^tKZ*0oLvcR& zU3|Cv70xej#|7l`_#Qb4-z(?+ljGYwynjmIJbJ#52J&V&LiIgxr2H~YBfpKKQF@LJT}?N%l?IT2@*b3{e*nLY!INgk$6rIG214$I5B5a{ZI@;yAekE-XKai^Zas{Tr1n_4$}H*~$yyD7hStmh0fma(f&j z_rtm5;W$>Fiu22#;W&9UE-dfEd&-2j{|9_PzJ`y;N%(}EHHPzBE`ZO;CGkc1QG8i$ zfUn8z@O8NtzA3+iZ^@%DTc5K3r{XGE?wsI>NuS^-<*RXwd=Tf7f5owK#_a4*xgZYx z5MEz-oFLat&d-MP4#~OvY;yi%I3J$ub74=y5%L@yDKEuo+2maE91O!Bb-m}jPI8FE{k)?b#bix6wWV?#&PmzxUjqt7n9H7;&LcA_j~d^xTO3jE-Sag<>i66 zlKd9FCeOwx?VWzLERgTP*H!-uzA2~A!}UTggn7d(<$6&r*(obXOsWycpTtqBAC6<> zkMK2lY4Z3q;pOj19xwlbFJ=wr|KQ7V&bwHiTnyiotKwU73mnQ8US4;+MIMNE$fNNd zc^W<-&%;OL<@kiW1)q_R;B)dtd{MrMFU!%fJU=-WUzdyFn{p+5ORk6c0;H7V_xQgK zU+;Sc@&PzX^>5&4c?OP=7vfy<_c%^IiVMrX;gWKuyzHOs;qAK@pOeeti*j9jO@0!G za)ieZPIk_)C*mmibDT?FfzR9%uHT6-%0J+1@(p}b&XAAw-5VZX5YLhy#&hJxc#-@h zULp_1%jLK64tX}-BY%Yt$ZPNsc{e^GpTcM4EBKsz3tyBo-Oc$Z=fl_J;`q8;3E!0K z;#+bX%n6-xKK4$wt|tkBd(kMV0bg?M6e9u>|%$0>)8d~I?b&wu|u5|j4hlydTO zn2P^@BqrU!DXS+>a}W1_cVe6s$IG!ePA-hS{xUdPd1V}aBqp^C_!;c=55eIPiAj?J z{uHN4Ss>qUi~anzES@$Fpziq*LTJwCJjz@Lejne zEra8g?D#uNOicPb*=xf2hGfTu^OMOw6V886cFGP;Ov)CQdVG;&Yx$2RJ7tN9NzDWK zQ^}6Gv&O`vg#Y~i8<3bZJ=t;LeDQxy$+ssvKAfNX&nfxsWG964+y(!wPsvLqdrdg6 zo$R>(k2&8uCHu^sze!9Qm~1`&@yWgx&SwYmZ;~C)fB!xbleXXp`6!N*FXJ?F5{{B{ z7UF!8@5kxnM{#<&Ddye(_`Pu`1X$d~X;IZcts&ruW3Pm>?Q)8%^jJ-HK}B|nQll852h@+ADRJQvTAzrl0mt@so9 zIQ~?=g6GLec)pyyL}chQxiJ1*ei$#18{ma&_@L*L4G;}vol{GD7AuaqChtK{Bzjr=NJE5C!+$#d{}`5U}J-i9~I zC-Elv8r~zPDH$2sE9b%cJHo8p6VH+)DQh(oo**ORe0LjDj(%1dw>c`J^R zPvNxk|8P1vx)j%Uxd4uq%i#=iU7S(wh%?F0;mqgXO$P>Z1P4NBOk-rKVEJeKvYdopk#m=e3=NSVz(eKg_*MCF{F>Ys zC&qC*Dx8?ir1i3tZN3M-0{vTKO0-tmJ z|9^aJ7=~f7SPa83jAlvFFbs2^^JzJ?BuOeowHPf)OAARzk|arzfu``~QCT=y%<^b-UfYcU_-d*X#3sKi{v*7c`0aubc;mYy=Tt$8YN68tus{AIdCNIF%epmAC_n1Bl5@in7kGrm-pcl@(=i=d;_17OWeo%2XYh+XrK4} z*TebbCOE&`0SC&dI7l9j3&_vmg7PakSe}Us%ZqRkc@++kx8h>*5nNo(#i8;ITv9I9 zn7olAacTK(940r%0Uh#QpDs9`JP_xXpTL3gI253XYTq;Y#u-Tv;BEtH@Jvlspetm6zda z@_Jld-h-p%Z*dLzGOj5Xcz}LMz7xmDHE?bDUR*~`!gb~DIG|(R`9B2blb^)-hdQzT3&-|$UAUN`8cj6|Ab@Y8@RSy zxEbfa9FFVC)p4vGkL$@TaD6!i-z^Wsaq>vqKzmU*iGtE<8{^ zg$K#M;KA}OJVY+hoa+U0MLb$=fS-}u;%DUt@fi7OJXU@cKPSJ3pO^oIUyu*sbonRz zqI?UFlS?Pk@5t40hI}8MAa}qM<$-vzJQ}|yXW=Y)K7L(ZiKogr_zn3q&X%v@H|5|K zTrZF#@GQ9=o+BsWfKGYqb2pq%9)$DDPvAg#91fCS#|7lMxS;$AE+ntP!SWtlSU!!5 z$iLvCa$rl=XSp;kCRf76<%YO~+y;lrJ#a~R2reZ*iA&2FI81&6-yzS#W#mtBS$QoE zm-pd2NOQ3$$W=mhZrK$yIO#xe=}?C*w%D7p^3y;mY#UxQaXxN6ByEs`7kX zP5um5m)GNH`2emVpT#xhUvVwDP!j92To%`stKvFxV_a8mk7MONxSl)|*O#BccgvYL zPM(e%$O~}1{5ify-hdm*hw#1fIowG84c{jhZq3if%Xi}Y@)6ux&c$uy-*H>HXq)^2$#QwzPOgF5%L%xH z+!=S2Q*kGGIPNSzhr7s=afNJen{Si)8rHQVfg|cD*uTe zkxL}!4;Us_#E;6g@o+g2KPGp>YvsXsojeM!moxA;ayH%|&&M0(<#?040dJP~<1KP7 z-YN&Q<9d)Binq&EagLmTcgP*^PI(~SC6C6JH{ybR};H{w9~ zFbxSTu;mzQ6_5%M&Am%Ip9kk{Zyc`vRcpTm{qKX4T} zq!arWxeBf---D~kZE>{RAJ>qdz%}KGxR(4Dj**w*+VTcmM?Q>W~!^pj(YUER5jT^wadUYdPLh}6*76$MM$W-) z<)b)R&c*HIYq-4})Q$b9TnhJ)E90JW9PTA2;@)yM+(#aQ`^uwnKRFZkmuKQM`6K+W zyc!RcbMPbb2|P?bj~|t<l?(eecR zjQl2kR-TW?$jk9qc?V9HkK-5RpYS;O1|BaL?!omXIUG-rtK*4sJbp=TfivY4{IWa{ zPm-U&ugK%^WcdyJs{9_FB7cTolfS`P@;>~!{2iVu|BBy`1AB5kOD>Jyl&j!r^4)m4 z+#Ju4yWqFvfq16;1b$l{k7vnm;CJNr@ND@rJV)Mu1A6AI_xo`^`7F*aU&VoPP%qYh zISdz&qi{hv4hPGLxUigpi^zj;QF#;&kuz{HIU5(3=i`80dCzYd&M&XUf$~lqBp=5G z<@30Zd>seN1$*=T%Xi?SaupmR*TbRm1Gtpj4wsgD<1qPQTtWX6jzaRag=-wSCfPKaQ#d!g`?$2TvM)#YsvTH7`ZKum3!j)@-Zjd4sIxaj2p?T@qO|(+*m%0)8!xVIQbeLFBj;`dMbzEm*i?VQ*MAK z$%*(CxeJ~w55TXBj1lV$jNw<+#7F}hvF^rGkBYviMPwsagMwI@035syW|acx4aMUmCxXP z@@2eV4(QL%&&Vb4A-MuREZ4?I<;M7!+!h~~d*PGv!}ydu8VB^wTR&gI`Q>RiP<|f= z$;)v;`5RnF-issUZ*gV$60RcO!clVZRMr>yE?ixX!O`-4xTf3&*OGhU7sk)OtO z<%u{!o`##s3ve@e1#T{H!in-h+(JHwTgumPE4koq8J;!bij?kwMnyU1;DirgD_ zlOM)C?Y&zk&P6@8Z7lC%B*dFWg_=j#K3$_(AyxoGxF*FUt7_u)fO0@p!pB z&XjB6m*x9#mYjrNm%HPs@?iXi`~=RH$Kh#m7M?E8#xvwa_$_%Qo+)p{Z_5YpEcrV; zSN;{hD+dnb=SStz_&vD_UMM%l0jYWOzdg<;r{etbNE|3<;2?P#E+8+&1?5$^keq{q z<)gT;oQsRd0fX3Y$>BIeu8WJwEpc(V4-S<_;8Jo14wK);;qoGUr~D<3kay#|^1vwJO$oJsda(i4y9)ugqWAOd*RNO>fh?C{7aXa}SZZBWJedNF)%y+pQ z?kC6MVR9?{sN4?^mq+2T@+mJ4o+4-C*W?8_OJ0p%mk;2n@_C#s-@vjzzgKN@dt7;UMN3^7t4>~kL4HfQh7T5 zR9=dg$s6%<`6&Ke{uQr~gNO3_nQ|q(QjW)~?V@&LS69*x(@ujBRd0=z-~3U8G6 z<4y99c(a`U5%#-sS)3!+#=GU_c#qr%@0B0N`{jxFfIJ5ul$YZ}@(z4hK7&uo*YUS< z$zlAyf?N%smG8sf%PIJr{4oAO9*1+~>G+cTF}^Hs!dK*D_^Nyf|0WlHl=lzi3ix;V z9(-L+#sLoo{O{-U2j4dT{pWW1*7LVLC~rIq=ac8*{PJ=fC~v|+@?l&+K938^H*q1k z_;B)Aj>LuK`nZUkh>ObIaEP3Si^LpdGaD^JCZ4 z++GfOg7=r?3b>;ji#y59aA!FMcaewS6nQl6Do?`QguDoklK+LDly~E&pNH@p$38JP_$9d-&Xn)PFU!ezlH4D^B9FwAGTCE%AK02Yz23iWkUZ@dxr0yilHtKa`i@Me+vxk$ey@mUHpP@(sL1 z4tbW}$CoSMpuu_j-&kBgZiWlWDY%e41P9BbabbB9E+WsuMdc+pOkRuckoV#;@>yI~ zzJ|l);4$nU<#M>39D~ctO>mUl5m%K5;A-+HTwR`sqvaX6hP(*Zlvm?g@=hElpT-U3 zD>z;b8q5AkE`uA&(fD4u5pEa5*^}N5~)I3i2A9An(J?vCopT?8q$#}B-E}kNPd(;BE35oFiYyJLTdNxIQaK;k|MrykG8!56VOEVRd4=^uj>HG$cpUslUj5tSBJvO% zB0rCd%hPbEyabn$H{me(BrYRg!%1?{$<$wt#L034++J>jJIeiWXL%G(kzdB$6d;c>5d;c>Nd;jwZ z_WoxB_WtJxj!es|_eES;4xB^L;#heat}lOtu%I9$-`4(<0hrP!7F4w@#`$f9~(9;$9kWg!{-HaH>2A50Ib1gXAfAi2NQ-lUL%Q@(w&qK7)tLzvB^d z$m?9cl`G*Njz4bgwy3Yc$~Z(XUJReMENAnlz+vO4@Dlk^yi^{Cm&w!da(OXcA+N(L<%4*Y{1aX+2W0m5-G4lY-G4ld-G97_-G97?-G6+E z-GA)Dkq_tP?>Ssq{tHLRVKc~IxfYI=o8g*rPaGqUz;)!8aI8EV*Ox!XadHlhm%qae zT=FgQSB}Q+ukOe0uR3G*R}W$LS7WjJtJksns|DEo)mPa4)o$$m>U-?|>IQay zRbu8p`>QDI{_0-r{;EB8e>D)hpBjzbPrZuWPtC*br@p}Mr*g3Ssc*6SscYE%RMEFN zKeWG(#5LsxI7V)R>&X3atULE?aC^DXtbg`%5xBF) z>){l+CGIBo#%b~hJXD^5hsiVXaQPEFLf(K!%17`h`67Nw4t(dI{bV?vr19E#vfK<$ zk-Ot8c^IB5zlgKtX?U9a5nd>-#f#(vc(HsQFOhHIrE=J8@?EZhm&;A?3b_m3BB$YP z@^d&xo{D$MAK=~cYP?t8gZInl@Im=coGX`{L;lIt@df#Qd{OR#FUb$%EAk8Ys{AIt zCVzz8Pp`x7rw?KG(?4VP(}8pU*-zhz-A~uW?x$N|_tU*`wEEkTxTgFvj*;i$I`S7d zR^Ey0%irTT`6iB+OTYWiem)wzpT8fwpYM#_&p(9S&yU6K=U>O}=NDl2^IzeB5qbH# z4F}0baIkyvLGo0bCNIGwTsrmF@ax~7A6LFT@7iY^) z;2H93c&@w<&zINWh4N9nRKALr%SGR(ACaT+TDckCAos*uZ^2>mDI6}}#F28D4>(sg{5S3>m;H$P%dxnJ z+#2_h2jEnB3?3wB<23nWJWSq%N64q}DET@bEtg!(^((n1PM4eG47m@^l%K?tvmq2Zzf~;|O^wj+8&fQSufXEq{k&e|8g8|D0je( z<)Ju1o`4hOxj0Gw3Mb14aYy+IPLYc*<@}dx;y!XqoGK5%gXHINnmiK^lULvo@?Jbj zzKBQ5MLy;Hm#g7)xf#xo`{7LaSv*;uhO^{lI9uL{XUOOAEVFTYqJ=D%D4Z;>0}9Jw3bEswkSF3uc^;0E*WhURD2|b@ z;aIuUznK4W9o$fEgB#03aDqGzC(5&NlDrBh%Lj2s`3g>vi?3n+%QbNyxg}1O2jD^S z^EgeOiHFH6@CbP?9wlGIqvaxBGymmkI9+arGvt0aQ+^gtmZ#w?c^S@@cj6iHc|1!l zxR&`ZSH|<@CU~LT3on+R!b|0;c)7d;uavjp)$%#KR?feU`7c+%TjWMKNA8Aq%OmlA zc?v!(FT%&=P588Y2A`Gx#<_C2^~`@c9$%6>2hzJA&)$m-oIi4@4;)U{ac(FVaFO|Q* z%jNxerF!!S2000Dkq6@(c^uv?&%yiU)%dV{1Rs~L;nQ;It;~Np7U#3Q@2%54YdeLh0LZFp|pcmxiT>*HWK35Ur2aH#w^ z4wEx*q&yu*$sgiq`70bF@4&J0X&fhC!42i0?aY6<3{H@1;6(X8oFuoy$#Q?(Q67m? z@eFwto+antx$-GIU%reN%7HtW|MDGpsT_@$%lF}xatFLx9*Ebp0-uy!kyJ2gxgNu)GC_$VYLg{4)-d19p-Bau|+~qj98sAC8jS;b^%(j*%b7v2q5E zlc(c`@<+I_{54LH_u@qPEKZWI;bb{@H}hXEk5lA2xQE;f_mR8dRQX{%NFIaJSFTx@Cf-eJW75KkCs>BvGNX_E`N(N zuJO5s}ZT|c3=W{K(?e%%%TmR2^?rm?# z8!vPS=gYf)wcFm9H{Rm^j1Ri)O?l(v|Ihfm+uocvz78k+_u={b-=}f9#xLRw`6kYk ziy!9olPlpYxgO4zTi_XTPdrP06wj4k!0*aa@jUr`JYW6-zb|jY3*-}cp?nd4C-iJfv^Egz_ zca-%@4#(kgT^u2|#F27e93_v!(eh**BhSaN@@iaP-jCzt3pid5I>!1YN8v_t0&Xm) z;wJKQI6;0LHC&~42Yq<;QTc zoPpcPZ{hax65K)l26vPX<4*F=xU-!91fPE;m&GY^4DKp7#ogp?xV!ua?jfh+p7NWx zm%Iq~mcPb*{3Grs|Bd_0rB9MSax@+w-;W2$o$(O)A)F?U#Y5%S@i2J-9xi`{ z)8)N5L;ewG$^oZXKjpGGORj^nugT@4)GD49<|7<4n01o-99( zv*b*iEziL-y_|r*kyG#nISp@=$Kp+L7Tzq+$6Mr;c&nU)x5?k)?eaC8 zBNx3u{>qVfr`!PVlH1_ja(}!>9)A&H;#@iKBKa$a;|p?ad{J(O z1A_AMwt@mg4_Z(m3!i5@}s!9{31@2-^4BC4{=NRU$~XL z2Petj$$a4)>H><6d$<+*^JE_mMMkU-=!}PhN)m%bRhkd>jvuFXKUS!C%Q= zxjasjWARYA1s*2%!o%gqaJoDZXUOm1OnEt;EN{nI@)?{h|AA-7p;yUYxjLRJH^KAe zu6UvRC|)d2z)R(K@G|*xyjVHSQqq!5!suxRd-R?ktDiB!A_qI7M!RyUHDKH+c~5E)mFM7L@^U;}-ip)Z z(>O!^9cRkL|0aLssyIt-jI-q~c!oR_&yrunbLAO$zWfPZC~wA#>M1l}me;!ScQ-YoaP zTjXJQtDKIv$#3HA@* z$hB}sIRST)yW-CBP~1g+0jJ2>xU2jj?k2Cn-Q|6_hn$Oh%75Wra;ZXr0lnqwxR2Zz z_mw;0e)169Umk-~lJI=K;EFSp0v$OG^O`6;|no`g5av+-tm8Qvmq!dvB| zc$@qS-Yy3fC4c2R@ea8T-YGZ7yW}2txBMvHBag#-<>`2zycqA7*Wm;5L3~jD2_KRJ zLdakF4tzweiI2(;;A3(MJ}y6uPsq>Xlkyw*l)Mn1mj8v%$b0cw`3HPXzKL_?lEuhh zxf;G8--j>C9dSUBy!?F#2g%RlVEIiPA}_|F@;5k4K8nNT%Q!*~E>8Z+6>*dtkE7-G zI7S|VW98>@eR&#=lb7Ilc@u6ZpTmvh{3Xa=xiW4dKY$bDF1V@u5N;-q!Oi8@aH9Mk zZXthxTguyUEBO>ol7GdmTmR%3bgv*#qatHY3w%iKg%8V*;UjVeJ}SS3kI75$arqm3 zLOzU7%0J^%a{jX9uUr#xhXy;cf+~zBlx_WjxWe>;*0Vk91xP1zw2<2d>9AI zzu*wLU^w|J--W~EI2(1#AIA0NOE^vrzLWfwBXL7H z0XLHS;KuS;+(dp0C&(Y;rt&)6Og?~{%Rk~o`7hi;E?JKJm8;@b^1V1oZiidT58^iR zQ@E}C3Qm^i;&$@qxV^j$caTrvj`CI9NiJNT{FN)T&X5b-MgGbWc(Qyq&XU{UZ23VvLmrK1$*-AHa*{pYc+; zKn3zwz6&px8{idkJG@eU6t9wB#;fHI@fvv(UMnBP>*R}gy_~-y`74*f8|0dJquc~< zk~`zg@({d5eim<)r{Hb!dw9FN66eS}@DBM5-YNf%cgZ1<2x`#3^g zjU(lKI7&W`qviZn$X~e}j+N`<`f?i_ClA2!^0T<1JQFvPSK!9-LEJ?C6(`7rqR3yl zJZ>h}#m(jBI8pA7TgZ>#mhua@mHY-yl0U$$<*#rXc{gq=e~**p8@QcZqAK|-N8t|g zy||;?9(R%l;?DAD+(mvBr^xegSNRLvP0qpHAU&AZp5AaHPGhQWs zhgZviHOOB%60eox@H#mOub2DaZ{!hpgPegk$}{jLc`@EBuf<#B{dlXKi?_*t;q7v% zn&hus9q*7ET;N$Ys_=G$epOoLlr{op*w7eakkx%2Z@^AQ@TqK74l`G=&avZ)Ox5gLc zemEd3FMprJLGolAEWd|CyU0J_6!|9Z zDwm8Uf8}bpyL=z+A$P<*<-xd@{4DM*zlQtB^KoDKOWaT1iTlgn;Z*rL9v~O1NB+u{ z@euhQoF*sZq4I-xnEVtTF291)<#{+m{t{=(yYXcC2b?AUjkD!D>XW~644x%7$8+Ug zc)t8NUMRne7t8PBrSg|}nY;%tmw&`7Yjmiyv0^0RoYJO!_l=i&A8 z3jB?{4R4T7;*IhZyh$z?NB+v?@D{la-YPf4+vM(eyF3i%$S>j@@-)0t{s`}q*W%ss z0lY^(kN3*A@IE=L0r@M}zz5_e_@LYcAClAXVfi_HM4pO|${*lk@@jls-h)rb=kQ7S zPkc%ajVFKQs`!lD2%nWZ;B)dIoGU+r&&yNr1^GREQC^7y%H-wmE*vDE!@=@jI7AM+ zhy0am;V`)w4wrl42zdmKlwZP8@@yO}ufQ?#P8=(r!}aCAahzPHA^9uU!42j1xRE>* zH zxR<;i_m+ReedND!U%B*smJToF(_b+45s}hCC6^lHb8|<>h$3yd5u;zr%~=Kk-tz^!?tU>Zn-YrBPZg$a!}a2zU6z+v(%94>!`Bjjy3QvMc4 z$=7kT9NLWhm7{U2oPg`gJ#d^n0>{glxS_ldH@4&<4ns~VU08W>?;SBjvoGEAE$@1GcOJ0Vv z<*j&zd>YS^f5&s>;;qPExhh^L-;Wo|UGY-+QM^o^fS1ef;1%-cc%^&*uaYn0)pE%s z@>i~d*UHWCI=LHOFAv4v$j{>q@>IN0UVt~rtMF!dC*C5T!CU2Pc$-|bHTf$?;vBgF z-XXWaJLUd(mplsZmS4tuUA$K?t5ggg_Ult00zVc5xQW~wC&-WCrt)~)OrDOL%OBxH`D@%l-iKStKj2pKpEyY_ z(VqO3tKc?rL)=zwhm++2xSjkoZZA*99pra$M|lPAByY!^<zAMPnXfqTiBxVQWc?jtY5edW!#pL`tmmoMW~xnM`~S1ykS$+38d+ybY` zz3@=^F+5Dpz{BOYaJsw{XULmzrhF1lmVd)pa!4ogSFVC*$c^wUxf7l%r{VeX3wWVC z9WRzY!As@Mc$s_(FPDGEE94TL$zQoHUM081tK~=V8aWfMm1p5~@>0BB-hjW6591B; z1-wxX=tBO=VR*9~jkm~+@m9Gb-X;&m+vR6*j{F+lASrkvLa=37?l|;S2Jo_@cZC2XxHK-(xtRd$%2$aj=LUKJE zEVsmk<=(i6JOUS$C*TlyCN3s_f{V)=a0&Sc4wWzBl5$}8z<^S6I4&*M#%1M194`07 zcgn+YIe9!TFVDac^2hitc|EQmAHo&o3pk)tUVZZQpgwXLoL{bm1LXu9BzMIHd4zHDK;&t+U zc)i>KZ;|`st?~%GP0qlFVDNjU?D$#3C1A%H$ft3X{41_17w;DsP))9ctIKgXT5gGJ$USjQc^Kvc zF8;TEOvFL*J2+VW9EZr;ak%^=j*#>9zy0`p1pmKpmv7y9+v)#N0Ds@2-hakh|98AK z{@*a;5B|sh8-L;djK6dH{`vSw|9{^u-&&3H$;WVh`EML3S4`#k$_coDoQey|>9~+Q z2M5dRaAEm7TtqJTAoEGCfkWhETugop7ni5v67pv_R6dML%75Wfa^(T!kK77}$;0p+ z^6R*ayaJb%kKu6nPkg6bejxKrz7Lm|d*cXs48BW#8&{CO!WHFXI8wfeE6H~aV!p{u za22^fj*`=HRry_9OIcPBRO|FV-$}Mp%`5_!5XX4uOhq#Ws4cC=_!m)D5 zkidX?a&25+ZjbMlAH#8S7H%MaisR+I_#XLJ+)xgCC@|n&xdCn@cf%YLurqWf5M058~C_f zcxYh2X*nF9m8;`iIUZk-Ti{D_3ce~2#MkAK_@?|K<`3rl@Ab&SLGl6|EU&^L@;)3Y z|A@onzi_x*>Jio-xf+g?@4-=W5{{Pp;#he&j+0-&4drZ{Ais|jg%Uy8^`5_!CKZ8rk zui#ShJGiv`2@aFj;XCAgxQzTgE-U|z!{x#w*bm9&aXGm*E-ycTBjir_E_ooXAU}yK z$}i!7pY!Vf4i1u6;$V3f4w1jdq4F&pCYO1f=O@SG2)R3sl%K&-ayE{ZKf^WTUAU(F zGmepqjAXr)qj8*^h#Sg%@g@0bd{v%`uggpDO?fNkg3JH%=sXUR3qQf@B}d~+a$_72 zn0No~I7l9ebBpJVPrw)CnfQ|Y3BE3Gz&GV1IG{w{Ji{X*W?SH%0} zy7;jC06s2vz^CQ@_^kXG&Xr%l7v$IQC3z0MDu05n%U|Q0@-ECD82Dd(PT(N<5)PIN zJW0LeGB`|*#*uO}93}V0G4d#Ux@6w*Gx1sZEu1Sa#h2t998fCn{%3Bxbe{jjL2}qr ze7|xPe7Zv3*T>^rxedM~_rurakvO1Y-u)-xx$;~*UtWQi$~kzsd&Uzd~dP5D9W{mr=B)_&$aoOglf@~t(vEdTPK+vQuwaH0Q_{^`%# z|G8bhH5cFU|8@KLUtu5r$n9|*|M%PX*Yhtk_MgXZ zfFJGj-zRwaR%<*=?vEdmN8v~0DR_wd9)4I}i3i9#@Id(t9wh&cQ{|%1@qW7;g@?;c z@ndpN9IV&pF?@H&yyrI_7u5J$c&P5b1jl#I`}*BDPW}zom&-iQb*!8-MU9Unf{IgyW*!7CXu2);^dZl95>q+c-O~S6% z9PE01hFz~5?0Wr(U9WuUte5|Nx}48taedXR7IwXwV%Mu1cD+Vp*XteZdac2(*D36J z<$Lj;^{RqhuNK(#>WTB~`yYW_uL;=odJDT=pJ30g4cPTMiCwQ>vFjB)?w|F#3%g$R zvFp_eyI#Gq>op3yUaw=<>qG44`!%kodhNrm*N@os`Ww4mk>meauNK(#8iHM~m$2*g zA@=;*j$N;xvFnvDgZ@|b3dgQjZR~nA#jaO(?0OBuuGd8DdcBQZucg@YYa@2Oj$+sA z7wmckPWWfNBCzXqFLu2;VL#u8aIESz2D@IbW7lf|cD=S>&##}c>s5T>KkHQ&yI!5J z>-7Y7z23yG*M~UocKOy??0Ox*?X*7rh?C`8xRo6C5Z&#m(h$ zI8mOC6XcI@lDq-8m%qgw^s?HxeDkA7=ESM~cy<}&0wi%5~+uf0wopENCU5NUL6hUdi zYS38FSQCw?#1=JTi6zEfFu}hBHNlQXEQvj8hy@uV3Rvd_Y% zbIv{Yo^x({zcR-XzT1oNehA@*0Z)+sM*{PCtVp0ug3s$zMcil`MM04^YsZ}&esotIbSye^ZY;R#bv(k1&w8Lum1t&eEkNP^R@0JWxhs%IbXBD z>nUFY;CoTNE(GR$y$G1|^#)+h*OkCLzkUeJ`8xD(%6#1inDaFY%=vmEFz4$fz?`qk zfDfVmUk=Rk>+`^zukQhKzJ3kN`8wjIWxnnW%=!8gV9wVfFz4%ZV9wXmfH_|;1?GIc z8JP2R1u)OAZvb)pUSzrF~} z`C0|$eBI$?Wxh56bG~MQxqpuZ=6pRF_#9dv{~Gvg!fytCJmG%^=JUo^fzKfRXTWC> zKKSM3dE;)trxHH~d>Y|d;Kvdk0G~30nGQWj{|eQz6Q+sx*nL%hqr%anXhfYoUca#bH1Jh%=vmVFz4&vfjM74 z0X~K5<$za}_mR5-bG}A_IbS<~IbQ={&ev0bIbSaa=6t;anDccdFz4%Qz?`q^fq8x% z^6D~QcLU~pZ3gCi?FQz2od?YKuNMIG{poUac7bG|+Y%=x+jnCI6Yyr#_8Lx4G7 zj|S#^JsX(w^;Tfc*QbFwU*7@d`^ZheoUhxxw#?VPfH_|e1Ll0K0CT?12j+ae0GRXj z24K$Dhk!X>p9AK6{WmbrubY86U+ey^%-2R>&euFJkN0%o;{FB9`FaH~=j)xooUbnc zbG~i_=J|E!*OmGD6JXBQ0GRXjm%yB_w*hm$J_bB|t*(6)nDg~BU_Ngg^!oC=aW~){ zG+)PnPawPtcst?KfoBOn9hlD>uK=DR{++;l-uPEwK5u*tcqi%q0+`PmN57#wZ#)3_ z;ymEhwJBh}f1L!(>*bSxA3^QE5SY&!?*iuY#(x0wdE-~WeBQXr-;}l>% zA3hJ5&l`US%=fPk0?$zYJ`2qG`X2Bc@izl=zK(ihnXd-|bH1j4IbSCObH1Jg%=vmD zFz4&O1I+pQIq(AY_g0s|p7M1pFyFtn0CT<;fH_}hm_D5c{|cD%^*&(E z*H?f!U%v+C^WojzROaj9z?`pBfjM7K1?Ktxa$wHaJAgS~9|z`qeGQoNbv-c8uUo&l z%-6BNoUg6GoUa97&es{hoUdm9bG}{y%=vmZFz4&@z?`rD0bWn}S_9_$*B#$d=IcSg zoUdtM&exg1oUfMxbH3gW%=x+&nDccrFwd{MzqQQQ1Tg1o4=~@q&Ijgvy#Sc=^?G2= z*N1>PU!Mc!d|e03^J@*5^L3|xDD(9oV9wVxFz4&ffH_}J0_J?Z7?|_*kHDO-PXY7& z>s!El|N1{*o?o|lTbZwW0CT>!19QI40p@&N0?hgP05Iq4YrveZ-vIOc`lGj(`Pu}` z{o4i1`8pk#-+%i{!}R{!Wx&G#tgd|&_z0@s{{ZIo_6FnW{kPk`1NQX(+XDF-PJo*2j*^~bR-dXy;J23m-W|;i%2Ilk2 z6U{!qR@eR#nEk)Oc=G>I!{q;3!_@vNF#EslKbHRQ2h8W)hXZqe_5id03ydfKF9Bx% z?=VdMKLz}{$EGc0b?xiG7Zd(D@Uscu>RktoJcsZf0bfFRGw{m@F9N@u@EO2wB>W8E z%Lu;;_)UcW3HZH)KMDLk!rumdKjB{jUrG2j|Ag;P5xy7jRfPWp_!`1Xz+WPKHt?4T zKL_}`gkJ;vJ;EOV{yyPP1K&XSyTGf2Zvp-V;iKMt(8wDI-y8Vv2|pD0g@l)YpHKKq z;1>{n4)AjczZ&>?gx?SRYQk3ozmo8`fnP=VCg4j6ANihxM*f!YeSzOj_)mfVf$$3O z+X$Zv{1(E`1%4~xHvnHw_=CV7Cj1%Tj}ZO=@COOs0{kJu>)wmce-XYv@V^m09{Ar0 zpA7s-!si2jitt|nf1U6ffxk-l!@yr7d=2nd2>%H9TEZjm!{<#1-wF6fgdYg}KZLgf z|A6qLfPYB%DZn=oelhT`2)_yV*MzSC{w3ir1K&var@${JJo0{g9*6KB03S~H-oUpa zyb1VSgr|Z3iSQoaD+r$r{4v7M171h?^}zQd{9fSu621y}gz&Y%2N1pv_&~zH0X~TE zksrYRN%&acLkN!pA4+%!@L_~c2L2nu=K;Tz@NC7bd(O_(;NAfR7=(0DO1Cj|cuk!p{VLAmLX6KZx+V zfgeoxQ@{@;{4L;z5xx=lPYECKA)FTp9|t^7_@ThN2rmOaitrPGA5Hi#fgeNowZLZ+ zen0Rzgg*m(F5&M1Kb`Q+z|SCj^oI``c_!ie0^geO1n_MLpA39w!cPFc3*n~$A4T}3 zz_%y-7U0(t{%2r5Z+`}u&)eSw=JWQ?fcdjGfT*Ixp2zAgpke7yyj^Yu|+&exZLIbS~l=6u`)d=JXk z;s0FncLnBrJph>VH4Du5qbC4!zFq*#`Faa5=j$q9&ewN=IbXjA=6oIbFXj3ASYXcA z81QZBdCMg55rkKO4K4`T8+1=j%7XoUbGPUzx9CfH_~Iz?`o~0CT<`1AIJ<_k7?(DPI=@ z4_~WmF9+s)z1j3Bp8pEW`T9OE=W7j^^L5O}%X~cqnDez4nDg}%<0-z+2R<}+aCPl9 zrceAkfjM9Q49xlZ95CnWTfm&J{{!ZH9eib(uR8*BzU~do`Pu@^`PvE0^J_0K=j$9` z&e!vSIbW{@UQgqF7w{pJuPcCuuhq3L0CT>+1I+pQ9WdwX5C65y*Pj4$zV-t1{CWy7 z=j&2n&e!{mr+i#xeD2`t+O>v>{}C|f>sP>>ufwk@^K}0v=uejUx(6`lYYdq4H4V)3Yd0|G z>xsaeucrfZzFq>%`FaB|=j%PdoUbc^IbYWRbH08E%=!8~Fz4&`pMri)$m-g0zz0#j z#({?~{2mZ6&#yheoUbPXbG}{)%=!8NFz4$UV9wX|z?`om{;kZ{{ed}O4*?$baCL3o z^eLZ@0p@(23(Wbt7?|_*GGNZv+kiP=mjiRYJ`K$I`cGia*H3^sU%v(Bd>!%cWxkFD z=6pQ_nDey@nCtVgzz0&k&Ica8R@a^b%=vl+Fz4%iz?`oy19QH94$S#F;_5PA_Xp;D z?F8oeb%ybjkEZ}1m^-+-_9D|K{Bw)_h7lAonKLh4` z9r>9uUk?E0eC-70`E>>`=j*w^oUb<-Px*Mi;oQO1wNIHo@m~ezeEkTR^K}z2=j&FV zE%Wt9z?`oQz?`oMV4hz~z?`pBfjM9MfH_~!1?GIc2AK2peqhemzX9`jzYaV?`MS<< zeyy(E0?hfk&F9K|-4B@a^$1{|Uyldod_5PK^YtcR&exT|oUd;iPx-js?DK1N?SRjh z`MNzY=j%9N&ev98&esXRJikr_=6pQ`nDg}_V9wX;fH`090p@&N1lonUX}lYN`8+=fJbdB)1ty(wS60X~lK zAAYHPzVR^NdlLUB;Pr%`4ty-(*8$&)@D;!l=hw-=JipEX=J|CoFwd`70Q3C%2VkCGmjmRdN}eQ<@1eo z!2EpU-oV4x>e@qqd4A0U^ZYsonCI6ez&yX+1I+X53&1?Tt_SAz@W`)}^XtLDJim?y z=I0x`O`r00CNSsgsldD*zS!(Z{|&&LuMYupzWyDU^Ysm2&eu)|e7 zUJp+Po+AHG2j=zg6~Me6z5|%o!%qV9didYKydM4znD1YA{CfF({sF+8&naMD4^IZ> z_3)X%ydJ(4_#U*LT@B3Z;ZJ~hJ-ppF%Jpy~Ft3MufO$PU2Y6Uft832(=JoLPz&yX+ z56tuH)4)8xz5~qj>lR?1Uq}6CIlt}=%=7D^z&yW}fO&qM3C!!^Gk|%1y$YD;*N1?4 zetiL$&xbz*=JVk#hVyH6?dbn1=huCJd44?tnCI8|z&yWR4$SM}2Z4EheGQoB*Db(2 zzwZ9ca(;~g4_~Wmj{xTR^;lre*9E|wujd1EzFq^&`FbBP=j)TeydHiHnDg~xV9wX? zfH_}BeGC1i`F9Us&et|z&esYs=j#c;{5($27c;5-k^Z(4tOuWthL`hNp3-kZkew; z0dv0Y2h91J1?GI64b1uaYha#V?*!(2eFm8G^4xuEd-v%s9MX<*LR ziNKt%CjfK4o&n7B>u-TMUvCHIeEkbB=j#i=oUiWzbH08F%=tR>`(?iF4$S%53e5T1 z1-zcd`#4~JKEDrm_*z|iAu#9b?|?a9{{qbU`Zh4<>vzDMuVenZ%-2JKIbV+g=6pTf zc$ze`zP6aQgg&ezqzoUd;JbH08K%=tR(2W7tQ3e5R>5HRQKcwo-gGBD@s z3}DXJMZi42UJA_ldM7aF>q^t7@qPuEpZERp{SruSH06Nkd*;+X>A1 zehe_@`#fNd&ohBJ9xnmreE%IV=ljFJobRsybH1+!=6oOi<1*j(1m=AIDKO{zBw)_> z8Ni&MrvP)lp9}o=H2zlr4_~WmA8y%yxyApgh41e^vH#ed$Cda$~7SK#9l#OFyK1kCm)n0|h( zu065Ezo>=Z1kC-vyv2VJc-Z3V+K+$_r1pOcJbbOL-Qj0te;xqL`cuHe7d}q{Jd~`i z?E^k*!yO(RbynA20L^P|Ar|8KSMT1)?~KQHY!w(x8Vp9akBKMQ!+!s^;3_Y&uL5(vk6bO=9|s=3R@Y8w@#h1x z{mX%QJ^2UVVGGDt%l=!y!`JHCO~BmVQNJkrb06U03*YAlUY`Z4Yb(IlZ67&4idNUo z2j=hByrgCS2E+M4wz~FiV2@jq+f!~d`B|FOWs9Px$TmQ1uziSKMzl9$H%;)u)7Qfu$AJgK`Zt;KK z;-A;zFKO{_03Nd*U z$AC|-gRwtYdwWU$-r!FHUV;2T^vvwvLcHm}tA)QpIQS!M`Xjftf9w_8do^L>-$>Z( zKiI<4|GM@^W<7$F-9ykn!?tE00Brg<!p2|S!aH+34jWm) z_~%;rAJ*r(kuyYmu4%RR1H$&7*R}8sgsnfv-xmLbR~+v&VdHOT;YI52h3JoAD^aW0 zX9F(*+a8hX_nKT!>qh=o^#7Nw_P=>#=uBwAx_Y>EBD~`KI-9WZZzOF0c_m@vKS|jB z6Mz4rdBySK?@wfG)NzE(9)EA5;PLk)GB*C9gv}m*Kce902phkTu-RWk*!Uk1HvX4{ zjbE1!U`_uC8-H30uTZ{>KReg^x{=q5e4N>`|2kpo&u4u<=(AHv4M`8-E>P<8L4w+P@=&;T7B8O4#^|TKI@O-`0)1L*)O3 zE&cZrHvd-;w)THOIP|ZDe?i#vUzq3jx{;3v|2MVt?|Ua0g;z5^!q(pTgw6lOgpL0u zVdH;E*!ZLV0RMznZ2wM#jsI>7f1UdO3DN(9^MN+5SCx7N}`rYwQc*XHuPuTcN|FEH(@%@0X@rO63C);tph8x{>dT z{H>$*O!c@HeoJ1D*Nt2+>>t?DKf8rLN%pr0`=__`FK^+;=Jj{o$N|5~hbP?g<9YY$ zmi~)L|JFkPKU?}AB>np|k+T1>_AMU2%=!n8+yU(+d;m_=As;#3hmITz{_qALfSc!o zTK-M{z3cH$c;USR{O`f{XdrH8hVR_Mhqv%Cg#Sdek0vgTE#4;d4=0{WB0h3tr_et> z-#6EdEC_zMKd&44Gr=E0@q3)$$BDP`V+CsZbKB6rE7#J2|JF@^M;iW!1}`@Fb|Ys| z`$wUrrvZ;P_mkUWKD-e4^$6lPIK!6$ACLdG*HOslTY(Qg7H1sbqY>}#13wD+vK}0b z^W3k1e~NtDedK7^AM|T{-<9~Ifj@@xo%y!*j|F}<;&*X_?+<(f>|=WejBEz}68d9H zoaxU4r_ow}9u2$=>;GcIUkLo;^|5{H-sq6#zy~Y;Kxw^z61PE(6{lK zzyAfksi|M{cO&qP*bneG90PyUP3RBwH#GXk0bh^y;`k36IRkj)%DA53@6L=o9e5S~ zcQ*R30R9w`y0^ja0KRT(ez8V<+AL9L8;H^#l9)qOF~FlZAMI=Gp9UO^8~eWk{wS1f3AXm%1bpW_zC%Yo z0DL3m^JBo5VLZn*`kw~=H2m2S%|1W@#$@tKbgKjx!m@ez=X_tC%)!ublK;Qudx?@RG}G4M$^-;Ou@ zYk?8Drhm5`c{}h&4;UFav*9lXw)F=k8}ji<;2E5c-rMm134CAl2N3Z;Y~(uNQ(%8! z!`}kDieNmn!FTx$FzPq)_W@4NudLqw82B6-?+ox$F?pWe*slN|g8rxcoC}=`gb(&Jn(T1UJsmJ>+v6>z%RmhlK7NH|%YVx6lYzgq&bl1^zYO@ItI~K^*Io~NHu{eyL;o)ao~QNi3&82M9{=&3 z1|y}=KVam?z{Bm zdgGCwR39U2_&r6;mz1yD0AB~i*kAM&IK6ECO#!F#$Wb_toZRBi0)7zY%OZ@d9-a++ zKMV{h3iu7c*CPH8Z1DSlkA?rlUj=+zQ~$P}UkiL0lD!WLg0*)&aC)uBfBYW!0*cS= z!%V@Slm~Y-9=sg~d?E1<0X_=_bSZdiZzu47p}!V;!wbOA#(#ge!7ISlmc4BJj|Kh` zCf|Bs)4vFKAKH)e;jodH06!YVaeTwS3OJp=Y&>rV-iG}$&HqmTrE9DLy)3@t#*_X|;}4G-#xDaOfbqN$BJ2O-fPX^$d$Q>_FVlZEusttD zchR3iMqUd1JKX=)1DpMO45J>#8~h(+Z#w@4{KIUI`ER^6hL^Q};6VqEED}Bjc(~sk ziocCs_UA#syq=5!zZLcLK(uH5Spxnk`8y5x*{GL&4gX}|V-ehkHuyQfpFVbE#@D8|0e>k4=BdxeBhh0ejnfPF9uF8YyVY**MoR>3tt8NBZ|kDfd3ccxA)-8-*v#; zzuyDj9UYo$_#+R2Kb$wO1U?$G;BJPS*LwW#DDXEh-_m@1IPkUD-0zE=hVcMjN8>#g z_+bcKS}&djY|jU+1T5YoQ|D}4-gn9c`yPDi$Z`8djyrAS)Tb^#^}=1}&7OPKzUfo; zopRzSXP*V(1^X`C_vACqfYx9zvvaV0X<~k6FgdehcGqA4(Icjo26MB^D*>E#-r(_P zpZB;^&K{h$@4O2x9Gvpxi$+d8@0|0`K7HTmr#*Pfrmf?!5K4nH&N}z3!6_G9aLQ8$ zr=Pp;f~St0alt9)oIW`1$>*H&)RFTZ_k`0=-Dl?8U{1^cR{ILGb51$yT=ewRr=D@v zzI~@(FgW#$#~VE3@q=?tKj*^J_YKZI>%x5x9^X2;6*|TaPC4zg3kLg6dED8jn|LgV zPeTBP;*BGd%Y)g4#o1lU8wMK&Cr->kdBawgZcWl{EFEv8qZ?SdIY~!HCg;$<$+^MY zDNjE4)H5f~J8$3F=bdtzb;qPTPTx0w`aa-&r#$%7^Ul3+-}x7ucm9LTY7nw>%D&Ut zgmmC zI~IXZ7P?D=`L=Eqj?jG_4U@{AWJ0wrM{5>xv_{B?W`cZ7&rfiQ47OMsO}n|EmgGXe z-QlF)?r)N}LsG~^`$cM!a*>&-xf7;sIie~}B5E&*rk0wDPlCDWmSE4)2^(tBXiGG| zyt33*Gg(`2a%%gIww}q9-dM}WZs@f{({m@aTZ5?G7~-^iLd$YO$fm7xDsYhy;fsVA zQzoju1RwaPJvy@(^_-7#ZKhO33&;8Bz}jmKI$J2`W1jOd&-s}7sNxS^a};Ip6F# z4}@|a2<3c>=X{Ije2eEi5XyNV+Ri7eY?bp!h$C6|nB zp?Vc8Qm?j8EU#cu=88%p%32c5&MzoU6Fb^@lTJ}dr)iKs(KG&@%Wp2d##R6f(N z@>D+6B_f{9t7dml5bFAnPc|othu) znO-rQrq?hDf>i#%v%6LXd6dBOxQn&CBoXbW);+Rw=hb$);i4nszodR8hy#{oqW>JStVbi5IB{aOSi3C&K~_dB%8H6kBJ!F<6tyI(d`>M<)RGoO zEr}>;BQA9pPwirvEL+v(- zGjkQo;^r*azF3Z?JiD>X8g4AJh8xSQ;l?s+xUtL{ZY;Bg8_VJtQ$?#d?hCdrmSerK z%o=VivxXbXtl`EoYq+t@8g4AJh8xS`eo{rNxTFZSFP0m7W0^JFSY{13mRZA%W!7+G znKj&4W(_x%#S@w;TE$};!S=;+yf>Cv!;NLuaATP@+*oD}HVVXQOzoTRI`d7)vQuPb$Ml?yqw!XGzi;u z8H6_9>tjAq9af0BDW+?1c4TeV$KBj!ebyzatIc}mWy5;b5!KaZef-IW_1TB0t~Tpq zKsKz;07P}QSw2}E_ZiMigsX=Y1sZZ*XO|flm#JxD(|yD5gfT5>taH zuR$>{l9iYjM0pL0qDWR^QV``eC}u>m5;KA*uR%2(l9`$g%z14NQ1I0pONK_e)e}h5 z94$5;d=O(|at=?H7nZ7qnQOPpoSq}KMt>V*!xM+ZVM5mPr`G6mHaZ}iE@$=JBE!&~ zdWzK=B<&g$t`YmlVNSv@DoFgUBHX01VzE@yS!l3{RG7jLaWk}hZUTqeWd zte(=f21&Y{iFr zcP;LoUmQ#?7w=IP#zPG+5JQdOhvY;P%TsQ~V+&B|b^(P>4-1PE7z}N3q8Cx8+fUSS zTrE#5`BfN5ukk?ot@>pM!{Y8!3@eaMt6?C1ZyVa{Z$o#tJ^T!0(W_wVkEo$LJ=^3; z?*XZ{yFB}|FL?InUqg3!9z(y(q>*G;Pt0t{mHEBEStxwFQUX>r1BWc|$s8%&>t?rnZ z-BqU8q*EI=&)3qG{IRDrfYzt*SS$u++U=3y!|J7@`MHH1v+5ks5Ouo^F+IPCkK4<} zmS@zVGc^d(ZPYCDC)JwaksW=!rX;6lCU>V#UzN<%;_ksRzA;swL7H}xg4?I0(EP6) z@e;n%Gn>B1ur#wgQ#`nh0&)-qvx~T;4?p@+2vb29!Zfi8VVY1;*luq4(ZR%*jZEdw zt|UG^>rOa5Ux;}4A(@2Bo(?}AllZcKxnhK_|3Dnbm#2K{Os~_)1>W0G+zu- z9}MorOeE!r=@e=sOK$inVB^vpvHzYgDf?XQ^z4bLof#R zf+-tj;~k~C!GgxuCAqcTE6ccs)sn(RRN`h3XtPUIVI7%T*g2Crx-ws0y8@>01xy)8 zIE_2u6tZZa4}}3P&Q!QG$H!`Mv`Yuc*kqKIdJ`?3iN%6nS}B@X%85mb#i=^ra*)Lr zA_7kpmM{$x7e^k{=%5^Az|=W3Ukx(LO1)x`&?yEvIw%HdkgSV?JUU&Rlmad@S$wS` z@ia)-^B~7sgABNEUk?&4s!T#w3^L%Nonnw<(q5vJ%Bn(2s82-7*F5T?UOAx!V97sB*TdKMPbn51ec# zSdGbq)tF3Jjmd=7m`qrWshG8SOvT(KsTdRHVLc`jR%0?@H6{~QV=`eiCKFa;GGR5Q zVv^?G7gLp_VoaEJ^_Wapjmd=7m`qrW$%NIIOjwP{gw>dew`Owhi??G)D#kR9R;$`G zVKpWbR%0?@H6{~QV=`eiCKFa;D&Drpy)WMNA*mSCCbU|O$%NIIOjwP{gw>c#SdGbq z)tF3Jjj4E}Dfhm3bBUy4OqWvdL8xK>vfWPt+Y!s>bF$J^3N_F0uy;VdqXp(xZv?Cbx+W|~cua$OzM*VhyCaKp-yFjCUyFioFYo(pasNXKoB=uTp z7iiRP7if}ttyBdX4XOgoVz(hZs;WSnhOkC2i~3wD9^l#r0!gdtAW zG?5>&G@gQRdY-qc)a>*;A25be-l~#xd2Y{ev9=M!{+_g`q_gR#r??NZyYN|rSz7XQ zE5j#{tPfhYy@H3Q`6qGMU}ApvUSTnjA1_jr=BDs_S_}C0?Tp9Hq&HWFF50_OGk|LL z$LQd5WJ^_daQCVs08Nn}lh*k$X`LT*LF5Ph75RZw{9p?c`7vpoACuPkK^H`R&|i@sNJW0^ z%N;sDcyJ~1gMo6YCF%i{{mBb=HxD(g;*(md4(mFpT zt@DE}i2R_xB0rFd{Mh#Zb$;;7P2>jy5&5yUo&0Rn`GL63&&JODL{{XdIts)@RA+%C z7Wu*bzRu6M%#TUy{Ft=P54s@ogZ_&AKq~TM-!0Vn8JGFNKtz75Z6`nDIzJHC`5Eub zPh>@Ys^dsZM0LtaVv!#^qg0y`PS#?5GijY4lh*k`7es#0Uy&b3MSkon89G1s0WdLt zFc6U+Yum{WE)ME^0CAn4O`Z9PtjJGwe2s~y&aX)<@`KxVouADzKPIj7W70Z5=z_=( z`YZASsmPCg2U6z;zmX>LgMo5?7VkYXFL6(aYL0jYq zQZcte0jU zSuHNm5E*Rj@Ftluuue|TZr@&W&JtK($(jt>Lj%={%Aj5b(X^UpK`b(;S3+pZ4C=KI z+HMB*Y6uINxsLS^CUQYExkyU)k_aO^vFDmXXQr2D`N2#hp_^B(k`4w9us{M03`A@&0RGwHS!nE9Fc!StTA z)hAK&X;N-tYHnfbgyC@~Xu{ThQ;*g5C{NY*Bdae)Mgh*ooXMe(Mo7Z)>ystnS`0tq zT^bBO>)n>8BQMK~Gfhc2o^fc%A9pJav}e;-o{LG$4HajMlF**9wdXH>we^+fV!vmD zV#hBD?HL=b^lb9lbNhR;Ll5mQ$+j5fJeVl&ff)XHZ_$*KZI~2qgtTlN_m;-pP4j9QQiw!NPIxzgcuf1hyx?qn%iAoEr&f0j2vTNytd}k`-??OP8`vaGa&+_ zeT)vY4=288$%$`Zw2v{zhZA?S@8$NdKj?KweXwk`7!+GBD`ETV7k9M#o>6NG9WF#9- zEqaoVgkp=_WAB4Sqbt z2~A*RV}WrSljlA2B2T-K*jODo8XxI{vctGEMEb03MWhb{S48?ea7CnFyRV4!oA(uw zfBC*<>f&oUoPPzswsA*4>JC5ulvmxF8-P(K0{H%ZU4Eh0V4U%Q5my&WCKzWt(=+)^ z=vJ@6IDT+ScKQa!v6AJOPTRRHBAS2hhz{sf<7<_}Z4nkra zKd`9>lx*q&C8jR8#MBcmF?GR#aTdbBcq7F^=!`Tla-@NgBMpokX<+0?10zQom~y0e z2eQg0WYy?QrXJoja!-GGPnqTn)v#xV)!aBC>Y5L}qbo9c<)y zoK{SWMm(ASR8XA#K`hFok?XMF!llAuVi6V-i?EnjgvG=nEG8CVF|i1XiB(uoKPoI3 zzY2@EXN0hbJ4TYLus~gf#l#{kCKh2au?UNaMOaKM!eU|-7CfI(VZr!SSj2@W3}0M^ zl3axa>MATI7GW{52#bkDSWGO!Vqy^%6RWV`xseJB#$Sg8H#c#D!}tTCrcos7$Tae5 zA~o_VHjTUrPL!`KElj3|<$1<~(o;SVPG@aSRcm21sPov!RcEao)mg~B&gzK>A1LVI z`@TFpt8~>_ldH&@Ty@susF=okf}H&RYGc&YE0x*5sTy_=@^mNSz zLUlG0b!QuS)!9Z~b+(aLoo(dRj1F=!86nq&90+FwvXG-e9qvZ1Mj#8h(N`mon-BDL zbqB&3fmLNyFwsDcKvs97uX14eY6NnhKs&nR1L2IoN>z=(5PR9|#?*hI0K>Z+j#^769o-uT8-Z773A+hH}PZ3ybulSCG&crn2X!dix`d$EJVV zPxCBX&60*veiBvJS!T)`F}jeMss=MtRbgi8nIs-F!b$l{zxnI~arHz5+LODNaz)PuoII$GrmpvR$5~q=5=Pgrtn?GqShM&F?BQJHHA;ttER;4Y?>02Gc%$o z`ItKx7^8b-3_dzlo))qVn6fgA!8@jP;Uz+PF^l|jbi<6B7!C1e%mBwLi{auGQNVja;^G3rpQkNMTe5!qyuR2Z+(n*$2XVvxFLa0VB+>HJuFC`ox5O42$xl%yqcC`nt-P?8qLp(GuLhLSB!icKS6(St8{)bz&@N&J1AHS!%h-DQq+NJ} zWolv9_Sy6mj^Jw{zvIzBHi{iA7Uf|Fi?bAq<#2EfQ^SF{7>*T3ew*GJ4TAhDtV1`& z^3GxzV_czBjDxryFvLZtVi?Bjy0+pNZ`XIymLBnOauo)Mg~#IKy2CLRpVnou^oWnf zDvuBgkHyElhfNnB^JcO1h>un)j}QxwTXa;n=%{Ydc?{w@j}QxwTeZin+T&L3F^FrA z5EmXNmaKxS^&p8`wVK4kPnB4#leAid5>c!`CS2MhJ(^f}H1VGFi^a)d6k?l9ACG2Y zNog-lINJGJcGd*m6K(ea>+8hS%It~hiLa?aMjx0?zGuqEPAnGVGHn&f@V79BAJ8sZ zNoR;8T-sADelft+;+MoCl4A(3j14})RY%f1Ab65_~I*oH&Jv3Q4CafxFrhF`x z!eC+%4_l>VQd)OM9w~D8_MI1OSf`?Y>;7N~5d!$Db7c~$gYC{&z?st z;mg<9J1Kl|;MKOA#Bx$%qZN}f{H0)t2(9tf! z6h~g28j@HB1m^$|kS+DGKDSZ_M0#A>Q=L;{K(?rWm{1OJiwcMd9c>Yi>QEDhQXOiN zSOsLO7Z9{k2Sj>Y+9N%hSWF}n${}u50WqPYT?E9wsnuGNP1LH4@O>`)rH10~CfF7> zB-p}ky4+~E`3|q$yA9hvWmJaJc3X{Z@f405DIOk_1irGiVZ&e|JwK>P{BD*{;wKk; z62BPallZwBpTsW%_#}R)!zZ_Nkz2dSZ5`xjSA<5pB7`qc`n?_PiqL3Rgzyzbzgf#? zzDx{@W^#jlKXC(k>yzlMPolRziQf7odh3(Or%xiEK8bw#B=YH!mQSDTijd{gx9p0L z<>hBA>ob%+C(8 zD?*r`9hO}Y!u<3tF+cOCa@Bg66H(Pa>Z#Y5DZY zt_WfO?6B;L5cbaw%gzYl_?vIRVt#gzcrfQ%;+c|9;`xbB;`xA2;#TaFxFPx^Zc9G7 zt%F29eM`*G4zepkn4cY%T@k|k^er(zhd%_UHqb5>PjT~)2-dcE0@=aheU%Ot?}2o% zc#P7);+aARi}wLK*lk@bGTUK`)ON9*u|jgYY&&Cx^mf=Hzr#1w>m1=DT>0(xn#Fg{ zJ6L>Ns)HTxWH)uPn>*Ppo$S_5c3T&V{C128`R!smV}<;7*>=VX`R%Ype(@Gf`o+3B zg}8rp(YS$i(YS+k(YS?m(YS|o(YUL2(YUR4(YUX6(YUd8(8zQr-5oW%w{|t&9W}eV zc3JmC4K`}{$v%mR?30+sK8b1Uk~WKd5|h{`yCP&$ z*thJ8kWFA^S-ulYXQ}eN;DWG+PN*OCOkgxTkwW$Or1P{dS~^erBI!Ksi=^|kFOW9V z+zw$$^+_A2Iu}Qu&NH4!I#0nO={yCCr1KOklFn1GK-xIn-r6`_662JQH=!Aei>!Aei>!Ae3oJ(4(Ps>|gT;9DxjOpwPTi69ZYYuUZYYuU zZYYuUZYY7pcsu%x@piBnuXFBv~#*u6@2(C$^T19z{I z9lZOLZ1VIg?N7wR+wZ;Z_9x=u?fBl7_ABCc92JMLc0KKflD&D^+mgMB*{fs+`d%eF z*!L>g0l!zt4*I=HcHr+-vV(u066$F`l-OIV-qt8(>W zuw764p=58i^|oYhy7emAg`!u{8ROWw)DtExYFQYuSCL zU&}5&{aSVd>eWJJ?T?n-iTc~xuh^rw_NT4=iap9}KiWcl;m9w~zwHX!KfUla#(uOH z-q6?&y>Q9e54~{R+7G>O@!Ai)a0S~By>J=Z54~_L>(@ig?N^-RRc$}o+s}O1)9L-G z1Dw3}Pj7$oVb_(xhUy7#Reb$Q_VB&8C3^_pt7I3xUM0Ku^(xr~uvf|6#^_bD3t_L4 zy{*xwWEIx0WEaKWmi8y&R#^Ql?N7wR+aMi%(r<^PLelSv4B7O?NXM-rUcP&76;Y|@ z9+w^fbY85YQqMguD)rpsf)euH@6H~DbY1qMyPnf>RO&e`N2Q+oNmS~&pG2je`$<&l zxt|0j#HsU`AC-FUC(&KcDKIMaoC2d#&$&A)^_;t-QqQ?ND)pSZgA(G@d3=pZJ?HM| zuJ1e(wffF8QLFDP8MXS(l2NPgEE%=>&XPe3(ds^pMXkQGWc1j-2S>gBJvi$1pNXPg z|CuQ2^`D8NUjLaW=plA}XO5^B9$$B!iK5@0-6ksa?C(*jXMc}MJ^Oo9>e=6;QqTS# zlq_0Z8*Wtc_xI_U?bX+z>=7@vN~4^oyTQtRy4$ebw(;q%eI-Vn2Ad{KX>_o`p53Oe zQqlu!QW_iJBlvwv8wVTIhasAwHs8@1AK)Q>UrUWeMq8ow`H)w_WbVA zZ5?c|J-=IN+W@uDqXdnx=XbUQjqvQYTWM^7vtFOOjf3#)w!5YA0nU0oE#cxSzdcn3 zGnPvA)@-R1OQlCCmP(IOER`OmSSmeAu~d4LVyW~f#Zu`}ilx%6)Ran(QY@7orC2IG zO0iUWlwzrLE5%Z&KUh*$K`50zrBEt;N}*KxltQWWDTPw$QwpWhrxZ%1Pbrj2pHe85 z9;H|+eM+HJ`jkSc^eKf>=~D`&(xcRrN>4q-Qt45OrP8AmOQlCCmP(IOER`OmSSmeA zu~d4LVyW~f#Zu{3YD%R?DV9o)QY@7orC2IGO0iVBm13#XA8!(?N}p0Fl|H3VDt$_! zRQi-csq`s@Qt49)rP8MqN~KRJluD0MER{Z`P%3>&p;Y>mLaFpAg;MEJYD%T2p2Dgk z-#Kg_Yx|U9sr0yurP8AmOQlCCmP(IOER`OmSSmeAu~fR1no{Xeilx${6icN?DV9o) zQY@8jrC2KUhwQ|v(x((wl|H3VDt$_!RQi-csq`s@Qt49)rP8MqN~KRJluD0MER{Z` zP%3>&p;Y>mLaFpAg;MEJilw688m1K^EGxZQp;&sgLbdd2g>vcD3iZ;f6$++TD^yIc zRw$WXtxz+4TCr$)wL;bOYK5}t)e3dfs}%~TPb*dqo~dD3CA)2kIKr&lXfPOny|oIb5sIlWq;a(cBw<@9QW%IVb#mD8scD@T1=R%}rH zYN2-e)k5*~tA*<6R}1CSuNLa3Uo8|+zgnoEezj0Sy=t+B`qe@a^{a&{>Q@V8)UOum zs8_97g4BnR+k2P%#4;(x1*oT`xc>Ag#pS0*DXu;}N^$Y&QHpC%k5XKEdX(bI)2-Aj zJUvQr-RV(^%TA9{Ty=Vs;-cd!xo_!*^t*5AH*9=Mzw_aJd|M^12=3GLs1(x_I?$sOSAlLNybWS;a_=S_ryivkryivkryivkryivkr*5StPCZI7 zPTfi|PX0qgic_Cbh*O_Zh*O_Zh*O_Zh*OVJj8mUdh*OVJ6Q`bFhIv_ST;iKJ!(aE#ve@lvl;M|} zs!@h!olzEl^P*`UU+bw{20b-Oe27YnGO4Rk7JoIOaf#2=RxX2{av8qaUyU-UE0@LJ zT4-G21G<&Vpr>5owkbxL)RoKPYwC^5;ydbD=HxQ`0zoy((5#co;x7X<&EuoP)hL6W z8fExZgUV%6SEDR`WxjEV&mmVXgPw93ekq}HnbeibZTxx9#w9+~T)7N-%4PWVh00}8 zS1z#<(ogO;J|V1~Mor}vj4GjQApOcUsCbZ+I+K=_YDuuqON!EkxMS&43lTn3DaM=D zmfEjgi_l7kU$q+9w+yS~Lg-8z`&6eIZ!(Z^R!b5-`6>LSRi+-VUX{>F$9UDcWZ#6W z$D5X#+OJ-k&`O72wK(B9hVYx#o7%5lpU_H&U$sJwswE0SFTZ+`$_6sOYMB~U>lB2Z zU%gUg1L;?-RikRLg3$A;m#b``{En&h3c@=U1;+*+BT+z5{<6Mx?lrS6Oc4n8N9?dfYXJ z^7NR7-O=ZRNHrm_C?A<*1ww~rq(lkpc@Kxjwo0*zd^AO6Odh>uw6Lpx%%Z{)iD z@F%lG`(jBC?TA&qk?Z#Hb8Ip`V(AX;h}FB1>-O=3ZnFJ&@JoMck|&;6r-KJrXcv(X zF^Y#g<-?uDVY-TC0!=yN@Fuc4FUE0%DXV;Vb2*;&)HuA^3=1b#-h7S+HRZ#b(XeoQ zcyl@)%9IapR>Q*a;mzxK08>7^nGFlahc~z5VN3b&W;ZMxAKv_q2P@^no8hoFZ^O8M~SIV>C>-aL;7A?3r}vAubYrr=zS z!`rmgnJTO$Ae?d3^L%Am9-A6*I~R?3VQF^9U?snQ9MmyUO zk9yS&KI&XFV%Nu5a$ozXvk@Ar*W5;ii1hAX}VC)5pl$dHucJzZIpN2d_~NNs98aF#!cXReA7}E5r)<$rp5ww#=7b+-0T55sqMtm^ zg`dm`Kh7e61D4KDp6kL-=7b+-A;2L^`^ocN_{p5`<17X^XlXxr&I>=8tNn;$SjdAo zg(bN=PhsQEQ*jiF?K$fK^nE{>6XS8#1Dvg7+#vV;WKQ^T)&p@Oi{s35zRnM9{J1&m z!I*OrfZQKX=EQiM^Q zjm~-ix$h@)!jH2aY;?{Cko$fzC;T|;!A562fZX?!IpN1y4>mgM0pz})%n3ivda%)1 z4%q9Q9zgE<$(-=xtOw)HdH}iaCv(D&vmT5)>jC7xpUeqA&U!HJtOt<$eljQg zIP1Zf1IT?pnG=4T z^QP0o4%x$h@)wV%z-dH}gx4jC7xpUeqA&U&!fSq~uh{bWw~an^&)&Uyg3?mjN0pz}) z%+-FjIO_rAay@|D^)uvzA7?$-;;aXd`+hPf{5b2u7H2(x-1n0?;m27Iwm9nn0CL|?=7b+-J=p532ax-IGAH~v>%mrMJ%HTzlR4qXSr4{4>jC7xpUeqA&U&!b zSq~uh{bWw~an^&a&Uyg3?>5 z-%sX*A7?$-=Bx*h`+hPf{5b2uHfKG6-1n0?;m27IwmItorVibQ7#POAv1LZ4|%9pcPjN%WAIKJ|dpnPRg`EnMFQT%-p z$5&n!l&?%GU(TX2ia&GW_{vLz@|8*9%l#mu`$kW{|Bb;JAQ<7n4MABj}HymiC-^yBF8BaUJ{9FoeH zw{Cc!fE?}m2qclpm$z{x&oa`Rn%Grb1p;pKQ_D;2Dd?C?>CNt187!xtP|BJnok>4; zl%lVz2| zjg`ZVl|x8c4vU4SX}q}OWLf2KymE*?w%ZCRBrS)<>eDn{Ty(Oma=58-h(FxhatKMw zVX*`?jTg6_EUO%Dt{mbIOST+B(sEd=Lrvqwl_$$8hg&L#_=CbNhmaHwmoRl`)d`K8 ztUV7+)^cc~avqwbbQmO+!-@G~9WkYesaiC@RLt^5ttG2x^^%y?Cab#Np4Fz*?tXh# zn@-hz``wXN_k&i|Rj9RO^%}9Xw_YPmR&~Fies{>`|TCSl-k{IuQ;Ytb>DskrPckQ)#`pwYsu>MYiV!2ewnQ5etZ2grFQq* z>zCbGf0g8UYmBJ1<1-6qu+r2#Bgtn5;dFs8{#7&I6v*)#r!upCEHe)DO8L78pXjK^z8#2`DjHoR+Dz*orHs$hhWg3Xs zmZ4Y;q~4gJRSiUJ%}}ccqBiHK*dB=5p37&UX&_>QhGI34dW(iuH4w2$L#-Z&+NPso zdmw6~E+4d}frzadiq$~s%^F(OK*V+pwR#|G!;Xq+Ak*9NH{xlY2TXT_fTtHH@GM|r zzEaGDYf(M^C>xA)Z9VDvYY5lE^VisEe^blO_%hM;aX^a*)Gy;>%7Uu>P;2m8G=D2AMrPYs;qRCn_0!oN>oY(OQ(uvx6u~C#%qY5KUG}leOJsVYhQ( zZn|(61cgDZB?k)lBVsvS?;%VdqQ{+Ube8*&XSb<8C}G)BI z9o*IqZd(U8+8G!>G7C!`AqClK=?4{-I;!*G*Z&7oGrI;;3%iDYStXPs5*gCjDD7QX z+MXstnYTt^d1hs>G=qO-U>c>#lNKkI)66KFp&uu!2t9CE=s|js1_8W2v!7~_t8)E)e&JNi*~_@nOlN8JF7x)B(4Lon*bU`)>%#9+*g!I&F^ zF*gQdZVblU7>v0w7;|GV=Eh*mjlo7Y1{-w@rV$=(V~lU3<7PHGZpP@i8KdK7jETaa>FTHu;kD2M4S0Hrj`F2&sIT$%NFXF0L z6IVr>xGLVnRS_qyiaBvr)QPL=mAGow5?3!?Ojb3RNwwWE*=gwqRc&{n*}mfabr;5yt0j30rkx*+qgAQ-@LMoTLtya zE8DnrP;Yn1roMRv#x@Q;ZH&=zGe%DwV|3h%(Y0fYj+-&Mc8pPR^LDP??&g&)5h@0W zQ&C8qibLX5Boe1$kvJ8N#Hn~BPDjMKc_I7BPE}Llu1gf1NrY8?&( z)I|der(%q8$1b;`;6`pmk#UDFx1wm{20(5_(Z&sd+=_yY+=?RO#z1aG(Z;O+xfMkl zw+7@^6m8rpkXuo-aqB>CMZrdHMe!D;r;RQ5I&Q}3iDQh8n=!g}jL~s3M%RupB5wZ8 zYjoh>yowLAH(ok2ZUOK!Z>u%z{05*W8AUJttf`e9lhL& zqK!L#xfMklHv)1iiZ*Tx}H|Jw*cf;6m8rRkXuo-af?81MbXAB1GyCi8@Uxl zM%RHY>U!E3t7a{6_2R{(9~rgXWlNBqmVQvx zb{CrMyLqvaym_&LyWO#p-tK}1W6QKtV3q5EMx{N^R8UmpU2!yU45V~|g=(+)+ ziw1;KF~+!KueZA#E_d|xc9(73@z>j3ws9j+Z+F?ojX}NLC7XJ?%Zyt9^>&wS+!Cm_ zyKLhYLA~8&8@CMV?Jn8W+g)aK9oWX9r;RbbjgFhy=-M$x$ITdBJI3g^8KY`P-@Fh4 zee(*0zZK;RM*HSPb}A0ZPDLVdDi(=T(MX(%N8)ruoSPSoLCvVtrd(Jusp=z=&Q_Gk zPD?+i&Q_GKL+;Hh47cm%#R^?pQFi5SMd5U*=2Rf`z){b7&>(affzUMsLKhGST|FRl z>3~pcP#A|U8dx|LV~jg?xfR85xucg`QM7T#FSnv-<3>PkMbXBMf!vCMjogYN;}(G2 zilU8M0&**gHf|Bftti^KWgxerU?aDp$mlw-MO{xDV|*JOH?z^TV~mcQF}ik)(Qz|I z)Q*4i+SqaPD!wk9?^wm}d63k(d5wEFuRu849dT8piK}8wTorBNs(2GuMVz=Q=EPM| zC$6ej;;LCoT)lWP=|@Iwci9qTr==fMwcUkg`)*#WByV1<;BI%Uq_?}Eff-QE+eGMr z!$J=lgf1fxx`sgL0s^6{2ZSyi5V~$a=%NAPRE#n1*z4^shszy(z1?LScl`Bsmu=h# z)Z1OQabr+#cgd#S?lR*RK)u~%8@B}N?JnE6MNn^d*~Tq{db>+D^>&vTT?e*t=xJk& zZ=>U8HoA6<(Qz|I*N!ndZpNtE(Kj!IK;OIq;crFxg3-Qtk)4V|vQv>roQg%_R5TK& z;*mHV5$EPbV^A|HwJ8^tOse{bq_Y)eveVKJsyUf%3d8NXd9gy*R+L@2TTwV& zsyP)1J#f^s9yADDMj&(zfzSm6LRSw6T{#Tes`U2a7&T<+-QRupaA z@yo3!+PD#rTT!%eV<5MpU?aDp$hZX{x1wm{mVn%fqK#Vwax029ZW+j}DA>rYC^EVZ zY*E+K#u(p5$IWbX?HHruW{j>KV|3h%5w+vryv93jUd8VlC zvwb%&R+2X_R&cjFR?^#D(7+6+=4~SMz+s^W4MLX@2wg)UbOC|T)dND84hUU0Aav1y za4N&wS z+#;yAyKLi@LA~82n|iy;jIIOQIP|nJ#<$UNGaFqy#^|^iqie?)9XDfC?dY2qLZEM6 zf$+DYe8FhnyvR<)A=#-&Bu>R5aVi>#Q}IZgj)-&fqA{o$mD-dGOD0u)MAF%cGTCYA z2i4h%@^#3)d4=J2-Mm<#Yb(mG+^r~_F4de0gdRBRSq~b7E+Y`ShCt{70->u1gf1Nr zY7GkG&_x3ar(%q8$1b;`7%q48ax029?)c?a6m8rH$gL>axG|7hQLvF)QDoc#kXuo- zaZ5mMMbXAB0=X4M8@CMPRupXHRumas2ezo|X=99UqvK{ax^|4waWh8OjxjoJ#)#VS zZ(f@^ZeGQ2sOLLY@hj>ib#7jpy_;7cob8UdD$>MNu_msHHgQ$FiK`+`TorTTs;CoJ z)hlt;tR=2qyqNSOqqe(j39{4D531VkLbH80FIJK_FII53J66)$UC_V`sOD`V^uS@E z2Mt1(5eQvFAantN(A5J%mktPBHz0J;fN(0t7 zF59>faSNc{?y`+r0`+#6ZQLTLx4UfPmO;JUC7XJ?%Z#oA+c@;JF~+yi zaWflTJI3g^8KY~*7#%lbRPE@S7eb(KUV-qpqI|(<-@M39#Ua_LNF+|hB5^7jiBs`N zoQ{Zd^P(}R8I{_U3ri+deMHjPiZa=0=?B%>it=^Hy?KSRAsOgf1fxx`sgL0s^6{2ZSyi5NZty0TT!r)TTx`(0+3r#v~f#7Zbi|?Edsd}MH{ya}H|JT?e+P z>uF<*Z=>U8HoA6<(Qz|I*N!ndZpMh(@o!$6J8oXZpMT1Ctl|$qk<__)ZSihifpE4v z;;Kj!SH+sRD%!+V@g}Z{IB`|XiL0VcTve~cRkN13dhue?kBr*xvL(n)OFyV;y9>?s z-Mm;y-n>}B-R@XPZ+AfhGoYHciO>Uwg&s5rT}B{u4S~=F1VUF22wgfLblrf^MFYaA z7-QVA*V|nVmpl4;yURB2`0MR1+qe;^x4UfP#-QHrl1;tcWyURldb`UuZVA-eUAA$H zpx*AXjavrwc9(4G?JhIA4s7Gl)5aLzM#s%;bnO_U<7SMm9bBXK$+&drO)pk`ERQ!Xr-RP_-_XDiBNr==fM zXDiCrA@}ALhTC=XVuh})D7$jEqHwxYb1D#e;HYOkXb`%LK&z zD2ziF4J@3BF~%Lc+=^nj+|kReDB8H=ms?S^aU&qNqG;pBKyF3BMs7usaSK3hMbXAB z0l5`L8@CALRupaAGLTzQu#sC)WONMlLqEV zIlGC_1BZnkGzeWrAao6Z&;}TqJ7Gr~d#UG$7ITeLur{a(}6^X>D zSR_tGBXKGoiPI5L_cK_yzkpbBa$!jh<=PTSXDiC2A5&QRL3OsGd}-46vos|4e%4ax z+KRF(cPk2KP&KClp$Cq7)`JG2%Ls(7ArQKNKrYC^EVZY*E*>V~lU3<7PIxc8t++Ge*~rF*h}v=PXJMg5?t+nX^CGT_HE~t6iL2sGTorNRs+bd3MV+{+UWuz_EphdB$7EH5 znN-^ylbx1+P}O!9n(e!Jv68%bv4XqZv69~If(B+lHE$E42M!B8Xb`%LKDSR_tGBXKGoiPI5@V^Fn}?9_}( zoLpEk=|{%dilQaRPD?+i&Q_GKL+;Hhq`K?o#R^?pQFi5SMd5U*=2Rf`z){b7&>(af zfzUMsLKhGST|FRl>44C6140)K2&ZC0 zTT!r)TTx`(0+3r#v~f#7Zbi|?Edsd}MH{ya}H|JT?e+P>uF<*Z=>U8HoA6< z(Qz|I*N!ndZpMh(@o!%4FCb!V6u*ENr0SN29}W_KZ#k$tH?LsfY#Y*z##R~3r z$4Yv;3mTXK)x1rF4jC-;ph4&|0-&wR>g_HwZUNNWUAA#cpx*AXjavluc9(73GN`w^ zWK(ZibUd6EE1=pkvJ8P#Oa7QH!m84no+4uxv*qX)kh?qttgY7mVQv3ttelI+?!Vz zZr9C=6}q;f?8@DW!s$}YsX*v~qn`DkLFh69p=$_)E+7!PdO+yX0io8QFb-Wbuy88I z7}I+#--$ zQM7T(KyF3BMs7us(RE;px}G-1_%=FjW}|Dz7#%lbbnO_U<7SMg9slO#{sJP_M)3=X zL8@+E_~9UZ^9qD(;cR!oC~{S#iK}8wTorBNs(2GuMVz=Q=EPM|C$6ej;;LCoT)lWP z=|@Iwci9qTr==fMwcUkg`)*#WByV1<;BI%Uq_?}Eff-QE+eGMr!$J=lgf1fxx`sgL z0s^6{2ZSyi5V~$a=%NAPRE#n1*z4^shszy(z1?LScl`Bsmu=h#)Z1OQabr+#cgd#S z?lR*RK)u~%8@B}N?JnE6MNn^d*~Tq{db>+D^>&vTT?e*t=xJk&Z=>U8HoA6<(Qz|I z*N!ndZpNtE(Kj!IK;OIq;crFpnjmgoWT)bg>{KKYr(%&f6^+EHcqC3o#JPFV7}ShP zZOVluld3)<>1;)r?6mZQ>TE^%I^^EG!f?B8UaZiy6=hfMRuoQ`YEA`04;=NZ2Mt1( z5eQvFAantN(A5J%mktQE28D6xqJf1|F~+!Kms?Q`mpgj76-66&{BkRbHf{vuRupaA z7|5+C*vPFYGHwCLtti^KB_Ow=XyX=v+=`-&TLy9~3N~^pij1xUTh#TmF~+yiaWflT zJI3g^8KY~*7#%lbMD6%DFYgx+rR~G&HAH`(5ye{ z2x0x!To8&j>#HO*>)+Ccu>SGKAQWxZKUMO4$%$Pj4A6&~ z**!fuSeaQ~8I*G=^tb6kIcMWYViyF{*p#!GZ3NTUl=GZz1k>1vUN_;Xn0iWCJjKB= zNWJ*uc;Li;bl}C`JnQ(64*d9!4!roIJtzLzriya55sVlAY*WQQ`%zJjeM`*j^!)C< zJo}=6sy+&4mmX;qno6n=ral+K)Tu&PdTrWFn?a$O_JKl}wtzyIR{toRR{d;*Wj`BX z+0RB;_OlU|{cMD(pHmZ4J7<4}vI6zgz-Y%yfBynTtAHP~WzEY2>Z z)EEuCG~E&`;4|$dyq(H)pH1WWjc(+OE~3rRmX@WMPy$xwvt1 zf-4|kDuIAc8Z56&EUh$qNE9tC%%zM+!EpNtcyF{xNoQHgbCg*_rItxbo!MPe?0j#_ z*TVMgxY$ihPcIb>4aG%kLvgB;(2Y8H-@X4~_`U}>iE9fU=jVWog((Pk1BZO-gn92ilICkcx-ldxzr35z!8 zmsgesJC~|vNnW%}@}hB)7wu2Z;&hV_QX#U7J9keG<|md{X0XsLFL6;V&pfi7uP7?| zaMECE_flcEH%N-OL{YYz*tLQMyqIN;h$mVStxQeK%}&y3Zn0tPkg+(sm`=S(ys|Vg zH6zrgCwBBED%!jw?qhu?QD^l1sgz`-SC;6 zV|MND+$>R2RmJ(t>>T^~uAOtQ{~hmNJ^$5s0n&K%RgKfLud19q_^QgI$6r->a`IJ` zr(gHwb}r@U(`oJPhV9cpXQZT>*euE zdTBvDmP=zD$z^jG$z_um$>qQ_lFRvRB$wNUkz5|RRr1C1WIh3`FqZ*Vm`edG%;kU; z=90h)b6H@8xiqlCd>+oemIo-z=K%`yd4R%v9-uIv2Pn+v0SfbZfWmwp@Z4eUf>6d>)`Mp9d(+=K%`ydAR?zJV0SS4^WuT0~F@-0EPKHKw&-)P?*mH z6ha=ZF8ClQorO=&3Q-DoJ~ZD=Uv{bwlUjb|vOb;myQRx`Ba zJ*Jl8K>TX^0_UsE^(9u=$RTU}>gHzP)OQ` z^1!DLr8s>kmt7yqMMlHrMjsaSp|yq7+F~fStCu&H@H8~}qoGL#55HYr;x=e|dwtWB z!RT(2u=T6uar@#qZU}<%;c~s+CG_%eo3M*5J~A84cgy2pakaaYy7Bs3+}}&`2*ADP zHx9VCyJ~g!6=%>!lCvERfm>FbuX* z@@{J*g0c+;LmP*=UdR?hYiq8TE*0Br+_>9{@Ys}u$D3=qY|#6@)u#we@7u%82E7Eb zULptY*O%LcJQ8pcdKR+Q3(kucey;V+`g$R0Va??wY(0dhc)Rtrb_`KV*aDZ#i?y2# zT~jY1wj)4tr+{Q$0hd2A5dx`()Lj9oyaG~t1!MzPK;BNEfWDPtLXxlgz<1I~-s)Q= zCY|K3zBOXfNgnH4Ats&Vv%d8~b@VL{6Ot0FZ*iD(Qi}C04UCf+xqa^+!D6`u zzIB%ao-|f442~e-_V|2py}HCn<-yUFD#@Q5^{qGTUQ)n|1o{GiYRK$MeFDlN9D=SE z*T;*+?PYs9s3!;(pWhyavfIPr*?K5_zSysZ!qxix_SsPCe8Tg2o8HNxNwD1R*GGEv zXnBNjE)yq*w3eCil?@>)*l`lU)uO~x5Inj z)_4y3?e!8YKndg#8Y}c(I}$J0j9!7=?bio9LtYCN*?PC%-fWjjLdGt(`>W%{`N=mo>l=RT+H=8r8yrFLI{RKaf&-;>cMwNIlgbXph3Vn6)Sp!V zn4OFv?55IPWGwwq#?o12EEkxJookp+`i00{JZAT1x!JyD?Ci}3E^_JVNTDSO4Gl+V zI5CPx+(K5;od}}R9M>1fc}-wJEKx-oj_x`)GYeRWPRLYVTi$)VpKxrw%i z;x35PMc{6PNU&RJ)1x7nw>c~~@@|_3Q69Iq*0V_Frq>8AgkcOPtg9^oi{4+NIt%J0 zcGhJ_aS}3F$hUPgGovY*#qCYIc(qlNE-nmv#v{mF7=0+$MIXv#(T8$X^r4N>ToYX? zmqZ_0Mw<(Qq!?NjnaiO|#dg1?gyGc~dreHBxiTh@cB!7n3B+ws!fJNCxBRUjcHmKJD2HB6K*QuK&giVr78}T+Bi@u0=R9GK^Xrp$@w z+^&_SR}^tsEN`~B_&2|jc1AY)?Mn;ME0I}|(5Se^ZinufCdKVN34;1=z2EGf3(sf= z#m)U{b0GGi(jDOKm7mshpx+bmj@w?9r9Th+EQbDCFZP$;cKg-sjr>XTbo8*NfG&@0 zN1Hy8hzDK3Ypyo*wmu8vpztzDk@0mBszD zfdvaHi_=E~3l>xswM)mVsGGt)P+SeIbW2Z2z4U|xWwa`&)+jSd7A!CptE@H4&oZexu3RPVvPWoL|qHu<#KAS0ixDwA$k)^R3j|v zo#X5i^$4rh726&%C(a%3XI4>fs;O8|P4!?j6$`4VSWr#%a5NPQs;O8|P4#Fr z6$`4VSWr#%cr+CYs;O8Ir&q^U|K zs-RkfEvhw2iqcdiMO9F(!4|T{1>X5an=idAsEtp+S2#f6G048>)x%Ruh`3Zva2zKr zX#!kn@4|{S!=D$Jx^}qSzT_}2DGcG1M`a;FU)@KxB{m0YPqpaHfo>a!U+3E`ZxgbN zjAa2COY&tbId5b2UBnOE^ap-SLd)%5?&%@k6qW+A;0||2FKvnyNE+f3G+wT-*J-E% zNJ22C7YEc!jN5JORCfcJb()s7!~3AD_gH!H&H5HE_qeGh&`dUg#&JCB54IgKh8@XP zQUo~PbtLZ-LN{KVdDWr2E|1VHmq+N~vq$KGxkvaZe}J;r{G2~%8NUxV=XlepOP)Yx zE`dx61S;k-Nf0fQ1%XT&1TuLL$Rt7_lL>)rDikaHx5-dF6T#xeVuSvo7d@4+LuNLC zOg026<}%q3Et3s_Og0*H$W9#exTk)ZD_dMW?2{9ReRATkPfi^6>cnP=Z)d?JOOF_& zGwm#>*|4DI!-DFJ1=Sr3szVkmT~eMv^R)6)LF=c2)=vekp9)$(6|{aTX#G^s`gvCQ zsi5^!LF=c2)=vekp9)$(6|{aTX#Koj`Kh4wQ$g#eg4Rz3t)B{7KNYloDro(DQ2D8# z^;1FXr-If`1+AY7T0a%Eeky4Fd|3IZp!HKh>!*U&PX(=?3R*uEw0wZQDrk zDXAWX^H)*lucFRhMV-HjI)4>){wiwy<-XrPyurr?>9GOhG6ak-)PV6t5injjU|j5g zwTwovvc^XQulXH7lgk0X$Jx9FKDOt92NA$0*}MimO6LJM7!bT3&QN<@T)a7vC%$;1 zxR-+*C$>Kc4*1zX|Na1fFu)%U@J9n2@zf3>sw`O1`>gpr2^1$r^v=p29Y*v{%4tOJ zob03P-7VgmwK~vCigHmj?66GGc87Pp8T7Eg=Nu+G4CQ^K zy#3SxZVq`1s%pr4LkTc%el(Q zl@4ysDCOOejvX#3;T}7`{nf7$NOx3uosNcu&iCt!Gy&cn5f|##XMXvPN(02p3})Rn zlJ)3TTqkYsP!^I+?^mWw(&*WOU!0ZU^8O=wMMH+|?FBUArx!Xa-r|f~rXwDOcIB(f z?K8YXZgsW8Gg*%vhz%7s-E)aGy;a2<;|)Z|!*YM@|M$W@UWetEtI0vUD`S0g+~7?f z=Jv&bk{<_3njI)vZs2q`Bx66*QXejeJle|!C34xC+IYIrk+GkDy2TN>pI;Mxz*}T5 z@OA*aJTCd3ASTd~-%Lp9A^{YU+n&=7tohTIqCsh=*CmV&J?#&WKAxaC+=QzuJm^^L z_%#gongMBgp?Q^oKTm(e3yxz0wuY=lpJjLl1^7{QgO2 zT7ia(l}t^e>2VH^G)fxDix~9x)$~x074ZOYwRs^mG|-t@`Q{4mfH02(Nv&aSovt=r zDw7`ElQrmLTbg$o=o~zFzPaEJKvS3sh%*GNl)-)UynEV)b{V|MNpeI&8cM^Eu{D0? z)oJL&FZgY&;uumwZ}B#Zcf>8xlp{~ z;IW&>1##wsa4#Sr^X_#68Ji$*)V4v~4?*zQERN|?5Q=#{7X)-%CGaaTmQFMjYrFyS z#C4w%MZKn@qSL;LB)cR0wwAw;?hXoh>x->NF($K6+L$fXo&AK!+>(bDy zOGC3RsAA)#p;?!PW?dSZb!lkUrJ-4uhGtzFnsrfKyDkmQx->NF($K6+L$fXo&AK!+ z>(bDy`v5~zUmBWqX=v7^p;?!PW?dSZb!lkUrJ-5(A%i^r9u;3aDjs=M{PL)H=TY&|qK}W19VtVWh%ekFR{JBq zjAL==nHaLmKd59Q#O~Sy7gy9-Z z7_Og$p_&OpW)nta$RJr)e(U+RHEEN0JfOLfs-NmsXIN!{Z#%P-F62&;rI$afr1N5vkWB>;)n*n%Y zGeGu{17{%v7@G{7)fs58%>cEIDQ3DJ?Z{;Ssx|{OG#S95&Sn5#S!pwXq0In284el1 zY0YK;p4beKeayi9kO7QM2I!lI3Jx^bW`G*y6f<=nJ8~I-s?7imO$PA5*k%CVZfY}t zq0In22M-x|;4=VEYzD|aX5c}{0LCT*59$mw*k*tl`xG;EOFMEIfU3;^4NV5{tlMS) z-`Z+3fT7I*J+}`Tz?;l02jGd#0NKY3U^jA|5Mz^phjj)TY%@Tc;1o0MEOz8F09Bg- z8k!8?B>|fOd>gIJ0ERXL^cqLV0NxvCGXPI)2FN~U;8Dl`#wG)g>I^j4W`MW+DQMnE z-Owe0v~3o6Y|?;RIX>3dzE@3lhtM2(u9%f>OeT2V(>@?H z+1m_t&FS$LGTyP(=HkNSz+WbG!Za+w&}S%IDJ-`c3fBtcK11PZfjnm@TrbdZbfGyD zUjX_`h5*AD49mvZam$&LBR#dlhYH+LsblVFyT!BBsK$`fTAg3E4;<=4c!YzO^5~uX zm~|=zo?k6+&TC&tDP=93ITg$-11vftkkcxi!9gcE!w-CdGiS1}$&6xV8DP;Fft*(9 z46cvl3_rLD&fG7YQOqm@EIK2Q(<+_8_Me>L2Qa~z2Zb|=nPq@QX9RLur8C%xlQY;| z6K5V4&M0P<0T!JR$Z3_%;6hK%;KEJLJSv<~%q#;eIwO$NDxJapl$^nylbk^Z%-8k= znuQ3A{wU`4N{^tMT|%=dmRHbDD}^vu8dQnK>cDceNQizXHCuOJw~W4Z30<)3=s(kNR62yarx}2pVQ}w!lOF zpkaJq4Lm`gG;nA@1`)YjhzdwG2-v?cxmm98;(&Q!5ikEw<`|gSFd)B84(dFfJh zp8`<8YVbnh8)+~g8vGWNY4JW3>E-UiUT8ct&S7jB%R?hxTrbc(aKJp$r@u{zc*~2O zwhv{|K0NDH?8EzhD32n$RGvZhp*$w-LwQQthfn-e@;>S=)%Q@#IQXUSofdiU>@>K> zUkpO+O!R|ur_MXPJ49;5`WU>+?Id$Jp89I(PG9?BwUSetnFi+^r9} zTc0Xz(@S)a3HU8bc$Hinka3jD0wR|MWGo4mu@qm%l3+#sE$78b| zkIi~K*7dL}9bRg5Jkq&&q%-qK=jD-~MMy!r+2N%ywvCjbywzRGM-FLpu05YNKI;sP zCTD0gIYXn#85&K_&}edoMw2r%GR`0w#u+^QG|u3#V=_ad$r&0=&d_LbhDMV!G@6{D z(c}z`j5Fx!#u?mp8)tB4H_p&#a)w5eGc=l};nwf1ryVT4~QZut9IFu<}W@Z|inQ3UUK|?b$4b9A!t-Og$Gxwp) z+=nuAAIi*qC^PeL$}@NCY34qZnfp*??n9Zm4`pT^x|wYSA*G?2*;cSi&CIrfES+ZV zXUup8J-qB&UO#bqIn8I~=6u`Fn_eEzxKy2P-;b74))bIEO#um40ZF9-8ZzFyHtpK< zbzjr`Z6tHGkxYbhsXf#2NZe>Lv1=o5C#yG^Y^mJR@%8sMu2tn5lZv`@mR*gV?Z?E9Ly#>mo)rh6uwZuPx(_}eUi(KJ6D zg0FU)>lb|z8cz!_i_O;{$XI-3E_ykB6Kjg49k&7C3sUze;#QvF(q~22xT#y|$!kJn z@(GcmO^6h3LZo;TA_bffxi}|8HcLXLTaGreejGOV%Bme6`dHF&{a7(?fJUTdqz=03DW&2cpB%0Y%pZRa2rQ14`E z4F>d$yu2#s4c)t1hT*&nV7E+j70(Q-IT+4!050ahqoisMhVvYNi#hOwu9}14JO|)n z4t!NYH3!3a4#346PwF`s&T{~Eb1e2$Eig_$7*5p^G|^lHj^hOGU(#>j_1+;~XUj@$eJPNpz>S@JEtHTP*CamPjjx0?E&wx6>}2gV4mwq|{9&o-MJ=md zM0-|6OJM0g9^2$-2^?sKRilkixMc_c& z1x24!xOtAxOc>TGR!p$op%)ba^2hC}0P!F_2l%iGkicTa{Y(6&ZLS)D18o-|oyzE! zrqJe0h>dD!iA5;<8N(_Ryh@Toc~pf$VCmeWDii_-+AfslG?Ccqu+0csoZx9w+2ULY_wCH2Hj* ze8wwrVJ-Z`a72>Nc&#kxP=4ezFy$v8SSuh{DnrLcpHGv|lpjAc@}qZIdVNLYEa}`&$b*DDOvs~zJWj}ygglK1@)JA+e7=Pe72LfFM5}q5K3S`3(L*^J#=|`il_GRuOudAY>|PAyo;=-XmE_ zD|?T0MJ?oOdbX$eN)Vp+>JvuISArg7t%n(Ul%dBNdXk~12|~W26UbMBviHbW(#qZ= zUr`JB!t(?A8hdvF$QaHWNe?HEgyGDQFq}FPhO<(_a9Ta`-+Cx`-+Cx`wB*g1Ab;)JOMeeXW}-GJrg&? zp5q&0&+!eh=lF)$b37yDkM5%lvFG?^WzV1uv1ibR*mHA3?76ui_T1bMdv0a~{!FLa zhS+m+v$AKthS)P-L+m-VA@-cw5PME-h&`t=LjI)u1O)Y(PE>6kd&X*rJr_2_o(mgd z&xH-K=R!u{Pgo252?+V)eLk^gnl`gNhc(2W!y01GVGXh8FhdZEw@u`B=?1woMuXfLqe1SB(I9umU?Z_8|3!#2D!bwL2fT+2!6#C;a7yZ}%nfELz!pNxTX=lrg^+B}|d&d6#Lc4Wu@oK~>+S3w9+gbu?XGJ`Z>qCEuY`DBW{sUAphiDD9gWrM)www0~xl_Rx&dKAKV5OB0IBRw(VMS+|T0 z$*pwD*pS{rm+oKiyS%pV3uSAZ?>!5Bz5y+8-ggU}H{Sy19k{@G8!m9(iwm4LtNjGH)q&+)ilV%kWB{pkT5%JBYnX{cL!p_&gu=6!A?Bxv%dwB!HUf#g4motdi z-M-tvu$MRUu%k3E>?jQkdt?K{9@)UKM>a6*kqp95w{0TS5cF-qXxu&ueJxc@2&IyrHq5H#GM1hQ@x*D7^I@wxO|~w;6Ju zXn5`u4bOeC;khq1Jom+h=f21|e2;yr;e5;D`eK_WT#*e7J*I)7$22hXmtYoFW3kcL#1EAn2xH&Yn7b-XL2O zr7nOibpdRt3t&rK09)z;*isk3mbw78)CI7m&VxO50c@!YU`t&9Tj~PXQs=>zy6It? zNnHdvbrIy$MUYb$K~7x+Idu`_)J2d}7eP*409)!J$f=7Ur!In=x(IUW0@za*@_Bj$ z>!wG8EuSrQL9?YUfGu?aY^e)iOI-k4>H^qO7r>S}5BAgru%#}5Ep-8GsS99Bod;X$ zrU#aWbrIy$MUYb$K~7x+Idu`_)J2d}7eP*41UYp9Y^jSNr!In=x(IUWBFL!=U{77h zC$lcz%eT}8&6c_Vw$uf%r7nOibpdRt3t&rK09)!j*i#q4mbw78)CI7mE`Tj{9&D+b z9s?WJMUYb$K~7x+Idu`_)J2d}7eP*41UYpP3r!b+M!-%#dCX}<7P)=h)IgbhDL`Jk_5+_)9 ztij0-nM^2WGNGKwgmNYm%9%_kXELFj$%Jwy6Uv#4Xv<_mIg<(HOeT~wnNZGTL|Z1S z=RtJtOL^y9W|)(iVa{fTIh`5id}f#vnqkgphB>7PZaK{`CpE*I)eLi5Gt7BSaHnW^ zIioQ&fQ_I5Z2Syhqh|mcI|JCr8NkNP05)m{urbqvotOb^ybNHYWdIv11K3EZV7muw zywO{p1~%3NuyH1UjWGdidWp^Xm{53ni@i(FDZ$jDMgtEU0Wq%{u{7oqP8`0*k-ARqZ8D@_& z%r0k`eaRWL2BWZs8>_rOOorU@IbkkO4O^V@ZG8PR5)!EQ;B*t z6~4B&o{HaKlyW8N)l`p1Q}O$f(o~{eP4#3n6~CP+O(p8pR8L1!@w=eXRH9x@g)3>0 zEJ&)vt3f%NP&i#Y^gO>PBjC1tIh(LJ&sMV@uMf=3CPdD&)x^ik2s5(@m-B2j_wo9} z%xpsEJX=kFyp%CBn=m@hR$l?9Vo+8=NS$Y^FM?AsC}$I1=h^D(;8YCC*@W78w)#>y z6@zNFv*EP>NyT0@C}$IDC*RKMYXR`Mre{L!JX?J&s6pwQP&?07UkhhqP|SAm3?JUb zJ5;BiiEG>6gv-&_;QX}|a%&m!LZ83bq0nc%(C4o}DD)XG^dAiTXS~qo59=56GhXQP zC*liz#tVJ^FnXcSc%jdq126O$FZA&y?11`Wh;DF_sB{`*$UryKC)6#wt76aQc<>gGPY7twt70YQc<=-_ZkK^ z$qGB=fV(^zb5vH?DGLfal@)f%gThW_g`F~?uv1xKr(7uPR94t28wxv>6?V#p!cJv{ zoid`ZQ(0lBoG9#6mhI5_hJ2Z1g`ILDuZO1D=Pc}$6NR113OnUQVW+afPB~H7sjRS5 zP84=3E9{gLg`LU@JLN=Sr?SFMIZ@cDtgur~6m}}hcK2uaGRX=%L}910!cI9+*r}|rQ%)3iDl6=i6NR11vfYCj zzD%;hPC1d+d!VwyPB~H7sjRS5P84=3E9{gLg`LU@JLN=Sr?SFMIZ@cDtgur~6m}{r z?35FQoyrP3uv1Rt^&YCMuv1PHb}B3EloN%W$_hK>L}910!cI9+ z*r}|rQ%)3iDl6=i6NR113OnUQVW+afPB~H7sVv()n&HbNE9{gLdA&y}E9{gLg`LU@ zJLN=Sr?SFMIZ@cDtgur~6m}{r?35FQoyrP3L}910!cI9+*r}|rQ%)3iDl6=i6NR113OnUQVW+afPB~H7sjRS5 zP84=3E9{gLg`LW>-IE!L}910Z1;4AFO#gWQ%>ado~o>{Q%)3iDl6=i z6NR113OnUQVW+afPB~H7sjRS5P84=3E9{gLg`LU@JLN=Sr?SFMIZ@cDEZd#pEvCZ< zFh*EeDlPJor+6Fn@EOc0-W5EvrV6Vyl^WHWDy%G(9F?UCD@&zEWvRl-QVCL7s<5(D zid2>=tSprzm8A+ROQlI=slvi?dazqqPQuDkX;N9Lu(DK|RF*2NER`mer3x!crAcL} z!pc%mMW|)l_r&?3M)&cNoA?R%2H`kS*ozGoF4WTmXoluRGL(lDy%G( zCY7ZMD@&zGWvRl-QfX3Is<5(DnpBo5tSpr#m8A+ROQlI=sY0<_uaB$vW#o#P?;&3< zuJKanVb9;HuHX9ZQ2jw!MfsO3yLDjTlBWd;1+8o z7jm}J)x3WduVzA`Tk&cpo#Yn1KQp++TEQ(=3s~w6`&Y3y5E9*ry@7O+Tl7ZH;1+8o z7jm{zr`f-XoraL;R_-)-duVJB^p4Ts7Hb8!SS?_9Uz2Zu`&amxlcW^g!WRaGzJ>Rh zMz`p#r@<}OO0(x|;muRgt*6N?d_j@x%llVAf?IgQYIKX6}`1q`<_$uD3r zUx1=#1O?XsCEo~(&NaR4NzNMH_nqA<`hCξiEl{Ho`dO`jE`&qdqEn-Ej>a^r_q z%3jg>p_a2(HUFj<-7DHZ-tm~+%Nqc+l6%D#0JZF1wFxxE=w7i6;O&*ky}S`XE4f!} z1yIZGRhvOmjP4cN0p81*+{+sRw32(pmH@TvUbQJSMR9L+fp1q|?H0H{SX|{BlS*vv zQMwpES2<_x#L;?rm|Z)Fv$dNXZ)KMs>|@uK_>m{$TC~16Tv>6n4&rFNSl-TA2XVC4 zHpY(X{T+`enR;)h$Z8{PxtXl5~bTKgT@A3@-3Rz=`rZsX$^9CBP{ZMkrKH`^XrwfqO&TZvnryqDx$M0 zqO&TZvnryqDx$M0qWe`u_fteGR4!=}SZb!&Ni)SxV2Yi<6gz<_b^=4}r**RwIQS}X z@K)g9ufV}$frHNi2d@Pl_#LVy+M#X&$IDCcGS1vAn|^MVikFGW&62;T6U7)cOO+SR zQe}f?sq(5>^5<*Q9#fi^E3 z!BPUCmx2M7N(?O37g#DTuvA%KsjR?KO@XC?0?XATu!_VrOAWb-#WhRStfFzvQZ=i1 zT(eZoDk9e`MbkA)1*_t?W~rK0d0ewp&8k4IS*m7LBG)WM(=|&4Q;n!IC0Y_#YNpsp zGgXto6gz>bngpiU2@KVgvsLg^;NYvk!CQfYzXAu31r9z79K05I;CHB+Xa^<=94{}$ z%Q$nhZ2GxbDqbcgH%mSJRkz`wl*)@{sj@+{RC(1bd1Ftt1}#zofTdu7r4j>6^#zuS z3oKO@SSl;9R8wH7pulo939KTC&63mLZo@h0WL^d1Zo^fx3dh}st7a9DyA2o3*eu!4 z*en}Z6(=^!rddT4n`P6iB8ttjX;u-%X33hdSvD}$h&ofEC4r@8ik&nQGYL$w6PUP3 zV2YhU%g(d$8Ea*9IQ=ZL4Oq?X_iKcX?U7j59P#)ep_m z;$>n&vt<35lckl%W@%+rv$XQmELjK&SXK&_5&*pv46syUV5z>qQgMN$$^uJe1(s?G zEEN=3t|oz1B(7O%$W<(^S*m6gjXPPYW)+V+S*m6gk!zNs>6)d2RdHOiRL!b9u34&P zRUp?aRkJFQYnGzvnx%rNM%0-SEeR|&Q|zRfs!3pqoxoI00#ob+hHA>$DtIbz@Kxa8 zt-!%wfrG~a2cHEFUJE?%J5)`y0}};~mzUyYoVi&x{oE`SFB6lSrJnw(lO-sn@}gO) zY|t!KUNuYJ*i)@Ri#K2O0fu-UCOO*wd$_gyi6j&-Kuv|?7t4LzAEd#sD%i?96p;@YaXqFZ)6BC*x>(87ltvohME32BNm8WLOLQuf6 zQm~W&=%rwQr4j>6^#zuS3oKO@SSl;9R8wH7pulo939KS<%~C_IVsXt*vR^@TcQZ=grxn`-FRf$})6iwGG6-+gv&Xj0LV5ym6 zC(Tq%0#ob+rfL$HVka`Y7$sQ5}PHb!JRBQ>1197<4%^US%u?Hma16=R48c}CTv?Q?9OtF(@VkUtpb^;SO z2~4pQXxaIoK3N*r`f6b7t%0q-2DTm>*!pZ>>$QOge%q=UZF_AQ*j-*0FXIf&QuRZ# zw0N1A&@5Sh=45H*u~}MK)hw+%HA@zP0+yA6r364P1p_RV7+9(=uvA=Nsj|RQS%IaR z0!sx2ma9o%6^Uz>8gdnjYnG~6MdMDEs#(S3PL`@!MdX^LXu4*pU{xH~ELF2Ak875y zSry1NOVzAOzU?Z8BVSPH@sk~^G zDjPIQl~>J@H}+I(&>|%OSPBMMDlxEBUtp=Yz*1#_rLqD`H3gOm3M^NXz$%j1EIAGC zWXVY<^C}p3vQ*6~9Cxx*%_<;wvJ}nOEZNW4EE`xACpOEbSw$3^Wz(!8ip{cVRuRQ! z$(pfQHZawQI#Z%0fu&}Ooir0O2~4pQn7Bz`ik(2q&WH8M(!kbN16ywmZ2dK`_1M7H zX9HWW4LtDMR?TSJYsq5BEJf2bO9fMns52#65?E@c z*hw>0lfV=^fvK7Vrq~G#)s(YU@KoU7tH8lqfrGyS2ag2~J_{VY7I@%ysG4X8CJG!c zFU89^bF*yvxmhY+CMGvaJ^fWDOHfMXMYB}dpjoQCYL>jQr&@y+DFMJzFu+oYfu;Ha zOT`72Dhn)?6cb?PCA)a!MKy9YF6R6lcj1_0lAZoDVnZXDp(cAHA~g3%Hx`) zYE}hu%~Cb161iq6nyy(Ym}*3wDbbR^QZvO)nyH!urq~Hg)g&;*PGG2}oUMYV0ta6O z4&DkJ{1rHOEO78y;NZ2u1HVJnL_081;COi{UdEZ5Wz)~iQt>h|xmoJzuR2+RQYtT+ zrOF1)Qsq^%Q9#fiW5}&@iH-?S+f4j$|34mS-23RUFuvA}Qskp#WWr3x#0!uXomI?|iSChah64xv>)^ELF3L$TdsRbj?!1syMD$s%BLl*DO`DDv)cIs#%rDHA~TS%~HWsBkD|vmIRiX zDR$CK)g&;*PGG7gfhl$ZLp9}W6+9I<_$qMlR^Z^Tz`^ASgt04RV1-lavI#pl9Nv6RWR;kshU+d?qsQ&RY2}!DVnia zvY)Y8Hn1vAY?e*4iYPYArddT4n`P6iB8ttDHDj}EV5$*yrbJ5uOU)EJX(nb8m|`a| zag)FlJAukhT;TYWRr=N;538>pR&PD5{(4wF_OSZwVfEU>1HZLuUR$p%4^Nj@z{@yo zv$XoQSq8jJjBS>pKRQ_kxoeg|mYQXd`(`OZP(WE}u#^DkrC@-i5(7*11(u2nEL9d* zDl4#5Q(&o}z;ZPStRk73r46}?WonjIvx;UqSz661p6O(1HLHlGW@$91W@*8yI8(E< znpJtGW@$C60!_`*YE~thnx)a4nxzF(ji@svS`t`lrr1d{Rg=IJJAtX11g6*t4An#k z{>y(`OacdA1rFW{9Q+kHcr0-6S>WKczyrTS)kHfmQQ&xaDPG2zn`P6_%~J6)F}Ydl z=`WouF%y4KxHr?HS*q=zS*pBhmb|eCRx*|n0IH;5fTa=xOZ5eoiVG}N7Fa4PuvAlE zsi44eH3_UDiOsTwT*VTbWz(#piOsTUR`JAU*)*$&VzXq;*en}Z6(=^!rdgFIHp`}2 z6(}~#rdgFJHcQrw&9Z^1M%0-SEeR|&Q|zRfs!3pqoxoI00#ob++G^rcR@KSUz}8m- zTW<|){WY-l*ud6j16!{RJn-9A&1hRD8rWT47BAxr%~JJ4v$S}bn9wX)f97Oq<*`{> zS=B79JT*%ef&!M6f~5pNF9icEl^9s6FR)ZxV5zdeQdxnengUA&1(vHxU=@jLmKt&u zi))suSw-WTrD|63xMr!ERYa~?il%Fp3RcB&%~Cb1^0;QHnpJ^ZvsBHhM6OwirfZf8 zrW#RaO0*=f)J(CHW~wHEDRu%=H3>|y6Bw!~XRF|;z`<96gSP?)e+3R63mkkFICw4a z!0%8s(GE-$I9^_gmvQE1+4OU>RJ=?~ZkBrbt4@}nl*)@{sj@+{RC(1bd1Ftt1}#zo zfTdu7r4j>6^#zuS3oKO@SSl;9R8wH7pulo939KTC&63kFJ+nmlIO$|w1v5Rfw3<~o z(=$t}Sp_sbvoxBqS+bw8SvIgLPHdJ6gz>Io%obhb+R?}6Fu+oYfu;HaOT`72Dhn)? z6FPhGwbyp;=nIOiXB&tUq(I zwDNeew6dyMT6t=gECdBCD+NmlfL;m)SSm5FR9|4JxWH0nfu*tnOEm?S3JNS&lfWtx z*DN*UDi+r)RkMo5HA~g3;&IJVHLHkRvlLC&EETMZ*vR^@TcQZ=grxn`-FRf$}) z6iwGG6-+gv&Xj0LV5ym6C(Tq%0#ob+rfL$HVka`Y7$sQ5}PHb!9BC&q?36SjC*FO znpHUNnWbu00l8M1FPc1X4y2Wh+?yBnpH%xSvJioqS!21Gd9ZxrW#Ra zO0*=f)J(CHW@09RDRu%AHwjF!6R7N5-dvs>uU5;;Z>Aq}KX2f90lr*p@MG;4&*Vp{ zS@V2x{SAJtU4GQPncuF?k2mYX%~9QF-M*5hq)pIP@?h80JXuW-c1=y5)%0N3^e*){ zEr?drRZXA(b4pH+MM_SOMN05k&k9{Q@JtoL0QB51YYI?9piE7%E=$tn z>h{%fv;T9dviRvhS>1uMkOO5Q2MU`VC~S71u-Spa<_4}RKRf9C?4b9vgWk^$dOtfT zel8cw=j;9A>bP3mEWnk;VcppiB!|tfL~^*;AD`_uTS;mk?^glXo*WNrxxmCof>EOY zY$Y&N2*CENnzg_r89Gq_w)YDoNtPM~VEdpjl4Pn;0JaYcBO!$v1z`KAFw(74ts=5L zE%OsR+OKpM?K5ppt&_X^=ZoXF$HUEHf7AKUp#AnzD%yaluk!d+vyq}&OzPM$n#gMr z;&nFHOS|~1u-5kC0;jIUYPEM0O|YA7f`td{$m0BvX6TqR?^tCk6yf=B9l=fKP=nlT z%d6dSf4IDHdIFZ3Zeh`kD=&`wby}N2Hz!}~&~$SO=;mC%+Hq%aehTR36wu8npqumR zaI-%?-_v?-T7$cp4elm4xSRicgAIIrZJD!setUkrS{!cH`{UuTx1z)P&tttBxAbNv3io?=9M zd3FDIx%_62m~pkA?18aelczpaWfQr3F~yE`BvHez~|n{;zMZrr;?UUcS$8xxG1F9?s_# zuI3b8?!P&{{AN7C#p3+DPU^ol7~o-^uZ2e{y_&7^ekFr@ut$?3XCr|0PP~)9Ekq>8ncQ(^r+q zr+KB-dU?E(4pmT(<6d>)_>@^E#*ho~d%n&_W?{}7>UFheQtEJG=8DMKmmB||B1B10*yJNB8kjiD{? z7qt`z%U9bMI4f#R>hXJmcKgkX&E@);9Cs(l z@@6mhlmz0)_swy!T&@p??yjPA!o9WVZnF}SkrIYNsXi0}^`X$E59NVHA4+QbP%eNz z6uQ%JxzUFmeQ0eVwYC_F?dm0-L{8!I@Z04jj{Do&>zf`fqq|MQ)~}Yw?ThC)!3O2S z<$AqK=;h%yVHaDxb19ndmdC^5YIiA>;q|w;LzVOpfV-z}9B^@ay}a3MuN}A~Gf9P- zSwPJ!$YzobrQNCU+X2~MGHUI`euE-kg!>E zc?nw&;YreNeXUous3mLx(!W@{+0fbaB49fLBsU63W)*PxArm2pYDirbkjg3`wN*g2 zI|byWItBD~x(P|X>O;jzCwZ%{%}qMVUwsS1q?0_>H!n;&$!C2fPIdHUxCu!K))(L= zos?pI>21OQ2aDUIx>r1td}>( z_7u}zDvRCj@|v!%y+!G|!q&aq0^hpJ0gsR>7zRg>aC>~dxL#f2Eb!pyN|oeKj{4#* zyO$L3AX*Dt9h`WMD)-sn$gC8g`IY4l6Y=- zdpz9kc6c|#dUZT}d%Z;UkUDu##0tGfj>HQ#qu1hp~(8 z{_1#fd3$(npEgF3*_BO`9mKKAq^g5) zVS4;2bz>C(W(Q&jyPR|d8A~6Nv2+9(%Y`9h=Njgd>s;h69`NOnW7P?jX$oF8}G_aA-dfKhU7KE`uuy_AoPDmg2rPPkiRvt<8`xQw z9mN^NWFg-a(aemdXco6O?ef$nO1hxFJ$(^msNaXe{5}-o_o48<4{eNw^<65Y??cDg z>F$Z7<|NsN))rE+-ES#jcxB*7yF$;-1meaaVK|E>46P$!bZI3J-el}r8p^aVb_&x~ zCfwxLfs$nhO0FF!8F!%M-GOo)I#BZHz?@Cff+kH<6$j=yxk7kie{nee`lrvwPj{d1 zz8vX9vOI#scosmfO5PhQ?C=89P=Rjg2DW%*=N!9AJu#hS+KWGP^6|R#e!f}HNx^yk z`qQtEZ{H6i&*B+t6ScQSmfsv=cYMw$c=4lruo&qm; z3N-PQu*_3J6HgmB$5W#*=BZJtd72c8q<)2`c8Z#(Mq|KJ(uh0-R`HbJ#M85ar-Wsm z0?j;Su;eL85>EkUo&wA~WiY0c!N^krBTs?VJcVG)(=JRr1zzwJXyPehnWuy%o;Glf zr$%GUQ=?S#G$|BG{R&U*6g5wc#(<}!5qS!%;wiz2r}qn<5|()iH1m|flBXm|JO!9} z3NZ7O!I)A8BTos8JOx(s6oN5NyD;$-c)?SkiKm2Ro)VgP+Q2!U8jUeejZ)3iq);UF zD?GJR)I2pB1D=vb89DQQHW0;_mRaN_Bsf~SOK zo&wE0Ww7KaNfJ*1W}X7fJY_JZl)=bT0wYg>)jWk@%+oGRJOy6x6lmfpVVS3dCZ0BM zj;BUr%u}OO^E4?GN&N~>?G!anjmChdq!D=vtl}xbiKmYXo)VUM3N-VS!IGyWNjwFZ zc?vM|l);!%1|v@ij64Na^Av(HPrESj6nMc?poyo1Wu6k6c-p`@o*IoYPmNN|)1*)& z^(#EJQ`9^)8Uvn^M&v25il+o8p03WTC#Vh0TxC47wZkP}n=CPw;mlcvGiy5>Q{3Um z+y+PPGFr2jMPvS|I5C(d1&0|=EN*D#al;dn1)k%w(;2hbsnvWgRLXRJh0}hTn$=Ec z!0V;_!18*s&LhI6_Z&g>>Qvm5A$ z-4M;OyNe5ULsGIEc)@N$D|QoJu)D$Y>^3@c>^5p6b|;lG-Ct$5oo2*tqcdbT>11{T zt=Ub2g57vDR@tGh(;V8M2#nGP{A+>?T3MZah#c z*iC4~Zr~NW86L2kWCgncSL_B{v76zXZiX|v3C`>WI$}3ObL{Tog58jm>;_)2o6w5g zgcs~?@I1SX&K$dq+KAmrrA+r%*=?s8vD@ej*-bi`-9T%0lb~QX9>W#vCbVKV@QU3G z57A3wAenp4~=g zj@?FW#O|b0ru(bxw$qH*ZFGk0CY{V~pf$TmP_P>h?+SJkTCp2=#cqZN>?T>kZon10 z0axs1IH#N8%x;1+yMd0_4bdFCySQLCBqh6n7wjgqVmILhyBj>uZlg2DZlgA0cTy?S z{Z)3`X-4ceIzx7oPG&dIn%yKQ*o{Yi1-l8Y*bTg5H^T#VldNDj;ELUVD|R!S)6H;Z zH^G_RKu7F`XpY@oT(BFGlHI@yb`x5$oA83&4W4JW(V1hnQ5&&4sg&vdD!c78BX%2| zA-hQ@vm0p5ZW0vi#)HCw-Go-`241n7;Q_lzR7{N!>f6os?@^%<0}2?JVNkq6^{lu4pGrZ;DP*=jYu=dOLLe7XKg{ z*_r5!g^SJLF|s6@;xeKXRggvB@a$_Cla-C^d`w~yV-mcG$%|Y}BC2AtvgjM0cno8* zvXPySNi1Saf)_D)k&8)0RZLdqe8Uxi{bAp}QLSAb3a#;_PxLXi0!9+({py7TpZ!Yc zSv}1e<+7Xx-?~^#bHASE{%{(6CuK3sgL;|=!)YFr(>$!Fc{rQ~UkO=w^QfNY(Qq1k zlVvf@<9eFM!)YFu(>$rCc`}^lNij`bRYJ4p`*`{k;Hj%KM=y+2&_LAmOKa#cd>RUecYCbZUn zSg!i8T$Rw#ELE%zhpRp+SAA5jN@%_6qcT=PYyHRNs*lT62_4N+#rk-->XUNSC*`Vy z)~h}#VO=>TdncchQTq0T)!b?<=t(NbGboh)}033&(MPmJjM10I#OOxuBee@nRa_)igL4l+!R?Oar`{2Iqou z8pexhfLGJtTu@HKcrgv|Y8spi%4rxcrU70}Qye226IkF+2Z!y2XV~__Ed=u73s%B1 z0!B@IcA9_QKtCK9KY+?(_n3qb_kg4U@zDsQ_Mr#??r{hK?m|Z2#y1}>1NOlNY4}GPjM#@69T+^uu+s7YhE+(9F0wErX#BXsnWhI7 zR+=ACu+%)9pmBIC!H|92cElZ`ebB~Z&mCxZ?l6$JL#X2p0M8u&o;wWK)G%PVLxAND z#+f?+YwmP`;|{RE9UzW7GLExC6j*2Y}}e12#1bSnd#Dxr1@$4#1i_UEsI_ zEN};i;|`5IcL;HPrvW4G7-`KNqma3igdssQ+_9#aJ4RY?hoqJ}7)S09{>;trvXI{-X)0C?^&U{k|@7N9RQv?4A|5#V7Wtp-bafAiN zH7GNVanCq{0^F^)mUxbwrefpHD-jAP6*u0x4&4R?%V$TN;1 z&$tfRv~|cbu0fV@jAX_!Tr*BZj&Y0z#xdp?*AUORhB?LwG-8~S*Nk&2nQ?_UrEZ3C z-aIqT$qU9cxn&$9k#P-ljKkOC`c0Jq&o_cR-5QeE#;_wBA)af5c&atTrmG>AXpC5% z5s+y{Xw5Q_IFb<nohXms)a{{O*%DelUk7T8mJLKCx9$g{OWHjy2&JZ+FADI=Mo4A=Bjk>e(#ftZXrRyM>_vSE&o0*%P% zTL|NF-eY9pmu3N`Y|=@r+~4Gp<94aSeBjW5_d( zAswfaUB{m&dLXjvnmDSvN)w~j&aVsV4Rg# zjAOZD93hEu3_8Z)w^jn<8sd8#W1evxN{nl`V;n=CaSVCJb;zczLzZz3vW#OSGmhb! zaVm0*V>B?1F~_)uc*Zr%F;1WnvGmP`*nQ=~DFs{ih;~0sIYakfc zKBcnyk$>)qv+NM$*pDg04rwz_5Z(=721M6sNKCb(PErGbpVNq_IgN;wb|jx4Am3M4 z^06Nt$%lt%APk&LE%`hO-c3MA2EVp8Nl`+hD+Se`YjTQAHcO@~6&{TwHdt8#)#x2T$Ha^PkQOBtA0!*X~;y9J~kw2h?^A9L=qYpl3-{^!Xr--f+mt+ zWJrRMAqkH(Z#+_x&`3#wK_CeuH}pG`CiH?f&?9uB4FF3U3@vSFIMJq!d?T6?Ek!P9 zV+;drlEj8DGe+82qd*%YinJk-(guTAVNaXGHUHu~{Uqo4c6&p=agJYH#{DG!@ci=j zaD!i6+idaUlcd5wQ~Ydmjb9d~Uq5?^-}`;}qRZMR2#>edw+H+VFlJc1d-vgY=RbXU z`TEm)r*pes+-&e8!tIwx@dNkxs3L5f4t@(6AFP8%s3HB%rb?f0cKEpB3&glxKD^wa zZrjx@KI*rn-$*4NmtA9Xpfw)iSL#a*eDV)J*$BBcyI5RpF28MaTYQBN?)3#DyneOA zuVHtzNFfvF-Z_1Q>PVmWRrHjq`>iE$Xos$d(AGPm+S2gzi1tmcZ=(r=llc9PMebVfPPKd zp|{uHTyI~x!kG%tuY;<(!58qUY)yB;lA^)gWhQ&O{H15(AA?0 zpl>7R{l#&8pr1=sSG7+g0NMElEGyn&*Y+E5;AU#w@Bsf#_@V;+LHV zP8GSsuQHoUPA+#LWRX!LiWxPcm{AoCoj-Q67tR||Op1zT=H;uUoVH2kO{p!KeDWu| zrRP#xFWRk$@hNfPhBI3)rC+o*FZ!FSZRpR=j5>TdAGiL|Vl2G99=JN~FmC1vZX3_b zw_kqPy#M~gNNM%<^K06m?!N09Zf~owBbogA-CZf;wj!O$O?6}u{m?R9a$bZTG~q3u z34TSN=QnfoeX*Tt3LM{VvpL*oC#H}8FP|^2uh*A{(#Uy!S)85GVT8^n^40W%=lXvT3pzic|+bFmi!Cmbh7DuJ}&U1 z$}jiF+g)D`od_HF^$KT^w~4dl1;EH(Tj?5VSRZKL7IWyHCgEPoKYh`0==T|K;w} z_pjf8^j*--GmcKwqucRX9Ggm|1^9V&_wM!2%b(x8yZe0n?!$YU(L(P&eE10H;@#`d zKm5rX{B^kda&!0NM|kijA76j^@+T+vKGAXV`|s~QL3;W2*G=o=8~p$C$2Tuuzx}d% z`|EEBfOn-lAj{V8QlanWXf#1HL#BbhxhS}TqZ^@eKeFxXFL!_W_RAUm zrvKxA-(w+GIFkDD-3OR(-?9m(41auc`~KaBH-7;-9k13mxc+Vy_Df1|?QWK9d<}?g z>L<7-LtnXAkYsU*Kd%=z`1AQ@b-dvVSe*Xt+c$TM@4myYuz$WszlHqvuTY&YcT$|Y zk0)0jz9SwSK79Y>cdtL)?dkX0S(Qpfe=L8vd-E5cfBLZ}qLXm>;m02jta3cQ`58a+ z{+qWS-XGtq+6IYUet7@o(}#EayVp|jK{RW0y7kQsF8xFIfBEy8XutgQ_RHHhuiqW+ z-h9FQ-36#41Nv&f%i@4tI@ z_W~*Y@QJh8=I+Jk=vKH~K$hQtXx|=br`vB|T9QAzBd);98@fn#Uq0b7`;L0m@%vAA zfB*OwKl~L+#GUF^nA^D5u48Do%j^w0=KJ5i>(QZ6Y~a}*7pI@^N6gopeDl+%PssDl zs*k_BqYFm0@1Om}@kd$l>&dcNvEjqlFF$>52{<09H5^}m`h@6+mfQpA5}_n%B)|JW zaX|CS+t=@K(H=j3{vb`%Ez_7wS0i6$$K$U*e?ESu3lpsijeB+X{p+9JeQ8Dd)Wf{~ zK#O%3t#>Ue_~sJ6yzcIfpRsl~(#!1nryqZf4WQ{>qO)z@udze_jMZ=jzQCpWL8R;V zRM72DU!Z_>;FJUb&Gq~5K7PQg&tJb2u6p(#kMG|8`VGE6^X-?GFf6iO<79zv{NdKq zTu}D>^y#(f66kF=AAa*292U)mD8+n%tfGnEE#9GbyvHBfJH9>A{rz#f-{2w3Jzl(r z%3l?=rf(;ldXJD|wLUEOTD|XS2mScz!x!YD8=JP-E_in8hr8Dw5#*0=Rc&gSxh{>J z4L29Fn*e=nzozGEIOf8o&F*HqKUG5W<)rLyq@drNZ;5PUiu(BZ`{Vbo{{r>@^4;Ah zt>zA2fH!3O7o(IL>+VYqZ7X zp!@CN8sF-)=f_+c2bCY&Yupr&N!&(Ir~iVSzxjM`|KZ2R!q4!OuCd-9Q1I8?H>uk% z-d54q@%3Td`VBX$PPgR`uiyU$XBuqfm)OWDEJwMW{)Mk??2-p+v+8yY1zMfBW+5X z9;y8#-GiDQzCDeOk)Lr|`tdbpMdaK#@Lj0gmD`WhVVYs1c?(Kx8@Ah{eKYT(n$079XN9wQKK6s{2KYjdi{B7&HTv+mM|KWG{en0}~NN1=ZPF^kK zm8W!(9lH12(z!Li`T6^|U+7AI^ZjprAz#v|lnxGb{czd(B$|ibdynXvLdZr8cVu0> zc|)hzUq;yEKtGrD^U-uN`^D*612kPSnJzcFED<$(;Eq7th~*$F*JE$%TwwqnXA{ zIp0a~zAF3Kz2l$#7B>cMw`F5{`_|%WftoW$+j1jkTLev+j*IPJ1~R@j8)m(+W5W=F z_q4W46bC5T0NcFMSLrs>I>%KY!cXVPw_jeo{&erv-?;Zn|M^#-_y_p+pX~w|9Jv-P)uGKy?cLr?~iFd{9peOy(19%n^N)D|MU;;k=~!;Z}~^` zegq(T`12q9htLxl>B`@KW&XyWzkdzAKO}|zkLdkJ7|Y-H?){zL-wpJB@AUrk_iv&1 z5Bgm6KbhmdG=C#=zyCj>_qR!*|08<;HOBHciT(-no)anhKa%JF24k!Dr~mG~d;jU3 zXic*JG3foT|Jl8J|JT2k_5Qb@_uu`)d-wkDe|Mz?M{-=Lo()+{y=RfXx zCw=_o|G0PWzy0Gr=rZ#E|LuQ^6M4kA@T)T^sVx{{p@HvKT=5lm2fm*L(N2e{k=w z|L4DP?_UM}pX1`Dy!;*f`_JKDJKtabi+_0UKlz)IwQ0V83lqxR_wJqi{_0=;BR9Xw z+GFS4^V{>|%?d9uK6$`5j2Ot{*GeXjhK=kYy-d8u28|Q=(c$J1xx?wkv|i_-_)x6# zXs`~xjD7Q~V*N)lR}qiOigg|j*1@;6m+L&9Sx05XI!^}c;OpGWb)L+uqq1V1r-OB# z4%T@(vyRI0I!{mPb%2-g03Y&Tk`?Qm4%RsxtaCcEj>?L4&Iaq?hY_m!JUyFPM`gu2 z_Xq3XmlMi$?$4~FvSOVFgLUxJ3gtR8{otv}iggD4;31T$-?uv%--G)_+jU4sNOYpJkU>vYsNAgKEW1mm?PVYSw%Z=8<$ z1_U!}sjymW)HhB?eFK7-wNzNGHR>CuqrL&b%vvg})*AJV(^21mU}h~9R%?y=#_6bU zKrpkG3ahn7edBc0Hz1fKhQutfj(gtx?}N9rXxBYvybmDgyP(OWLA-KELnC|@4-b|uy!tkT1qd+2BE+7l<$ zBov?22`K+yR?=PXF>^wfSMokuos@o&$;^23Dn~+C^pdbJ8$r_t1}G(jte(f|SC<}f zore9odF7gnVrfCV)4>HqhH*h4VL{h!`sA+DD3%t)dnLkx_>I31A&{`3>p6XL*KQO` z3*vVt!-DvEzpx;Xu%K%^eR9`x6iW-@7b?So_+`JaAds-2>pp#Q*LW053*xsd!-Dux zzpx;Xu%K%}eR9`*6iW-@S1-eY_&vX{Ads-2>qC8V*MbyF3*z@N!-DuJzpx+>x8NR^ zj_Eo)IbrcSBrGgQkYA7xzaS%N!Nry97^JkoJElx~VCZW4I1^pvUCo8K$Ay^P&sR0+ zCHLc1O-j-IcvX{5avxB1pS6;!PzzY@5&KuUM-Z0Wk3E8vqWiH&kWO+RP;{TQlB?PM z+;R4=a>pSoxgR?YDMj~V$042MKA`A6YX$dNEnvBS?O)~oMObn__AgS3?#KQ`I>~)N z(S6oRuIB9LZn%GyyCGr8{n!mjDY_rKA?YOd0Y&#&E4a^U0n5F0|0?%Z!jk*3w~|tH zKlWDAN$vxR?z2{MHD^C}>iw(SsR>K&$4*U3(f!=1A7}RgMfX`NxX)?^`9AK5M1fbN1c+aCg<+3M-b}f12EXnz9chxc@Y}4=B3N zTETr*3z&a4*M0TROMtK#M$^ka=Q`jqUawOo8Oh8d@okV!MV~4y4+!o1z4=x;S_D2|PCU2s~cx@M$%CaLzUa zFBn>+#~j$H~yARy?Ax^_iCCO(_sQmhZ#5>rr>m#gR^1z&xScT8|L6_ zn1i!n4$g)-I2-2RY?y?i?8C6*i~#2S=m@r zSn;C?2V0Q}XD!D`9c)GTvY4-LMXEH~id124D^jK5R)ohk^)_UB8VClAA;~cIaw}@-8b)7kMa^ayf4LPkn_&jZt*F@yb5L$Y zY*TJUO)?}vxfL~=AqC2 zkxk7VlGJ%4NzEOS)OjOG!JR!mO6%UiVm6&7tL}~J{j1)*PU&9BJh9LPSWwTdcULLT zY&5v@GP0CwBTLyfvXpNlOBpw^lyf6XSvRu8S0hVTt&!#CWrc}@Dy+6UE1b0)C$-w{ zc-pURcUGy~?ySOayR%C5cE=jH0%|@%PzMe{9W(?rjUcEw1VK$82x|5~P*Vqjnl}*C zq=8_-7?KQQ&)c2kG2eNqWEg(F^HQ5(0`i@g+6+^W@4Un&Z+9vg<{;mBsm+i9`OZsi zh8)OuUTQOBLB8`+n;{SKb|*IZ&Wk0qanzR$N$R|jq`q)SQs<2%HFro-=Zz#acSus@ zZM1XU4mU5kiKucQvMLKAtMVYSDib2Bav`!R8zQUnA+kCngF7!&U+gM2iL7iaDja8K zuoX2+2xl$FNgZrOdOI|H2*}~iJp{B0b6b%vHQb8$bXoHWw5>nnn=R9D<-G z5Ck=QAgHMWLDh#jz?w9$7%+w;!`REMh?gn1q9z%JUv5RsW|)9-D{3~w6qH*L+mu^T zlMHiEZbi*z$bfPyYBoa-lv`1=8M2_Q4S<1GNrFYyR0X#~Ol$Jp03xpCxL-d}V>-I68wkxjBK zuDhE(WVa;G3&VHDH`WpJ6=UDsoqxXv$N~x#)xDZdvAB1u4(lBL8IGDC&TRUFMwd(p z&>u9q&6EQDL8IGDNzflOy3LdZ{XwJI^mgYG&I4&2{IU_r+=k~(Y&dsB!t*8)&K;5P zyorQyhu?W21^muS1*6@t9QX}JecT%CSRTxdWx`}E7baubFd55-$#_O4cU~a|c178o zw6M64@nZ-lt;mH}E$2y{v?9M9n%;S7xYzEyqQbRSbQEblPv-c||39 z=M@#E?Jg?ucBdM+0@`(J0v@6_4FnU$h-4aj zZ+D)qY4p9_xy>~Ge&^*j(+u=GFSnWIptn1->Fv%XQv&pM=QdLc^mgYqQxf!c=QdLs z^mb=9z1_Kl^FSI0zidP@x8Zpc8_pe(@VtqHb4MgRZz5sb;dfq00l)K7!Mqju4Mx55 z3U(|HX2&vNGL{RIv22)(<-=q=Ba=I?5CglSY))EOT*&w_gp*d}!mF0^q)u9q-wsXh zyfoZvcV1E9S}XFUrmaX%m+YES0S_E}=0QWiX#@f15Coh+5ODTDz^MZPt3etECk-qn zj1kE+cG`+Yx~9?7Ry1s;@zYi`Y^E8Yt!UUxb3j{>+R#=sBvS&=Ry1s;6rinW*i1=4 zThXwY(tx%iwV|zONH`CqsPoH4By$^{H?iT|5ed(mNH}*y!t*8)!kzh@*V`+1Ug^(; z{T?fQpGQC^cV6#icU~%(w7Vc{nGUj+>mX~{4ziZ-AZr;9vX=88YgrGn#@8TgS8b5> z;uVGS%&>OnmJnXGoF|pFJDv7hcV1D6-g!lZX}gO`yxpk=u7Gyknt%rm3m!BCoJJ6E z4ne>P1OaCc1e`h$aNa<`Ndv)zF(R49-rJq0YZ`rTcWyI{zqdQLnP#B3JGYtUptn1- z>Fv%XQv&pM=QdLc^mgYqQxf!c=QdLs^mb=9z1_Kl^FSI0zidP@x8Zpc8_pe(@VtqH zb4MgRZz5sb;dfq00l)K7!Mqju4Mx553U(|HX2&vNGL{RIv22)(<-=q=Ba=I?5CglS zY))EOT*&w_gp*d}!mF0^q)u9q-wsXhyfoZvcV1E9S}XFUrmaX%m+YES0S_E}=0QWi zX#@f15Coh+5ODTDz^MZPt3etECk-qnj1kE+cG`+Yx~9?7Ry1s;@zYi`Y^E8Yt!UUx zb3j{>+R#=sBvS&=Ry1s;6rinW*i1=4ThXwY(tx%iwV|zONH`CqsPoH4By$^{H?iT| z5ed(mNH}*y!t*8)!kzh@*SjlsUg`Ud{2nWP6=6UpcV6Gk?z~hmX?H=^G96?s*Fn~@ z9b_%vLDn)JWG&}G*0LUCjjuu0uG%2$#VZQunPKhDEg`&WIZrBUcRKC2?!2NBz4M9+ z({>k?c)L>#TmkL6H31JC7CdMOIE^6S9D;xo2m;O?2sm{h;Jkr=lLmqbV?;8Iy|+70 z*EIUx?%ZY?e{XkgGtEG6cWyJyL2q|v)7za(rUdBi&TXa?=;m;dv7Y=Z;8t-bBK$wV|zONTvj!t!UUxDL`A%u$hv8wxVG( zr2%b4YC~JmkZ>MIQRkP9Nai*?Z(_r_BNCoBk#O#agy&5pggf&)ukWthd8O}A_j|1L zE$RWC+WtYtmO8efB~U9~~hi&qrR zGsD`QTS9o%a-LMy?sVF3-FZbNdgm1trtK~&@ph*gxB}XBYXTlPEO^ima2i3tIRpVG z5Coh(5OC^1z#)xDZdvAB1u4(kW-MP&){@(7~W}1QC?%ZaYgWm4Urnftn zObO82o!d+)(A%BcOi9q&o!d-l(A%Ba^mgYG&I4&2{IU_r+=k~(Y&dsB!t*8)&K;5P zyorQyhu?W21^muS1@l(qHyHKKE7-9-m>tW6$yhE-#sC zaUtW!5KdZ=3$I$vlR9ZdemgY1^U`py-FZcYYpuwanzkZ6U9xLR1w3%@nFkF4rx664 zLlAHRLBQDq0jCZGtOjWuoHVeQFh(TP*l8;o>6%7QThXwY#!p+(u$g9nwxVG(%>ivi zYC~JmkW2|cThXwYQh>IiVKXHGZAHUoN(0)8)P}aAA>llbqRuZHk<4v)-o%D;MWtYtmO8efB~U9~~hi&qrRGsD`QTS9o%a-LMy?sVF3-FZbNdgm1trtK~& z@ph*gxB}XBYXTlPEO^ima2i3tIRpVG5Coh(5OC^1z#)xDZdvAB1u4(kW z-MP&){@(7~W}1QC?%ZaYgWm4UrnftnObO82o!d+)(A%BcOi9q&o!d-l(A%Ba^mgYG z&I4&2{IU_r+=k~(Y&dsB!t*8)&K;5PyorQyhu?W21^muS1@l(qHyHKKE7-9-m>tW6 z$yhE-#sCaUtW!5KdZ=3$I$vlR9ZdemgY1^U`py-FZcY zYpuwanzkZ6U9xLR1w3%@nFkF4rx664LlAHRLBQDq0jCZGtOjWuoHVeQFh(TP*l8;o z>6%7QThXwY#!p+(u$g9nwxVG(%>iviYC~JmkW2|cThXwYQh>IiVKXHGZAHUoN(0)8 z)P}aAA>llbqRuZHk<4v)-o%D;Miti1_wfj7mXM4F;$;5x}ycM$NvVZnojfYS&9&LIdm zfgs@Qfq+v70?r!6%7gnqst>#$TFZw3%k0G{tB$%|U63!KO6D zD47zVG{tB$r9f$l(Pm15(iEf3lm?|K2Ak3pqlEK7+6%vIL^8MGc@rDX9g*<7iG*`U zBs^~-VcelD4*iVXpN-t$|H%(fW*N(Z*|9vBjAg=PEEgtY*)SQ)hsk(G*!>wSG$}*I zt|*(678V!IGc##L!*bG!TsTkaq!sy16Td&h#2W7O{;VimYel})v=!+YlwDIQ;3)&2 zdC(AW8bQE01OX=y1e`q(aOyz7YLLdkNdt=sV?;8IowlNpu4(kN6%Ctd{InGfn`s7U zD;hS_9MD#zHnbHD$&>)J6%Csy1!yZ8Hd7MNRy1s;G@z|WZD=bR63zoD>in`1$=rtL zO>8)KM8fkX63!iw@VtqHaA$IVriEt6*u4??21HnpZ$MOZa_5C_6e8a}Zx)kwr$$58 zG96?s*Fn~@9b_%vLDn)JWG&}G*0LUCjjuu0uG%2$#VZQunPKhDEm^yZ!g*3zyHm?= z-FZbNdgm1trtK~&@ph*gxB}XBYXY7!Sn!}B;534Oa|i-XAP6{nAmG%2fb#|dP8tX% zj1kE+_TKJ1UDN1$yK|dq{Jq_|%`^kO-MP&)2ff{yO>cKDnG&G4JGYrqptn1>nUbKl zJGYtAptn1->Fv%XoCnf4_+=xKxed>o*l_NMgy&5poI4`nc@qia4!`q43iu;N70g=^ znw8#_u`HO3<-ueu6DDK1Fd55+$yh#2#xpWvU|cdgc14+t78V!IGc##L!*bG!TsTka zq!sz?(DcqrxBA+hS5&yxihQYQE7H>?yQWmYQwBcspdsKif`D@f0!|$t%Oyj4m zXxL0MKwHtUndX4DBDJBdXh^06psi@wOesKH(Xg44fVQGxGo=A-MQTG^(U5Q+NKxmP zjY#G;Ja1ydxg!#uH<57eh=k`&B!oNjJFn?CAfh&sZ$MO(-Fe}QgZQ173Z@p5cBe)| z)-oMrE!RQTvK?eC-$B+g9%L=&LDsS!WR0&u)~?zh>%}Vy=b2&c&MhIlYB^6TYj-;B zx9+^6620?^3e$EMm3X^T4O{{3x-|h092Pui2sn)(;2eU069@v%9tb#fAmF@#fRhG- z31dVujlH)!PuDd1-tOFH8h>whZZpk5Z+C7p%|UN>X4Bi9OQrL61<9QKRuY>1yYvC}FMm{! z%-O%J1e*Q3N&tSm+-=VL__y2&k~zO;n%}c5-Oz*rC9~eplme}~ciWeTzWD>?x{tf< z!;hE!Qw(nCKiKi~{{QwT9KL|sOQVBGl6KGB)Ft57I zY0V&MS|3QDwSWX#^&jAl`MH4E&jrkWE@1X^0kfYAXnt-t+fVz`<}s$yv5-y2cAJ+C z$hsEDA_+F<`%|1&hDG|r^WkV)BVvqCy8;~X4*cFq%%XI(l(0?TSaI z-AzG`H_^%Gw|AS!038Pk==i70`DJr@S$fETPR9q^@d2#uN5@}>jct0wY@Y`v6J;$y zvd-aY8yEjt);iwb%Kh*siQ1aoY z%l7q@?7k|J@-iT|+dRFXfTyc$f#QW`(93r7aJUPnx#vY9hm7aLvz>YkemQNnd!oL( z`+=a_$G4YBRPBbN8@66W`Q!kzTj`>GE*2Vzsy%jlW(O zf7#rFDNnDD>jt>%%kmG$m&?QX?z+O`HH9yyA1_~ioKJASxx4&i949e69-fX@#D~Y@ zwo6D#3JS|YV z`FE6V{~e{b|BjOQbo1}{^i3u4>6=R8({-iYetUVeT1u#=ax1JexiyEG+?vEpZU?5B z+|F+^x!pF*C zdLE!~Jr7X0o(Cvg&jS>$=K%`W^8khGdBAgrYZt_33x(@>fWq}WK;e2Gpm048P`I84 zC|u726t3st?YHs(h3k2M!u32r;d&mRa6J!DxSj_nT+ag(N**5X^&m%1B_`=75|c=$ zMa|7U$As3Drc&)jQ>nJ0sZ{&VRH}_yCQXGiC9zWxJb$EKf3I{u6 z?Z3V}?$hIy1qpdwkao6Ac+fw*qzPqMq~qM~wnGClF ze^|5(qb;P-7DKb$eR+w5mtn}C3_~(>_|x_Qw?W6(r7rdH;bT}#l)+V5pXjD@1O7D_Q)`7j|{{1$ndm(+&tT5iSfN8I^;%l z46~3TX8uMjI%Bp*@~CG_kC@RtV#ayF!u7HY<1CQI2{0_Sw&ja$j0nmx7z|?^;d&8U z45MwhUKXj@KHBPv9ze>_5rpT!c)BG{gYP=Q)}1;m(1sVnhjOcFCn!Pz;fq+W!{05 zKQS=^xrXh#1Ge)H*xox}4cq~HoxlNqm10Gjul~Sy)oI@Ps}ieD^VeUESaq7m{wl<( z(|q<h5X2RvzHFbpmr;qmfm z^R#=wN#)%oZB>(hy!fj(>Rwa8hXnosfNR+7TYmzoA{>GqH&2)Q&FjN>I_M_|72my{ zr?S`c=7;@M`e}38O@+Jt-RloiY4Qor=VN+ThgQM%c-mj&(P#Yl%dk)nT~BmBA>QqI znL0`EY&?9((nN9`tY&h&ctk&6iz%MkzD9p^9DEt$*XL)v1GmR>(4U^RU;(zk9-*ni zQfp`8jhe|Pu+OLc8PAaSMn$!LJ{@0_c6N}U?iDeiW9WDrwVi;Kz zE{8>Gwx^>c44=ltYhne%m9c`-rItLdAZ~*ihO=|SK!k?LCE7qZ+Oa1qOzXnvT%kIx zc-V;q+I}R^b|rzfHwm;IN}%OBfwo%-?0FA6w`zv{OJJW9nl>k%bEi_)K2gMFv3)t> z;y?V+%9+@lj$a}qpG0;=L95~(-44~WO^Vxl5ft_3{ps-h$#^Cm6gT&~!&%seon8QK zullrR0hW6r?YQGpS^4K#pT)?(_M6kgPmBHT^~L@v^DOB3Bmq5K)J~edkVprMK-b(I zWXlh*yi24|7hTf)1{t>I`u>ZG+Gz1HE2e~LIuHM_qe%;ztte&M8CRZ`ZfQC*Ps(l z=1<8t<&=AH5Z5@){nC1cH3mEgbt8a}%cZy$NJ?vjU_s zml_MSd-$o&2B=Pk44mc4jgxH%Ca=7|k<(e5(*$j16I5SiD*c-PHkAt4R4QOo-OjvH z0h>w%Y^t}jsZ_wGQUROl-E1lqu&GqQruuF+l?vEYDqvH+pG~C#HkAt4R3BzjsenzT zf;!d4SW?O0f;yE8rc=r3BJp`fIGKtP&IN1@wP0&dCdyQli7sGks0CYtQdFj*6m+k`D+V_U$+mV6sq&d1n&H}ShP z{aGKA$a2qLPVx|M4a)&r@ELbTUt)?KXd31dG`{T6>pWBgEFl=nhXd{<#^W}2-S+^6 zb%s{7!+TKCd#t?qasP^sd(u=Z7$#f6;5eT37uy91h6~cIY!l%8qGNeq5xMcw%)5@< zb!9|uxiTUTpEDv4%rl}-`3uy&*5~|1EBJkWxx-7RDR~BkxeN*^Fo?_*l3-dP3kHQW z7!>kgP)LM9Arl70R5(WbkI8Vo5W(j2=79R*7rkub0)^QO3fVA-%oVa>S|J+-g=`Gy z+?_c4anJn0tEJ!RV)h(N71XpYNHU4n{v6jD9*8{d6$;>0tEJ!RV)h z(NBEjND9-6Mo(8Xy1Js#*AH_QV%Y6i;@L)5I=Mf(w2-(SJL^ z-%arECiwdaj(E63h^h;A@}9N6lOS|X${n!lOo3)%?}Yjs_WEf8(j4{*s%zN0p#p@L zU&GMs?N2e5d@REtjjKay@1!nM#g!qA>n^u*pYSJMYmO3Vt1RH*R#Lt=vS8;2NqENY zUjO*V2Fe{(UuQwfLU*VAeVYJpN7RKD>)X8if?5H@#|&ZJF|zgKR@^5YZzvnd*7ubq zlQQ~YqaV)NaQpVXe4=5)@p^%b^y!7qidUR*%XGn`&_((2;rIjIklQ^zLCFB3|- zL;ywPcFE}i?DelNO+(TypGyQCdD>qfe>@>`qzQMAc+jzV*3U4~X9l8~h2c{M{d@Wg zK6o5&WQa2Zk`E)%Kg{J7T)iIH_@t|%pY&~n^Ae0)_{(29%L+2w>}+Zot&ekb+inOJ&uAd$xxB*_P*B20n)l zJ{|7$51=JX2h14(cFy2s^l9;Q4C69*$;omgLK#}YuyHj0A*;(Ui9hRWtmYU}BCmLd z#TO(kF_hvoiNEbFBp zpp$~QxuhUu7_9Z7d-iMA8KQV=>$^Q0hda}enR1Y|ya zZeZgO1diG50oVOUp& zVO<%9b!8aVm0?&{hGAV9hIPNgFsv)Xu&xZlx-tyw$}p@e!?3Ol!@4pI>%PY@tSiH? zt_;JvG7Rg=Fsv)Xu&xZlx-tyw;+`=rtmCkFPA4r}W;EUx3Qx{ky0I=1!kGCPh^ zxaM$!x_XgO^ChF^QAW+LjGA{DH6J7T;Ud|wZRis7MXSW_bitQ#Y|cy5lqhf(sTgj_ z8;0iq4Z|~lhT*QgVYv5i7;;KLwMJr#lPH|$ilNpt47_L(Q@)whQ=2io*%xfn}#po`{_9i5AtT8+a8|qu;Y*x zTTk}G6aDrhH@L&s?S`qao4_oE7Acb;`S*lMQr3^qdWRV+LdxGJuZ+Vg~SSv@ru1 z#tg`393=yIH!fxXp2Q4@ea*o8k^ziE2Hx`w3^-;$TmBlfHqtaqNf7Or1s#VpV4U)R zaY}@YVn0DJZ7j|E0E_5m8%8vo}qHRKqt{f=4^Zcm@gRt0%I_wg>%6p zXWm@osU7~PARUz!EFEo+c(z*A6iQmVyNB@yhyD;A;oze@d6OTru3LfUR~wx3#urlB zwi0J<3=7KutIimdw5T&U=rm{aflqPf))o$#aV#tYtU6;*(xT4b`e@GRgPY>aTjGpk zVHsf68H17*bq4LfIin9?iZkzsGmeF2fK_JI^RQ<_s>}=FEHIjALOLVAUCek`{Fa{i!*Fp3|H`1?<=M3WkLQto}Hb^{7YC?Jl9% zkmVhW)7nOaD^0A`rL4!7XWN4bN=o*Rhx^OZQNBleDMN~!p2xRw%R32s>jo;3@A%n$ zk-zkm`ecy2M~qKHBD&STwe+&8ny_8|n$L~Du$9jEze(iQ%0*MF7Ti!qjgRhnWnxOu&ORY)79bn3N(h%*JT)#@!`C`FAZsQ zcNqp{eE1ucqsLH=F6(ermX9wG!m?EuiBs!HR$WIj&oX*=Ma#;gN4r&rEX<;#C<}8! zhVN<%c6kMSZr|ty+6GlBb!$))$~^Y4nz%I$b1tG1x!O< zL0K1Hh9-S@zK<804uf+TN5<+fh&N9g6b~FQFY?#lAw<0L5~p2;w&*gvU3PI9zRg2> z6uC(48RRmw$E3^9o{}!Zk9jJ4k9v{%JJdEVe)+r8CNG}d6xZ~NL8P3SesS(5d55<{ zY_C)w=%Jey8>rCwpAyX?~28{O&cck8zc!^&wB|%TCAi3LR_$ePs!s zlA8lIu5w$z6J#?k>7mrRy zKDUm1W*zywI?A&cDWsd7zZhf3$Qi1w?!o@#P)2uN^QG}cXJoWGBcs(B8LiI9Xmv(L zt1~iMosm&+2FVD{;OS>@28W%H85yn4$Y^y&MyoS2TAh*6>Wqw5XJizdK~)dV;I=zB zgEM<@MnR{= zeCg4hJ!c0Zdtww^hG905hS_8oX2Y<|CP@vm$uP_&!!Vl+!)zFq*(57rHW`N5WEf_X zVVDiWIGdhQ*4dV!&9)3}wqVa(w&3^T_ZF48cwNW;wWnt-yT$TF}D z!^|ybNvTWoR=mLz`KL zYo4`QuQM-0n|T@9%*)VbUWPWa4%5tW2O*VVm^tp?A`LUg9aQN$^K!btXBe9=xTRR% zgp&cjY9PuU{g3uVxNf$No?lMOnz+WqGK|)HCY)~lT|4|cEP&BEzZ`-epAS!;mq}#2 zE}$$9UxQ#{^HsT+<@imkHI{MQ27oU}Jz*1%_6%44R&x}BfAR>US>5!!h?ilfp#IR^^dXNPvm1`P1b zonz5@_tO*JF~)Zd-s=~}$hltEI{LAB8AhYwI0`m%P+_W_9Fz_8J6Tyn0e_KKSJk|s zdb4E|?#lp9%M4fX%#h8YaGwJ(nFEiK*c=M?IRKM6@Pv-dp>UrAFqs2imB8juxX%HY z%<+-Wp>UrAaGGOtlHCI1{13xrw+wAGH$mdKfTu6=8+c3Yuqg(JB4f|zqTs}|Px#p~ zs_h>I$`rVYs=8iABB5ar*L>WkEn17amRZEC@K! zPFdI{c40(}_RqN736b^h+gMQeOu|~I?f2=+I~J6HWJS>?K?yj~PC?0ED!hEc-%JG7 zIz}egKcg0r0QJx9Sb%tt-UIxO1t=g{@$?11Y1?;Az=?JWP)=p?OH(LwA;dv7ltdDW z{*56E1)q}iP~Njp1fYpbkh<}aIW6EsJ2|Z%)8J2f(x2nV^|=bdpW@uTkq?00yt(KXiAz6N z5WIe7$LsSTB>q!N{>pBI&NAU&0>l_97(2$P}`Mx4I&F7ouGd_tcYvCt`E7E+% zXJti4@?)ogH9rNxS_Q#c1;JVc!CD1DehPy86a@Jx2=bE=$xlI$pMo@>B|k-{`7HU# zI>?WH>3rf(MR1c>_8oV61;P7g1;I-v1;P7C1^LjBj}7_0BFImf2mGl>^BMW6I?ZR~ zr|3w2O2)8OL9kXquvS5^Rza{fR$=RSWrApY3_R z8ieP){)Ex<)u4A>>$?uU@6d-1eeBTp4MM)E6UbMCy7$Oe)9T(MUsVhF!t(?98vAqt z=oro$O%ErIhT+W7Fq}FXhO<(`a9U~@&Pxr$iK$}9T*q)~YI-CNB(Lj{IFP=kCw#LH z*H^j~1p8GGY*#_BTLr;p6$DHx2#8h?@T?%9Sw@6q1p&zl(tH+*6`kg@5X^OM^!@~S z^fTW;ki~+;`--6x?<)op?<)op?<*7$4&<3}@f74uJQI(3;+c3L@f<&pc#a=PJjV|t zp5qk}f4q+lB%b4kRXl?ZB%VPB63@*8iRb2l#B=jN;<;H7__LmF2NKWC!z!Nn1`^MF z1BvIg210pa>qoeOAjcWF$R>*7z0XYi~*%HhC=YFt_Zg(1iwP-8*`;@ zIH1(a2b6mGfKo3XQ0nCh!LPa^{HhTAialjamix@Wa=#o{?w140{c>QrUn&Og+PZMB zVUo8}(R+=LeaSqlRl(yDD z>1+*@=GH*zZw-_dS3%^HfYRuiCW{Ei9HPl0!eOUt;yj4gbJrqm`6Qq`VQ8B2kfDLn z0~;u9uz}JE8z{}Nfzl5fC@ryq$R`1%F*Z#W5%NhiSwzTZ*F-+g_!y<%p)geLxx231 zcXw3!W=ExWc2xRjN2P~$RQhN~rI$7onPsT-)UHcoLvooejScA~x^n-5-{p;U-zdv* zz4s*gdIL&u?Yjim=1Xwxzy#MeOmOYR1lNY#apauFHCi*#pTsM7Lo9Eoyd0m!D?RV> z#&26Z`5lxyvZg6FWet>`-9TyE4V2E^K)I=Gp!Dws%B^JuCBq$*M&2}8M3UI9$s*F5 z%`oRURg}&*z|#2!SbF&YOD`W_>E#0~y<9=Wp8D9y!3$BL`S| zUwy#&M(z$Wczbyx zXXy;Sy}Xf%lEHTu-1JxQyUQD?C|UFU<&9L741T!0k&2SRkC!)6Q8M`b1(6mIh}@`U zO(5hGbNm8wiwKC`9i)YTpqiFBm(=<525U`{x&n^W6>y}kfFpGU9H}edNL>L(>Iyhg zSHO|F3@)iF;7DBoN9qbVQdhu{x(trgtqME$ItDvT?f||MtYU(PesjHx-u7aAn z3To;KI8s+ZO`~ zu7D$T1sti%;F7umj?@)!q^^J?bp;%$%iu`e`oJ=XBXt$j)KySZS3yl(1vPaATvAu^sjRE_@*{OcbEK|-BXtEFsVm?}T>(ex z3OG_%z>&HPE~zWvNL>L(>IyhgSHO|F435;TkAVa0DyXTepr)>Znz{;V>ME$ItDvT? zf||MtYU&C&QddDuT?I9D71Y#KP*YdHkvj5l1I4bPn!JW;_8O|`YpCY0p_;&kY6css zDQu|bu%aW04b?0*RMXf{&0|9~krf@8#0fSXYjE;ICL5}mY^Y|kp_<8tY9<@1nQW+L zvZ0#EhH54&Ix^W%&16G0lMU5OHdHfN(UD2^JV?%cE$^Dk4r?+ytl8|arnAGE&kk!s zJFFS)u%@)ZBc~nKq;^=d+F?y=hc&MaUMN~V&KL|W;2>xL2R{oq=vlzQ&H@f{7I1L0 zfPsQO#c;cr9L---@@V4%gr0v0?btoni0^-)6Hpr8DbQ)g3sKbuP5f@)KlI-3et(j-}su*ACooy{oRE*^QFPqq>8Sf0*iEbg;$ z*5mVmE3+Aq`)r)}_!!~JY{un28|OYgf4DN6(YepY>5q>xuFPhP?z8bL;KmH-E*Po% zZ2Tg)F#|fA@w(5(uY(&iptBjZ`)vGDxG@7Z+wJsPfP}Hv4d`q}?dIDpzZL+`_w?4N z-Dl(1f*VlZjM{xRel6UZ0h#Uo2mJ9a-cVhCCT_I96}O{r!1Ze>?AEg4L|?zyf#@qv z^z|zch`!=P|J}rY#fiTDu|An!aiXt(5l{3LC;Ixw=tN&}qOX4kPV^Ng`gqBh?w8_3 zA7!5#3<0y4Zm|lO#cY#N*ReRWm~As^_rzkh(WuW8i`iDA22U(zn~gdStCi>Q?x2?wOT~x)r|meP-pNZiVk>pIN!6Tj9I9XI3uiR`|yAnU#yW z)rYy2i@Mdvxs{8$)%SBN7j-LCuW4YbjMzB`(&aIj<1%9BEFg9+BX-UMV&^hq=S(1W zE+cl%1!CtiV&`lib}l1!&Ie-WGGgb9Aa*VzcFqZ6=dx~x$~Wc9DkFBziM}3+<}xR- zb50ODmk~SX1hI1&v2#ukJC_kV=LE5H8L@Lt5IdI|93doD;;(WyH=oLF`;c?3@$C&Sk{TIYI1P zM(msu#Li{J&N)HsT-NR0UE#|rBX-V-zTP{R5j*Duv2z)*b50ODmk~SX1hI1&v2#uk zJC_kV=LE5H8L@Lt5IdImJ-ettjIYI1PM(msu#Li{J&N)HsTt@7i6U5GC#LhWE>|93doD;;(WyH=oLF`;c z?3@$C&Sl;1!xg@)GGgbP=<9uO8L@Lt5IdI|93doD;;(WyH=oLF`=C?Y_UlmsLjWoD+S$?_Eah zoD;;(WyH=oLF`;c?3@$C&Sk{TIYI1PM(msu#Li{J&N)HsTt@7i6U5GC#LhWE>|ECE zZt#lf^Z`r|GE1jLU-Aa8QBR-2+~BR?D{H!tt?ATYYr2qGIyso73z?-ME@YNY6K3f`V!1x-C6=p@SvpOar3;y* z(}Y>NkXbrSn57GurPG92x{z5qO_-$%nWfW&S-Ox}I!&0R3(a!BzwGLlkvkT?hkUzv z!bhR!lYXnZf9rcf{Rd?o)nBr(ueEm+Zy>H;!S3kx(SB8Eh5T#X6?*v!53-g(hh!(n z?$h%3L2kCQufALmd=y(Jx@i;PixSF2K*~h;gtktEU)m@h;cr^YL_o?!_~5oqgdeLY z6X8pX%0xiQMEDH9PJ|zbC==mpDau4Z%0&2RzfOc7Vki^gt1QYyK*~h;#J^62pFt=S z;p;ETL_o?!coCpZgr6oT6X7c}%0xiYM5oRpf$~IxwJ^T3IPbb*r*cbedc8zE$y5wVDf1D_G1*YeVbd%`JI9v$&;N#Vu7USgQ@EueCM^Qr)VxL3Em1@}g&POSPH{ zJzK5PoW9mdBS>|tR~oz?S__1{FTud6%6k+a}uH@ENwMX4^Iz5*$3;f2-emb}AS+)}OLmZ}vDw=vBxU^QQW zs%HWf*8nx&1gp*sz3xfR8s7Wv?vZ*=3O=d$tmLzrPm8`PR-Z}P$4iJUd%f^Ot7VUr zeyH{AvEn}zt9zvUDx<}doUaxHK^+o`#<{oJUQ0wlo zW-t`1d!!xUUC!oSZwSz8?va)NweB8k3PaJ{+uh^a)pySu+#hTn`;7?`hkKMojGwE# zW}U>nw;$|N*S7ePr{G$(zCS-kakVbuYQ5RMUb8OZYVBoA9rgP= z9Z53%-p-NT!OHS5*+1IvsOfljKH$qOPF6(~lb`CVnEW1G#qfgt5BoIRKpvOdy0M@t zSxZ?V`!U9Vbi2D`_v!esOUo&ctQ6@+_Q!6znCPU{6=>7+weQk2+vBHwO6_j*aQMOg zT3v74y1-@OsHzQ8+mc#EjY`-^K=C&W5EwKIaY=Q0f+6(+W zU($ciy{O;NIFBcu7T_~|ni%M}%;5Xz@aFYpyMMaimuS~N6t-Pi z=$1uv%OZNqB6`~*+F|FKrhrtl#V(pHb^%-L0=C!%Y_SViV!z?V(%|B&!NpsHi@ydJ zj}0z98(h3Lc;a{2HPbHpW^lc{9H(*iVmb7Cv2>g!rWZ^7o=$AWtXR676ib&)ilxh0 zvDCk_DXdhiB>>*FV1TtP2G;f$Sle7+ZD)bCZ3Wi$6j<9(V0||QWRcWjIYMT!)M7a_ zSv0j+4owzMEtW%*MO2HWYSv;oK(;xxSPo6LJ+)X4O}0U`SPo6LMYUL}W-XQjYi|#u zj=L7LBNGFhF0Y8wI7_i~{ZcF=P7_m#rRrZfSw?v+mQluvWt6vKsX|a7veK}Y0O++~ zfVC|K*7g@z+gxC6XMweC1=jWySlduweK!SUk)&ejA+uOgv2;xqO)8eI$>K@H(luE` zsaTq3DwYni%}K@5HQDy0V(FS}gHo|{O}0g;Sej-kmJYT(lFHO*DInEsv5RKgO#xf% z0=C^0u*EK5*-blJ6;BN=z8YM-HMsa|aPipS;feyvlq*u z-;1T=G%>wc`st6|hJ#WrC&kiblVa&|RxGu#x4i}}S^|KzV1TtP2G;f$Sle7+ZD)bC zZ3Wi$6j<9(V0||QWRcWjscA^J;hJ6&bVQn7SR zwneE}nr14N4z@j#%G78nAk}QKi)PzR0bA?>w%ru4#V%mkO*>l^PYo`<8eF_JxcF;u z@z~(vv%$q{gC~BMT{G>%M1$+)2c5rW(K`ED$V(GF;v2-~r zmfG0cUV|1b0l-=?z}glAYx@hVZ7#63v%uQ60&9B;tZgW;zMBHFNNTavG^CTICSA-~ zFzICJnk<}jvUE)rP&!$fW-XTLXDyZkWSdiq<P19bp28+BTf@jilypbIax+|EtXNnie;3yVyQwQyv z9QwUjI!+VQi>06b*vS%wx___h63xmDIkla7E4V-I$3Jc#he9`PL{68!bvAf*JJ^ulcj0aVyS-CVmUyz zIki|0O%_otmP3<8REy=%WD(V3shYJ|4zTT!RHjBt0jXw-T{Igr1#Gbk*tjWRi(SCT z&UgG|8Q|z^fTOnoj{XKXdK}>BbAY4Q0iO6BcP(hgYb(I%@`^Z(vlL6$FU2zAG%=-E zs{WOeWt7)q8D*?kMtLiiDg*^0D-CN2fL;p*SleP?ZGVBa%>~wW7FgR>U~Nxfeyvlq*u-;1T=G%>wc`st6IEI}!klVa(zNwIV} zE0)^W+g^hfEdjtXt18e&WtZgo^wzI(6wgPK=3ao7?u)doDvPf#N)HI}%r6yg> zSup8j>6$E@bh30!7En4_nr1DQ>SryM17w?1i{;Q{5!GTjG+9KoSPo4VQ7x9LS&QWW z+a5_}YP1xPYPQ%#voTY^7Q29rn*z4j1&r+cj-M<89DNON^ftiJ-vCFC0~~!0aP&IB z6TjoG1?_lk1vp(^5vOsMV(I#&SVo*CrW8xnzjCsS@>(pTj1|i$Z^crDpg?4$VJ!jB zYrz0(TMVr2FR-?`z}n6NYugH}?J2Ofp}_iX3dkZ!#nMA&v7}<@nk<@hvUE)rPdZt; zCW|N)OVdon(m}R4saU!u+n!V`U6XB4DweLvwkQ=#(@e$E!L~u(QLaZ zV2fSAwwnUB*aa-RX=khAslmlpgNwHY7k>>d9vfVIHn@0g@Wk)3Yo=Y8XmGu}9H(*i zVmb7Cv2>g!rWZ>;{jrlJDCKfeEL}D!mM&+-QX6~QYtW)409XqKSleP?ZGVBa%>~wW z7FgR>U~NxDKVCY>x@lZBH`mafSHN+(Oxti@9Oti^JG zY;$U{9GWbmS}cbqi>MaMp~)hu#ZonEu^eFABdJV{mI6}E7Q1LRW(wG17qD?tz!tlJ zk)7}P$uhvv*8oRv104MgaP&C9(dPh1uLC^sJMLQ0j@MRz)8!R$8fPh%u3w5}#A#wm zu~hvlC(9_W#WKoRv5fLoEL8{!L{=Ks5&*px46wGvz}o%-YnuzK?JTgit-#uz0&5!z ztna3PERs|#J!BS3DweLvqDd!9*JSaelcj62h*GgM%~UKMWSf(UrE9Y7NyXAN*#@Oz z>6&bdQn576R4g5AdnA>q(NaLF*6$E>bh30!7Ed}^ zx+aS#6-(1h#nM5xIjLB>CflA=EM1drP%4(L$+jpJOVdon(!sVzQkfbp1*DoScF}CR zDPW6Tz_yzLw%7$MyJ=^u;;F&KSA&bU1{Z$~E*=|Pd^WgvZSchJvTLSYm}qdlyd0-- z_F_5od$Dw!CZ-olKmD9IDV8p0#Znu4+iTFGB>-3p23Xr-U~PYawao?A zb{1IMR$y&Ufwc_<)^}4t7D+9Znuc_;)TE0!3nrZ`U6X~APL{680!k-K)2zi({j9}u zfNXPWu^gH#qFOA6CX1*R%c034s>M<@Yq1<)+asw=jg|sZ%@(_8Hf9RgVi&M+Q@|Fx zfX+@_;P@-6_N_x1c3(5>-e%bS&9Hl%VfQ)1?sbMIe*3OvZNIiMyk1@fr*Xz&8TDha zEI3U}ES9D}J6RTaDwajoie-`KVrfE9z*!lvmH_CrV1TtP2G;f$Sle7+ZD)bCZ3Wi$ z6j<9(V0||QWRa}JGKS1zS&L=VWYMfA%c#lXSx=TxlSQ-^%b>Xy%LuZ~S&L=VWZSbA z%c#jVXf2jelWoyjEQ97+EF;+VNGemKrGQkk#V(p{HwA353)ps3z!tlJWj7H*{_@`u zlflJTgNwHY7k>>d9vfVIHn@0g@Wk)3Yo=Y8XmGu}9H(*iVmb7Cv2>g!rWZ>;{k4-N zX3{?tUWzFxmTo&KmM&+-QX6|=rD81sph^n{SleP?ZGVBa%>~wW7FgR>U~NxBwOFcVEtUghn^TMB&}7?Fi{;Q{8&r$s z&}3Uwi=}GTVmZLJM^c#@Ed`{SEq2jtyD4CcUBI@R0=C!%jJt`yvSKI807qW~9K8*2 z^f$oK;{ZpW101~$@Wk)9Ye73QF~I5aia3q46ie4H#WLbFF{N0l{*{wul-FVzWvp06 zc`KGG1O*~14QmO2UJC|T+hSmCe}T2l1=e;JSld=$ZBK!<4F%SBQ$Q9;DwZBHizO9H z*JRP8V(FSJo>VMdlSPz@rD>*O=^)#jR4iSSZBHteuE{ni6-(D-Ta=2WX{KW7VA~_9 zOpTTTQq2~-Xtv!Hu*EK5+f4ym>;jhEw6j(5)ZpT)!NpsHi@ydJj}0z98(h3Lc;a{2 zHPbFkG`L<~j?*}Mu^jrnSUOG<(~G5_{@BS9lyW&KmM)tVOP8}^sg1quHE7Wi0IUTA ztZgx{w!gsI<^pRw3#@G`u(qeb+J*w_yD1=xq!vp}!}`n;+owqva~90{%ra`SaMov* zQIiFr6iQjS8f_A*N z0-P?dh|@Srv2^`XEF(@6Q;MbPUpZMuc`cSv#)@T>w_>S6P$06>u$BPmwP1j?Ee6*1 z7g*a|U~OlCwQU8~_7qs#P+)yG1!R$=V(B5XSW>ZcO%_c$S-K{RC!H)^lSPz@rD>*O z=^)#jR4iSSZBHteuE{ni6-(D-Ta=2WX{KW7VA~_9OpTTTQq2~-Xtv!Hu*EK5+f4ym z>;jhEL|bdmED@8z#aDxiw+0t~4K5xVTzodTcx~{+@3L#AU6^Qay}TT!arR<4^n0;% zoF=9hOF#XwlclDA^2{>ICdJa{X2nt)dts$wEdiiP3kF!*Vqk55fwj#A)^-+H+g4z0 zPl2@!1=e>{Ko&_YmLp^qOD&c|lSNaD< z&}17_i{;Q{TU3jsYSv;oz_v$HnHntxq?#>u(QLaZV2fSAwwnUB*aeKciNCU9C(8gw zUjrPy4RG`~z|rFXN1p>6y$GFy=jk6R>*Du8~;xsX(SgQV&lVz0G zlVy~#Vj1PFSgH^dh^#cMB>;LY7+`ISfwlbw);1Sd+gV_3TY+nStO}g zddMu6R4iSSMU#r9YqEG!v2;xqQ7V?EnTn-@Y;#hvbWOHBsaU!u+n`h|U6XB5Dwd|1 zilu{XkEAj+S_()tTkN9Qc2mF>yMS#s1#GbkSa#FSR>f0;i?0S3Zw)T~8eBX!xcF>v z@!H^t-(}ZKyD-t3Nmi{${>=G0<2G+9KoSPo4VQ7x83lSNdErE1naU$x|{W7%OFP(eyk;%?vJ@p1i1;!9_E>w8R-f zl$u2~1PZXHL6Q>Jj4AOsbMz%8hu!1r z*URDb2iaxwGl8~x0&SrL+Cm95HYd>7oIqo90*%cB+%Z2FF#EZH+0O;celB44a{

  • 39>Je13bkx%_lFzidt~3m*n_IzHHr4q&aTI{rFrY*Qm<`#3O}C~FCl zbq-J4xcJwy*75!xr>@OzcS;kjaGGs}jR)$;=I-2PSg>TiV9eGiqVwT8f}6>q0j1ft zkI$FW`Qat$8AvtL!lqeQ-ds-mwzh(4PQBKlndUSw&AI>jtd$}8X<(Yuz%-|UY0k&< z%jxpzB)qvXbAH*MF6Z+piq88#)cqPz^5Lh; z_VtwPzABOe7?9g-o?cK|aXoA|4~M&O0C`>{(uAK6&sJ6qemQNnd!oL(!|%`gDaN$7 zk8dvz+aFJe8CUCzg|;lQ+kZY_vcqn{?;pDe){8l0nvE>O^ut|Z>rqB`=-kK z58qVz_~x4`-+$Ye*J~+9^?P%9IlZ}jI?vMrrJH|8>Gt1Idi(DviBC8Gj!)lI5}&@Q zBtBhN+U>WON2^eTdMdYaHIrM(oyo2A&g6FRn91$bGn3mfc_z1eUnbvdahrH80jh8< z1FCQ>1*&i@2dZ!_394`{3#xD}4XSWG54YdS0~D_30Sedi0EO#$fWq}WK;e2Gpm048 zP`I84+zwyo0~D_30Sedi0EO#$fWq}WK;e2Gpm048P`I9lx8KSG6t3q13fJ=hh3k2M z!u32r;d&mRa6J!DD0z6i*F)4rx+dyp?jI(!1~ZjvXPHX1rA(#TOQuq7B2%fXJNH@J z#?)5(1()Jr`Sti2XQji_16DZLfocEs<#A6Q4J16A;0`a3FqhM-AHP@V`E>Yvc-a47 z$K6%3eL2}ZrGPl{{dn1IxBK&Xao15f;l4)n`LHvRi4ulJsby#cT82iKWoQp9mZ7C~ z8QKM~42|wG+#dX4$1;qzkVab!&35+%Pa@ZFb@(k2;uAjz$v2_Dvc-lX1p6$ZG_+C;Oq8A;* z%;|`kM-hw5nXM5u>KR)jW|WPX@m8?F`DGYqfizBlVX?JMU2J1SP>#W17~=?>7h4RY zZQ%SOHQOiLf}*kr@ljoV^7~!I&p(}C4!GVSTecvho!BKPBazhts#ljqvM z?4LFkwrXx4V4ER4NqXKt`BiOd4cmb9pZ94tR5rf|)J_1)jRTfh2U32-#0cUVwyzG@ z&N^Uw>wvX82kfId2mEuo6=}ZuL&a66dF!9etvbzLe}!SyX&(E_3#(4^**}SM9sd|^ zMOuRW1GrVErPx1uTXkBJ{X@4^r={6HZgU;~tZhY7p104hco-2LxT_wnlam%GeVStx zSw)}s+n39DiWx7J&GYlalU!e?h{|<^*1bIfKc>q8kB}G)g9}J_ynNa`?H+Izcy~!# z)#M*9{^72=*A(y|+8;8zhRwe9r*JC5G2?Obbh+QWK8)vB-sM$%_j;bnUeB8!_EYJn z&1p9k?)G=DKTM^`Cp;sL>0KRK1>56kf02iD^1n}rV|!jqk_69P!-M@Ml80v1Od9_q zI_+8{@!atBa(;b&#@h`0-R1n#(-ymj)Y*d~Rak1|OuSJu`ONP5v_Io1<=!Zy*3YNo z%W>=EQSAPBdc52}yq-VBr;Sw<8mx+Nr2OHBJo({e|Dq2FmsnNrfa4uLh&|broIoqN z3y5P^NYw(?h2`<5?VG9q2pvcvbUCXEHnuuuW2*=@whP0?$u-Pp*SX14JfW+qJhW9C zC;QM?O>VUqDGZ#@kzU+8s~ZAI@-O?(Yp)bA9i$b&<-a~$9(Lm(f7gudh_7|Zd=-=X z!~OC8_2J?2fc32CjM>4@`_ujp%WexM|MSg}!6-~S+&p}J33{l9=ksO%cqb3C!v?F# zdAZ+66CKaw9zxVj(4v3dc{x(IULFtU?ZG}m7?9-g_!>Q{bRBww!bSk<6@Ui)QosGA>UqqM{4x$J3WUf%?nPn7<5-_{-3EzYJrH zf%S{jNWTmdXV<$Yk%p7xGK{v6n(gT*3BxA?7wHO1c2*EK4h_Ruv|%V64U%N-<vC-(Q} z%YXgPZNP586PlB737v~VvA39?$A~E#B!DyAO2jE z&)1dr`L22{3d!@I|M_2+U;j3Zyo;|`hp4k%iaCpF#7w%zo24z7P5_V@q37Hbz@ zu@13jyA*2{)mWKyjWtVKtX;f#d)}T8m*fBZjuYMgyIkH}E}I|zqhol@sH1m>Jp;#c z6e9WQxr1`tYs>8(-!Slofwu%ab?oqj5BU%0E_m3=!y=p(aCJ6EM2N?H4cF~#=<~K~ z%Hfru6L5#UxNJAiXFWal1x_!jvAcU1=Uue#snT&TlaNH>46U;a1(H-(c*SA+`V>Rs z${c$9mLo;?lWYb)h`%SQ=Z7!F{)X^Wu+CGUou>*?o{FUL6kz8mz|KFz>TN3gr|aao&xPWRgm&jB#oy4J5K?2o+?;Vs$k`*fR(4fI8Py%^K=n5o&qO4 z1=@HjSm&vrji&>=#?zoN=V?&lJZ%ajso&sfoPzT-XiRu28kMKO7*7RmJbg=eDp=2i@ z4H|Qv1|`nZra+SV4W7m+I8TGdgr}lWc?yj2RN%(bcZ8>cb)Ew4JXMhLR3we306R|s zcAhF&Q>tL)seqNIz&KAKnDcZIHl6|}JO$c#Dp=>KppB;kyvEa@G3RMe;yi5%B&pxv zX`F)dG-ynCDjJoiz!*;jZan>t@KmtQQ=pxv3R0emr12DB=PAI>Qw3{E6|6iJu<{fb z=P3kpo-V@1Q{aTBKpRg5>pT^-@pORKcp5b3JPk^mr%iz*^&32mQ*fRJjR{Xhqw*9O z$RQ$ZU~2Y8LAL1WI-pu~CF6i8CP!P7Ve=V{QG@KiJ^Pk}L>3fy@5f$&tY&QqYB zrwUS@ilp%rVCN~o&Qk?zN)@a;6|nLY80RSjbDl23##7*gr$8G|1?xN&wDEL+*LWH< z<~$8boTp8JB=s9SjZ<)*28{_%MWgZ*7~`qHjiwk|N`>yS0ZD%?4% zaA)lT*Ay>sW$u70cNOLARneTkE^Z7~3E{Bfjl~1)JRW#svccE5oOI@FPHLRbM1`jN z8=TJ5a8@Us39pAvWwxS>+e1LujfY(A^MT!+pp4zX8M_spuv=t=-GCXp0W)?h+|#Xa zXScwe-9TsThUgl*7cpTsB$VC23A+Vl>=vA`d%)M(9dxd-JE+ar-Bf70zsc@6&5Yea zXUcBT>Ffr|*)0OXZaf+z>=u-<8#rUP!V`9jjIbLpV>e*NZiRcg74Ga7xU(DRjNK4j zWA`E^?1qH08#rONpp4yu6Lt^yI=h3;HFgKJ8M~VbP4_q19jBSGJLpW=EjpduKsmcb zK-i53YJ}Z_GIj%J>{fWfZjljo17_?7%-F4PPq)II-2!)Z1D&xOqHFA4#Dv|DP<8_+ z>=u-<&8D*d5en>~1PF-QQ$)oMy)EpfhE+=yY}i=vEQZlIjqA|UL>BR|4!K^eP&Gj=OHVYkQ#y8$zH17_@2xTjm; z&TfG_yMfNw4be4rFJi)ONGQ936Lt&A*ey6=_kgdnJLp_vcTk(LyQ$E0f0NyDni;!; z&XnDv)7cG_vs(m&-FQ$)*exhyH*m&og(vJ58DTeI#%{oj-3s?~E8N*FaA!Br8M`66 z#_mN-*bNC~H*mskK^eORC+r^Zb#@1xYwQkcGj=x>n(lA1J5DoWchH%#TXZ_RfpT_> z0PG%bn*aG9*Itco()4C@lIq@)In#U5$)dg%O@!-P(Mi}|if+>6=P&<|*P;0<{zW#k z3(*CO6kEV+WKFcj6+|tnBCEdP+1E6tD4W^kn9QQaWH^Z_i&9J`VlhQo^$kxvrZGj? z%r3`d7BwcrNlaOkVloknDaw*>xFXOWPU9QZ#^vGA9$)$-f5t{&BthO+CldVaS3__4 zG`EsVIt{*ckxcWJPxE#<4Zf3-O!JOU^KLrLJ37sGe46j3)8H#1i8t^0H1DU=;F~PT zG#~ghAEwiMpwoQh(|nvx^N~!$cU92t`RNP3fVRaW=xI}lG|-EDgIuAQNWM`0FZdo- zrhiLUy``%PI-7;9dJA8;{#&~0Te_;Cvsu`xZ>OuiqpQB7s|w0jeMd7aDA)gvuKFEa zRnXZiEY|O)tG=hJzNf1S%2$0)V-=L^f1s;=psNZxn}x;tVY=!^y6Q)|s-S$;k2F?6 ztNz{V{YAf^C|rH=xBK#SnOl5&NPxGeH+guIhB?k{UuyTbxx9SBAJRuN2diVdpX9G1 z@%0S%ht#e1_-e!Am_n)E2(ruW4k)=ipxfxqfZlfKU5CEw(EAR3$Pm7_NxmMXfcnR= zA=UP!Qc>;sQEY21U(iSY8&J%Gqq+P6`yIZMHFtW0i}v||KPi`U!P86H;ee;SIi52- zV4Mr+G>VgHfU{|EE}+vWPNo6Qrop*@PNO)P1~{7r=K?y7;$#}&Y#N*k=roFxX@Ik7 za4w+JC{CsU&Zfb+fKH=0nFctUh8!aX6I75-2j}B`X4vsQEd=u73s%Z923Ae{?X>>9 zf&Xz}{R31Tr^h6Mqz5DesEghKIg+XZ)s@j;u8Gk2hoxuZbij-ZJ<05W#~WbP;sQ=>rSjsTH67V zi95gwcYq}B$T&R?lboanVGA(h&QMn==T1=Q+-bs+pex*orky)MO1LA^$Q_I;cLYk@ z!JT;Gj*K&RfMo6{(6}RL;tqh!9RQg-3dGbX5V<2jma3`8}?gS~}jz}YSFs|GYC~*gGBqZ+0ICBR`=8gi5 zJAx+e0La_{kh!BkOpOAOI|4-RVBEO_kaK4dB<=t!+yRofBjd~+K@xWcFyl^;a_$6$ z&YdPK3A)0aXxh0Gq=Y*njoiVwaz~)V9em!OxFh4t9Uz%I3N-Ernz#cXa|b}?jsh_? z3PkP*5V?bK=MF&5okfti1FUcdNaBu+Gj{|@+!?@(J3-316BIgkny@733U{Ju=T49k z?uayU2jj{eff9G{X?o(0j5BwDWbP=?xFcxd4uH%Z0GT@q#MCGdxg$X24#u5306BLS zLE;Xu!W|%qJ2KAP5hQVE05k3cDd$d5=-g?-lAtTxiKd-9K}xtI(#Rc*D|ZA++`(tn zi90gR+yRogqd?=1pou#GGIs!E?kEsbqd?@20FgTwckTe>+*t&PJHQHefF$n7ICDpk z#GL`mxD%wDJ3*myrwL1fu5c%scJ2fz;f_cncQCHp5h!s7p9ClF$T)KcNal_LjXQ!S z?f}T#0g$<)KunDSkvjrJ?qJ-x1CVoP5hU&aE8GE+xFh4t9YGRz1~B7JkaF$>h0dKO zED5^8ooL#*6QqPYB8}X^xN=9J#GQ|YJ2KAP0g}0+K;w>}i8}x?cK~GWC=gSlK;(`9 zkvkZ7?f~T6Spma3`8}?gS~}jz}YS zFs|GYC~@ce!W|iB?f}W$QJ`^0(8L`8nL7Y7cNB=JQ6O?hfXE$;J9hwb?ks}D9bkn! zKoWOkoVg=N;?4kO+zC?7ouJUU(}X2KSGW^RJ9mPVa7Uz(I~Z5)2$Z<9!T0ayt~HR% zAH_0*7N~J(;E6>FWgaP%nY2JLRSOi^G@!^QMLMGt=A3d-VwIwWSBfQO4J31GV2ND@ z&G?n%oMB0&bBu^v>aOrCn|G!qIpNxnN46-ToVhK=rrC6fX?(X^W z&!7Kq;ns>~f;pa97T`v;RhIbXa3-C@nRx+@2@P=M;0i|~I@;OjB2LL9PP}wUA*bVs zp(~ncy5fne5uOpY>2TIIwNBlM3QhMF68C93lba5q^Qsd$?Pw+TDoE_c>oJ|&4rXo} zoTe*zrW+$MX-RI1eO~SFz081!}Y%c;c)= znXn3Fwk}XiPN+BJk#~wz(hV>%4!^5Z7&nm2IK?vK7N{|9;E8bxWyUF#8Mi<&Z3`3` zH=xKkMLOdY=8SVuVw|Fdaf&6z4J0#eV2N=C%@~*DoN-B|GmeN`>aH*@n|H<~Ibqz8 zN5&~q88^VpIJ`ceZ*Js;`ufCKvBEe}yE}BoDV`Z8P+^=vg>eH)X&X>toI;6lf)K_D zJYk%PGUEiTj1w#~PLaYm#WLd-Xv(-KpD-?}5XNZ6)4Hj&(>BcwlL`0hWgJnB}FA$BvY3fk7kk>tSyFLQW`(WM2w6$UF4hz zBKs3A$yeP^`RHs>{YN9oKvt40<3N&uq)7p-B#}WN35J0rI?5ywXe9|ofg~6OlIY0u zrXwebjGQDG6p|?NBEK^kLMOC=o}epj07Tkg7-=KJl{RCP3(=Zr+hjtUU|49=Br$xO zvC<|Q71{(*rHzQ3HW<_$&a^o{=`YU9PjcQJk1z5Y=lI2C+)wHc&u?GPFZk89!x29| zDJuFi#XlUL@XNyT>t|o^d%s^kFS79$gqPQ+*E4UWgiNEIKqi^kzBYkY@asizwF%Rl^NBjnNSe)D*E_-V`?@g4qfZ&|Ry`>)UVHS7f? zDOBS8$DRBM)kXf^Q~&Ylj}`f`Lyv$)NMTy}jqSr$^LOsj?Wg_r$Mfr>{Ft}?ENR(S zmv2w4d&M z{rGhJk~S{vfc!eBs|WlY!RIpx8>7MhlHa-2AL;eE7b?6>{w-j3+DOARi3^K*bpiAp zWO^m-1H*O@e{nqNmDD$R&cs!Q+oXn)c)A_XY&lXeR>(j*5wZo*DS9mm@FTei& zzYl-=@4wHKcEA4ivoxq*{=8_U-o~(tnEcmYexWigD^{7(R2LP=4=u|jmqqBH4IlYz z@K^bJ{xHYV7ssiF;P`f%!}-N4vHbb}_S5F+Y5#D(Y(8%e57Jea39-A&bPMolzj+?t zRxoBhJe^-o=(70LQ?!uMlJVUnr^^Wy?dgZb9Q6EO_6y$+s8A0rFP8md2>4GFM)sF?d;-_e_kH#WQ5T!jSj~*Wqeb{ zw`Ke`jA3m{=ZOwSt2LkT&tzeB4}v)L;b>J4g0aTG?tcHvpZ|H;{?Bi}|NXC*!{2`Y z<)8ok^PfQaMKI1YiB3|Z$MM=6Ter$L;9t96{_^v`w*UImUw--R^5?(*O=gVHU;h60 ze*n7w%g?|4@BjQ0{&oK4_m^M(`VV;Upa1yzpTGa-oB#Ny94G(#zkm5Bqz}LT*U}-w`Rkwl@vrd@{60DUak<=|Hji>$A&(gSudV!6x%_+h`sZJM`;++a zr+@wRm*0Q>^UuHktb^yjs_oCe|MLHS{rwjImjB{E|NZ|vyV~W%aUjSe?e0ARahwZR zB%ut+*4`hLV+(M_SYBC9jy(PK%t%5e%WdlZ8IYy<=;>*hDj5s$07b)9Yj6wmRvK`M za9=%kt*KrBCv}%^P=A|wdPoUscah~d1|%i*FW8fTS8nF?%Y2E;`}qc!w~K4F;Q|gu zzc!Vg*EQb4KFsJ{$jv*l<8(-l?!VlbnsBf-TlZF4UC{g5c_^idF0#F@UWW1D1K~lK znZsetLzJqHc+LB+F`eq-umu90na)|$7P<@>zu-*{rpq@Ql>XY=pB;08eP$ciRAsx? zmBakr1Pn(6;%N(b&AJo_;Od%gcLFZ*h%9X}EMQrI;+)QRz_C3PRRx^a*IC`Htu zN^9XA!IC+EN`!(GJ$WFzapE2CPoeuTZjWfrl(JUfjFNM@8jyI^l_X0w}KY zbymdeZK;Fy);Pq%-<;5<6g(TX*JTeU4^13)qRfwZ5p>G;Nik0*0IwA}Jy(Owg-MIm z=Arl+0nOzU(J!E&cg{;7U@6s@=LaOb^tOe(eY&lJ*KvH9zq?|x@^H)cWw(Q-K`URPk&{~!$O(Rl z`U6e9nU-2m{7mW~7Sv>Jza9mRMw6DB49;3z+DRP^zK_eQdt(CEOL778ku)BRg`sHh zl9k_u0}6eu`JkO(`9BJt2fJH+M1L@2Hmx}K>_h`= __cy_heap_limit), region RAM overflowed with stack) + +.cyloadermeta 0x0001ffc0 0x40 + *(.cyloadermeta) + .cyloadermeta 0x0001ffc0 0x40 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x0001ffc0 cy_meta_loader + +.cyloadablemeta + *(.cyloadablemeta) + +.cyconfigecc 0x80000000 0x1 + *(.cyconfigecc) + .cyconfigecc 0x80000000 0x1 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x80000000 cy_meta_configecc + +.cycustnvl 0x90000000 0x4 + *(.cycustnvl) + .cycustnvl 0x90000000 0x4 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x90000000 cy_meta_custnvl + +.cywolatch 0x90100000 0x4 + *(.cywolatch) + .cywolatch 0x90100000 0x4 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x90100000 cy_meta_wonvl + +.cyeeprom 0x90200000 0x0 + *(.cyeeprom) + 0x00000001 ASSERT ((. <= ((0x90200000 + ee_offset) + ee_size)), .cyeeprom data will not fit in EEPROM) + +.cyflashprotect + 0x90400000 0x80 + *(.cyflashprotect) + .cyflashprotect + 0x90400000 0x80 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x90400000 cy_meta_flashprotect + +.cymeta 0x90500000 0xc + *(.cymeta) + .cymeta 0x90500000 0xc .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x90500000 cy_metadata + +.rel.dyn 0x00002258 0x0 load address 0x9050000c + .rel.iplt 0x00000000 0x0 c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/armv7-m/crtbegin.o + +.stab + *(.stab) + +.stabstr + *(.stabstr) + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x00000000 0x748 + *(.debug_aranges) + .debug_aranges + 0x00000000 0x20 .\CortexM3\ARM_GCC_473\Release\main.o + .debug_aranges + 0x00000020 0x18 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + .debug_aranges + 0x00000038 0x40 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_aranges + 0x00000078 0x28 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_aranges + 0x000000a0 0x50 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_aranges + 0x000000f0 0x20 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyBootAsmGnu.o) + .debug_aranges + 0x00000110 0x80 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_aranges + 0x00000190 0x210 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_aranges + 0x000003a0 0x70 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_aranges + 0x00000410 0x68 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_aranges + 0x00000478 0x40 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_aranges + 0x000004b8 0xb0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_aranges + 0x00000568 0x98 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_aranges + 0x00000600 0x38 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .debug_aranges + 0x00000638 0x48 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_aranges + 0x00000680 0x70 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_aranges + 0x000006f0 0x20 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .debug_aranges + 0x00000710 0x20 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .debug_aranges + 0x00000730 0x18 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + +.debug_pubnames + *(.debug_pubnames) + +.debug_info 0x00000000 0x658f + *(.debug_info .gnu.linkonce.wi.*) + .debug_info 0x00000000 0x109 .\CortexM3\ARM_GCC_473\Release\main.o + .debug_info 0x00000109 0x165 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + .debug_info 0x0000026e 0x345 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_info 0x000005b3 0x532 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_info 0x00000ae5 0xbf2 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_info 0x000016d7 0x70 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyBootAsmGnu.o) + .debug_info 0x00001747 0x726 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_info 0x00001e6d 0xfc0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_info 0x00002e2d 0xa1c .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_info 0x00003849 0x46f .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_info 0x00003cb8 0x40f .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_info 0x000040c7 0x8c3 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_info 0x0000498a 0x6b7 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_info 0x00005041 0x1d5 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .debug_info 0x00005216 0x430 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_info 0x00005646 0x89e .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_info 0x00005ee4 0xcd .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .debug_info 0x00005fb1 0x1cf .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .debug_info 0x00006180 0x40f .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + +.debug_abbrev 0x00000000 0x1eee + *(.debug_abbrev) + .debug_abbrev 0x00000000 0xa1 .\CortexM3\ARM_GCC_473\Release\main.o + .debug_abbrev 0x000000a1 0x5d .\CortexM3\ARM_GCC_473\Release\cymetadata.o + .debug_abbrev 0x000000fe 0x1c2 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_abbrev 0x000002c0 0x236 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_abbrev 0x000004f6 0x2e8 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_abbrev 0x000007de 0x14 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyBootAsmGnu.o) + .debug_abbrev 0x000007f2 0x305 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_abbrev 0x00000af7 0x350 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_abbrev 0x00000e47 0x22c .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_abbrev 0x00001073 0x1dc .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_abbrev 0x0000124f 0x177 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_abbrev 0x000013c6 0x274 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_abbrev 0x0000163a 0x1f6 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_abbrev 0x00001830 0xe8 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .debug_abbrev 0x00001918 0x1aa .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_abbrev 0x00001ac2 0x22b .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_abbrev 0x00001ced 0x69 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .debug_abbrev 0x00001d56 0xea .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .debug_abbrev 0x00001e40 0xae .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + +.debug_line 0x00000000 0x23f3 + *(.debug_line) + .debug_line 0x00000000 0x12f .\CortexM3\ARM_GCC_473\Release\main.o + .debug_line 0x0000012f 0x53 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + .debug_line 0x00000182 0x19c .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_line 0x0000031e 0x1d5 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_line 0x000004f3 0x3d6 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_line 0x000008c9 0x68 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyBootAsmGnu.o) + .debug_line 0x00000931 0x201 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_line 0x00000b32 0x668 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_line 0x0000119a 0x34a .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_line 0x000014e4 0x1bd .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_line 0x000016a1 0x14b .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_line 0x000017ec 0x2cc .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_line 0x00001ab8 0x24d .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_line 0x00001d05 0xc1 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .debug_line 0x00001dc6 0x172 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_line 0x00001f38 0x360 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_line 0x00002298 0x66 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .debug_line 0x000022fe 0x96 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .debug_line 0x00002394 0x5f .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + +.debug_frame 0x00000000 0x11c4 + *(.debug_frame) + .debug_frame 0x00000000 0x2c .\CortexM3\ARM_GCC_473\Release\main.o + .debug_frame 0x0000002c 0x84 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_frame 0x000000b0 0x48 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_frame 0x000000f8 0xf0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_frame 0x000001e8 0x154 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_frame 0x0000033c 0x4f4 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_frame 0x00000830 0x164 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_frame 0x00000994 0x12c .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_frame 0x00000ac0 0x9c .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_frame 0x00000b5c 0x1a0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_frame 0x00000cfc 0x17c .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_frame 0x00000e78 0x50 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .debug_frame 0x00000ec8 0xa0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_frame 0x00000f68 0x12c .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_frame 0x00001094 0x20 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .debug_frame 0x000010b4 0x20 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .debug_frame 0x000010d4 0x28 c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-__atexit2.o) + .debug_frame 0x000010fc 0x28 c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-exit.o) + .debug_frame 0x00001124 0x2c c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-fini.o) + .debug_frame 0x00001150 0x2c c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-init.o) + .debug_frame 0x0000117c 0x28 c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-memcpy.o) + .debug_frame 0x000011a4 0x20 c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-memset.o) + +.debug_str 0x00000000 0x20bb + *(.debug_str) + .debug_str 0x00000000 0x110 .\CortexM3\ARM_GCC_473\Release\main.o + 0x149 (size before relaxing) + .debug_str 0x00000110 0x86 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + 0x194 (size before relaxing) + .debug_str 0x00000196 0x147 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + 0x279 (size before relaxing) + .debug_str 0x000002dd 0x1b8 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + 0x30f (size before relaxing) + .debug_str 0x00000495 0x368 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + 0x4df (size before relaxing) + .debug_str 0x000007fd 0x189 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + 0x329 (size before relaxing) + .debug_str 0x00000986 0x626 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + 0x804 (size before relaxing) + .debug_str 0x00000fac 0x345 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + 0x695 (size before relaxing) + .debug_str 0x000012f1 0xa1 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + 0x28f (size before relaxing) + .debug_str 0x00001392 0x10d .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + 0x298 (size before relaxing) + .debug_str 0x0000149f 0x274 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + 0x4c3 (size before relaxing) + .debug_str 0x00001713 0x2f9 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + 0x568 (size before relaxing) + .debug_str 0x00001a0c 0x67 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + 0x206 (size before relaxing) + .debug_str 0x00001a73 0x126 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + 0x34f (size before relaxing) + .debug_str 0x00001b99 0x1e9 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + 0x562 (size before relaxing) + .debug_str 0x00001d82 0x25 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + 0x15e (size before relaxing) + .debug_str 0x00001da7 0x25 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + 0x215 (size before relaxing) + .debug_str 0x00001dcc 0x2ef .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + 0x507 (size before relaxing) + +.debug_loc 0x00000000 0x3edd + *(.debug_loc) + .debug_loc 0x00000000 0x20 .\CortexM3\ARM_GCC_473\Release\main.o + .debug_loc 0x00000020 0xf9 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_loc 0x00000119 0x133 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_loc 0x0000024c 0xfb4 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_loc 0x00001200 0x4cb .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_loc 0x000016cb 0xb86 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_loc 0x00002251 0x2ce .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_loc 0x0000251f 0x4f3 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_loc 0x00002a12 0x2c8 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_loc 0x00002cda 0x5b7 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_loc 0x00003291 0x289 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_loc 0x0000351a 0x2e0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_loc 0x000037fa 0x68b .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_loc 0x00003e85 0x58 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + +.debug_macinfo + *(.debug_macinfo) + +.debug_ranges 0x00000000 0x728 + *(.debug_ranges) + .debug_ranges 0x00000000 0x10 .\CortexM3\ARM_GCC_473\Release\main.o + .debug_ranges 0x00000010 0x30 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .debug_ranges 0x00000040 0xb8 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .debug_ranges 0x000000f8 0x58 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .debug_ranges 0x00000150 0xd0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .debug_ranges 0x00000220 0x200 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .debug_ranges 0x00000420 0x78 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .debug_ranges 0x00000498 0x58 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .debug_ranges 0x000004f0 0x30 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .debug_ranges 0x00000520 0xa0 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .debug_ranges 0x000005c0 0x88 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .debug_ranges 0x00000648 0x28 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .debug_ranges 0x00000670 0x38 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .debug_ranges 0x000006a8 0x60 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .debug_ranges 0x00000708 0x10 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .debug_ranges 0x00000718 0x10 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + +.comment 0x00000000 0x70 + .comment 0x00000000 0x70 .\CortexM3\ARM_GCC_473\Release\main.o + 0x71 (size before relaxing) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .comment 0x00000000 0x71 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + +.debug_weaknames + *(.debug_weaknames) + +.debug_funcnames + *(.debug_funcnames) + +.debug_typenames + *(.debug_typenames) + +.debug_varnames + *(.debug_varnames) + +.note.gnu.arm.ident + *(.note.gnu.arm.ident) + +.ARM.attributes + 0x00000000 0x29 + *(.ARM.attributes) + .ARM.attributes + 0x00000000 0x1d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/armv7-m/crti.o + .ARM.attributes + 0x0000001d 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/armv7-m/crtbegin.o + .ARM.attributes + 0x0000004a 0x1b c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m/crt0.o + .ARM.attributes + 0x00000065 0x33 .\CortexM3\ARM_GCC_473\Release\main.o + .ARM.attributes + 0x00000098 0x33 .\CortexM3\ARM_GCC_473\Release\cymetadata.o + .ARM.attributes + 0x000000cb 0x33 .\CortexM3\ARM_GCC_473\Release\Cm3Start.o + .ARM.attributes + 0x000000fe 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyfitter_cfg.o) + .ARM.attributes + 0x00000131 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(BL.o) + .ARM.attributes + 0x00000164 0x21 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyBootAsmGnu.o) + .ARM.attributes + 0x00000185 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyFlash.o) + .ARM.attributes + 0x000001b8 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CyLib.o) + .ARM.attributes + 0x000001eb 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(cyPm.o) + .ARM.attributes + 0x0000021e 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(CySpc.o) + .ARM.attributes + 0x00000251 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_boot.o) + .ARM.attributes + 0x00000284 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS.o) + .ARM.attributes + 0x000002b7 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_drv.o) + .ARM.attributes + 0x000002ea 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_episr.o) + .ARM.attributes + 0x0000031d 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_hid.o) + .ARM.attributes + 0x00000350 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_std.o) + .ARM.attributes + 0x00000383 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_vnd.o) + .ARM.attributes + 0x000003b6 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_cls.o) + .ARM.attributes + 0x000003e9 0x33 .\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a(USBFS_descr.o) + .ARM.attributes + 0x0000041c 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-__atexit2.o) + .ARM.attributes + 0x00000449 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-exit.o) + .ARM.attributes + 0x00000476 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-fini.o) + .ARM.attributes + 0x000004a3 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-impure.o) + .ARM.attributes + 0x000004d0 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-init.o) + .ARM.attributes + 0x000004fd 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-memcpy.o) + .ARM.attributes + 0x0000052a 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/../../../../arm-none-eabi/lib/armv7-m\libc_s.a(lib_a-memset.o) + .ARM.attributes + 0x00000557 0x2d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/armv7-m/crtend.o + .ARM.attributes + 0x00000584 0x1d c:/program files (x86)/cypress/psoc creator/3.0/psoc creator/import/gnu_cs/arm/4.7.3/bin/../lib/gcc/arm-none-eabi/4.7.3/armv7-m/crtn.o + +/DISCARD/ + *(.note.GNU-stack) +OUTPUT(.\CortexM3\ARM_GCC_473\Release\USB_Bootloader.elf elf32-littlearm) diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.lst new file mode 100755 index 0000000..699ad2b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.lst @@ -0,0 +1,7148 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "cyPm.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.CyPmHibSlpSaveSet,"ax",%progbits + 19 .align 1 + 20 .thumb + 21 .thumb_func + 22 .type CyPmHibSlpSaveSet, %function + 23 CyPmHibSlpSaveSet: + 24 .LFB11: + 25 .file 1 ".\\Generated_Source\\PSoC5\\cyPm.c" + 1:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/cyPm.c **** * File Name: cyPm.c + 3:.\Generated_Source\PSoC5/cyPm.c **** * Version 4.0 + 4:.\Generated_Source\PSoC5/cyPm.c **** * + 5:.\Generated_Source\PSoC5/cyPm.c **** * Description: + 6:.\Generated_Source\PSoC5/cyPm.c **** * Provides an API for the power management. + 7:.\Generated_Source\PSoC5/cyPm.c **** * + 8:.\Generated_Source\PSoC5/cyPm.c **** * Note: + 9:.\Generated_Source\PSoC5/cyPm.c **** * Documentation of the API's in this file is located in the + 10:.\Generated_Source\PSoC5/cyPm.c **** * System Reference Guide provided with PSoC Creator. + 11:.\Generated_Source\PSoC5/cyPm.c **** * + 12:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** + 13:.\Generated_Source\PSoC5/cyPm.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 14:.\Generated_Source\PSoC5/cyPm.c **** * You may use this file only in accordance with the license, terms, conditions, + 15:.\Generated_Source\PSoC5/cyPm.c **** * disclaimers, and limitations in the end user license agreement accompanying + 16:.\Generated_Source\PSoC5/cyPm.c **** * the software package with which this file was provided. + 17:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + 18:.\Generated_Source\PSoC5/cyPm.c **** + 19:.\Generated_Source\PSoC5/cyPm.c **** #include "cyPm.h" + 20:.\Generated_Source\PSoC5/cyPm.c **** + 21:.\Generated_Source\PSoC5/cyPm.c **** + 22:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************* + 23:.\Generated_Source\PSoC5/cyPm.c **** * Place your includes, defines and code here. Do not use merge + 24:.\Generated_Source\PSoC5/cyPm.c **** * region below unless any component datasheet suggest to do so. + 25:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************/ + 26:.\Generated_Source\PSoC5/cyPm.c **** /* `#START CY_PM_HEADER_INCLUDE` */ + 27:.\Generated_Source\PSoC5/cyPm.c **** + 28:.\Generated_Source\PSoC5/cyPm.c **** /* `#END` */ + 29:.\Generated_Source\PSoC5/cyPm.c **** + 30:.\Generated_Source\PSoC5/cyPm.c **** + 31:.\Generated_Source\PSoC5/cyPm.c **** static CY_PM_BACKUP_STRUCT cyPmBackup; + 32:.\Generated_Source\PSoC5/cyPm.c **** static CY_PM_CLOCK_BACKUP_STRUCT cyPmClockBackup; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 2 + + + 33:.\Generated_Source\PSoC5/cyPm.c **** + 34:.\Generated_Source\PSoC5/cyPm.c **** /* Convertion table between register's values and frequency in MHz */ + 35:.\Generated_Source\PSoC5/cyPm.c **** static const uint8 CYCODE cyPmImoFreqReg2Mhz[7u] = {12u, 6u, 24u, 3u, 48u, 62u, 74u}; + 36:.\Generated_Source\PSoC5/cyPm.c **** + 37:.\Generated_Source\PSoC5/cyPm.c **** /* Function Prototypes */ + 38:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibSaveSet(void); + 39:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibRestore(void) ; + 40:.\Generated_Source\PSoC5/cyPm.c **** + 41:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibSlpSaveSet(void) ; + 42:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibSlpRestore(void) ; + 43:.\Generated_Source\PSoC5/cyPm.c **** + 44:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHviLviSaveDisable(void) ; + 45:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHviLviRestore(void) ; + 46:.\Generated_Source\PSoC5/cyPm.c **** + 47:.\Generated_Source\PSoC5/cyPm.c **** + 48:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* + 49:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmSaveClocks + 50:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** + 51:.\Generated_Source\PSoC5/cyPm.c **** * + 52:.\Generated_Source\PSoC5/cyPm.c **** * Summary: + 53:.\Generated_Source\PSoC5/cyPm.c **** * This function is called in preparation for entering sleep or hibernate low + 54:.\Generated_Source\PSoC5/cyPm.c **** * power modes. Saves all state of the clocking system that does not persist + 55:.\Generated_Source\PSoC5/cyPm.c **** * during sleep/hibernate or that needs to be altered in preparation for + 56:.\Generated_Source\PSoC5/cyPm.c **** * sleep/hibernate. Shutdowns all the digital and analog clock dividers for the + 57:.\Generated_Source\PSoC5/cyPm.c **** * active power mode configuration. + 58:.\Generated_Source\PSoC5/cyPm.c **** * + 59:.\Generated_Source\PSoC5/cyPm.c **** * Switches the master clock over to the IMO and shuts down the PLL and MHz + 60:.\Generated_Source\PSoC5/cyPm.c **** * Crystal. The IMO frequency is set to either 12 MHz or 48 MHz to match the + 61:.\Generated_Source\PSoC5/cyPm.c **** * Design-Wide Resources System Editor "Enable Fast IMO During Startup" setting. + 62:.\Generated_Source\PSoC5/cyPm.c **** * The ILO and 32 KHz oscillators are not impacted. The current Flash wait state + 63:.\Generated_Source\PSoC5/cyPm.c **** * setting is saved and the Flash wait state setting is set for the current IMO + 64:.\Generated_Source\PSoC5/cyPm.c **** * speed. + 65:.\Generated_Source\PSoC5/cyPm.c **** * + 66:.\Generated_Source\PSoC5/cyPm.c **** * Note If the Master Clock source is routed through the DSI inputs, then it + 67:.\Generated_Source\PSoC5/cyPm.c **** * must be set manually to another source before using the + 68:.\Generated_Source\PSoC5/cyPm.c **** * CyPmSaveClocks()/CyPmRestoreClocks() functions. + 69:.\Generated_Source\PSoC5/cyPm.c **** * + 70:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: + 71:.\Generated_Source\PSoC5/cyPm.c **** * None + 72:.\Generated_Source\PSoC5/cyPm.c **** * + 73:.\Generated_Source\PSoC5/cyPm.c **** * Return: + 74:.\Generated_Source\PSoC5/cyPm.c **** * None + 75:.\Generated_Source\PSoC5/cyPm.c **** * + 76:.\Generated_Source\PSoC5/cyPm.c **** * Side Effects: + 77:.\Generated_Source\PSoC5/cyPm.c **** * All peripheral clocks are going to be off after this API method call. + 78:.\Generated_Source\PSoC5/cyPm.c **** * + 79:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + 80:.\Generated_Source\PSoC5/cyPm.c **** void CyPmSaveClocks(void) + 81:.\Generated_Source\PSoC5/cyPm.c **** { + 82:.\Generated_Source\PSoC5/cyPm.c **** /* Digital and analog clocks - save enable state and disable them all */ + 83:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.enClkA = CY_PM_ACT_CFG1_REG & CY_PM_ACT_EN_CLK_A_MASK; + 84:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.enClkD = CY_PM_ACT_CFG2_REG; + 85:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG1_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_A_MASK)); + 86:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG2_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_D_MASK)); + 87:.\Generated_Source\PSoC5/cyPm.c **** + 88:.\Generated_Source\PSoC5/cyPm.c **** /* Save current flash wait cycles and set the maximum value */ + 89:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.flashWaitCycles = CY_PM_CACHE_CR_CYCLES_MASK & CY_PM_CACHE_CR_REG; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 3 + + + 90:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + 91:.\Generated_Source\PSoC5/cyPm.c **** + 92:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - save current IMO MHz OSC frequency and USB mode is on bit */ + 93:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + 94:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoUsbClk = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_USB; + 95:.\Generated_Source\PSoC5/cyPm.c **** + 96:.\Generated_Source\PSoC5/cyPm.c **** /* IMO doubler - save enable state */ + 97:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + 98:.\Generated_Source\PSoC5/cyPm.c **** { + 99:.\Generated_Source\PSoC5/cyPm.c **** /* IMO doubler enabled - save and disable */ + 100:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imo2x = CY_PM_ENABLED; + 101:.\Generated_Source\PSoC5/cyPm.c **** } + 102:.\Generated_Source\PSoC5/cyPm.c **** else + 103:.\Generated_Source\PSoC5/cyPm.c **** { + 104:.\Generated_Source\PSoC5/cyPm.c **** /* IMO doubler disabled */ + 105:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imo2x = CY_PM_DISABLED; + 106:.\Generated_Source\PSoC5/cyPm.c **** } + 107:.\Generated_Source\PSoC5/cyPm.c **** + 108:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - set appropriate frequency for LPM */ + 109:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetFreq(CY_PM_IMO_FREQ_LPM); + 110:.\Generated_Source\PSoC5/cyPm.c **** + 111:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - save enable state and enable without wait to settle */ + 112:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG)) + 113:.\Generated_Source\PSoC5/cyPm.c **** { + 114:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - save enabled state */ + 115:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoEnable = CY_PM_ENABLED; + 116:.\Generated_Source\PSoC5/cyPm.c **** } + 117:.\Generated_Source\PSoC5/cyPm.c **** else + 118:.\Generated_Source\PSoC5/cyPm.c **** { + 119:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - save disabled state */ + 120:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoEnable = CY_PM_DISABLED; + 121:.\Generated_Source\PSoC5/cyPm.c **** + 122:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - enable */ + 123:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + 124:.\Generated_Source\PSoC5/cyPm.c **** } + 125:.\Generated_Source\PSoC5/cyPm.c **** + 126:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - save the current IMOCLK source and set to IMO if not yet */ + 127:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_XCLKEN)) + 128:.\Generated_Source\PSoC5/cyPm.c **** { + 129:.\Generated_Source\PSoC5/cyPm.c **** /* DSI or XTAL CLK */ + 130:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoClkSrc = + 131:.\Generated_Source\PSoC5/cyPm.c **** (0u == (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO2X_SRC)) ? CY_IMO_SOURCE_DSI : CY_IMO_S + 132:.\Generated_Source\PSoC5/cyPm.c **** + 133:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - set IMOCLK source to MHz OSC */ + 134:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetSource(CY_IMO_SOURCE_IMO); + 135:.\Generated_Source\PSoC5/cyPm.c **** } + 136:.\Generated_Source\PSoC5/cyPm.c **** else + 137:.\Generated_Source\PSoC5/cyPm.c **** { + 138:.\Generated_Source\PSoC5/cyPm.c **** /* IMO */ + 139:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoClkSrc = CY_IMO_SOURCE_IMO; + 140:.\Generated_Source\PSoC5/cyPm.c **** } + 141:.\Generated_Source\PSoC5/cyPm.c **** + 142:.\Generated_Source\PSoC5/cyPm.c **** /* Save clk_imo source */ + 143:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkImoSrc = CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK; + 144:.\Generated_Source\PSoC5/cyPm.c **** + 145:.\Generated_Source\PSoC5/cyPm.c **** /* If IMOCLK2X or SPC OSC is source for clk_imo, set it to IMOCLK */ + 146:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_CLKDIST_IMO_OUT_IMO != cyPmClockBackup.clkImoSrc) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 4 + + + 147:.\Generated_Source\PSoC5/cyPm.c **** { + 148:.\Generated_Source\PSoC5/cyPm.c **** /* Set IMOCLK to source for clk_imo */ + 149:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + 150:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_IMO_OUT_IMO; + 151:.\Generated_Source\PSoC5/cyPm.c **** } /* Need to change nothing if IMOCLK is source clk_imo */ + 152:.\Generated_Source\PSoC5/cyPm.c **** + 153:.\Generated_Source\PSoC5/cyPm.c **** /* IMO doubler - disable it (saved above) */ + 154:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + 155:.\Generated_Source\PSoC5/cyPm.c **** { + 156:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_DisableDoubler(); + 157:.\Generated_Source\PSoC5/cyPm.c **** } + 158:.\Generated_Source\PSoC5/cyPm.c **** + 159:.\Generated_Source\PSoC5/cyPm.c **** /* Master clock - save divider and set it to divide-by-one (if no yet) */ + 160:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkSyncDiv = CY_PM_CLKDIST_MSTR0_REG; + 161:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_DIV_BY_ONE != cyPmClockBackup.clkSyncDiv) + 162:.\Generated_Source\PSoC5/cyPm.c **** { + 163:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetDivider(CY_PM_DIV_BY_ONE); + 164:.\Generated_Source\PSoC5/cyPm.c **** } /* Need to change nothing if master clock divider is 1 */ + 165:.\Generated_Source\PSoC5/cyPm.c **** + 166:.\Generated_Source\PSoC5/cyPm.c **** /* Master clock - save current source */ + 167:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.masterClkSrc = CY_PM_CLKDIST_MSTR1_REG & CY_PM_MASTER_CLK_SRC_MASK; + 168:.\Generated_Source\PSoC5/cyPm.c **** + 169:.\Generated_Source\PSoC5/cyPm.c **** /* Master clock source - set it to IMO if not yet. */ + 170:.\Generated_Source\PSoC5/cyPm.c **** if(CY_MASTER_SOURCE_IMO != cyPmClockBackup.masterClkSrc) + 171:.\Generated_Source\PSoC5/cyPm.c **** { + 172:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetSource(CY_MASTER_SOURCE_IMO); + 173:.\Generated_Source\PSoC5/cyPm.c **** } /* Need to change nothing if master clock source is IMO */ + 174:.\Generated_Source\PSoC5/cyPm.c **** + 175:.\Generated_Source\PSoC5/cyPm.c **** /* Bus clock - save divider and set it, if needed, to divide-by-one */ + 176:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkBusDiv = (uint16) ((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + 177:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkBusDiv |= CY_PM_CLK_BUS_LSB_DIV_REG; + 178:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_BUS_CLK_DIV_BY_ONE != cyPmClockBackup.clkBusDiv) + 179:.\Generated_Source\PSoC5/cyPm.c **** { + 180:.\Generated_Source\PSoC5/cyPm.c **** CyBusClk_SetDivider(CY_PM_BUS_CLK_DIV_BY_ONE); + 181:.\Generated_Source\PSoC5/cyPm.c **** } /* Do nothing if saved and actual values are equal */ + 182:.\Generated_Source\PSoC5/cyPm.c **** + 183:.\Generated_Source\PSoC5/cyPm.c **** /* Set number of wait cycles for the flash according CPU frequency in MHz */ + 184:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles((uint8)CY_PM_GET_CPU_FREQ_MHZ); + 185:.\Generated_Source\PSoC5/cyPm.c **** + 186:.\Generated_Source\PSoC5/cyPm.c **** /* PLL - check enable state, disable if needed */ + 187:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_PLL_CFG0_REG & CY_PM_PLL_CFG0_ENABLE)) + 188:.\Generated_Source\PSoC5/cyPm.c **** { + 189:.\Generated_Source\PSoC5/cyPm.c **** /* PLL is enabled - save state and disable */ + 190:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.pllEnableState = CY_PM_ENABLED; + 191:.\Generated_Source\PSoC5/cyPm.c **** CyPLL_OUT_Stop(); + 192:.\Generated_Source\PSoC5/cyPm.c **** } + 193:.\Generated_Source\PSoC5/cyPm.c **** else + 194:.\Generated_Source\PSoC5/cyPm.c **** { + 195:.\Generated_Source\PSoC5/cyPm.c **** /* PLL is disabled - save state */ + 196:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.pllEnableState = CY_PM_DISABLED; + 197:.\Generated_Source\PSoC5/cyPm.c **** } + 198:.\Generated_Source\PSoC5/cyPm.c **** + 199:.\Generated_Source\PSoC5/cyPm.c **** /* MHz ECO - check enable state and disable if needed */ + 200:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_ENABLE)) + 201:.\Generated_Source\PSoC5/cyPm.c **** { + 202:.\Generated_Source\PSoC5/cyPm.c **** /* MHz ECO is enabled - save state and disable */ + 203:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.xmhzEnableState = CY_PM_ENABLED; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 5 + + + 204:.\Generated_Source\PSoC5/cyPm.c **** CyXTAL_Stop(); + 205:.\Generated_Source\PSoC5/cyPm.c **** } + 206:.\Generated_Source\PSoC5/cyPm.c **** else + 207:.\Generated_Source\PSoC5/cyPm.c **** { + 208:.\Generated_Source\PSoC5/cyPm.c **** /* MHz ECO is disabled - save state */ + 209:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.xmhzEnableState = CY_PM_DISABLED; + 210:.\Generated_Source\PSoC5/cyPm.c **** } + 211:.\Generated_Source\PSoC5/cyPm.c **** + 212:.\Generated_Source\PSoC5/cyPm.c **** + 213:.\Generated_Source\PSoC5/cyPm.c **** /*************************************************************************** + 214:.\Generated_Source\PSoC5/cyPm.c **** * Save enable state of delay between the system bus clock and each of the + 215:.\Generated_Source\PSoC5/cyPm.c **** * 4 individual analog clocks. This bit non-retention and it's value should + 216:.\Generated_Source\PSoC5/cyPm.c **** * be restored on wakeup. + 217:.\Generated_Source\PSoC5/cyPm.c **** ***************************************************************************/ + 218:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_CLKDIST_DELAY_REG & CY_PM_CLKDIST_DELAY_EN)) + 219:.\Generated_Source\PSoC5/cyPm.c **** { + 220:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkDistDelay = CY_PM_ENABLED; + 221:.\Generated_Source\PSoC5/cyPm.c **** } + 222:.\Generated_Source\PSoC5/cyPm.c **** else + 223:.\Generated_Source\PSoC5/cyPm.c **** { + 224:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkDistDelay = CY_PM_DISABLED; + 225:.\Generated_Source\PSoC5/cyPm.c **** } + 226:.\Generated_Source\PSoC5/cyPm.c **** } + 227:.\Generated_Source\PSoC5/cyPm.c **** + 228:.\Generated_Source\PSoC5/cyPm.c **** + 229:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* + 230:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmRestoreClocks + 231:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** + 232:.\Generated_Source\PSoC5/cyPm.c **** * + 233:.\Generated_Source\PSoC5/cyPm.c **** * Summary: + 234:.\Generated_Source\PSoC5/cyPm.c **** * Restores any state that was preserved by the last call to CyPmSaveClocks(). + 235:.\Generated_Source\PSoC5/cyPm.c **** * The Flash wait state setting is also restored. + 236:.\Generated_Source\PSoC5/cyPm.c **** * + 237:.\Generated_Source\PSoC5/cyPm.c **** * Note If the Master Clock source is routed through the DSI inputs, then it + 238:.\Generated_Source\PSoC5/cyPm.c **** * must be set manually to another source before using the + 239:.\Generated_Source\PSoC5/cyPm.c **** * CyPmSaveClocks()/CyPmRestoreClocks() functions. + 240:.\Generated_Source\PSoC5/cyPm.c **** * + 241:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 3 and PSoC 5LP: + 242:.\Generated_Source\PSoC5/cyPm.c **** * The merge region could be used to process state when the megahertz crystal is + 243:.\Generated_Source\PSoC5/cyPm.c **** * not ready after the hold-off timeout. + 244:.\Generated_Source\PSoC5/cyPm.c **** * + 245:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 5: + 246:.\Generated_Source\PSoC5/cyPm.c **** * The 130 ms is given for the megahertz crystal to stabilize. It's readiness is + 247:.\Generated_Source\PSoC5/cyPm.c **** * not verified after the hold-off timeout. + 248:.\Generated_Source\PSoC5/cyPm.c **** * + 249:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: + 250:.\Generated_Source\PSoC5/cyPm.c **** * None + 251:.\Generated_Source\PSoC5/cyPm.c **** * + 252:.\Generated_Source\PSoC5/cyPm.c **** * Return: + 253:.\Generated_Source\PSoC5/cyPm.c **** * None + 254:.\Generated_Source\PSoC5/cyPm.c **** * + 255:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + 256:.\Generated_Source\PSoC5/cyPm.c **** void CyPmRestoreClocks(void) + 257:.\Generated_Source\PSoC5/cyPm.c **** { + 258:.\Generated_Source\PSoC5/cyPm.c **** cystatus status = CYRET_TIMEOUT; + 259:.\Generated_Source\PSoC5/cyPm.c **** uint16 i; + 260:.\Generated_Source\PSoC5/cyPm.c **** uint16 clkBusDivTmp; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 6 + + + 261:.\Generated_Source\PSoC5/cyPm.c **** + 262:.\Generated_Source\PSoC5/cyPm.c **** + 263:.\Generated_Source\PSoC5/cyPm.c **** /* Convertion table between CyIMO_SetFreq() parameters and register's value */ + 264:.\Generated_Source\PSoC5/cyPm.c **** const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + 265:.\Generated_Source\PSoC5/cyPm.c **** CY_IMO_FREQ_12MHZ, CY_IMO_FREQ_6MHZ, CY_IMO_FREQ_24MHZ, CY_IMO_FREQ_3MHZ, + 266:.\Generated_Source\PSoC5/cyPm.c **** CY_IMO_FREQ_48MHZ, 5u, 6u}; + 267:.\Generated_Source\PSoC5/cyPm.c **** + 268:.\Generated_Source\PSoC5/cyPm.c **** /* Restore enable state of delay between the system bus clock and ACLKs. */ + 269:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + 270:.\Generated_Source\PSoC5/cyPm.c **** { + 271:.\Generated_Source\PSoC5/cyPm.c **** /* Delay for both the bandgap and the delay line to settle out */ + 272:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)(CY_PM_CLK_DELAY_BANDGAP_SETTLE_US + CY_PM_CLK_DELAY_BIAS_SETTLE_US) + 273:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_GET_CPU_FREQ_MHZ); + 274:.\Generated_Source\PSoC5/cyPm.c **** + 275:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_DELAY_REG |= CY_PM_CLKDIST_DELAY_EN; + 276:.\Generated_Source\PSoC5/cyPm.c **** } + 277:.\Generated_Source\PSoC5/cyPm.c **** + 278:.\Generated_Source\PSoC5/cyPm.c **** /* MHz ECO restore state */ + 279:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) + 280:.\Generated_Source\PSoC5/cyPm.c **** { + 281:.\Generated_Source\PSoC5/cyPm.c **** /*********************************************************************** + 282:.\Generated_Source\PSoC5/cyPm.c **** * Enabling XMHZ XTAL. The actual CyXTAL_Start() with non zero wait + 283:.\Generated_Source\PSoC5/cyPm.c **** * period uses FTW for period measurement. This could cause a problem + 284:.\Generated_Source\PSoC5/cyPm.c **** * if CTW/FTW is used as a wake up time in the low power modes APIs. + 285:.\Generated_Source\PSoC5/cyPm.c **** * So, the XTAL wait procedure is implemented with a software delay. + 286:.\Generated_Source\PSoC5/cyPm.c **** ***********************************************************************/ + 287:.\Generated_Source\PSoC5/cyPm.c **** + 288:.\Generated_Source\PSoC5/cyPm.c **** /* Enable XMHZ XTAL with no wait */ + 289:.\Generated_Source\PSoC5/cyPm.c **** (void) CyXTAL_Start(CY_PM_XTAL_MHZ_NO_WAIT); + 290:.\Generated_Source\PSoC5/cyPm.c **** + 291:.\Generated_Source\PSoC5/cyPm.c **** /* Read XERR bit to clear it */ + 292:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_FASTCLK_XMHZ_CSR_REG; + 293:.\Generated_Source\PSoC5/cyPm.c **** + 294:.\Generated_Source\PSoC5/cyPm.c **** /* Wait */ + 295:.\Generated_Source\PSoC5/cyPm.c **** for(i = CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US; i > 0u; i--) + 296:.\Generated_Source\PSoC5/cyPm.c **** { + 297:.\Generated_Source\PSoC5/cyPm.c **** /* Make a 200 microseconds delay */ + 298:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)CY_PM_WAIT_200_US * CY_PM_GET_CPU_FREQ_MHZ); + 299:.\Generated_Source\PSoC5/cyPm.c **** + 300:.\Generated_Source\PSoC5/cyPm.c **** /* High output indicates oscillator failure */ + 301:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_XERR)) + 302:.\Generated_Source\PSoC5/cyPm.c **** { + 303:.\Generated_Source\PSoC5/cyPm.c **** status = CYRET_SUCCESS; + 304:.\Generated_Source\PSoC5/cyPm.c **** break; + 305:.\Generated_Source\PSoC5/cyPm.c **** } + 306:.\Generated_Source\PSoC5/cyPm.c **** } + 307:.\Generated_Source\PSoC5/cyPm.c **** + 308:.\Generated_Source\PSoC5/cyPm.c **** if(CYRET_TIMEOUT == status) + 309:.\Generated_Source\PSoC5/cyPm.c **** { + 310:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************* + 311:.\Generated_Source\PSoC5/cyPm.c **** * Process the situation when megahertz crystal is not ready. + 312:.\Generated_Source\PSoC5/cyPm.c **** * Time to stabialize value is crystal specific. + 313:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************/ + 314:.\Generated_Source\PSoC5/cyPm.c **** /* `#START_MHZ_ECO_TIMEOUT` */ + 315:.\Generated_Source\PSoC5/cyPm.c **** + 316:.\Generated_Source\PSoC5/cyPm.c **** /* `#END` */ + 317:.\Generated_Source\PSoC5/cyPm.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 7 + + + 318:.\Generated_Source\PSoC5/cyPm.c **** } /* (CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) */ + 319:.\Generated_Source\PSoC5/cyPm.c **** + 320:.\Generated_Source\PSoC5/cyPm.c **** + 321:.\Generated_Source\PSoC5/cyPm.c **** /* Temprorary set the maximum flash wait cycles */ + 322:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + 323:.\Generated_Source\PSoC5/cyPm.c **** + 324:.\Generated_Source\PSoC5/cyPm.c **** /* The XTAL and DSI clocks are ready to be source for Master clock. */ + 325:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_MASTER_CLK_SRC_XTAL == cyPmClockBackup.masterClkSrc) || + 326:.\Generated_Source\PSoC5/cyPm.c **** (CY_PM_MASTER_CLK_SRC_DSI == cyPmClockBackup.masterClkSrc)) + 327:.\Generated_Source\PSoC5/cyPm.c **** { + 328:.\Generated_Source\PSoC5/cyPm.c **** /* Restore Master clock's divider */ + 329:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + 330:.\Generated_Source\PSoC5/cyPm.c **** { + 331:.\Generated_Source\PSoC5/cyPm.c **** /* Restore Master clock divider */ + 332:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + 333:.\Generated_Source\PSoC5/cyPm.c **** } + 334:.\Generated_Source\PSoC5/cyPm.c **** + 335:.\Generated_Source\PSoC5/cyPm.c **** /* Restore Master clock source */ + 336:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + 337:.\Generated_Source\PSoC5/cyPm.c **** } + 338:.\Generated_Source\PSoC5/cyPm.c **** + 339:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - restore IMO frequency */ + 340:.\Generated_Source\PSoC5/cyPm.c **** if((0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) && + 341:.\Generated_Source\PSoC5/cyPm.c **** (CY_IMO_FREQ_24MHZ == cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq])) + 342:.\Generated_Source\PSoC5/cyPm.c **** { + 343:.\Generated_Source\PSoC5/cyPm.c **** /* Restore IMO frequency (24 MHz) and trim it for USB */ + 344:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetFreq(CY_IMO_FREQ_USB); + 345:.\Generated_Source\PSoC5/cyPm.c **** } + 346:.\Generated_Source\PSoC5/cyPm.c **** else + 347:.\Generated_Source\PSoC5/cyPm.c **** { + 348:.\Generated_Source\PSoC5/cyPm.c **** /* Restore IMO frequency */ + 349:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetFreq(cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq]); + 350:.\Generated_Source\PSoC5/cyPm.c **** + 351:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) + 352:.\Generated_Source\PSoC5/cyPm.c **** { + 353:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG |= CY_PM_FASTCLK_IMO_CR_USB; + 354:.\Generated_Source\PSoC5/cyPm.c **** } + 355:.\Generated_Source\PSoC5/cyPm.c **** else + 356:.\Generated_Source\PSoC5/cyPm.c **** { + 357:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_PM_FASTCLK_IMO_CR_USB)); + 358:.\Generated_Source\PSoC5/cyPm.c **** } + 359:.\Generated_Source\PSoC5/cyPm.c **** } + 360:.\Generated_Source\PSoC5/cyPm.c **** + 361:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - restore enable state if needed */ + 362:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_ENABLED == cyPmClockBackup.imoEnable) && + 363:.\Generated_Source\PSoC5/cyPm.c **** (0u == (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + 364:.\Generated_Source\PSoC5/cyPm.c **** { + 365:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - restore enabled state */ + 366:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + 367:.\Generated_Source\PSoC5/cyPm.c **** } + 368:.\Generated_Source\PSoC5/cyPm.c **** + 369:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - restore disable state if needed */ + 370:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_DISABLED == cyPmClockBackup.imoEnable) && + 371:.\Generated_Source\PSoC5/cyPm.c **** (0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + 372:.\Generated_Source\PSoC5/cyPm.c **** { + 373:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_Stop(); + 374:.\Generated_Source\PSoC5/cyPm.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 8 + + + 375:.\Generated_Source\PSoC5/cyPm.c **** + 376:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - restore IMOCLK source */ + 377:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetSource(cyPmClockBackup.imoClkSrc); + 378:.\Generated_Source\PSoC5/cyPm.c **** + 379:.\Generated_Source\PSoC5/cyPm.c **** /* Restore IMO doubler enable state (turned off by CyPmSaveClocks()) */ + 380:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.imo2x) + 381:.\Generated_Source\PSoC5/cyPm.c **** { + 382:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_EnableDoubler(); + 383:.\Generated_Source\PSoC5/cyPm.c **** } + 384:.\Generated_Source\PSoC5/cyPm.c **** + 385:.\Generated_Source\PSoC5/cyPm.c **** /* IMO - restore clk_imo source, if needed */ + 386:.\Generated_Source\PSoC5/cyPm.c **** if(cyPmClockBackup.clkImoSrc != (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK)) + 387:.\Generated_Source\PSoC5/cyPm.c **** { + 388:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + 389:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkImoSrc; + 390:.\Generated_Source\PSoC5/cyPm.c **** } + 391:.\Generated_Source\PSoC5/cyPm.c **** + 392:.\Generated_Source\PSoC5/cyPm.c **** /* PLL restore state */ + 393:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.pllEnableState) + 394:.\Generated_Source\PSoC5/cyPm.c **** { + 395:.\Generated_Source\PSoC5/cyPm.c **** /*********************************************************************** + 396:.\Generated_Source\PSoC5/cyPm.c **** * Enable PLL. The actual CyPLL_OUT_Start() without wait period uses FTW + 397:.\Generated_Source\PSoC5/cyPm.c **** * for period measurement. This could cause a problem if CTW/FTW is used + 398:.\Generated_Source\PSoC5/cyPm.c **** * as a wakeup time in the low power modes APIs. To omit this issue PLL + 399:.\Generated_Source\PSoC5/cyPm.c **** * wait procedure is implemented with a software delay. + 400:.\Generated_Source\PSoC5/cyPm.c **** ***********************************************************************/ + 401:.\Generated_Source\PSoC5/cyPm.c **** + 402:.\Generated_Source\PSoC5/cyPm.c **** /* Enable PLL */ + 403:.\Generated_Source\PSoC5/cyPm.c **** (void) CyPLL_OUT_Start(CY_PM_PLL_OUT_NO_WAIT); + 404:.\Generated_Source\PSoC5/cyPm.c **** + 405:.\Generated_Source\PSoC5/cyPm.c **** /* Make a 250 us delay */ + 406:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)CY_PM_WAIT_250_US * CY_PM_GET_CPU_FREQ_MHZ); + 407:.\Generated_Source\PSoC5/cyPm.c **** } /* (CY_PM_ENABLED == cyPmClockBackup.pllEnableState) */ + 408:.\Generated_Source\PSoC5/cyPm.c **** + 409:.\Generated_Source\PSoC5/cyPm.c **** + 410:.\Generated_Source\PSoC5/cyPm.c **** /* PLL and IMO is ready to be source for Master clock */ + 411:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_MASTER_CLK_SRC_IMO == cyPmClockBackup.masterClkSrc) || + 412:.\Generated_Source\PSoC5/cyPm.c **** (CY_PM_MASTER_CLK_SRC_PLL == cyPmClockBackup.masterClkSrc)) + 413:.\Generated_Source\PSoC5/cyPm.c **** { + 414:.\Generated_Source\PSoC5/cyPm.c **** /* Restore Master clock divider */ + 415:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + 416:.\Generated_Source\PSoC5/cyPm.c **** { + 417:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + 418:.\Generated_Source\PSoC5/cyPm.c **** } + 419:.\Generated_Source\PSoC5/cyPm.c **** + 420:.\Generated_Source\PSoC5/cyPm.c **** /* Restore Master clock source */ + 421:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + 422:.\Generated_Source\PSoC5/cyPm.c **** } + 423:.\Generated_Source\PSoC5/cyPm.c **** + 424:.\Generated_Source\PSoC5/cyPm.c **** /* Bus clock - restore divider, if needed */ + 425:.\Generated_Source\PSoC5/cyPm.c **** clkBusDivTmp = (uint16) ((uint16)CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + 426:.\Generated_Source\PSoC5/cyPm.c **** clkBusDivTmp |= CY_PM_CLK_BUS_LSB_DIV_REG; + 427:.\Generated_Source\PSoC5/cyPm.c **** if(cyPmClockBackup.clkBusDiv != clkBusDivTmp) + 428:.\Generated_Source\PSoC5/cyPm.c **** { + 429:.\Generated_Source\PSoC5/cyPm.c **** CyBusClk_SetDivider(cyPmClockBackup.clkBusDiv); + 430:.\Generated_Source\PSoC5/cyPm.c **** } + 431:.\Generated_Source\PSoC5/cyPm.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 9 + + + 432:.\Generated_Source\PSoC5/cyPm.c **** /* Restore flash wait cycles */ + 433:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CACHE_CR_REG = ((CY_PM_CACHE_CR_REG & ((uint8)(~CY_PM_CACHE_CR_CYCLES_MASK))) | + 434:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.flashWaitCycles); + 435:.\Generated_Source\PSoC5/cyPm.c **** + 436:.\Generated_Source\PSoC5/cyPm.c **** /* Digital and analog clocks - restore state */ + 437:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG1_REG = cyPmClockBackup.enClkA; + 438:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG2_REG = cyPmClockBackup.enClkD; + 439:.\Generated_Source\PSoC5/cyPm.c **** } + 440:.\Generated_Source\PSoC5/cyPm.c **** + 441:.\Generated_Source\PSoC5/cyPm.c **** + 442:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* + 443:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmAltAct + 444:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** + 445:.\Generated_Source\PSoC5/cyPm.c **** * + 446:.\Generated_Source\PSoC5/cyPm.c **** * Summary: + 447:.\Generated_Source\PSoC5/cyPm.c **** * Puts the part into the Alternate Active (Standby) state. The Alternate Active + 448:.\Generated_Source\PSoC5/cyPm.c **** * state can allow for any of the capabilities of the device to be active, but + 449:.\Generated_Source\PSoC5/cyPm.c **** * the operation of this function is dependent on the CPU being disabled during + 450:.\Generated_Source\PSoC5/cyPm.c **** * the Alternate Active state. The configuration code and the component APIs + 451:.\Generated_Source\PSoC5/cyPm.c **** * will configure the template for the Alternate Active state to be the same as + 452:.\Generated_Source\PSoC5/cyPm.c **** * the Active state with the exception that the CPU will be disabled during + 453:.\Generated_Source\PSoC5/cyPm.c **** * Alternate Active. + 454:.\Generated_Source\PSoC5/cyPm.c **** * + 455:.\Generated_Source\PSoC5/cyPm.c **** * Note Before calling this function, you must manually configure the power mode + 456:.\Generated_Source\PSoC5/cyPm.c **** * of the source clocks for the timer that is used as the wakeup timer. + 457:.\Generated_Source\PSoC5/cyPm.c **** * + 458:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 3: + 459:.\Generated_Source\PSoC5/cyPm.c **** * Before switching to Alternate Active, if a wakeupTime other than NONE is + 460:.\Generated_Source\PSoC5/cyPm.c **** * specified, then the appropriate timer state is configured as specified with + 461:.\Generated_Source\PSoC5/cyPm.c **** * the interrupt for that timer disabled. The wakeup source will be the + 462:.\Generated_Source\PSoC5/cyPm.c **** * combination of the values specified in the wakeupSource and any timer + 463:.\Generated_Source\PSoC5/cyPm.c **** * specified in the wakeupTime argument. Once the wakeup condition is + 464:.\Generated_Source\PSoC5/cyPm.c **** * satisfied, then all saved state is restored and the function returns in the + 465:.\Generated_Source\PSoC5/cyPm.c **** * Active state. + 466:.\Generated_Source\PSoC5/cyPm.c **** * + 467:.\Generated_Source\PSoC5/cyPm.c **** * Note that if the wakeupTime is made with a different value, the period before + 468:.\Generated_Source\PSoC5/cyPm.c **** * the wakeup occurs can be significantly shorter than the specified time. If + 469:.\Generated_Source\PSoC5/cyPm.c **** * the next call is made with the same wakeupTime value, then the wakeup will + 470:.\Generated_Source\PSoC5/cyPm.c **** * occur the specified period after the previous wakeup occurred. + 471:.\Generated_Source\PSoC5/cyPm.c **** * + 472:.\Generated_Source\PSoC5/cyPm.c **** * If a wakeupTime other than NONE is specified, then upon exit the state of the + 473:.\Generated_Source\PSoC5/cyPm.c **** * specified timer will be left as specified by wakeupTime with the timer + 474:.\Generated_Source\PSoC5/cyPm.c **** * enabled and the interrupt disabled. If the CTW, FTW or One PPS is already + 475:.\Generated_Source\PSoC5/cyPm.c **** * configured for wakeup, for example with the SleepTimer or RTC components, + 476:.\Generated_Source\PSoC5/cyPm.c **** * then specify NONE for the wakeupTime and include the appropriate source for + 477:.\Generated_Source\PSoC5/cyPm.c **** * wakeupSource. + 478:.\Generated_Source\PSoC5/cyPm.c **** * + 479:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 5LP: + 480:.\Generated_Source\PSoC5/cyPm.c **** * This function is used to both enter the Alternate Active mode and halt the + 481:.\Generated_Source\PSoC5/cyPm.c **** * processor. For PSoC 3 these two actions must be paired together. With PSoC + 482:.\Generated_Source\PSoC5/cyPm.c **** * 5LP the processor can be halted independently with the __WFI() function from + 483:.\Generated_Source\PSoC5/cyPm.c **** * the CMSIS library that is included in Creator. This function should be used + 484:.\Generated_Source\PSoC5/cyPm.c **** * instead when the action required is just to halt the processor until an + 485:.\Generated_Source\PSoC5/cyPm.c **** * enabled interrupt occurs. + 486:.\Generated_Source\PSoC5/cyPm.c **** * + 487:.\Generated_Source\PSoC5/cyPm.c **** * The wakeupTime parameter is not used for this device. It must be set to zero + 488:.\Generated_Source\PSoC5/cyPm.c **** * (PM_ALT_ACT_TIME_NONE). The wake up time configuration can be done by a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 10 + + + 489:.\Generated_Source\PSoC5/cyPm.c **** * separate component: the CTW wakeup interval should be configured with the + 490:.\Generated_Source\PSoC5/cyPm.c **** * Sleep Timer component and one second interval should be configured with the + 491:.\Generated_Source\PSoC5/cyPm.c **** * RTC component. + 492:.\Generated_Source\PSoC5/cyPm.c **** * + 493:.\Generated_Source\PSoC5/cyPm.c **** * The wakeup behavior depends on wakeupSource parameter in the following + 494:.\Generated_Source\PSoC5/cyPm.c **** * manner: upon function execution the device will be switched from Active to + 495:.\Generated_Source\PSoC5/cyPm.c **** * Alternate Active mode and then the CPU will be halted. When an enabled wakeup + 496:.\Generated_Source\PSoC5/cyPm.c **** * event occurs the device will return to Active mode. Similarly when an + 497:.\Generated_Source\PSoC5/cyPm.c **** * enabled interrupt occurs the CPU will be started. These two actions will + 498:.\Generated_Source\PSoC5/cyPm.c **** * occur together provided that the event that occurs is an enabled wakeup + 499:.\Generated_Source\PSoC5/cyPm.c **** * source and also generates an interrupt. If just the wakeup event occurs then + 500:.\Generated_Source\PSoC5/cyPm.c **** * the device will be in Active mode, but the CPU will remain halted waiting for + 501:.\Generated_Source\PSoC5/cyPm.c **** * an interrupt. If an interrupt occurs from something other than a wakeup + 502:.\Generated_Source\PSoC5/cyPm.c **** * source, then the CPU will restart with the device in Alternate Active mode + 503:.\Generated_Source\PSoC5/cyPm.c **** * until a wakeup event occurs. + 504:.\Generated_Source\PSoC5/cyPm.c **** * + 505:.\Generated_Source\PSoC5/cyPm.c **** * For example, if CyPmAltAct(PM_ALT_ACT_TIME_NONE, PM_ALT_ACT_SRC_PICU) is + 506:.\Generated_Source\PSoC5/cyPm.c **** * called and PICU interrupt occurs, the CPU will be started and device will be + 507:.\Generated_Source\PSoC5/cyPm.c **** * switched into Active mode. And if CyPmAltAct(PM_ALT_ACT_TIME_NONE, + 508:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_NONE) is called and PICU interrupt occurs, the CPU will be + 509:.\Generated_Source\PSoC5/cyPm.c **** * started while device remains in Alternate Active mode. + 510:.\Generated_Source\PSoC5/cyPm.c **** * + 511:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: + 512:.\Generated_Source\PSoC5/cyPm.c **** * wakeupTime: Specifies a timer wakeup source and the frequency of that + 513:.\Generated_Source\PSoC5/cyPm.c **** * source. For PSoC 5LP this parameter is ignored. + 514:.\Generated_Source\PSoC5/cyPm.c **** * + 515:.\Generated_Source\PSoC5/cyPm.c **** * Define Time + 516:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_NONE None + 517:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_ONE_PPS One PPS: 1 second + 518:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_2MS CTW: 2 ms + 519:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_4MS CTW: 4 ms + 520:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_8MS CTW: 8 ms + 521:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_16MS CTW: 16 ms + 522:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_32MS CTW: 32 ms + 523:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_64MS CTW: 64 ms + 524:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_128MS CTW: 128 ms + 525:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_256MS CTW: 256 ms + 526:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_512MS CTW: 512 ms + 527:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_1024MS CTW: 1024 ms + 528:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_2048MS CTW: 2048 ms + 529:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_CTW_4096MS CTW: 4096 ms + 530:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_TIME_FTW(1-256)* FTW: 10us to 2.56 ms + 531:.\Generated_Source\PSoC5/cyPm.c **** * + 532:.\Generated_Source\PSoC5/cyPm.c **** * *Note: PM_ALT_ACT_TIME_FTW() is a macro that takes an argument that + 533:.\Generated_Source\PSoC5/cyPm.c **** * specifies how many increments of 10 us to delay. + 534:.\Generated_Source\PSoC5/cyPm.c **** For PSoC 3 silicon the valid range of values is 1 to 256. + 535:.\Generated_Source\PSoC5/cyPm.c **** * + 536:.\Generated_Source\PSoC5/cyPm.c **** * wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if + 537:.\Generated_Source\PSoC5/cyPm.c **** * a wakeupTime has been specified the associated timer will be + 538:.\Generated_Source\PSoC5/cyPm.c **** * included as a wakeup source. + 539:.\Generated_Source\PSoC5/cyPm.c **** * + 540:.\Generated_Source\PSoC5/cyPm.c **** * Define Source + 541:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_NONE None + 542:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_COMPARATOR0 Comparator 0 + 543:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_COMPARATOR1 Comparator 1 + 544:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_COMPARATOR2 Comparator 2 + 545:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_COMPARATOR3 Comparator 3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 11 + + + 546:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_INTERRUPT Interrupt + 547:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_PICU PICU + 548:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_I2C I2C + 549:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_BOOSTCONVERTER Boost Converter + 550:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_FTW Fast Timewheel* + 551:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_VD High and Low Voltage Detection (HVI, LVI)* + 552:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_CTW Central Timewheel** + 553:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_ONE_PPS One PPS** + 554:.\Generated_Source\PSoC5/cyPm.c **** * PM_ALT_ACT_SRC_LCD LCD + 555:.\Generated_Source\PSoC5/cyPm.c **** * + 556:.\Generated_Source\PSoC5/cyPm.c **** * *Note : FTW and HVI/LVI wakeup signals are in the same mask bit. + 557:.\Generated_Source\PSoC5/cyPm.c **** * **Note: CTW and One PPS wakeup signals are in the same mask bit. + 558:.\Generated_Source\PSoC5/cyPm.c **** * + 559:.\Generated_Source\PSoC5/cyPm.c **** * When specifying a Comparator as the wakeupSource an instance specific define + 560:.\Generated_Source\PSoC5/cyPm.c **** * should be used that will track with the specific comparator that the instance + 561:.\Generated_Source\PSoC5/cyPm.c **** * is placed into. As an example, for a Comparator instance named MyComp the + 562:.\Generated_Source\PSoC5/cyPm.c **** * value to OR into the mask is: MyComp_ctComp__CMP_MASK. + 563:.\Generated_Source\PSoC5/cyPm.c **** * + 564:.\Generated_Source\PSoC5/cyPm.c **** * When CTW, FTW or One PPS is used as a wakeup source, the CyPmReadStatus() + 565:.\Generated_Source\PSoC5/cyPm.c **** * function must be called upon wakeup with corresponding parameter. Please + 566:.\Generated_Source\PSoC5/cyPm.c **** * refer to the CyPmReadStatus() API in the System Reference Guide for more + 567:.\Generated_Source\PSoC5/cyPm.c **** * information. + 568:.\Generated_Source\PSoC5/cyPm.c **** * + 569:.\Generated_Source\PSoC5/cyPm.c **** * Return: + 570:.\Generated_Source\PSoC5/cyPm.c **** * None + 571:.\Generated_Source\PSoC5/cyPm.c **** * + 572:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: + 573:.\Generated_Source\PSoC5/cyPm.c **** * No + 574:.\Generated_Source\PSoC5/cyPm.c **** * + 575:.\Generated_Source\PSoC5/cyPm.c **** * Side Effects: + 576:.\Generated_Source\PSoC5/cyPm.c **** * If a wakeupTime other than NONE is specified, then upon exit the state of the + 577:.\Generated_Source\PSoC5/cyPm.c **** * specified timer will be left as specified by wakeupTime with the timer + 578:.\Generated_Source\PSoC5/cyPm.c **** * enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is + 579:.\Generated_Source\PSoC5/cyPm.c **** * used as wakeup time) or ILO 100 KHz (if FTW timer is used as wakeup time) + 580:.\Generated_Source\PSoC5/cyPm.c **** * will be left started. + 581:.\Generated_Source\PSoC5/cyPm.c **** * + 582:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + 583:.\Generated_Source\PSoC5/cyPm.c **** void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) + 584:.\Generated_Source\PSoC5/cyPm.c **** { + 585:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC5) + 586:.\Generated_Source\PSoC5/cyPm.c **** + 587:.\Generated_Source\PSoC5/cyPm.c **** /* Arguments expected to be 0 */ + 588:.\Generated_Source\PSoC5/cyPm.c **** CYASSERT(PM_ALT_ACT_TIME_NONE == wakeupTime); + 589:.\Generated_Source\PSoC5/cyPm.c **** + 590:.\Generated_Source\PSoC5/cyPm.c **** if(0u != wakeupTime) + 591:.\Generated_Source\PSoC5/cyPm.c **** { + 592:.\Generated_Source\PSoC5/cyPm.c **** /* To remove unreferenced local variable warning */ + 593:.\Generated_Source\PSoC5/cyPm.c **** } + 594:.\Generated_Source\PSoC5/cyPm.c **** + 595:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC5) */ + 596:.\Generated_Source\PSoC5/cyPm.c **** + 597:.\Generated_Source\PSoC5/cyPm.c **** + 598:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC3) + 599:.\Generated_Source\PSoC5/cyPm.c **** + 600:.\Generated_Source\PSoC5/cyPm.c **** /* FTW - save current and set new configuration */ + 601:.\Generated_Source\PSoC5/cyPm.c **** if((wakeupTime >= PM_ALT_ACT_TIME_FTW(1u)) && (wakeupTime <= PM_ALT_ACT_TIME_FTW(256u))) + 602:.\Generated_Source\PSoC5/cyPm.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 12 + + + 603:.\Generated_Source\PSoC5/cyPm.c **** CyPmFtwSetInterval(PM_ALT_ACT_FTW_INTERVAL(wakeupTime)); + 604:.\Generated_Source\PSoC5/cyPm.c **** + 605:.\Generated_Source\PSoC5/cyPm.c **** /* Include associated timer to the wakeupSource */ + 606:.\Generated_Source\PSoC5/cyPm.c **** wakeupSource |= PM_ALT_ACT_SRC_FTW; + 607:.\Generated_Source\PSoC5/cyPm.c **** } + 608:.\Generated_Source\PSoC5/cyPm.c **** + 609:.\Generated_Source\PSoC5/cyPm.c **** /* CTW - save current and set new configuration */ + 610:.\Generated_Source\PSoC5/cyPm.c **** if((wakeupTime >= PM_ALT_ACT_TIME_CTW_2MS) && (wakeupTime <= PM_ALT_ACT_TIME_CTW_4096MS)) + 611:.\Generated_Source\PSoC5/cyPm.c **** { + 612:.\Generated_Source\PSoC5/cyPm.c **** /* Save current CTW configuration and set new one */ + 613:.\Generated_Source\PSoC5/cyPm.c **** CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + 614:.\Generated_Source\PSoC5/cyPm.c **** + 615:.\Generated_Source\PSoC5/cyPm.c **** /* Include associated timer to the wakeupSource */ + 616:.\Generated_Source\PSoC5/cyPm.c **** wakeupSource |= PM_ALT_ACT_SRC_CTW; + 617:.\Generated_Source\PSoC5/cyPm.c **** } + 618:.\Generated_Source\PSoC5/cyPm.c **** + 619:.\Generated_Source\PSoC5/cyPm.c **** /* 1PPS - save current and set new configuration */ + 620:.\Generated_Source\PSoC5/cyPm.c **** if(PM_ALT_ACT_TIME_ONE_PPS == wakeupTime) + 621:.\Generated_Source\PSoC5/cyPm.c **** { + 622:.\Generated_Source\PSoC5/cyPm.c **** /* Save current 1PPS configuration and set new one */ + 623:.\Generated_Source\PSoC5/cyPm.c **** CyPmOppsSet(); + 624:.\Generated_Source\PSoC5/cyPm.c **** + 625:.\Generated_Source\PSoC5/cyPm.c **** /* Include associated timer to the wakeupSource */ + 626:.\Generated_Source\PSoC5/cyPm.c **** wakeupSource |= PM_ALT_ACT_SRC_ONE_PPS; + 627:.\Generated_Source\PSoC5/cyPm.c **** } + 628:.\Generated_Source\PSoC5/cyPm.c **** + 629:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC3) */ + 630:.\Generated_Source\PSoC5/cyPm.c **** + 631:.\Generated_Source\PSoC5/cyPm.c **** + 632:.\Generated_Source\PSoC5/cyPm.c **** /* Save and set new wake up configuration */ + 633:.\Generated_Source\PSoC5/cyPm.c **** + 634:.\Generated_Source\PSoC5/cyPm.c **** /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + 635:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 636:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + 637:.\Generated_Source\PSoC5/cyPm.c **** + 638:.\Generated_Source\PSoC5/cyPm.c **** /* Comparators */ + 639:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 640:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + 641:.\Generated_Source\PSoC5/cyPm.c **** + 642:.\Generated_Source\PSoC5/cyPm.c **** /* LCD */ + 643:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 644:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + 645:.\Generated_Source\PSoC5/cyPm.c **** + 646:.\Generated_Source\PSoC5/cyPm.c **** + 647:.\Generated_Source\PSoC5/cyPm.c **** /* Switch to the Alternate Active mode */ + 648:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_A + 649:.\Generated_Source\PSoC5/cyPm.c **** + 650:.\Generated_Source\PSoC5/cyPm.c **** /* Recommended readback. */ + 651:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_MODE_CSR_REG; + 652:.\Generated_Source\PSoC5/cyPm.c **** + 653:.\Generated_Source\PSoC5/cyPm.c **** /* Two recommended NOPs to get into the mode. */ + 654:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 655:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 656:.\Generated_Source\PSoC5/cyPm.c **** + 657:.\Generated_Source\PSoC5/cyPm.c **** /* Execute WFI instruction (for ARM-based devices only) */ + 658:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WFI; + 659:.\Generated_Source\PSoC5/cyPm.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 13 + + + 660:.\Generated_Source\PSoC5/cyPm.c **** /* Point of return from Alternate Active Mode */ + 661:.\Generated_Source\PSoC5/cyPm.c **** + 662:.\Generated_Source\PSoC5/cyPm.c **** /* Restore wake up configuration */ + 663:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + 664:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + 665:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + 666:.\Generated_Source\PSoC5/cyPm.c **** } + 667:.\Generated_Source\PSoC5/cyPm.c **** + 668:.\Generated_Source\PSoC5/cyPm.c **** + 669:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* + 670:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmSleep + 671:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** + 672:.\Generated_Source\PSoC5/cyPm.c **** * + 673:.\Generated_Source\PSoC5/cyPm.c **** * Summary: + 674:.\Generated_Source\PSoC5/cyPm.c **** * Puts the part into the Sleep state. + 675:.\Generated_Source\PSoC5/cyPm.c **** * + 676:.\Generated_Source\PSoC5/cyPm.c **** * Note Before calling this function, you must manually configure the power + 677:.\Generated_Source\PSoC5/cyPm.c **** * mode of the source clocks for the timer that is used as wakeup timer. + 678:.\Generated_Source\PSoC5/cyPm.c **** * + 679:.\Generated_Source\PSoC5/cyPm.c **** * Note Before calling this function, you must prepare clock tree configuration + 680:.\Generated_Source\PSoC5/cyPm.c **** * for the low power mode by calling CyPmSaveClocks(). And restore clock + 681:.\Generated_Source\PSoC5/cyPm.c **** * configuration after CyPmSleep() execution by calling CyPmRestoreClocks(). See + 682:.\Generated_Source\PSoC5/cyPm.c **** * Power Management section, Clock Configuration subsection of the System + 683:.\Generated_Source\PSoC5/cyPm.c **** * Reference Guide for more information. + 684:.\Generated_Source\PSoC5/cyPm.c **** * + 685:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 3: + 686:.\Generated_Source\PSoC5/cyPm.c **** * Before switching to Sleep, if a wakeupTime other than NONE is specified, + 687:.\Generated_Source\PSoC5/cyPm.c **** * then the appropriate timer state is configured as specified with the + 688:.\Generated_Source\PSoC5/cyPm.c **** * interrupt for that timer disabled. The wakeup source will be the combination + 689:.\Generated_Source\PSoC5/cyPm.c **** * of the values specified in the wakeupSource and any timer specified in the + 690:.\Generated_Source\PSoC5/cyPm.c **** * wakeupTime argument. Once the wakeup condition is satisfied, then all saved + 691:.\Generated_Source\PSoC5/cyPm.c **** * state is restored and the function returns in the Active state. + 692:.\Generated_Source\PSoC5/cyPm.c **** * + 693:.\Generated_Source\PSoC5/cyPm.c **** * Note that if the wakeupTime is made with a different value, the period before + 694:.\Generated_Source\PSoC5/cyPm.c **** * the wakeup occurs can be significantly shorter than the specified time. If + 695:.\Generated_Source\PSoC5/cyPm.c **** * the next call is made with the same wakeupTime value, then the wakeup will + 696:.\Generated_Source\PSoC5/cyPm.c **** * occur the specified period after the previous wakeup occurred. + 697:.\Generated_Source\PSoC5/cyPm.c **** * + 698:.\Generated_Source\PSoC5/cyPm.c **** * If a wakeupTime other than NONE is specified, then upon exit the state of the + 699:.\Generated_Source\PSoC5/cyPm.c **** * specified timer will be left as specified by wakeupTime with the timer + 700:.\Generated_Source\PSoC5/cyPm.c **** * enabled and the interrupt disabled. If the CTW or One PPS is already + 701:.\Generated_Source\PSoC5/cyPm.c **** * configured for wakeup, for example with the SleepTimer or RTC components, + 702:.\Generated_Source\PSoC5/cyPm.c **** * then specify NONE for the wakeupTime and include the appropriate source for + 703:.\Generated_Source\PSoC5/cyPm.c **** * wakeupSource. + 704:.\Generated_Source\PSoC5/cyPm.c **** * + 705:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 5LP: + 706:.\Generated_Source\PSoC5/cyPm.c **** * The wakeupTime parameter is not used and the only NONE can be specified. + 707:.\Generated_Source\PSoC5/cyPm.c **** * The wakeup time must be configured with the component, SleepTimer for CTW + 708:.\Generated_Source\PSoC5/cyPm.c **** * intervals and RTC for 1PPS interval. The component must be configured to + 709:.\Generated_Source\PSoC5/cyPm.c **** * generate an interrrupt. + 710:.\Generated_Source\PSoC5/cyPm.c **** * + 711:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: + 712:.\Generated_Source\PSoC5/cyPm.c **** * wakeupTime: Specifies a timer wakeup source and the frequency of that + 713:.\Generated_Source\PSoC5/cyPm.c **** * source. For PSoC 5LP, this parameter is ignored. + 714:.\Generated_Source\PSoC5/cyPm.c **** * + 715:.\Generated_Source\PSoC5/cyPm.c **** * Define Time + 716:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_NONE None + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 14 + + + 717:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_ONE_PPS One PPS: 1 second + 718:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_2MS CTW: 2 ms + 719:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_4MS CTW: 4 ms + 720:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_8MS CTW: 8 ms + 721:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_16MS CTW: 16 ms + 722:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_32MS CTW: 32 ms + 723:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_64MS CTW: 64 ms + 724:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_128MS CTW: 128 ms + 725:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_256MS CTW: 256 ms + 726:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_512MS CTW: 512 ms + 727:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_1024MS CTW: 1024 ms + 728:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_2048MS CTW: 2048 ms + 729:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_TIME_CTW_4096MS CTW: 4096 ms + 730:.\Generated_Source\PSoC5/cyPm.c **** * + 731:.\Generated_Source\PSoC5/cyPm.c **** * wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if + 732:.\Generated_Source\PSoC5/cyPm.c **** * a wakeupTime has been specified the associated timer will be + 733:.\Generated_Source\PSoC5/cyPm.c **** * included as a wakeup source. + 734:.\Generated_Source\PSoC5/cyPm.c **** * + 735:.\Generated_Source\PSoC5/cyPm.c **** * Define Source + 736:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_NONE None + 737:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_COMPARATOR0 Comparator 0 + 738:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_COMPARATOR1 Comparator 1 + 739:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_COMPARATOR2 Comparator 2 + 740:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_COMPARATOR3 Comparator 3 + 741:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_PICU PICU + 742:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_I2C I2C + 743:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_BOOSTCONVERTER Boost Converter + 744:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_VD High and Low Voltage Detection (HVI, LVI) + 745:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_CTW Central Timewheel* + 746:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_ONE_PPS One PPS* + 747:.\Generated_Source\PSoC5/cyPm.c **** * PM_SLEEP_SRC_LCD LCD + 748:.\Generated_Source\PSoC5/cyPm.c **** * + 749:.\Generated_Source\PSoC5/cyPm.c **** * *Note: CTW and One PPS wakeup signals are in the same mask bit. + 750:.\Generated_Source\PSoC5/cyPm.c **** * + 751:.\Generated_Source\PSoC5/cyPm.c **** * When specifying a Comparator as the wakeupSource an instance specific define + 752:.\Generated_Source\PSoC5/cyPm.c **** * should be used that will track with the specific comparator that the instance + 753:.\Generated_Source\PSoC5/cyPm.c **** * is placed into. As an example for a Comparator instance named MyComp the + 754:.\Generated_Source\PSoC5/cyPm.c **** * value to OR into the mask is: MyComp_ctComp__CMP_MASK. + 755:.\Generated_Source\PSoC5/cyPm.c **** * + 756:.\Generated_Source\PSoC5/cyPm.c **** * When CTW or One PPS is used as a wakeup source, the CyPmReadStatus() + 757:.\Generated_Source\PSoC5/cyPm.c **** * function must be called upon wakeup with corresponding parameter. Please + 758:.\Generated_Source\PSoC5/cyPm.c **** * refer to the CyPmReadStatus() API in the System Reference Guide for more + 759:.\Generated_Source\PSoC5/cyPm.c **** * information. + 760:.\Generated_Source\PSoC5/cyPm.c **** * + 761:.\Generated_Source\PSoC5/cyPm.c **** * Return: + 762:.\Generated_Source\PSoC5/cyPm.c **** * None + 763:.\Generated_Source\PSoC5/cyPm.c **** * + 764:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: + 765:.\Generated_Source\PSoC5/cyPm.c **** * No + 766:.\Generated_Source\PSoC5/cyPm.c **** * + 767:.\Generated_Source\PSoC5/cyPm.c **** * Side Effects and Restrictions: + 768:.\Generated_Source\PSoC5/cyPm.c **** * If a wakeupTime other than NONE is specified, then upon exit the state of the + 769:.\Generated_Source\PSoC5/cyPm.c **** * specified timer will be left as specified by wakeupTime with the timer + 770:.\Generated_Source\PSoC5/cyPm.c **** * enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is + 771:.\Generated_Source\PSoC5/cyPm.c **** * used as wake up time) will be left started. + 772:.\Generated_Source\PSoC5/cyPm.c **** * + 773:.\Generated_Source\PSoC5/cyPm.c **** * The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 15 + + + 774:.\Generated_Source\PSoC5/cyPm.c **** * measure Hibernate/Sleep regulator settling time after a reset. The holdoff + 775:.\Generated_Source\PSoC5/cyPm.c **** * delay is measured using rising edges of the 1 kHz ILO. + 776:.\Generated_Source\PSoC5/cyPm.c **** * + 777:.\Generated_Source\PSoC5/cyPm.c **** * For PSoC 3 silicon hardware buzz should be disabled before entering a sleep + 778:.\Generated_Source\PSoC5/cyPm.c **** * power mode. It is disabled by PSoC Creator during startup. + 779:.\Generated_Source\PSoC5/cyPm.c **** * If a Low Voltage Interrupt (LVI), High Voltage Interrupt (HVI) or Brown Out + 780:.\Generated_Source\PSoC5/cyPm.c **** * detect (power supply supervising capabilities) are required in a design + 781:.\Generated_Source\PSoC5/cyPm.c **** * during sleep, use the Central Time Wheel (CTW) to periodically wake the + 782:.\Generated_Source\PSoC5/cyPm.c **** * device, perform software buzz, and refresh the supervisory services. If LVI, + 783:.\Generated_Source\PSoC5/cyPm.c **** * HVI, or Brown Out is not required, then use of the CTW is not required. + 784:.\Generated_Source\PSoC5/cyPm.c **** * Refer to the device errata for more information. + 785:.\Generated_Source\PSoC5/cyPm.c **** * + 786:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + 787:.\Generated_Source\PSoC5/cyPm.c **** void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) + 788:.\Generated_Source\PSoC5/cyPm.c **** { + 789:.\Generated_Source\PSoC5/cyPm.c **** uint8 interruptState; + 790:.\Generated_Source\PSoC5/cyPm.c **** + 791:.\Generated_Source\PSoC5/cyPm.c **** /* Save current global interrupt enable and disable it */ + 792:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); + 793:.\Generated_Source\PSoC5/cyPm.c **** + 794:.\Generated_Source\PSoC5/cyPm.c **** + 795:.\Generated_Source\PSoC5/cyPm.c **** /*********************************************************************** + 796:.\Generated_Source\PSoC5/cyPm.c **** * The Hibernate/Sleep regulator has a settling time after a reset. + 797:.\Generated_Source\PSoC5/cyPm.c **** * During this time, the system ignores requests to enter Sleep and + 798:.\Generated_Source\PSoC5/cyPm.c **** * Hibernate modes. The holdoff delay is measured using rising edges of + 799:.\Generated_Source\PSoC5/cyPm.c **** * the 1 kHz ILO. + 800:.\Generated_Source\PSoC5/cyPm.c **** ***********************************************************************/ + 801:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + 802:.\Generated_Source\PSoC5/cyPm.c **** { + 803:.\Generated_Source\PSoC5/cyPm.c **** /* Disable hold off - no action on restore */ + 804:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + 805:.\Generated_Source\PSoC5/cyPm.c **** } + 806:.\Generated_Source\PSoC5/cyPm.c **** else + 807:.\Generated_Source\PSoC5/cyPm.c **** { + 808:.\Generated_Source\PSoC5/cyPm.c **** /* Abort, device is not ready for low power mode entry */ + 809:.\Generated_Source\PSoC5/cyPm.c **** + 810:.\Generated_Source\PSoC5/cyPm.c **** /* Restore global interrupt enable state */ + 811:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); + 812:.\Generated_Source\PSoC5/cyPm.c **** + 813:.\Generated_Source\PSoC5/cyPm.c **** return; + 814:.\Generated_Source\PSoC5/cyPm.c **** } + 815:.\Generated_Source\PSoC5/cyPm.c **** + 816:.\Generated_Source\PSoC5/cyPm.c **** + 817:.\Generated_Source\PSoC5/cyPm.c **** /*********************************************************************** + 818:.\Generated_Source\PSoC5/cyPm.c **** * PSoC3 < TO6: + 819:.\Generated_Source\PSoC5/cyPm.c **** * - Hardware buzz must be disabled before sleep mode entry. + 820:.\Generated_Source\PSoC5/cyPm.c **** * - Voltage supervision (HVI/LVI) requires hardware buzz, so they must + 821:.\Generated_Source\PSoC5/cyPm.c **** * be aslo disabled. + 822:.\Generated_Source\PSoC5/cyPm.c **** * + 823:.\Generated_Source\PSoC5/cyPm.c **** * PSoC3 >= TO6: + 824:.\Generated_Source\PSoC5/cyPm.c **** * - Voltage supervision (HVI/LVI) requires hardware buzz, so hardware buzz must be + 825:.\Generated_Source\PSoC5/cyPm.c **** * enabled before sleep mode entry and restored on wakeup. + 826:.\Generated_Source\PSoC5/cyPm.c **** ***********************************************************************/ + 827:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC3) + 828:.\Generated_Source\PSoC5/cyPm.c **** + 829:.\Generated_Source\PSoC5/cyPm.c **** /* Silicon Revision ID is below TO6 */ + 830:.\Generated_Source\PSoC5/cyPm.c **** if(CYDEV_CHIP_REV_ACTUAL < 5u) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 16 + + + 831:.\Generated_Source\PSoC5/cyPm.c **** { + 832:.\Generated_Source\PSoC5/cyPm.c **** /* Hardware buzz expected to be disabled in Sleep mode */ + 833:.\Generated_Source\PSoC5/cyPm.c **** CYASSERT(0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)); + 834:.\Generated_Source\PSoC5/cyPm.c **** } + 835:.\Generated_Source\PSoC5/cyPm.c **** + 836:.\Generated_Source\PSoC5/cyPm.c **** + 837:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + 838:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + 839:.\Generated_Source\PSoC5/cyPm.c **** { + 840:.\Generated_Source\PSoC5/cyPm.c **** if(CYDEV_CHIP_REV_ACTUAL < 5u) + 841:.\Generated_Source\PSoC5/cyPm.c **** { + 842:.\Generated_Source\PSoC5/cyPm.c **** /* LVI/HVI requires hardware buzz to be enabled */ + 843:.\Generated_Source\PSoC5/cyPm.c **** CYASSERT(0u != 0u); + 844:.\Generated_Source\PSoC5/cyPm.c **** } + 845:.\Generated_Source\PSoC5/cyPm.c **** else + 846:.\Generated_Source\PSoC5/cyPm.c **** { + 847:.\Generated_Source\PSoC5/cyPm.c **** if (0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)) + 848:.\Generated_Source\PSoC5/cyPm.c **** { + 849:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.hardwareBuzz = CY_PM_DISABLED; + 850:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR2_REG |= CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ; + 851:.\Generated_Source\PSoC5/cyPm.c **** } + 852:.\Generated_Source\PSoC5/cyPm.c **** else + 853:.\Generated_Source\PSoC5/cyPm.c **** { + 854:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.hardwareBuzz = CY_PM_ENABLED; + 855:.\Generated_Source\PSoC5/cyPm.c **** } + 856:.\Generated_Source\PSoC5/cyPm.c **** } + 857:.\Generated_Source\PSoC5/cyPm.c **** } + 858:.\Generated_Source\PSoC5/cyPm.c **** + 859:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC3) */ + 860:.\Generated_Source\PSoC5/cyPm.c **** + 861:.\Generated_Source\PSoC5/cyPm.c **** + 862:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* + 863:.\Generated_Source\PSoC5/cyPm.c **** * For ARM-based devices, an interrupt is required for the CPU to wake up. The + 864:.\Generated_Source\PSoC5/cyPm.c **** * Power Management implementation assumes that wakeup time is configured with a + 865:.\Generated_Source\PSoC5/cyPm.c **** * separate component (component-based wakeup time configuration) for an + 866:.\Generated_Source\PSoC5/cyPm.c **** * interrupt to be issued on terminal count. For more information, refer to the + 867:.\Generated_Source\PSoC5/cyPm.c **** * Wakeup Time Configuration section of System Reference Guide. + 868:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + 869:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC5) + 870:.\Generated_Source\PSoC5/cyPm.c **** + 871:.\Generated_Source\PSoC5/cyPm.c **** /* Arguments expected to be 0 */ + 872:.\Generated_Source\PSoC5/cyPm.c **** CYASSERT(PM_SLEEP_TIME_NONE == wakeupTime); + 873:.\Generated_Source\PSoC5/cyPm.c **** + 874:.\Generated_Source\PSoC5/cyPm.c **** if(0u != wakeupTime) + 875:.\Generated_Source\PSoC5/cyPm.c **** { + 876:.\Generated_Source\PSoC5/cyPm.c **** /* To remove unreferenced local variable warning */ + 877:.\Generated_Source\PSoC5/cyPm.c **** } + 878:.\Generated_Source\PSoC5/cyPm.c **** + 879:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC5) */ + 880:.\Generated_Source\PSoC5/cyPm.c **** + 881:.\Generated_Source\PSoC5/cyPm.c **** + 882:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpSaveSet(); + 883:.\Generated_Source\PSoC5/cyPm.c **** + 884:.\Generated_Source\PSoC5/cyPm.c **** + 885:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC3) + 886:.\Generated_Source\PSoC5/cyPm.c **** + 887:.\Generated_Source\PSoC5/cyPm.c **** /* CTW - save current and set new configuration */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 17 + + + 888:.\Generated_Source\PSoC5/cyPm.c **** if((wakeupTime >= PM_SLEEP_TIME_CTW_2MS) && (wakeupTime <= PM_SLEEP_TIME_CTW_4096MS)) + 889:.\Generated_Source\PSoC5/cyPm.c **** { + 890:.\Generated_Source\PSoC5/cyPm.c **** /* Save current and set new configuration of the CTW */ + 891:.\Generated_Source\PSoC5/cyPm.c **** CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + 892:.\Generated_Source\PSoC5/cyPm.c **** + 893:.\Generated_Source\PSoC5/cyPm.c **** /* Include associated timer to the wakeupSource */ + 894:.\Generated_Source\PSoC5/cyPm.c **** wakeupSource |= PM_SLEEP_SRC_CTW; + 895:.\Generated_Source\PSoC5/cyPm.c **** } + 896:.\Generated_Source\PSoC5/cyPm.c **** + 897:.\Generated_Source\PSoC5/cyPm.c **** /* 1PPS - save current and set new configuration */ + 898:.\Generated_Source\PSoC5/cyPm.c **** if(PM_SLEEP_TIME_ONE_PPS == wakeupTime) + 899:.\Generated_Source\PSoC5/cyPm.c **** { + 900:.\Generated_Source\PSoC5/cyPm.c **** /* Save current and set new configuration of the 1PPS */ + 901:.\Generated_Source\PSoC5/cyPm.c **** CyPmOppsSet(); + 902:.\Generated_Source\PSoC5/cyPm.c **** + 903:.\Generated_Source\PSoC5/cyPm.c **** /* Include associated timer to the wakeupSource */ + 904:.\Generated_Source\PSoC5/cyPm.c **** wakeupSource |= PM_SLEEP_SRC_ONE_PPS; + 905:.\Generated_Source\PSoC5/cyPm.c **** } + 906:.\Generated_Source\PSoC5/cyPm.c **** + 907:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC3) */ + 908:.\Generated_Source\PSoC5/cyPm.c **** + 909:.\Generated_Source\PSoC5/cyPm.c **** + 910:.\Generated_Source\PSoC5/cyPm.c **** /* Save and set new wake up configuration */ + 911:.\Generated_Source\PSoC5/cyPm.c **** + 912:.\Generated_Source\PSoC5/cyPm.c **** /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + 913:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 914:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + 915:.\Generated_Source\PSoC5/cyPm.c **** + 916:.\Generated_Source\PSoC5/cyPm.c **** /* Comparators */ + 917:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 918:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + 919:.\Generated_Source\PSoC5/cyPm.c **** + 920:.\Generated_Source\PSoC5/cyPm.c **** /* LCD */ + 921:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 922:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + 923:.\Generated_Source\PSoC5/cyPm.c **** + 924:.\Generated_Source\PSoC5/cyPm.c **** + 925:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************* + 926:.\Generated_Source\PSoC5/cyPm.c **** * Do not use merge region below unless any component datasheet + 927:.\Generated_Source\PSoC5/cyPm.c **** * suggest to do so. + 928:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************/ + 929:.\Generated_Source\PSoC5/cyPm.c **** /* `#START CY_PM_JUST_BEFORE_SLEEP` */ + 930:.\Generated_Source\PSoC5/cyPm.c **** + 931:.\Generated_Source\PSoC5/cyPm.c **** /* `#END` */ + 932:.\Generated_Source\PSoC5/cyPm.c **** + 933:.\Generated_Source\PSoC5/cyPm.c **** + 934:.\Generated_Source\PSoC5/cyPm.c **** /* Last moment IMO frequency change */ + 935:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + 936:.\Generated_Source\PSoC5/cyPm.c **** { + 937:.\Generated_Source\PSoC5/cyPm.c **** /* IMO frequency is 12 MHz */ + 938:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + 939:.\Generated_Source\PSoC5/cyPm.c **** } + 940:.\Generated_Source\PSoC5/cyPm.c **** else + 941:.\Generated_Source\PSoC5/cyPm.c **** { + 942:.\Generated_Source\PSoC5/cyPm.c **** /* IMO frequency is not 12 MHz */ + 943:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + 944:.\Generated_Source\PSoC5/cyPm.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 18 + + + 945:.\Generated_Source\PSoC5/cyPm.c **** /* Save IMO frequency */ + 946:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + 947:.\Generated_Source\PSoC5/cyPm.c **** + 948:.\Generated_Source\PSoC5/cyPm.c **** /* Set IMO frequency to 12 MHz */ + 949:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + 950:.\Generated_Source\PSoC5/cyPm.c **** } + 951:.\Generated_Source\PSoC5/cyPm.c **** + 952:.\Generated_Source\PSoC5/cyPm.c **** /* Switch to the Sleep mode */ + 953:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_S + 954:.\Generated_Source\PSoC5/cyPm.c **** + 955:.\Generated_Source\PSoC5/cyPm.c **** /* Recommended readback. */ + 956:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_MODE_CSR_REG; + 957:.\Generated_Source\PSoC5/cyPm.c **** + 958:.\Generated_Source\PSoC5/cyPm.c **** /* Two recommended NOPs to get into the mode. */ + 959:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 960:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 961:.\Generated_Source\PSoC5/cyPm.c **** + 962:.\Generated_Source\PSoC5/cyPm.c **** /* Execute WFI instruction (for ARM-based devices only) */ + 963:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WFI; + 964:.\Generated_Source\PSoC5/cyPm.c **** + 965:.\Generated_Source\PSoC5/cyPm.c **** /* Point of return from Sleep Mode */ + 966:.\Generated_Source\PSoC5/cyPm.c **** + 967:.\Generated_Source\PSoC5/cyPm.c **** /* Restore last moment IMO frequency change */ + 968:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + 969:.\Generated_Source\PSoC5/cyPm.c **** { + 970:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ + 971:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq; + 972:.\Generated_Source\PSoC5/cyPm.c **** } + 973:.\Generated_Source\PSoC5/cyPm.c **** + 974:.\Generated_Source\PSoC5/cyPm.c **** + 975:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************* + 976:.\Generated_Source\PSoC5/cyPm.c **** * Do not use merge region below unless any component datasheet + 977:.\Generated_Source\PSoC5/cyPm.c **** * suggest to do so. + 978:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************/ + 979:.\Generated_Source\PSoC5/cyPm.c **** /* `#START CY_PM_JUST_AFTER_WAKEUP_FROM_SLEEP` */ + 980:.\Generated_Source\PSoC5/cyPm.c **** + 981:.\Generated_Source\PSoC5/cyPm.c **** /* `#END` */ + 982:.\Generated_Source\PSoC5/cyPm.c **** + 983:.\Generated_Source\PSoC5/cyPm.c **** + 984:.\Generated_Source\PSoC5/cyPm.c **** /* Restore hardware configuration */ + 985:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpRestore(); + 986:.\Generated_Source\PSoC5/cyPm.c **** + 987:.\Generated_Source\PSoC5/cyPm.c **** + 988:.\Generated_Source\PSoC5/cyPm.c **** /* Disable hardware buzz, if it was previously enabled */ + 989:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC3) + 990:.\Generated_Source\PSoC5/cyPm.c **** + 991:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + 992:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + 993:.\Generated_Source\PSoC5/cyPm.c **** { + 994:.\Generated_Source\PSoC5/cyPm.c **** if(CYDEV_CHIP_REV_ACTUAL >= 5u) + 995:.\Generated_Source\PSoC5/cyPm.c **** { + 996:.\Generated_Source\PSoC5/cyPm.c **** if (CY_PM_DISABLED == cyPmBackup.hardwareBuzz) + 997:.\Generated_Source\PSoC5/cyPm.c **** { + 998:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR2_REG &= (uint8)(~CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ); + 999:.\Generated_Source\PSoC5/cyPm.c **** } +1000:.\Generated_Source\PSoC5/cyPm.c **** } +1001:.\Generated_Source\PSoC5/cyPm.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 19 + + +1002:.\Generated_Source\PSoC5/cyPm.c **** +1003:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC3) */ +1004:.\Generated_Source\PSoC5/cyPm.c **** +1005:.\Generated_Source\PSoC5/cyPm.c **** +1006:.\Generated_Source\PSoC5/cyPm.c **** /* Restore current wake up configuration */ +1007:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; +1008:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; +1009:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; +1010:.\Generated_Source\PSoC5/cyPm.c **** +1011:.\Generated_Source\PSoC5/cyPm.c **** /* Restore global interrupt enable state */ +1012:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); +1013:.\Generated_Source\PSoC5/cyPm.c **** } +1014:.\Generated_Source\PSoC5/cyPm.c **** +1015:.\Generated_Source\PSoC5/cyPm.c **** +1016:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1017:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHibernate +1018:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1019:.\Generated_Source\PSoC5/cyPm.c **** * +1020:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1021:.\Generated_Source\PSoC5/cyPm.c **** * Puts the part into the Hibernate state. +1022:.\Generated_Source\PSoC5/cyPm.c **** * +1023:.\Generated_Source\PSoC5/cyPm.c **** * PSoC 3 and PSoC 5LP: +1024:.\Generated_Source\PSoC5/cyPm.c **** * Before switching to Hibernate, the current status of the PICU wakeup source +1025:.\Generated_Source\PSoC5/cyPm.c **** * bit is saved and then set. This configures the device to wake up from the +1026:.\Generated_Source\PSoC5/cyPm.c **** * PICU. Make sure you have at least one pin configured to generate a PICU +1027:.\Generated_Source\PSoC5/cyPm.c **** * interrupt. For pin Px.y, the register "PICU_INTTYPE_PICUx_INTTYPEy" controls +1028:.\Generated_Source\PSoC5/cyPm.c **** * the PICU behavior. In the TRM, this register is "PICU[0..15]_INTTYPE[0..7]." +1029:.\Generated_Source\PSoC5/cyPm.c **** * In the Pins component datasheet, this register is referred to as the IRQ +1030:.\Generated_Source\PSoC5/cyPm.c **** * option. Once the wakeup occurs, the PICU wakeup source bit is restored and +1031:.\Generated_Source\PSoC5/cyPm.c **** * the PSoC returns to the Active state. +1032:.\Generated_Source\PSoC5/cyPm.c **** * +1033:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1034:.\Generated_Source\PSoC5/cyPm.c **** * None +1035:.\Generated_Source\PSoC5/cyPm.c **** * +1036:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1037:.\Generated_Source\PSoC5/cyPm.c **** * None +1038:.\Generated_Source\PSoC5/cyPm.c **** * +1039:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: +1040:.\Generated_Source\PSoC5/cyPm.c **** * No +1041:.\Generated_Source\PSoC5/cyPm.c **** * +1042:.\Generated_Source\PSoC5/cyPm.c **** * Side Effects: +1043:.\Generated_Source\PSoC5/cyPm.c **** * Applications must wait 20 us before re-entering hibernate or sleep after +1044:.\Generated_Source\PSoC5/cyPm.c **** * waking up from hibernate. The 20 us allows the sleep regulator time to +1045:.\Generated_Source\PSoC5/cyPm.c **** * stabilize before the next hibernate / sleep event occurs. The 20 us +1046:.\Generated_Source\PSoC5/cyPm.c **** * requirement begins when the device wakes up. There is no hardware check that +1047:.\Generated_Source\PSoC5/cyPm.c **** * this requirement is met. The specified delay should be done on ISR entry. +1048:.\Generated_Source\PSoC5/cyPm.c **** * +1049:.\Generated_Source\PSoC5/cyPm.c **** * After wakeup PICU interrupt occurs, the Pin_ClearInterrupt() (where Pin is +1050:.\Generated_Source\PSoC5/cyPm.c **** * instance name of the Pins component) function must be called to clear the +1051:.\Generated_Source\PSoC5/cyPm.c **** * latched pin events to allow proper Hibernate mode entry andd to enable +1052:.\Generated_Source\PSoC5/cyPm.c **** * detection of future events. +1053:.\Generated_Source\PSoC5/cyPm.c **** * +1054:.\Generated_Source\PSoC5/cyPm.c **** * The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +1055:.\Generated_Source\PSoC5/cyPm.c **** * measure Hibernate/Sleep regulator settling time after a reset. The holdoff +1056:.\Generated_Source\PSoC5/cyPm.c **** * delay is measured using rising edges of the 1 kHz ILO. +1057:.\Generated_Source\PSoC5/cyPm.c **** * +1058:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 20 + + +1059:.\Generated_Source\PSoC5/cyPm.c **** void CyPmHibernate(void) +1060:.\Generated_Source\PSoC5/cyPm.c **** { +1061:.\Generated_Source\PSoC5/cyPm.c **** uint8 interruptState; +1062:.\Generated_Source\PSoC5/cyPm.c **** +1063:.\Generated_Source\PSoC5/cyPm.c **** /* Save current global interrupt enable and disable it */ +1064:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); +1065:.\Generated_Source\PSoC5/cyPm.c **** +1066:.\Generated_Source\PSoC5/cyPm.c **** /*********************************************************************** +1067:.\Generated_Source\PSoC5/cyPm.c **** * The Hibernate/Sleep regulator has a settling time after a reset. +1068:.\Generated_Source\PSoC5/cyPm.c **** * During this time, the system ignores requests to enter Sleep and +1069:.\Generated_Source\PSoC5/cyPm.c **** * Hibernate modes. The holdoff delay is measured using rising edges of +1070:.\Generated_Source\PSoC5/cyPm.c **** * the 1 kHz ILO. +1071:.\Generated_Source\PSoC5/cyPm.c **** ***********************************************************************/ +1072:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) +1073:.\Generated_Source\PSoC5/cyPm.c **** { +1074:.\Generated_Source\PSoC5/cyPm.c **** /* Disable hold off - no action on restore */ +1075:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; +1076:.\Generated_Source\PSoC5/cyPm.c **** } +1077:.\Generated_Source\PSoC5/cyPm.c **** else +1078:.\Generated_Source\PSoC5/cyPm.c **** { +1079:.\Generated_Source\PSoC5/cyPm.c **** /* Abort, device is not ready for low power mode entry */ +1080:.\Generated_Source\PSoC5/cyPm.c **** +1081:.\Generated_Source\PSoC5/cyPm.c **** /* Restore global interrupt enable state */ +1082:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); +1083:.\Generated_Source\PSoC5/cyPm.c **** +1084:.\Generated_Source\PSoC5/cyPm.c **** return; +1085:.\Generated_Source\PSoC5/cyPm.c **** } +1086:.\Generated_Source\PSoC5/cyPm.c **** +1087:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSaveSet(); +1088:.\Generated_Source\PSoC5/cyPm.c **** +1089:.\Generated_Source\PSoC5/cyPm.c **** +1090:.\Generated_Source\PSoC5/cyPm.c **** /* Save and enable only wakeup on PICU */ +1091:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; +1092:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = CY_PM_WAKEUP_PICU; +1093:.\Generated_Source\PSoC5/cyPm.c **** +1094:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; +1095:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = 0x00u; +1096:.\Generated_Source\PSoC5/cyPm.c **** +1097:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; +1098:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = 0x00u; +1099:.\Generated_Source\PSoC5/cyPm.c **** +1100:.\Generated_Source\PSoC5/cyPm.c **** +1101:.\Generated_Source\PSoC5/cyPm.c **** /* Last moment IMO frequency change */ +1102:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) +1103:.\Generated_Source\PSoC5/cyPm.c **** { +1104:.\Generated_Source\PSoC5/cyPm.c **** /* IMO frequency is 12 MHz */ +1105:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; +1106:.\Generated_Source\PSoC5/cyPm.c **** } +1107:.\Generated_Source\PSoC5/cyPm.c **** else +1108:.\Generated_Source\PSoC5/cyPm.c **** { +1109:.\Generated_Source\PSoC5/cyPm.c **** /* IMO frequency is not 12 MHz */ +1110:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; +1111:.\Generated_Source\PSoC5/cyPm.c **** +1112:.\Generated_Source\PSoC5/cyPm.c **** /* Save IMO frequency */ +1113:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; +1114:.\Generated_Source\PSoC5/cyPm.c **** +1115:.\Generated_Source\PSoC5/cyPm.c **** /* Set IMO frequency to 12 MHz */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 21 + + +1116:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); +1117:.\Generated_Source\PSoC5/cyPm.c **** } +1118:.\Generated_Source\PSoC5/cyPm.c **** +1119:.\Generated_Source\PSoC5/cyPm.c **** +1120:.\Generated_Source\PSoC5/cyPm.c **** /* Switch to Hibernate Mode */ +1121:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MODE_CSR_REG = (CY_PM_MODE_CSR_REG & ((uint8) (~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_H +1122:.\Generated_Source\PSoC5/cyPm.c **** +1123:.\Generated_Source\PSoC5/cyPm.c **** /* Recommended readback. */ +1124:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_MODE_CSR_REG; +1125:.\Generated_Source\PSoC5/cyPm.c **** +1126:.\Generated_Source\PSoC5/cyPm.c **** /* Two recommended NOPs to get into the mode. */ +1127:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; +1128:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; +1129:.\Generated_Source\PSoC5/cyPm.c **** +1130:.\Generated_Source\PSoC5/cyPm.c **** /* Execute WFI instruction (for ARM-based devices only) */ +1131:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WFI; +1132:.\Generated_Source\PSoC5/cyPm.c **** +1133:.\Generated_Source\PSoC5/cyPm.c **** +1134:.\Generated_Source\PSoC5/cyPm.c **** /* Point of return from Hibernate mode */ +1135:.\Generated_Source\PSoC5/cyPm.c **** +1136:.\Generated_Source\PSoC5/cyPm.c **** +1137:.\Generated_Source\PSoC5/cyPm.c **** /* Restore last moment IMO frequency change */ +1138:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) +1139:.\Generated_Source\PSoC5/cyPm.c **** { +1140:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ +1141:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq; +1142:.\Generated_Source\PSoC5/cyPm.c **** } +1143:.\Generated_Source\PSoC5/cyPm.c **** +1144:.\Generated_Source\PSoC5/cyPm.c **** +1145:.\Generated_Source\PSoC5/cyPm.c **** /* Restore device for proper Hibernate mode exit*/ +1146:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibRestore(); +1147:.\Generated_Source\PSoC5/cyPm.c **** +1148:.\Generated_Source\PSoC5/cyPm.c **** /* Restore current wake up configuration */ +1149:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; +1150:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; +1151:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; +1152:.\Generated_Source\PSoC5/cyPm.c **** +1153:.\Generated_Source\PSoC5/cyPm.c **** /* Restore global interrupt enable state */ +1154:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); +1155:.\Generated_Source\PSoC5/cyPm.c **** } +1156:.\Generated_Source\PSoC5/cyPm.c **** +1157:.\Generated_Source\PSoC5/cyPm.c **** +1158:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1159:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmReadStatus +1160:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1161:.\Generated_Source\PSoC5/cyPm.c **** * +1162:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1163:.\Generated_Source\PSoC5/cyPm.c **** * Manages the Power Manager Interrupt Status Register. This register has the +1164:.\Generated_Source\PSoC5/cyPm.c **** * interrupt status for the one pulse per second, central timewheel and fast +1165:.\Generated_Source\PSoC5/cyPm.c **** * timewheel timers. This hardware register clears on read. To allow for only +1166:.\Generated_Source\PSoC5/cyPm.c **** * clearing the bits of interest and preserving the other bits, this function +1167:.\Generated_Source\PSoC5/cyPm.c **** * uses a shadow register that retains the state. This function reads the +1168:.\Generated_Source\PSoC5/cyPm.c **** * status register and ORs that value with the shadow register. That is the +1169:.\Generated_Source\PSoC5/cyPm.c **** * value that is returned. Then the bits in the mask that are set are cleared +1170:.\Generated_Source\PSoC5/cyPm.c **** * from this value and written back to the shadow register. +1171:.\Generated_Source\PSoC5/cyPm.c **** * +1172:.\Generated_Source\PSoC5/cyPm.c **** * Note You must call this function within 1 ms (1 clock cycle of the ILO) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 22 + + +1173:.\Generated_Source\PSoC5/cyPm.c **** * after a CTW event has occurred. +1174:.\Generated_Source\PSoC5/cyPm.c **** * +1175:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1176:.\Generated_Source\PSoC5/cyPm.c **** * mask: Bits in the shadow register to clear. +1177:.\Generated_Source\PSoC5/cyPm.c **** * +1178:.\Generated_Source\PSoC5/cyPm.c **** * Define Source +1179:.\Generated_Source\PSoC5/cyPm.c **** * CY_PM_FTW_INT Fast Timewheel +1180:.\Generated_Source\PSoC5/cyPm.c **** * CY_PM_CTW_INT Central Timewheel +1181:.\Generated_Source\PSoC5/cyPm.c **** * CY_PM_ONEPPS_INT One Pulse Per Second +1182:.\Generated_Source\PSoC5/cyPm.c **** * +1183:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1184:.\Generated_Source\PSoC5/cyPm.c **** * Status. Same bits values as the mask parameter. +1185:.\Generated_Source\PSoC5/cyPm.c **** * +1186:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1187:.\Generated_Source\PSoC5/cyPm.c **** uint8 CyPmReadStatus(uint8 mask) +1188:.\Generated_Source\PSoC5/cyPm.c **** { +1189:.\Generated_Source\PSoC5/cyPm.c **** static uint8 interruptStatus; +1190:.\Generated_Source\PSoC5/cyPm.c **** uint8 interruptState; +1191:.\Generated_Source\PSoC5/cyPm.c **** uint8 tmpStatus; +1192:.\Generated_Source\PSoC5/cyPm.c **** +1193:.\Generated_Source\PSoC5/cyPm.c **** /* Enter critical section */ +1194:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); +1195:.\Generated_Source\PSoC5/cyPm.c **** +1196:.\Generated_Source\PSoC5/cyPm.c **** /* Save value of the register, copy it and clear desired bit */ +1197:.\Generated_Source\PSoC5/cyPm.c **** interruptStatus |= CY_PM_INT_SR_REG; +1198:.\Generated_Source\PSoC5/cyPm.c **** tmpStatus = interruptStatus; +1199:.\Generated_Source\PSoC5/cyPm.c **** interruptStatus &= ((uint8)(~mask)); +1200:.\Generated_Source\PSoC5/cyPm.c **** +1201:.\Generated_Source\PSoC5/cyPm.c **** /* Exit critical section */ +1202:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); +1203:.\Generated_Source\PSoC5/cyPm.c **** +1204:.\Generated_Source\PSoC5/cyPm.c **** return(tmpStatus); +1205:.\Generated_Source\PSoC5/cyPm.c **** } +1206:.\Generated_Source\PSoC5/cyPm.c **** +1207:.\Generated_Source\PSoC5/cyPm.c **** +1208:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1209:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHibSaveSet +1210:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1211:.\Generated_Source\PSoC5/cyPm.c **** * +1212:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1213:.\Generated_Source\PSoC5/cyPm.c **** * Prepare device for proper Hibernate low power mode entry: +1214:.\Generated_Source\PSoC5/cyPm.c **** * - Disables I2C backup regulator +1215:.\Generated_Source\PSoC5/cyPm.c **** * - Saves ILO power down mode state and enable it +1216:.\Generated_Source\PSoC5/cyPm.c **** * - Saves state of 1 kHz and 100 kHz ILO and disable them +1217:.\Generated_Source\PSoC5/cyPm.c **** * - Disables sleep regulator and shorts vccd to vpwrsleep +1218:.\Generated_Source\PSoC5/cyPm.c **** * - Save LVI/HVI configuration and disable them - CyPmHviLviSaveDisable() +1219:.\Generated_Source\PSoC5/cyPm.c **** * - CyPmHibSlpSaveSet() function is called +1220:.\Generated_Source\PSoC5/cyPm.c **** * +1221:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1222:.\Generated_Source\PSoC5/cyPm.c **** * None +1223:.\Generated_Source\PSoC5/cyPm.c **** * +1224:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1225:.\Generated_Source\PSoC5/cyPm.c **** * None +1226:.\Generated_Source\PSoC5/cyPm.c **** * +1227:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: +1228:.\Generated_Source\PSoC5/cyPm.c **** * No +1229:.\Generated_Source\PSoC5/cyPm.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 23 + + +1230:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1231:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibSaveSet(void) +1232:.\Generated_Source\PSoC5/cyPm.c **** { +1233:.\Generated_Source\PSoC5/cyPm.c **** /* I2C backup reg must be off when the sleep regulator is unavailable */ +1234:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_PWRSYS_CR1_REG & CY_PM_PWRSYS_CR1_I2CREG_BACKUP)) +1235:.\Generated_Source\PSoC5/cyPm.c **** { +1236:.\Generated_Source\PSoC5/cyPm.c **** /*********************************************************************** +1237:.\Generated_Source\PSoC5/cyPm.c **** * If I2C backup regulator is enabled, all the fixed-function registers +1238:.\Generated_Source\PSoC5/cyPm.c **** * store their values while device is in low power mode, otherwise their +1239:.\Generated_Source\PSoC5/cyPm.c **** * configuration is lost. The I2C API makes a decision to restore or not +1240:.\Generated_Source\PSoC5/cyPm.c **** * to restore I2C registers based on this. If this regulator will be +1241:.\Generated_Source\PSoC5/cyPm.c **** * disabled and then enabled, I2C API will suppose that I2C block +1242:.\Generated_Source\PSoC5/cyPm.c **** * registers preserved their values, while this is not true. So, the +1243:.\Generated_Source\PSoC5/cyPm.c **** * backup regulator is disabled. The I2C sleep APIs is responsible for +1244:.\Generated_Source\PSoC5/cyPm.c **** * restoration. +1245:.\Generated_Source\PSoC5/cyPm.c **** ***********************************************************************/ +1246:.\Generated_Source\PSoC5/cyPm.c **** +1247:.\Generated_Source\PSoC5/cyPm.c **** /* Disable I2C backup register */ +1248:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_CR1_REG &= ((uint8)(~CY_PM_PWRSYS_CR1_I2CREG_BACKUP)); +1249:.\Generated_Source\PSoC5/cyPm.c **** } +1250:.\Generated_Source\PSoC5/cyPm.c **** +1251:.\Generated_Source\PSoC5/cyPm.c **** +1252:.\Generated_Source\PSoC5/cyPm.c **** /* Save current ILO power mode and ensure low power mode */ +1253:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.iloPowerMode = CyILO_SetPowerMode(CY_PM_POWERDOWN_MODE); +1254:.\Generated_Source\PSoC5/cyPm.c **** +1255:.\Generated_Source\PSoC5/cyPm.c **** /* Save current 1kHz ILO enable state. Disabled automatically. */ +1256:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.ilo1kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_1K)) ? +1257:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_DISABLED : CY_PM_ENABLED; +1258:.\Generated_Source\PSoC5/cyPm.c **** +1259:.\Generated_Source\PSoC5/cyPm.c **** /* Save current 100kHz ILO enable state. Disabled automatically. */ +1260:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.ilo100kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_100K)) ? +1261:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_DISABLED : CY_PM_ENABLED; +1262:.\Generated_Source\PSoC5/cyPm.c **** +1263:.\Generated_Source\PSoC5/cyPm.c **** +1264:.\Generated_Source\PSoC5/cyPm.c **** /* Disable the sleep regulator and shorts vccd to vpwrsleep */ +1265:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_PWRSYS_SLP_TR_REG & CY_PM_PWRSYS_SLP_TR_BYPASS)) +1266:.\Generated_Source\PSoC5/cyPm.c **** { +1267:.\Generated_Source\PSoC5/cyPm.c **** /* Save current bypass state */ +1268:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.slpTrBypass = CY_PM_DISABLED; +1269:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG |= CY_PM_PWRSYS_SLP_TR_BYPASS; +1270:.\Generated_Source\PSoC5/cyPm.c **** } +1271:.\Generated_Source\PSoC5/cyPm.c **** else +1272:.\Generated_Source\PSoC5/cyPm.c **** { +1273:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.slpTrBypass = CY_PM_ENABLED; +1274:.\Generated_Source\PSoC5/cyPm.c **** } +1275:.\Generated_Source\PSoC5/cyPm.c **** +1276:.\Generated_Source\PSoC5/cyPm.c **** /* LPCOMPs are always enabled (even when BOTH ext_vccd=1 and ext_vcca=1)*/ +1277:.\Generated_Source\PSoC5/cyPm.c **** +1278:.\Generated_Source\PSoC5/cyPm.c **** +1279:.\Generated_Source\PSoC5/cyPm.c **** /*************************************************************************** +1280:.\Generated_Source\PSoC5/cyPm.c **** * LVI/HVI must be disabled in Hibernate +1281:.\Generated_Source\PSoC5/cyPm.c **** ***************************************************************************/ +1282:.\Generated_Source\PSoC5/cyPm.c **** +1283:.\Generated_Source\PSoC5/cyPm.c **** /* Save LVI/HVI configuration and disable them */ +1284:.\Generated_Source\PSoC5/cyPm.c **** CyPmHviLviSaveDisable(); +1285:.\Generated_Source\PSoC5/cyPm.c **** +1286:.\Generated_Source\PSoC5/cyPm.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 24 + + +1287:.\Generated_Source\PSoC5/cyPm.c **** /* Make the same preparations for Hibernate and Sleep modes */ +1288:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpSaveSet(); +1289:.\Generated_Source\PSoC5/cyPm.c **** +1290:.\Generated_Source\PSoC5/cyPm.c **** +1291:.\Generated_Source\PSoC5/cyPm.c **** /*************************************************************************** +1292:.\Generated_Source\PSoC5/cyPm.c **** * Save and set power mode wakeup trim registers +1293:.\Generated_Source\PSoC5/cyPm.c **** ***************************************************************************/ +1294:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; +1295:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; +1296:.\Generated_Source\PSoC5/cyPm.c **** +1297:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR0_REG = CY_PM_PWRSYS_WAKE_TR0; +1298:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR1_REG = CY_PM_PWRSYS_WAKE_TR1; +1299:.\Generated_Source\PSoC5/cyPm.c **** } +1300:.\Generated_Source\PSoC5/cyPm.c **** +1301:.\Generated_Source\PSoC5/cyPm.c **** +1302:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1303:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHibRestore +1304:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1305:.\Generated_Source\PSoC5/cyPm.c **** * +1306:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1307:.\Generated_Source\PSoC5/cyPm.c **** * Restore device for proper Hibernate mode exit: +1308:.\Generated_Source\PSoC5/cyPm.c **** * - Restore LVI/HVI configuration - call CyPmHviLviRestore() +1309:.\Generated_Source\PSoC5/cyPm.c **** * - CyPmHibSlpSaveRestore() function is called +1310:.\Generated_Source\PSoC5/cyPm.c **** * - Restores ILO power down mode state and enable it +1311:.\Generated_Source\PSoC5/cyPm.c **** * - Restores state of 1 kHz and 100 kHz ILO and disable them +1312:.\Generated_Source\PSoC5/cyPm.c **** * - Restores sleep regulator settings +1313:.\Generated_Source\PSoC5/cyPm.c **** * +1314:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1315:.\Generated_Source\PSoC5/cyPm.c **** * None +1316:.\Generated_Source\PSoC5/cyPm.c **** * +1317:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1318:.\Generated_Source\PSoC5/cyPm.c **** * None +1319:.\Generated_Source\PSoC5/cyPm.c **** * +1320:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1321:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibRestore(void) +1322:.\Generated_Source\PSoC5/cyPm.c **** { +1323:.\Generated_Source\PSoC5/cyPm.c **** /* Restore LVI/HVI configuration */ +1324:.\Generated_Source\PSoC5/cyPm.c **** CyPmHviLviRestore(); +1325:.\Generated_Source\PSoC5/cyPm.c **** +1326:.\Generated_Source\PSoC5/cyPm.c **** /* Restore the same configuration for Hibernate and Sleep modes */ +1327:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpRestore(); +1328:.\Generated_Source\PSoC5/cyPm.c **** +1329:.\Generated_Source\PSoC5/cyPm.c **** /* Restore 1kHz ILO enable state */ +1330:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.ilo1kEnable) +1331:.\Generated_Source\PSoC5/cyPm.c **** { +1332:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 1kHz ILO */ +1333:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start1K(); +1334:.\Generated_Source\PSoC5/cyPm.c **** } +1335:.\Generated_Source\PSoC5/cyPm.c **** +1336:.\Generated_Source\PSoC5/cyPm.c **** /* Restore 100kHz ILO enable state */ +1337:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.ilo100kEnable) +1338:.\Generated_Source\PSoC5/cyPm.c **** { +1339:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 100kHz ILO */ +1340:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start100K(); +1341:.\Generated_Source\PSoC5/cyPm.c **** } +1342:.\Generated_Source\PSoC5/cyPm.c **** +1343:.\Generated_Source\PSoC5/cyPm.c **** /* Restore ILO power mode */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 25 + + +1344:.\Generated_Source\PSoC5/cyPm.c **** (void) CyILO_SetPowerMode(cyPmBackup.iloPowerMode); +1345:.\Generated_Source\PSoC5/cyPm.c **** +1346:.\Generated_Source\PSoC5/cyPm.c **** +1347:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_DISABLED == cyPmBackup.slpTrBypass) +1348:.\Generated_Source\PSoC5/cyPm.c **** { +1349:.\Generated_Source\PSoC5/cyPm.c **** /* Enable the sleep regulator */ +1350:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= ((uint8)(~CY_PM_PWRSYS_SLP_TR_BYPASS)); +1351:.\Generated_Source\PSoC5/cyPm.c **** } +1352:.\Generated_Source\PSoC5/cyPm.c **** +1353:.\Generated_Source\PSoC5/cyPm.c **** +1354:.\Generated_Source\PSoC5/cyPm.c **** /*************************************************************************** +1355:.\Generated_Source\PSoC5/cyPm.c **** * Restore power mode wakeup trim registers +1356:.\Generated_Source\PSoC5/cyPm.c **** ***************************************************************************/ +1357:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR0_REG = cyPmBackup.wakeupTrim0; +1358:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR1_REG = cyPmBackup.wakeupTrim1; +1359:.\Generated_Source\PSoC5/cyPm.c **** } +1360:.\Generated_Source\PSoC5/cyPm.c **** +1361:.\Generated_Source\PSoC5/cyPm.c **** +1362:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1363:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmCtwSetInterval +1364:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1365:.\Generated_Source\PSoC5/cyPm.c **** * +1366:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1367:.\Generated_Source\PSoC5/cyPm.c **** * Performs CTW configuration: +1368:.\Generated_Source\PSoC5/cyPm.c **** * - Disables CTW interrupt +1369:.\Generated_Source\PSoC5/cyPm.c **** * - Enables 1 kHz ILO +1370:.\Generated_Source\PSoC5/cyPm.c **** * - Sets new CTW interval +1371:.\Generated_Source\PSoC5/cyPm.c **** * +1372:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1373:.\Generated_Source\PSoC5/cyPm.c **** * ctwInterval: the CTW interval to be set. +1374:.\Generated_Source\PSoC5/cyPm.c **** * +1375:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1376:.\Generated_Source\PSoC5/cyPm.c **** * None +1377:.\Generated_Source\PSoC5/cyPm.c **** * +1378:.\Generated_Source\PSoC5/cyPm.c **** * Side Effects: +1379:.\Generated_Source\PSoC5/cyPm.c **** * Enables ILO 1 KHz clock and leaves it enabled. +1380:.\Generated_Source\PSoC5/cyPm.c **** * +1381:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1382:.\Generated_Source\PSoC5/cyPm.c **** void CyPmCtwSetInterval(uint8 ctwInterval) +1383:.\Generated_Source\PSoC5/cyPm.c **** { +1384:.\Generated_Source\PSoC5/cyPm.c **** /* Disable CTW interrupt enable */ +1385:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_IE)); +1386:.\Generated_Source\PSoC5/cyPm.c **** +1387:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 1kHz ILO (required for CTW operation) */ +1388:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start1K(); +1389:.\Generated_Source\PSoC5/cyPm.c **** +1390:.\Generated_Source\PSoC5/cyPm.c **** /* Interval could be set only while CTW is disabled */ +1391:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_TW_CFG2_REG & CY_PM_CTW_EN)) +1392:.\Generated_Source\PSoC5/cyPm.c **** { +1393:.\Generated_Source\PSoC5/cyPm.c **** /* Set CTW interval if needed */ +1394:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG1_REG != ctwInterval) +1395:.\Generated_Source\PSoC5/cyPm.c **** { +1396:.\Generated_Source\PSoC5/cyPm.c **** /* Disable the CTW, set new CTW interval and enable it again */ +1397:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_EN)); +1398:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG1_REG = ctwInterval; +1399:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; +1400:.\Generated_Source\PSoC5/cyPm.c **** } /* Required interval is already set */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 26 + + +1401:.\Generated_Source\PSoC5/cyPm.c **** } +1402:.\Generated_Source\PSoC5/cyPm.c **** else +1403:.\Generated_Source\PSoC5/cyPm.c **** { +1404:.\Generated_Source\PSoC5/cyPm.c **** /* Set CTW interval if needed */ +1405:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG1_REG != ctwInterval) +1406:.\Generated_Source\PSoC5/cyPm.c **** { +1407:.\Generated_Source\PSoC5/cyPm.c **** /* Set the new CTW interval. Could be changed if CTW is disabled */ +1408:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG1_REG = ctwInterval; +1409:.\Generated_Source\PSoC5/cyPm.c **** } /* Required interval is already set */ +1410:.\Generated_Source\PSoC5/cyPm.c **** +1411:.\Generated_Source\PSoC5/cyPm.c **** /* Enable the CTW */ +1412:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; +1413:.\Generated_Source\PSoC5/cyPm.c **** } +1414:.\Generated_Source\PSoC5/cyPm.c **** } +1415:.\Generated_Source\PSoC5/cyPm.c **** +1416:.\Generated_Source\PSoC5/cyPm.c **** +1417:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1418:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmOppsSet +1419:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1420:.\Generated_Source\PSoC5/cyPm.c **** * +1421:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1422:.\Generated_Source\PSoC5/cyPm.c **** * Performs 1PPS configuration: +1423:.\Generated_Source\PSoC5/cyPm.c **** * - Starts 32 KHz XTAL +1424:.\Generated_Source\PSoC5/cyPm.c **** * - Disables 1PPS interupts +1425:.\Generated_Source\PSoC5/cyPm.c **** * - Enables 1PPS +1426:.\Generated_Source\PSoC5/cyPm.c **** * +1427:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1428:.\Generated_Source\PSoC5/cyPm.c **** * None +1429:.\Generated_Source\PSoC5/cyPm.c **** * +1430:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1431:.\Generated_Source\PSoC5/cyPm.c **** * None +1432:.\Generated_Source\PSoC5/cyPm.c **** * +1433:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1434:.\Generated_Source\PSoC5/cyPm.c **** void CyPmOppsSet(void) +1435:.\Generated_Source\PSoC5/cyPm.c **** { +1436:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 32kHz XTAL if needed */ +1437:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_SLOWCLK_X32_CR_REG & CY_PM_X32_CR_X32EN)) +1438:.\Generated_Source\PSoC5/cyPm.c **** { +1439:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 32kHz XTAL */ +1440:.\Generated_Source\PSoC5/cyPm.c **** CyXTAL_32KHZ_Start(); +1441:.\Generated_Source\PSoC5/cyPm.c **** } +1442:.\Generated_Source\PSoC5/cyPm.c **** +1443:.\Generated_Source\PSoC5/cyPm.c **** /* Disable 1PPS interrupt enable */ +1444:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_1PPS_IE)); +1445:.\Generated_Source\PSoC5/cyPm.c **** +1446:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 1PPS operation */ +1447:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_1PPS_EN; +1448:.\Generated_Source\PSoC5/cyPm.c **** } +1449:.\Generated_Source\PSoC5/cyPm.c **** +1450:.\Generated_Source\PSoC5/cyPm.c **** +1451:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1452:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmFtwSetInterval +1453:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1454:.\Generated_Source\PSoC5/cyPm.c **** * +1455:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1456:.\Generated_Source\PSoC5/cyPm.c **** * Performs FTW configuration: +1457:.\Generated_Source\PSoC5/cyPm.c **** * - Disables FTW interrupt + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 27 + + +1458:.\Generated_Source\PSoC5/cyPm.c **** * - Enables 100 kHz ILO +1459:.\Generated_Source\PSoC5/cyPm.c **** * - Sets new FTW interval. +1460:.\Generated_Source\PSoC5/cyPm.c **** * +1461:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1462:.\Generated_Source\PSoC5/cyPm.c **** * ftwInterval - FTW counter interval. +1463:.\Generated_Source\PSoC5/cyPm.c **** * +1464:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1465:.\Generated_Source\PSoC5/cyPm.c **** * None +1466:.\Generated_Source\PSoC5/cyPm.c **** * +1467:.\Generated_Source\PSoC5/cyPm.c **** * Side Effects: +1468:.\Generated_Source\PSoC5/cyPm.c **** * Enables ILO 100 KHz clock and leaves it enabled. +1469:.\Generated_Source\PSoC5/cyPm.c **** * +1470:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1471:.\Generated_Source\PSoC5/cyPm.c **** void CyPmFtwSetInterval(uint8 ftwInterval) +1472:.\Generated_Source\PSoC5/cyPm.c **** { +1473:.\Generated_Source\PSoC5/cyPm.c **** /* Disable FTW interrupt enable */ +1474:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_IE)); +1475:.\Generated_Source\PSoC5/cyPm.c **** +1476:.\Generated_Source\PSoC5/cyPm.c **** /* Enable 100kHz ILO */ +1477:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start100K(); +1478:.\Generated_Source\PSoC5/cyPm.c **** +1479:.\Generated_Source\PSoC5/cyPm.c **** /* Iterval could be set only while FTW is disabled */ +1480:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_TW_CFG2_REG & CY_PM_FTW_EN)) +1481:.\Generated_Source\PSoC5/cyPm.c **** { +1482:.\Generated_Source\PSoC5/cyPm.c **** /* Disable FTW, set new FTW interval if needed and enable it again */ +1483:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG0_REG != ftwInterval) +1484:.\Generated_Source\PSoC5/cyPm.c **** { +1485:.\Generated_Source\PSoC5/cyPm.c **** /* Disable the CTW, set new CTW interval and enable it again */ +1486:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_EN)); +1487:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG0_REG = ftwInterval; +1488:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; +1489:.\Generated_Source\PSoC5/cyPm.c **** } /* Required interval is already set */ +1490:.\Generated_Source\PSoC5/cyPm.c **** } +1491:.\Generated_Source\PSoC5/cyPm.c **** else +1492:.\Generated_Source\PSoC5/cyPm.c **** { +1493:.\Generated_Source\PSoC5/cyPm.c **** /* Set new FTW counter interval if needed. FTW is disabled. */ +1494:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG0_REG != ftwInterval) +1495:.\Generated_Source\PSoC5/cyPm.c **** { +1496:.\Generated_Source\PSoC5/cyPm.c **** /* Set the new CTW interval. Could be changed if CTW is disabled */ +1497:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG0_REG = ftwInterval; +1498:.\Generated_Source\PSoC5/cyPm.c **** } /* Required interval is already set */ +1499:.\Generated_Source\PSoC5/cyPm.c **** +1500:.\Generated_Source\PSoC5/cyPm.c **** /* Enable the FTW */ +1501:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; +1502:.\Generated_Source\PSoC5/cyPm.c **** } +1503:.\Generated_Source\PSoC5/cyPm.c **** } +1504:.\Generated_Source\PSoC5/cyPm.c **** +1505:.\Generated_Source\PSoC5/cyPm.c **** +1506:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1507:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHibSlpSaveSet +1508:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1509:.\Generated_Source\PSoC5/cyPm.c **** * +1510:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1511:.\Generated_Source\PSoC5/cyPm.c **** * This API is used for preparing device for Sleep and Hibernate low power +1512:.\Generated_Source\PSoC5/cyPm.c **** * modes entry: +1513:.\Generated_Source\PSoC5/cyPm.c **** * - Saves COMP, VIDAC, DSM and SAR routing connections (PSoC 5) +1514:.\Generated_Source\PSoC5/cyPm.c **** * - Saves SC/CT routing connections (PSoC 3/5/5LP) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 28 + + +1515:.\Generated_Source\PSoC5/cyPm.c **** * - Disables Serial Wire Viewer (SWV) (PSoC 3) +1516:.\Generated_Source\PSoC5/cyPm.c **** * - Save boost reference selection and set it to internal +1517:.\Generated_Source\PSoC5/cyPm.c **** * +1518:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1519:.\Generated_Source\PSoC5/cyPm.c **** * None +1520:.\Generated_Source\PSoC5/cyPm.c **** * +1521:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1522:.\Generated_Source\PSoC5/cyPm.c **** * None +1523:.\Generated_Source\PSoC5/cyPm.c **** * +1524:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: +1525:.\Generated_Source\PSoC5/cyPm.c **** * No +1526:.\Generated_Source\PSoC5/cyPm.c **** * +1527:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1528:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibSlpSaveSet(void) +1529:.\Generated_Source\PSoC5/cyPm.c **** { + 26 .loc 1 1529 0 + 27 .cfi_startproc + 28 @ args = 0, pretend = 0, frame = 0 + 29 @ frame_needed = 0, uses_anonymous_args = 0 + 30 0000 2DE9F04F push {r4, r5, r6, r7, r8, r9, sl, fp, lr} + 31 .LCFI0: + 32 .cfi_def_cfa_offset 36 + 33 .cfi_offset 4, -36 + 34 .cfi_offset 5, -32 + 35 .cfi_offset 6, -28 + 36 .cfi_offset 7, -24 + 37 .cfi_offset 8, -20 + 38 .cfi_offset 9, -16 + 39 .cfi_offset 10, -12 + 40 .cfi_offset 11, -8 + 41 .cfi_offset 14, -4 +1530:.\Generated_Source\PSoC5/cyPm.c **** /* Save SC/CT routing registers */ +1531:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[0u] = CY_GET_REG8(CYREG_SC0_SW0 ); + 42 .loc 1 1531 0 + 43 0004 DFF848B1 ldr fp, .L5+32 + 44 0008 494B ldr r3, .L5 + 45 000a 9BF80020 ldrb r2, [fp, #0] @ zero_extendqisi2 +1532:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[1u] = CY_GET_REG8(CYREG_SC0_SW2 ); + 46 .loc 1 1532 0 + 47 000e 4949 ldr r1, .L5+4 +1531:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[0u] = CY_GET_REG8(CYREG_SC0_SW0 ); + 48 .loc 1 1531 0 + 49 0010 5A72 strb r2, [r3, #9] + 50 .loc 1 1532 0 + 51 0012 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 +1533:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[2u] = CY_GET_REG8(CYREG_SC0_SW3 ); + 52 .loc 1 1533 0 + 53 0014 484F ldr r7, .L5+8 +1532:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[1u] = CY_GET_REG8(CYREG_SC0_SW2 ); + 54 .loc 1 1532 0 + 55 0016 9872 strb r0, [r3, #10] + 56 .loc 1 1533 0 + 57 0018 3C78 ldrb r4, [r7, #0] @ zero_extendqisi2 +1534:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[3u] = CY_GET_REG8(CYREG_SC0_SW4 ); +1535:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); +1536:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[5u] = CY_GET_REG8(CYREG_SC0_SW8 ); +1537:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[6u] = CY_GET_REG8(CYREG_SC0_SW10); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 29 + + +1538:.\Generated_Source\PSoC5/cyPm.c **** +1539:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[7u] = CY_GET_REG8(CYREG_SC1_SW0 ); +1540:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[8u] = CY_GET_REG8(CYREG_SC1_SW2 ); +1541:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[9u] = CY_GET_REG8(CYREG_SC1_SW3 ); +1542:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[10u] = CY_GET_REG8(CYREG_SC1_SW4 ); +1543:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[11u] = CY_GET_REG8(CYREG_SC1_SW6 ); +1544:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[12u] = CY_GET_REG8(CYREG_SC1_SW8 ); +1545:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[13u] = CY_GET_REG8(CYREG_SC1_SW10); +1546:.\Generated_Source\PSoC5/cyPm.c **** +1547:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[14u] = CY_GET_REG8(CYREG_SC2_SW0 ); +1548:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[15u] = CY_GET_REG8(CYREG_SC2_SW2 ); +1549:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[16u] = CY_GET_REG8(CYREG_SC2_SW3 ); +1550:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[17u] = CY_GET_REG8(CYREG_SC2_SW4 ); +1551:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[18u] = CY_GET_REG8(CYREG_SC2_SW6 ); + 58 .loc 1 1551 0 + 59 001a DFF838A1 ldr sl, .L5+36 +1533:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[2u] = CY_GET_REG8(CYREG_SC0_SW3 ); + 60 .loc 1 1533 0 + 61 001e DC72 strb r4, [r3, #11] +1534:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[3u] = CY_GET_REG8(CYREG_SC0_SW4 ); + 62 .loc 1 1534 0 + 63 0020 8D78 ldrb r5, [r1, #2] @ zero_extendqisi2 +1552:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[19u] = CY_GET_REG8(CYREG_SC2_SW8 ); + 64 .loc 1 1552 0 + 65 0022 DFF83491 ldr r9, .L5+40 +1534:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[3u] = CY_GET_REG8(CYREG_SC0_SW4 ); + 66 .loc 1 1534 0 + 67 0026 1D73 strb r5, [r3, #12] +1535:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); + 68 .loc 1 1535 0 + 69 0028 FE78 ldrb r6, [r7, #3] @ zero_extendqisi2 +1553:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[20u] = CY_GET_REG8(CYREG_SC2_SW10); + 70 .loc 1 1553 0 + 71 002a DFF83081 ldr r8, .L5+44 +1535:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); + 72 .loc 1 1535 0 + 73 002e 5E73 strb r6, [r3, #13] +1536:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[5u] = CY_GET_REG8(CYREG_SC0_SW8 ); + 74 .loc 1 1536 0 + 75 0030 8A79 ldrb r2, [r1, #6] @ zero_extendqisi2 +1554:.\Generated_Source\PSoC5/cyPm.c **** +1555:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[21u] = CY_GET_REG8(CYREG_SC3_SW0 ); + 76 .loc 1 1555 0 + 77 0032 DFF82CC1 ldr ip, .L5+48 +1536:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[5u] = CY_GET_REG8(CYREG_SC0_SW8 ); + 78 .loc 1 1536 0 + 79 0036 9A73 strb r2, [r3, #14] +1537:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[6u] = CY_GET_REG8(CYREG_SC0_SW10); + 80 .loc 1 1537 0 + 81 0038 F879 ldrb r0, [r7, #7] @ zero_extendqisi2 + 82 003a D873 strb r0, [r3, #15] +1539:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[7u] = CY_GET_REG8(CYREG_SC1_SW0 ); + 83 .loc 1 1539 0 + 84 003c 8C7B ldrb r4, [r1, #14] @ zero_extendqisi2 + 85 003e 1C74 strb r4, [r3, #16] +1540:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[8u] = CY_GET_REG8(CYREG_SC1_SW2 ); + 86 .loc 1 1540 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 30 + + + 87 0040 FD7B ldrb r5, [r7, #15] @ zero_extendqisi2 + 88 0042 5D74 strb r5, [r3, #17] +1541:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[9u] = CY_GET_REG8(CYREG_SC1_SW3 ); + 89 .loc 1 1541 0 + 90 0044 4E7C ldrb r6, [r1, #17] @ zero_extendqisi2 + 91 0046 9E74 strb r6, [r3, #18] +1542:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[10u] = CY_GET_REG8(CYREG_SC1_SW4 ); + 92 .loc 1 1542 0 + 93 0048 7A7C ldrb r2, [r7, #17] @ zero_extendqisi2 + 94 004a DA74 strb r2, [r3, #19] +1543:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[11u] = CY_GET_REG8(CYREG_SC1_SW6 ); + 95 .loc 1 1543 0 + 96 004c 087D ldrb r0, [r1, #20] @ zero_extendqisi2 + 97 004e 1875 strb r0, [r3, #20] +1544:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[12u] = CY_GET_REG8(CYREG_SC1_SW8 ); + 98 .loc 1 1544 0 + 99 0050 7C7D ldrb r4, [r7, #21] @ zero_extendqisi2 + 100 0052 5C75 strb r4, [r3, #21] +1545:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[13u] = CY_GET_REG8(CYREG_SC1_SW10); + 101 .loc 1 1545 0 + 102 0054 0D7E ldrb r5, [r1, #24] @ zero_extendqisi2 + 103 0056 9D75 strb r5, [r3, #22] +1547:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[14u] = CY_GET_REG8(CYREG_SC2_SW0 ); + 104 .loc 1 1547 0 + 105 0058 7E7F ldrb r6, [r7, #29] @ zero_extendqisi2 + 106 005a DE75 strb r6, [r3, #23] +1548:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[15u] = CY_GET_REG8(CYREG_SC2_SW2 ); + 107 .loc 1 1548 0 + 108 005c 91F82020 ldrb r2, [r1, #32] @ zero_extendqisi2 + 109 0060 1A76 strb r2, [r3, #24] +1549:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[16u] = CY_GET_REG8(CYREG_SC2_SW3 ); + 110 .loc 1 1549 0 + 111 0062 97F82000 ldrb r0, [r7, #32] @ zero_extendqisi2 + 112 0066 5876 strb r0, [r3, #25] +1550:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[17u] = CY_GET_REG8(CYREG_SC2_SW4 ); + 113 .loc 1 1550 0 + 114 0068 91F82240 ldrb r4, [r1, #34] @ zero_extendqisi2 + 115 006c 9C76 strb r4, [r3, #26] +1551:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[18u] = CY_GET_REG8(CYREG_SC2_SW6 ); + 116 .loc 1 1551 0 + 117 006e 9AF80050 ldrb r5, [sl, #0] @ zero_extendqisi2 + 118 0072 DD76 strb r5, [r3, #27] +1552:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[19u] = CY_GET_REG8(CYREG_SC2_SW8 ); + 119 .loc 1 1552 0 + 120 0074 99F80060 ldrb r6, [r9, #0] @ zero_extendqisi2 + 121 0078 1E77 strb r6, [r3, #28] +1553:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[20u] = CY_GET_REG8(CYREG_SC2_SW10); + 122 .loc 1 1553 0 + 123 007a 98F80020 ldrb r2, [r8, #0] @ zero_extendqisi2 +1556:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[22u] = CY_GET_REG8(CYREG_SC3_SW2 ); +1557:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[23u] = CY_GET_REG8(CYREG_SC3_SW3 ); + 124 .loc 1 1557 0 + 125 007e 2F4E ldr r6, .L5+12 +1553:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[20u] = CY_GET_REG8(CYREG_SC2_SW10); + 126 .loc 1 1553 0 + 127 0080 5A77 strb r2, [r3, #29] +1555:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[21u] = CY_GET_REG8(CYREG_SC3_SW0 ); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 31 + + + 128 .loc 1 1555 0 + 129 0082 9CF80000 ldrb r0, [ip, #0] @ zero_extendqisi2 + 130 0086 9877 strb r0, [r3, #30] +1556:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[22u] = CY_GET_REG8(CYREG_SC3_SW2 ); + 131 .loc 1 1556 0 + 132 0088 97F82F40 ldrb r4, [r7, #47] @ zero_extendqisi2 + 133 008c DC77 strb r4, [r3, #31] + 134 .loc 1 1557 0 + 135 008e 3578 ldrb r5, [r6, #0] @ zero_extendqisi2 +1558:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[24u] = CY_GET_REG8(CYREG_SC3_SW4 ); +1559:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[25u] = CY_GET_REG8(CYREG_SC3_SW6 ); + 136 .loc 1 1559 0 + 137 0090 2B4C ldr r4, .L5+16 +1557:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[23u] = CY_GET_REG8(CYREG_SC3_SW3 ); + 138 .loc 1 1557 0 + 139 0092 83F82050 strb r5, [r3, #32] +1558:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[24u] = CY_GET_REG8(CYREG_SC3_SW4 ); + 140 .loc 1 1558 0 + 141 0096 2B4D ldr r5, .L5+20 + 142 0098 2A78 ldrb r2, [r5, #0] @ zero_extendqisi2 + 143 009a 83F82120 strb r2, [r3, #33] + 144 .loc 1 1559 0 + 145 009e 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 146 00a0 83F82200 strb r0, [r3, #34] +1560:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[26u] = CY_GET_REG8(CYREG_SC3_SW8 ); + 147 .loc 1 1560 0 + 148 00a4 2848 ldr r0, .L5+24 + 149 00a6 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 150 00a8 83F82320 strb r2, [r3, #35] +1561:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[27u] = CY_GET_REG8(CYREG_SC3_SW10); + 151 .loc 1 1561 0 + 152 00ac 91F83820 ldrb r2, [r1, #56] @ zero_extendqisi2 + 153 00b0 83F82420 strb r2, [r3, #36] +1562:.\Generated_Source\PSoC5/cyPm.c **** +1563:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW0 , 0u); + 154 .loc 1 1563 0 + 155 00b4 0022 movs r2, #0 + 156 00b6 8BF80020 strb r2, [fp, #0] +1564:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW2 , 0u); + 157 .loc 1 1564 0 + 158 00ba 07F8012C strb r2, [r7, #-1] +1535:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); + 159 .loc 1 1535 0 + 160 00be 0337 adds r7, r7, #3 +1565:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW3 , 0u); + 161 .loc 1 1565 0 + 162 00c0 07F8032C strb r2, [r7, #-3] +1564:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW2 , 0u); + 163 .loc 1 1564 0 + 164 00c4 043F subs r7, r7, #4 +1566:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW4 , 0u); + 165 .loc 1 1566 0 + 166 00c6 0237 adds r7, r7, #2 + 167 00c8 3A70 strb r2, [r7, #0] +1567:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW6 , 0u); + 168 .loc 1 1567 0 + 169 00ca BA70 strb r2, [r7, #2] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 32 + + +1568:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW8 , 0u); + 170 .loc 1 1568 0 + 171 00cc 3A71 strb r2, [r7, #4] +1569:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW10, 0u); + 172 .loc 1 1569 0 + 173 00ce BA71 strb r2, [r7, #6] +1570:.\Generated_Source\PSoC5/cyPm.c **** +1571:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW0 , 0u); + 174 .loc 1 1571 0 + 175 00d0 3A73 strb r2, [r7, #12] +1572:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW2 , 0u); + 176 .loc 1 1572 0 + 177 00d2 BA73 strb r2, [r7, #14] +1573:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW3 , 0u); + 178 .loc 1 1573 0 + 179 00d4 FA73 strb r2, [r7, #15] +1574:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW4 , 0u); + 180 .loc 1 1574 0 + 181 00d6 3A74 strb r2, [r7, #16] +1575:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW6 , 0u); + 182 .loc 1 1575 0 + 183 00d8 BA74 strb r2, [r7, #18] +1576:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW8 , 0u); + 184 .loc 1 1576 0 + 185 00da 3A75 strb r2, [r7, #20] +1577:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW10, 0u); + 186 .loc 1 1577 0 + 187 00dc BA75 strb r2, [r7, #22] +1578:.\Generated_Source\PSoC5/cyPm.c **** +1579:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW0 , 0u); + 188 .loc 1 1579 0 + 189 00de 3A77 strb r2, [r7, #28] +1580:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW2 , 0u); + 190 .loc 1 1580 0 + 191 00e0 BA77 strb r2, [r7, #30] +1581:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW3 , 0u); + 192 .loc 1 1581 0 + 193 00e2 FA77 strb r2, [r7, #31] +1582:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW4 , 0u); + 194 .loc 1 1582 0 + 195 00e4 87F82020 strb r2, [r7, #32] +1583:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW6 , 0u); + 196 .loc 1 1583 0 + 197 00e8 8AF80020 strb r2, [sl, #0] +1584:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW8 , 0u); + 198 .loc 1 1584 0 + 199 00ec 89F80020 strb r2, [r9, #0] +1585:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW10, 0u); + 200 .loc 1 1585 0 + 201 00f0 88F80020 strb r2, [r8, #0] +1586:.\Generated_Source\PSoC5/cyPm.c **** +1587:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW0 , 0u); + 202 .loc 1 1587 0 + 203 00f4 8CF80020 strb r2, [ip, #0] +1588:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW2 , 0u); + 204 .loc 1 1588 0 + 205 00f8 87F82E20 strb r2, [r7, #46] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 33 + + +1589:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW3 , 0u); + 206 .loc 1 1589 0 + 207 00fc 3270 strb r2, [r6, #0] +1590:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW4 , 0u); + 208 .loc 1 1590 0 + 209 00fe 2A70 strb r2, [r5, #0] +1591:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW6 , 0u); + 210 .loc 1 1591 0 + 211 0100 2270 strb r2, [r4, #0] +1592:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW8 , 0u); + 212 .loc 1 1592 0 + 213 0102 0270 strb r2, [r0, #0] +1593:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW10, 0u); +1594:.\Generated_Source\PSoC5/cyPm.c **** +1595:.\Generated_Source\PSoC5/cyPm.c **** +1596:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC3) +1597:.\Generated_Source\PSoC5/cyPm.c **** +1598:.\Generated_Source\PSoC5/cyPm.c **** /* Serial Wire Viewer (SWV) workaround */ +1599:.\Generated_Source\PSoC5/cyPm.c **** +1600:.\Generated_Source\PSoC5/cyPm.c **** /* Disable SWV before entering low power mode */ +1601:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_MLOGIC_DBG_REG & CY_PM_MLOGIC_DBG_SWV_CLK_EN)) +1602:.\Generated_Source\PSoC5/cyPm.c **** { +1603:.\Generated_Source\PSoC5/cyPm.c **** /* Save SWV clock enabled state */ +1604:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.swvClkEnabled = CY_PM_ENABLED; +1605:.\Generated_Source\PSoC5/cyPm.c **** +1606:.\Generated_Source\PSoC5/cyPm.c **** /* Save current ports drive mode settings */ +1607:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.prt1Dm = CY_PM_PRT1_PC3_REG & ((uint8)(~CY_PM_PRT1_PC3_DM_MASK)); +1608:.\Generated_Source\PSoC5/cyPm.c **** +1609:.\Generated_Source\PSoC5/cyPm.c **** /* Set drive mode to strong output */ +1610:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | +1611:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PRT1_PC3_DM_STRONG; +1612:.\Generated_Source\PSoC5/cyPm.c **** +1613:.\Generated_Source\PSoC5/cyPm.c **** /* Disable SWV clocks */ +1614:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MLOGIC_DBG_REG &= ((uint8)(~CY_PM_MLOGIC_DBG_SWV_CLK_EN)); +1615:.\Generated_Source\PSoC5/cyPm.c **** } +1616:.\Generated_Source\PSoC5/cyPm.c **** else +1617:.\Generated_Source\PSoC5/cyPm.c **** { +1618:.\Generated_Source\PSoC5/cyPm.c **** /* Save SWV clock disabled state */ +1619:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.swvClkEnabled = CY_PM_DISABLED; +1620:.\Generated_Source\PSoC5/cyPm.c **** } +1621:.\Generated_Source\PSoC5/cyPm.c **** +1622:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC3) */ +1623:.\Generated_Source\PSoC5/cyPm.c **** +1624:.\Generated_Source\PSoC5/cyPm.c **** +1625:.\Generated_Source\PSoC5/cyPm.c **** /*************************************************************************** +1626:.\Generated_Source\PSoC5/cyPm.c **** * Save boost reference and set it to boost's internal by clearing the bit. +1627:.\Generated_Source\PSoC5/cyPm.c **** * External (chip bandgap) reference is not available in Sleep and Hibernate. +1628:.\Generated_Source\PSoC5/cyPm.c **** ***************************************************************************/ +1629:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_BOOST_CR2_REG & CY_PM_BOOST_CR2_EREFSEL_EXT)) + 214 .loc 1 1629 0 + 215 0104 1148 ldr r0, .L5+28 +1593:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW10, 0u); + 216 .loc 1 1593 0 + 217 0106 81F83820 strb r2, [r1, #56] + 218 .loc 1 1629 0 + 219 010a 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 +1588:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW2 , 0u); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 34 + + + 220 .loc 1 1588 0 + 221 010c 2E37 adds r7, r7, #46 + 222 .loc 1 1629 0 + 223 010e 11F00802 ands r2, r1, #8 + 224 0112 08D0 beq .L2 +1630:.\Generated_Source\PSoC5/cyPm.c **** { +1631:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.boostRefExt = CY_PM_ENABLED; + 225 .loc 1 1631 0 + 226 0114 0121 movs r1, #1 + 227 0116 83F82E10 strb r1, [r3, #46] +1632:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_BOOST_CR2_REG &= ((uint8)(~CY_PM_BOOST_CR2_EREFSEL_EXT)); + 228 .loc 1 1632 0 + 229 011a 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 230 011c 03F0F702 and r2, r3, #247 + 231 0120 0270 strb r2, [r0, #0] + 232 0122 BDE8F08F pop {r4, r5, r6, r7, r8, r9, sl, fp, pc} + 233 .L2: +1633:.\Generated_Source\PSoC5/cyPm.c **** } +1634:.\Generated_Source\PSoC5/cyPm.c **** else +1635:.\Generated_Source\PSoC5/cyPm.c **** { +1636:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.boostRefExt = CY_PM_DISABLED; + 234 .loc 1 1636 0 + 235 0126 83F82E20 strb r2, [r3, #46] + 236 012a BDE8F08F pop {r4, r5, r6, r7, r8, r9, sl, fp, pc} + 237 .L6: + 238 012e 00BF .align 2 + 239 .L5: + 240 0130 00000000 .word .LANCHOR0 + 241 0134 025A0040 .word 1073764866 + 242 0138 035A0040 .word 1073764867 + 243 013c 335A0040 .word 1073764915 + 244 0140 365A0040 .word 1073764918 + 245 0144 345A0040 .word 1073764916 + 246 0148 385A0040 .word 1073764920 + 247 014c 22430040 .word 1073759010 + 248 0150 005A0040 .word 1073764864 + 249 0154 265A0040 .word 1073764902 + 250 0158 285A0040 .word 1073764904 + 251 015c 2A5A0040 .word 1073764906 + 252 0160 305A0040 .word 1073764912 + 253 .cfi_endproc + 254 .LFE11: + 255 .size CyPmHibSlpSaveSet, .-CyPmHibSlpSaveSet + 256 .section .text.CyPmHibSlpRestore,"ax",%progbits + 257 .align 1 + 258 .thumb + 259 .thumb_func + 260 .type CyPmHibSlpRestore, %function + 261 CyPmHibSlpRestore: + 262 .LFB12: +1637:.\Generated_Source\PSoC5/cyPm.c **** } +1638:.\Generated_Source\PSoC5/cyPm.c **** } +1639:.\Generated_Source\PSoC5/cyPm.c **** +1640:.\Generated_Source\PSoC5/cyPm.c **** +1641:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1642:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHibSlpRestore +1643:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 35 + + +1644:.\Generated_Source\PSoC5/cyPm.c **** * +1645:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1646:.\Generated_Source\PSoC5/cyPm.c **** * This API is used for restoring device configurations after wakeup from Sleep +1647:.\Generated_Source\PSoC5/cyPm.c **** * and Hibernate low power modes: +1648:.\Generated_Source\PSoC5/cyPm.c **** * - Restores SC/CT routing connections +1649:.\Generated_Source\PSoC5/cyPm.c **** * - Restores enable state of Serial Wire Viewer (SWV) (PSoC 3) +1650:.\Generated_Source\PSoC5/cyPm.c **** * - Restore boost reference selection +1651:.\Generated_Source\PSoC5/cyPm.c **** * +1652:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1653:.\Generated_Source\PSoC5/cyPm.c **** * None +1654:.\Generated_Source\PSoC5/cyPm.c **** * +1655:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1656:.\Generated_Source\PSoC5/cyPm.c **** * None +1657:.\Generated_Source\PSoC5/cyPm.c **** * +1658:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1659:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHibSlpRestore(void) +1660:.\Generated_Source\PSoC5/cyPm.c **** { + 263 .loc 1 1660 0 + 264 .cfi_startproc + 265 @ args = 0, pretend = 0, frame = 0 + 266 @ frame_needed = 0, uses_anonymous_args = 0 + 267 @ link register save eliminated. +1661:.\Generated_Source\PSoC5/cyPm.c **** /* Restore SC/CT routing registers */ +1662:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW0 , cyPmBackup.scctData[0u] ); + 268 .loc 1 1662 0 + 269 0000 254B ldr r3, .L9 + 270 0002 264A ldr r2, .L9+4 + 271 0004 597A ldrb r1, [r3, #9] @ zero_extendqisi2 + 272 0006 1170 strb r1, [r2, #0] +1663:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW2 , cyPmBackup.scctData[1u] ); + 273 .loc 1 1663 0 + 274 0008 987A ldrb r0, [r3, #10] @ zero_extendqisi2 + 275 000a 9070 strb r0, [r2, #2] +1664:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW3 , cyPmBackup.scctData[2u] ); + 276 .loc 1 1664 0 + 277 000c D97A ldrb r1, [r3, #11] @ zero_extendqisi2 + 278 000e D170 strb r1, [r2, #3] +1665:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW4 , cyPmBackup.scctData[3u] ); + 279 .loc 1 1665 0 + 280 0010 187B ldrb r0, [r3, #12] @ zero_extendqisi2 + 281 0012 1071 strb r0, [r2, #4] +1666:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW6 , cyPmBackup.scctData[4u] ); + 282 .loc 1 1666 0 + 283 0014 597B ldrb r1, [r3, #13] @ zero_extendqisi2 + 284 0016 9171 strb r1, [r2, #6] +1667:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW8 , cyPmBackup.scctData[5u] ); + 285 .loc 1 1667 0 + 286 0018 987B ldrb r0, [r3, #14] @ zero_extendqisi2 + 287 001a 1072 strb r0, [r2, #8] +1668:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC0_SW10, cyPmBackup.scctData[6u] ); + 288 .loc 1 1668 0 + 289 001c D97B ldrb r1, [r3, #15] @ zero_extendqisi2 + 290 001e 9172 strb r1, [r2, #10] +1669:.\Generated_Source\PSoC5/cyPm.c **** +1670:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW0 , cyPmBackup.scctData[7u] ); + 291 .loc 1 1670 0 + 292 0020 187C ldrb r0, [r3, #16] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 36 + + + 293 0022 1074 strb r0, [r2, #16] +1671:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW2 , cyPmBackup.scctData[8u] ); + 294 .loc 1 1671 0 + 295 0024 597C ldrb r1, [r3, #17] @ zero_extendqisi2 + 296 0026 9174 strb r1, [r2, #18] +1672:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW3 , cyPmBackup.scctData[9u] ); + 297 .loc 1 1672 0 + 298 0028 987C ldrb r0, [r3, #18] @ zero_extendqisi2 + 299 002a D074 strb r0, [r2, #19] +1673:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW4 , cyPmBackup.scctData[10u]); + 300 .loc 1 1673 0 + 301 002c D97C ldrb r1, [r3, #19] @ zero_extendqisi2 + 302 002e 1175 strb r1, [r2, #20] +1674:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW6 , cyPmBackup.scctData[11u]); + 303 .loc 1 1674 0 + 304 0030 187D ldrb r0, [r3, #20] @ zero_extendqisi2 + 305 0032 9075 strb r0, [r2, #22] +1675:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW8 , cyPmBackup.scctData[12u]); + 306 .loc 1 1675 0 + 307 0034 597D ldrb r1, [r3, #21] @ zero_extendqisi2 + 308 0036 1832 adds r2, r2, #24 + 309 0038 1170 strb r1, [r2, #0] +1676:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC1_SW10, cyPmBackup.scctData[13u]); + 310 .loc 1 1676 0 + 311 003a 987D ldrb r0, [r3, #22] @ zero_extendqisi2 + 312 003c 9070 strb r0, [r2, #2] +1677:.\Generated_Source\PSoC5/cyPm.c **** +1678:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW0 , cyPmBackup.scctData[14u]); + 313 .loc 1 1678 0 + 314 003e D97D ldrb r1, [r3, #23] @ zero_extendqisi2 + 315 0040 1172 strb r1, [r2, #8] +1679:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW2 , cyPmBackup.scctData[15u]); + 316 .loc 1 1679 0 + 317 0042 187E ldrb r0, [r3, #24] @ zero_extendqisi2 + 318 0044 9072 strb r0, [r2, #10] +1680:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW3 , cyPmBackup.scctData[16u]); + 319 .loc 1 1680 0 + 320 0046 597E ldrb r1, [r3, #25] @ zero_extendqisi2 + 321 0048 D172 strb r1, [r2, #11] +1681:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW4 , cyPmBackup.scctData[17u]); + 322 .loc 1 1681 0 + 323 004a 987E ldrb r0, [r3, #26] @ zero_extendqisi2 + 324 004c 1073 strb r0, [r2, #12] +1682:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW6 , cyPmBackup.scctData[18u]); + 325 .loc 1 1682 0 + 326 004e D97E ldrb r1, [r3, #27] @ zero_extendqisi2 + 327 0050 9173 strb r1, [r2, #14] +1683:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW8 , cyPmBackup.scctData[19u]); + 328 .loc 1 1683 0 + 329 0052 187F ldrb r0, [r3, #28] @ zero_extendqisi2 + 330 0054 1074 strb r0, [r2, #16] +1684:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC2_SW10, cyPmBackup.scctData[20u]); + 331 .loc 1 1684 0 + 332 0056 597F ldrb r1, [r3, #29] @ zero_extendqisi2 + 333 0058 9174 strb r1, [r2, #18] +1685:.\Generated_Source\PSoC5/cyPm.c **** +1686:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW0 , cyPmBackup.scctData[21u]); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 37 + + + 334 .loc 1 1686 0 + 335 005a 987F ldrb r0, [r3, #30] @ zero_extendqisi2 + 336 005c 1076 strb r0, [r2, #24] +1687:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW2 , cyPmBackup.scctData[22u]); + 337 .loc 1 1687 0 + 338 005e D97F ldrb r1, [r3, #31] @ zero_extendqisi2 + 339 0060 9176 strb r1, [r2, #26] +1688:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW3 , cyPmBackup.scctData[23u]); + 340 .loc 1 1688 0 + 341 0062 93F82000 ldrb r0, [r3, #32] @ zero_extendqisi2 + 342 0066 D076 strb r0, [r2, #27] +1689:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW4 , cyPmBackup.scctData[24u]); + 343 .loc 1 1689 0 + 344 0068 93F82110 ldrb r1, [r3, #33] @ zero_extendqisi2 + 345 006c 1177 strb r1, [r2, #28] +1690:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW6 , cyPmBackup.scctData[25u]); + 346 .loc 1 1690 0 + 347 006e 93F82200 ldrb r0, [r3, #34] @ zero_extendqisi2 + 348 0072 9077 strb r0, [r2, #30] +1691:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW8 , cyPmBackup.scctData[26u]); + 349 .loc 1 1691 0 + 350 0074 93F82310 ldrb r1, [r3, #35] @ zero_extendqisi2 + 351 0078 82F82010 strb r1, [r2, #32] +1692:.\Generated_Source\PSoC5/cyPm.c **** CY_SET_REG8(CYREG_SC3_SW10, cyPmBackup.scctData[27u]); + 352 .loc 1 1692 0 + 353 007c 93F82400 ldrb r0, [r3, #36] @ zero_extendqisi2 + 354 0080 82F82200 strb r0, [r2, #34] +1693:.\Generated_Source\PSoC5/cyPm.c **** +1694:.\Generated_Source\PSoC5/cyPm.c **** +1695:.\Generated_Source\PSoC5/cyPm.c **** #if(CY_PSOC3) +1696:.\Generated_Source\PSoC5/cyPm.c **** +1697:.\Generated_Source\PSoC5/cyPm.c **** /* Serial Wire Viewer (SWV) workaround */ +1698:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.swvClkEnabled) +1699:.\Generated_Source\PSoC5/cyPm.c **** { +1700:.\Generated_Source\PSoC5/cyPm.c **** /* Restore ports drive mode */ +1701:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | +1702:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.prt1Dm; +1703:.\Generated_Source\PSoC5/cyPm.c **** +1704:.\Generated_Source\PSoC5/cyPm.c **** /* Enable SWV clocks */ +1705:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MLOGIC_DBG_REG |= CY_PM_MLOGIC_DBG_SWV_CLK_EN; +1706:.\Generated_Source\PSoC5/cyPm.c **** } +1707:.\Generated_Source\PSoC5/cyPm.c **** +1708:.\Generated_Source\PSoC5/cyPm.c **** #endif /* (CY_PSOC3) */ +1709:.\Generated_Source\PSoC5/cyPm.c **** +1710:.\Generated_Source\PSoC5/cyPm.c **** +1711:.\Generated_Source\PSoC5/cyPm.c **** /* Restore boost reference */ +1712:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.boostRefExt) + 355 .loc 1 1712 0 + 356 0084 93F82E30 ldrb r3, [r3, #46] @ zero_extendqisi2 + 357 0088 012B cmp r3, #1 + 358 008a 04D1 bne .L7 +1713:.\Generated_Source\PSoC5/cyPm.c **** { +1714:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_BOOST_CR2_REG |= CY_PM_BOOST_CR2_EREFSEL_EXT; + 359 .loc 1 1714 0 + 360 008c 0449 ldr r1, .L9+8 + 361 008e 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 362 0090 42F00800 orr r0, r2, #8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 38 + + + 363 0094 0870 strb r0, [r1, #0] + 364 .L7: + 365 0096 7047 bx lr + 366 .L10: + 367 .align 2 + 368 .L9: + 369 0098 00000000 .word .LANCHOR0 + 370 009c 005A0040 .word 1073764864 + 371 00a0 22430040 .word 1073759010 + 372 .cfi_endproc + 373 .LFE12: + 374 .size CyPmHibSlpRestore, .-CyPmHibSlpRestore + 375 .section .text.CyPmSaveClocks,"ax",%progbits + 376 .align 1 + 377 .global CyPmSaveClocks + 378 .thumb + 379 .thumb_func + 380 .type CyPmSaveClocks, %function + 381 CyPmSaveClocks: + 382 .LFB0: + 81:.\Generated_Source\PSoC5/cyPm.c **** { + 383 .loc 1 81 0 + 384 .cfi_startproc + 385 @ args = 0, pretend = 0, frame = 0 + 386 @ frame_needed = 0, uses_anonymous_args = 0 + 387 0000 10B5 push {r4, lr} + 388 .LCFI1: + 389 .cfi_def_cfa_offset 8 + 390 .cfi_offset 4, -8 + 391 .cfi_offset 14, -4 + 83:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.enClkA = CY_PM_ACT_CFG1_REG & CY_PM_ACT_EN_CLK_A_MASK; + 392 .loc 1 83 0 + 393 0002 5E4A ldr r2, .L46 + 394 0004 5E4C ldr r4, .L46+4 + 395 0006 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 396 0008 03F00F00 and r0, r3, #15 + 84:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.enClkD = CY_PM_ACT_CFG2_REG; + 397 .loc 1 84 0 + 398 000c 5D4B ldr r3, .L46+8 + 83:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.enClkA = CY_PM_ACT_CFG1_REG & CY_PM_ACT_EN_CLK_A_MASK; + 399 .loc 1 83 0 + 400 000e 84F83000 strb r0, [r4, #48] + 84:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.enClkD = CY_PM_ACT_CFG2_REG; + 401 .loc 1 84 0 + 402 0012 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 403 0014 84F83110 strb r1, [r4, #49] + 85:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG1_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_A_MASK)); + 404 .loc 1 85 0 + 405 0018 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 406 001a 00F0F001 and r1, r0, #240 + 407 001e 1170 strb r1, [r2, #0] + 86:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG2_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_D_MASK)); + 408 .loc 1 86 0 + 409 0020 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 410 0022 0022 movs r2, #0 + 411 0024 1A70 strb r2, [r3, #0] + 89:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.flashWaitCycles = CY_PM_CACHE_CR_CYCLES_MASK & CY_PM_CACHE_CR_REG; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 39 + + + 412 .loc 1 89 0 + 413 0026 584B ldr r3, .L46+12 + 414 0028 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 415 002a 00F0C001 and r1, r0, #192 + 90:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + 416 .loc 1 90 0 + 417 002e 3720 movs r0, #55 + 89:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.flashWaitCycles = CY_PM_CACHE_CR_CYCLES_MASK & CY_PM_CACHE_CR_REG; + 418 .loc 1 89 0 + 419 0030 84F83510 strb r1, [r4, #53] + 90:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + 420 .loc 1 90 0 + 421 0034 FFF7FEFF bl CyFlash_SetWaitCycles + 422 .LVL0: + 93:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + 423 .loc 1 93 0 + 424 0038 544B ldr r3, .L46+16 + 425 003a 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 426 003c 02F00700 and r0, r2, #7 + 427 0040 84F83300 strb r0, [r4, #51] + 94:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoUsbClk = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_USB; + 428 .loc 1 94 0 + 429 0044 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 430 0046 01F04002 and r2, r1, #64 + 431 004a 84F83420 strb r2, [r4, #52] + 97:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + 432 .loc 1 97 0 + 433 004e 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 434 0050 13F01000 ands r0, r3, #16 + 100:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imo2x = CY_PM_ENABLED; + 435 .loc 1 100 0 + 436 0054 18BF it ne + 437 0056 0120 movne r0, #1 + 105:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imo2x = CY_PM_DISABLED; + 438 .loc 1 105 0 + 439 0058 84F83900 strb r0, [r4, #57] + 109:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetFreq(CY_PM_IMO_FREQ_LPM); + 440 .loc 1 109 0 + 441 005c 0420 movs r0, #4 + 442 005e FFF7FEFF bl CyIMO_SetFreq + 443 .LVL1: + 112:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG)) + 444 .loc 1 112 0 + 445 0062 4B49 ldr r1, .L46+20 + 446 0064 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 447 0066 4649 ldr r1, .L46+4 + 448 0068 02F01003 and r3, r2, #16 + 449 006c 1846 mov r0, r3 + 450 006e 1BB1 cbz r3, .L14 + 115:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoEnable = CY_PM_ENABLED; + 451 .loc 1 115 0 + 452 0070 0120 movs r0, #1 + 453 0072 81F83600 strb r0, [r1, #54] + 454 0076 03E0 b .L15 + 455 .L14: + 120:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoEnable = CY_PM_DISABLED; + 456 .loc 1 120 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 40 + + + 457 0078 81F83630 strb r3, [r1, #54] + 123:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + 458 .loc 1 123 0 + 459 007c FFF7FEFF bl CyIMO_Start + 460 .LVL2: + 461 .L15: + 127:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_XCLKEN)) + 462 .loc 1 127 0 + 463 0080 424A ldr r2, .L46+16 + 464 0082 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 465 0084 13F02001 ands r1, r3, #32 + 466 0088 10D0 beq .L16 + 131:.\Generated_Source\PSoC5/cyPm.c **** (0u == (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO2X_SRC)) ? CY_IMO_SOURCE_DSI : CY_IMO_S + 467 .loc 1 131 0 + 468 008a 4FF04022 mov r2, #1073758208 + 469 008e 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 130:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoClkSrc = + 470 .loc 1 130 0 + 471 0090 03F04001 and r1, r3, #64 + 472 0094 C8B2 uxtb r0, r1 + 473 0096 3A4B ldr r3, .L46+4 + 474 0098 0028 cmp r0, #0 + 475 009a 14BF ite ne + 476 009c 0122 movne r2, #1 + 477 009e 0222 moveq r2, #2 + 134:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetSource(CY_IMO_SOURCE_IMO); + 478 .loc 1 134 0 + 479 00a0 0020 movs r0, #0 + 130:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoClkSrc = + 480 .loc 1 130 0 + 481 00a2 83F83720 strb r2, [r3, #55] + 134:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetSource(CY_IMO_SOURCE_IMO); + 482 .loc 1 134 0 + 483 00a6 FFF7FEFF bl CyIMO_SetSource + 484 .LVL3: + 485 00aa 02E0 b .L18 + 486 .L16: + 139:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.imoClkSrc = CY_IMO_SOURCE_IMO; + 487 .loc 1 139 0 + 488 00ac 3448 ldr r0, .L46+4 + 489 00ae 80F83710 strb r1, [r0, #55] + 490 .L18: + 143:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkImoSrc = CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK; + 491 .loc 1 143 0 + 492 00b2 4FF04020 mov r0, #1073758208 + 493 00b6 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 494 00b8 314B ldr r3, .L46+4 + 495 00ba 01F03002 and r2, r1, #48 + 496 00be 83F83820 strb r2, [r3, #56] + 146:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_CLKDIST_IMO_OUT_IMO != cyPmClockBackup.clkImoSrc) + 497 .loc 1 146 0 + 498 00c2 1AB1 cbz r2, .L19 + 149:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + 499 .loc 1 149 0 + 500 00c4 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 501 00c6 01F0CF02 and r2, r1, #207 + 502 00ca 0270 strb r2, [r0, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 41 + + + 503 .L19: + 154:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + 504 .loc 1 154 0 + 505 00cc 2F48 ldr r0, .L46+16 + 506 00ce 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 507 00d0 03F01001 and r1, r3, #16 + 508 00d4 CAB2 uxtb r2, r1 + 509 00d6 0AB1 cbz r2, .L20 + 156:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_DisableDoubler(); + 510 .loc 1 156 0 + 511 00d8 FFF7FEFF bl CyIMO_DisableDoubler + 512 .LVL4: + 513 .L20: + 160:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkSyncDiv = CY_PM_CLKDIST_MSTR0_REG; + 514 .loc 1 160 0 + 515 00dc 2D48 ldr r0, .L46+24 + 516 00de 2849 ldr r1, .L46+4 + 517 00e0 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 518 00e2 81F83A30 strb r3, [r1, #58] + 161:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_DIV_BY_ONE != cyPmClockBackup.clkSyncDiv) + 519 .loc 1 161 0 + 520 00e6 13B1 cbz r3, .L21 + 163:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetDivider(CY_PM_DIV_BY_ONE); + 521 .loc 1 163 0 + 522 00e8 0020 movs r0, #0 + 523 00ea FFF7FEFF bl CyMasterClk_SetDivider + 524 .LVL5: + 525 .L21: + 167:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.masterClkSrc = CY_PM_CLKDIST_MSTR1_REG & CY_PM_MASTER_CLK_SRC_MASK; + 526 .loc 1 167 0 + 527 00ee 2A4A ldr r2, .L46+28 + 528 00f0 2349 ldr r1, .L46+4 + 529 00f2 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 530 00f4 00F00303 and r3, r0, #3 + 531 00f8 81F83230 strb r3, [r1, #50] + 170:.\Generated_Source\PSoC5/cyPm.c **** if(CY_MASTER_SOURCE_IMO != cyPmClockBackup.masterClkSrc) + 532 .loc 1 170 0 + 533 00fc 13B1 cbz r3, .L22 + 172:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetSource(CY_MASTER_SOURCE_IMO); + 534 .loc 1 172 0 + 535 00fe 0020 movs r0, #0 + 536 0100 FFF7FEFF bl CyMasterClk_SetSource + 537 .LVL6: + 538 .L22: + 176:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkBusDiv = (uint16) ((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + 539 .loc 1 176 0 + 540 0104 254A ldr r2, .L46+32 + 177:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkBusDiv |= CY_PM_CLK_BUS_LSB_DIV_REG; + 541 .loc 1 177 0 + 542 0106 2649 ldr r1, .L46+36 + 176:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkBusDiv = (uint16) ((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + 543 .loc 1 176 0 + 544 0108 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 545 010a 1D4A ldr r2, .L46+4 + 546 010c 0302 lsls r3, r0, #8 + 547 010e 9387 strh r3, [r2, #60] @ movhi + 177:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkBusDiv |= CY_PM_CLK_BUS_LSB_DIV_REG; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 42 + + + 548 .loc 1 177 0 + 549 0110 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 550 0112 0343 orrs r3, r3, r0 + 551 0114 9387 strh r3, [r2, #60] @ movhi + 178:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_BUS_CLK_DIV_BY_ONE != cyPmClockBackup.clkBusDiv) + 552 .loc 1 178 0 + 553 0116 13B1 cbz r3, .L23 + 180:.\Generated_Source\PSoC5/cyPm.c **** CyBusClk_SetDivider(CY_PM_BUS_CLK_DIV_BY_ONE); + 554 .loc 1 180 0 + 555 0118 0020 movs r0, #0 + 556 011a FFF7FEFF bl CyBusClk_SetDivider + 557 .LVL7: + 558 .L23: + 184:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles((uint8)CY_PM_GET_CPU_FREQ_MHZ); + 559 .loc 1 184 0 + 560 011e 1B4B ldr r3, .L46+16 + 561 0120 2048 ldr r0, .L46+40 + 562 0122 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 563 0124 02F00701 and r1, r2, #7 + 564 0128 405C ldrb r0, [r0, r1] @ zero_extendqisi2 + 565 012a FFF7FEFF bl CyFlash_SetWaitCycles + 566 .LVL8: + 187:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_PLL_CFG0_REG & CY_PM_PLL_CFG0_ENABLE)) + 567 .loc 1 187 0 + 568 012e 1E4B ldr r3, .L46+44 + 569 0130 1348 ldr r0, .L46+4 + 570 0132 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 571 0134 12F00101 ands r1, r2, #1 + 572 0138 05D0 beq .L24 + 190:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.pllEnableState = CY_PM_ENABLED; + 573 .loc 1 190 0 + 574 013a 0123 movs r3, #1 + 575 013c 80F83E30 strb r3, [r0, #62] + 191:.\Generated_Source\PSoC5/cyPm.c **** CyPLL_OUT_Stop(); + 576 .loc 1 191 0 + 577 0140 FFF7FEFF bl CyPLL_OUT_Stop + 578 .LVL9: + 579 0144 01E0 b .L25 + 580 .L24: + 196:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.pllEnableState = CY_PM_DISABLED; + 581 .loc 1 196 0 + 582 0146 80F83E10 strb r1, [r0, #62] + 583 .L25: + 200:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_ENABLE)) + 584 .loc 1 200 0 + 585 014a 184A ldr r2, .L46+48 + 586 014c 0C4B ldr r3, .L46+4 + 587 014e 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 588 0150 11F00100 ands r0, r1, #1 + 589 0154 05D0 beq .L26 + 203:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.xmhzEnableState = CY_PM_ENABLED; + 590 .loc 1 203 0 + 591 0156 0122 movs r2, #1 + 592 0158 83F83F20 strb r2, [r3, #63] + 204:.\Generated_Source\PSoC5/cyPm.c **** CyXTAL_Stop(); + 593 .loc 1 204 0 + 594 015c FFF7FEFF bl CyXTAL_Stop + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 43 + + + 595 .LVL10: + 596 0160 01E0 b .L27 + 597 .L26: + 209:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.xmhzEnableState = CY_PM_DISABLED; + 598 .loc 1 209 0 + 599 0162 83F83F00 strb r0, [r3, #63] + 600 .L27: + 218:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_CLKDIST_DELAY_REG & CY_PM_CLKDIST_DELAY_EN)) + 601 .loc 1 218 0 + 602 0166 1249 ldr r1, .L46+52 + 603 0168 054B ldr r3, .L46+4 + 604 016a 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 605 016c 10F00402 ands r2, r0, #4 + 220:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkDistDelay = CY_PM_ENABLED; + 606 .loc 1 220 0 + 607 0170 18BF it ne + 608 0172 0122 movne r2, #1 + 224:.\Generated_Source\PSoC5/cyPm.c **** cyPmClockBackup.clkDistDelay = CY_PM_DISABLED; + 609 .loc 1 224 0 + 610 0174 83F84020 strb r2, [r3, #64] + 611 0178 10BD pop {r4, pc} + 612 .L47: + 613 017a 00BF .align 2 + 614 .L46: + 615 017c A1430040 .word 1073759137 + 616 0180 00000000 .word .LANCHOR0 + 617 0184 A2430040 .word 1073759138 + 618 0188 00480040 .word 1073760256 + 619 018c 00420040 .word 1073758720 + 620 0190 A0430040 .word 1073759136 + 621 0194 04400040 .word 1073758212 + 622 0198 05400040 .word 1073758213 + 623 019c 07400040 .word 1073758215 + 624 01a0 06400040 .word 1073758214 + 625 01a4 00000000 .word .LANCHOR1 + 626 01a8 20420040 .word 1073758752 + 627 01ac 10420040 .word 1073758736 + 628 01b0 0B400040 .word 1073758219 + 629 .cfi_endproc + 630 .LFE0: + 631 .size CyPmSaveClocks, .-CyPmSaveClocks + 632 .section .text.CyPmRestoreClocks,"ax",%progbits + 633 .align 1 + 634 .global CyPmRestoreClocks + 635 .thumb + 636 .thumb_func + 637 .type CyPmRestoreClocks, %function + 638 CyPmRestoreClocks: + 639 .LFB1: + 257:.\Generated_Source\PSoC5/cyPm.c **** { + 640 .loc 1 257 0 + 641 .cfi_startproc + 642 @ args = 0, pretend = 0, frame = 8 + 643 @ frame_needed = 0, uses_anonymous_args = 0 + 644 .LVL11: + 264:.\Generated_Source\PSoC5/cyPm.c **** const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + 645 .loc 1 264 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 44 + + + 646 0000 774A ldr r2, .L76 + 257:.\Generated_Source\PSoC5/cyPm.c **** { + 647 .loc 1 257 0 + 648 0002 13B5 push {r0, r1, r4, lr} + 649 .LCFI2: + 650 .cfi_def_cfa_offset 16 + 651 .cfi_offset 0, -16 + 652 .cfi_offset 1, -12 + 653 .cfi_offset 4, -8 + 654 .cfi_offset 14, -4 + 264:.\Generated_Source\PSoC5/cyPm.c **** const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + 655 .loc 1 264 0 + 656 0004 1346 mov r3, r2 + 657 0006 53F8070F ldr r0, [r3, #7]! @ unaligned + 658 000a 9988 ldrh r1, [r3, #4] @ unaligned + 659 000c 9C79 ldrb r4, [r3, #6] @ zero_extendqisi2 + 269:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + 660 .loc 1 269 0 + 661 000e 754B ldr r3, .L76+4 + 264:.\Generated_Source\PSoC5/cyPm.c **** const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + 662 .loc 1 264 0 + 663 0010 0090 str r0, [sp, #0] @ unaligned + 269:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + 664 .loc 1 269 0 + 665 0012 93F84000 ldrb r0, [r3, #64] @ zero_extendqisi2 + 264:.\Generated_Source\PSoC5/cyPm.c **** const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + 666 .loc 1 264 0 + 667 0016 ADF80410 strh r1, [sp, #4] @ unaligned + 269:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + 668 .loc 1 269 0 + 669 001a 0128 cmp r0, #1 + 264:.\Generated_Source\PSoC5/cyPm.c **** const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + 670 .loc 1 264 0 + 671 001c 8DF80640 strb r4, [sp, #6] + 269:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + 672 .loc 1 269 0 + 673 0020 0DD1 bne .L49 + 273:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_GET_CPU_FREQ_MHZ); + 674 .loc 1 273 0 + 675 0022 7149 ldr r1, .L76+8 + 272:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)(CY_PM_CLK_DELAY_BANDGAP_SETTLE_US + CY_PM_CLK_DELAY_BIAS_SETTLE_US) + 676 .loc 1 272 0 + 677 0024 4B20 movs r0, #75 + 273:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_GET_CPU_FREQ_MHZ); + 678 .loc 1 273 0 + 679 0026 0C78 ldrb r4, [r1, #0] @ zero_extendqisi2 + 680 0028 04F00703 and r3, r4, #7 + 272:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)(CY_PM_CLK_DELAY_BANDGAP_SETTLE_US + CY_PM_CLK_DELAY_BIAS_SETTLE_US) + 681 .loc 1 272 0 + 682 002c D25C ldrb r2, [r2, r3] @ zero_extendqisi2 + 683 002e 5043 muls r0, r2, r0 + 684 0030 FFF7FEFF bl CyDelayCycles + 685 .LVL12: + 275:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_DELAY_REG |= CY_PM_CLKDIST_DELAY_EN; + 686 .loc 1 275 0 + 687 0034 6D48 ldr r0, .L76+12 + 688 0036 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 45 + + + 689 0038 41F00404 orr r4, r1, #4 + 690 003c 0470 strb r4, [r0, #0] + 691 .L49: + 279:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) + 692 .loc 1 279 0 + 693 003e 694B ldr r3, .L76+4 + 694 0040 93F83F20 ldrb r2, [r3, #63] @ zero_extendqisi2 + 695 0044 012A cmp r2, #1 + 696 0046 09D0 beq .L50 + 697 .L53: + 325:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_MASTER_CLK_SRC_XTAL == cyPmClockBackup.masterClkSrc) || + 698 .loc 1 325 0 + 699 0048 664C ldr r4, .L76+4 + 322:.\Generated_Source\PSoC5/cyPm.c **** CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + 700 .loc 1 322 0 + 701 004a 3720 movs r0, #55 + 702 004c FFF7FEFF bl CyFlash_SetWaitCycles + 703 .LVL13: + 325:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_MASTER_CLK_SRC_XTAL == cyPmClockBackup.masterClkSrc) || + 704 .loc 1 325 0 + 705 0050 94F83220 ldrb r2, [r4, #50] @ zero_extendqisi2 + 706 0054 911E subs r1, r2, #2 + 707 0056 0129 cmp r1, #1 + 708 0058 25D8 bhi .L52 + 709 005a 18E0 b .L75 + 710 .L50: + 289:.\Generated_Source\PSoC5/cyPm.c **** (void) CyXTAL_Start(CY_PM_XTAL_MHZ_NO_WAIT); + 711 .loc 1 289 0 + 712 005c 0020 movs r0, #0 + 713 005e FFF7FEFF bl CyXTAL_Start + 714 .LVL14: + 292:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_FASTCLK_XMHZ_CSR_REG; + 715 .loc 1 292 0 + 716 0062 6348 ldr r0, .L76+16 + 717 0064 0524 movs r4, #5 + 718 0066 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 719 .LVL15: + 720 .L54: + 298:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)CY_PM_WAIT_200_US * CY_PM_GET_CPU_FREQ_MHZ); + 721 .loc 1 298 0 + 722 0068 5F49 ldr r1, .L76+8 + 723 006a 5D4A ldr r2, .L76 + 724 006c 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 725 006e 03F00700 and r0, r3, #7 + 726 0072 115C ldrb r1, [r2, r0] @ zero_extendqisi2 + 727 0074 C820 movs r0, #200 + 728 0076 4843 muls r0, r1, r0 + 729 0078 FFF7FEFF bl CyDelayCycles + 730 .LVL16: + 301:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_XERR)) + 731 .loc 1 301 0 + 732 007c 5C4B ldr r3, .L76+16 + 733 007e 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 734 0080 0306 lsls r3, r0, #24 + 735 0082 E1D5 bpl .L53 + 736 0084 013C subs r4, r4, #1 + 737 0086 A4B2 uxth r4, r4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 46 + + + 295:.\Generated_Source\PSoC5/cyPm.c **** for(i = CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US; i > 0u; i--) + 738 .loc 1 295 0 + 739 0088 002C cmp r4, #0 + 740 008a EDD1 bne .L54 + 741 008c DCE7 b .L53 + 742 .L75: + 329:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + 743 .loc 1 329 0 + 744 008e 594B ldr r3, .L76+20 + 745 0090 94F83A00 ldrb r0, [r4, #58] @ zero_extendqisi2 + 746 0094 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 747 0096 8242 cmp r2, r0 + 748 0098 01D0 beq .L55 + 332:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + 749 .loc 1 332 0 + 750 009a FFF7FEFF bl CyMasterClk_SetDivider + 751 .LVL17: + 752 .L55: + 336:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + 753 .loc 1 336 0 + 754 009e 94F83200 ldrb r0, [r4, #50] @ zero_extendqisi2 + 755 00a2 FFF7FEFF bl CyMasterClk_SetSource + 756 .LVL18: + 757 .L52: + 340:.\Generated_Source\PSoC5/cyPm.c **** if((0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) && + 758 .loc 1 340 0 + 759 00a6 4F48 ldr r0, .L76+4 + 760 00a8 90F83440 ldrb r4, [r0, #52] @ zero_extendqisi2 + 761 00ac 04F04001 and r1, r4, #64 + 762 00b0 CBB2 uxtb r3, r1 + 763 00b2 0446 mov r4, r0 + 764 00b4 5BB1 cbz r3, .L56 + 341:.\Generated_Source\PSoC5/cyPm.c **** (CY_IMO_FREQ_24MHZ == cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq])) + 765 .loc 1 341 0 discriminator 1 + 766 00b6 90F83300 ldrb r0, [r0, #51] @ zero_extendqisi2 + 767 00ba 02AA add r2, sp, #8 + 768 00bc 1118 adds r1, r2, r0 + 340:.\Generated_Source\PSoC5/cyPm.c **** if((0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) && + 769 .loc 1 340 0 discriminator 1 + 770 00be 11F8083C ldrb r3, [r1, #-8] @ zero_extendqisi2 + 771 00c2 032B cmp r3, #3 + 772 00c4 03D1 bne .L56 + 344:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetFreq(CY_IMO_FREQ_USB); + 773 .loc 1 344 0 + 774 00c6 0820 movs r0, #8 + 775 00c8 FFF7FEFF bl CyIMO_SetFreq + 776 .LVL19: + 777 00cc 16E0 b .L57 + 778 .L56: + 349:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetFreq(cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq]); + 779 .loc 1 349 0 + 780 00ce 94F83300 ldrb r0, [r4, #51] @ zero_extendqisi2 + 781 00d2 02AA add r2, sp, #8 + 782 00d4 1118 adds r1, r2, r0 + 783 00d6 11F8080C ldrb r0, [r1, #-8] @ zero_extendqisi2 + 784 00da FFF7FEFF bl CyIMO_SetFreq + 785 .LVL20: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 47 + + + 351:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) + 786 .loc 1 351 0 + 787 00de 94F83440 ldrb r4, [r4, #52] @ zero_extendqisi2 + 788 00e2 4149 ldr r1, .L76+8 + 789 00e4 04F04003 and r3, r4, #64 + 790 00e8 D8B2 uxtb r0, r3 + 791 00ea 18B1 cbz r0, .L58 + 353:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG |= CY_PM_FASTCLK_IMO_CR_USB; + 792 .loc 1 353 0 + 793 00ec 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 794 00ee 43F04004 orr r4, r3, #64 + 795 00f2 02E0 b .L74 + 796 .L58: + 357:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_PM_FASTCLK_IMO_CR_USB)); + 797 .loc 1 357 0 + 798 00f4 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 799 00f6 02F0BF04 and r4, r2, #191 + 800 .L74: + 801 00fa 0C70 strb r4, [r1, #0] + 802 .L57: + 362:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_ENABLED == cyPmClockBackup.imoEnable) && + 803 .loc 1 362 0 + 804 00fc 3948 ldr r0, .L76+4 + 805 00fe 90F83610 ldrb r1, [r0, #54] @ zero_extendqisi2 + 806 0102 0129 cmp r1, #1 + 807 0104 07D1 bne .L59 + 363:.\Generated_Source\PSoC5/cyPm.c **** (0u == (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + 808 .loc 1 363 0 discriminator 1 + 809 0106 3C4A ldr r2, .L76+24 + 810 0108 1478 ldrb r4, [r2, #0] @ zero_extendqisi2 + 362:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_ENABLED == cyPmClockBackup.imoEnable) && + 811 .loc 1 362 0 discriminator 1 + 812 010a 04F01003 and r3, r4, #16 + 813 010e D8B2 uxtb r0, r3 + 814 0110 08B9 cbnz r0, .L59 + 366:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + 815 .loc 1 366 0 + 816 0112 FFF7FEFF bl CyIMO_Start + 817 .LVL21: + 818 .L59: + 370:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_DISABLED == cyPmClockBackup.imoEnable) && + 819 .loc 1 370 0 + 820 0116 3348 ldr r0, .L76+4 + 821 0118 90F83610 ldrb r1, [r0, #54] @ zero_extendqisi2 + 822 011c 39B9 cbnz r1, .L60 + 371:.\Generated_Source\PSoC5/cyPm.c **** (0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + 823 .loc 1 371 0 discriminator 1 + 824 011e 364A ldr r2, .L76+24 + 825 0120 1478 ldrb r4, [r2, #0] @ zero_extendqisi2 + 370:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_DISABLED == cyPmClockBackup.imoEnable) && + 826 .loc 1 370 0 discriminator 1 + 827 0122 04F01003 and r3, r4, #16 + 828 0126 D8B2 uxtb r0, r3 + 829 0128 08B1 cbz r0, .L60 + 373:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_Stop(); + 830 .loc 1 373 0 + 831 012a FFF7FEFF bl CyIMO_Stop + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 48 + + + 832 .LVL22: + 833 .L60: + 377:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_SetSource(cyPmClockBackup.imoClkSrc); + 834 .loc 1 377 0 + 835 012e 2D4C ldr r4, .L76+4 + 836 0130 94F83700 ldrb r0, [r4, #55] @ zero_extendqisi2 + 837 0134 FFF7FEFF bl CyIMO_SetSource + 838 .LVL23: + 380:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.imo2x) + 839 .loc 1 380 0 + 840 0138 94F83910 ldrb r1, [r4, #57] @ zero_extendqisi2 + 841 013c 0129 cmp r1, #1 + 842 013e 01D1 bne .L61 + 382:.\Generated_Source\PSoC5/cyPm.c **** CyIMO_EnableDoubler(); + 843 .loc 1 382 0 + 844 0140 FFF7FEFF bl CyIMO_EnableDoubler + 845 .LVL24: + 846 .L61: + 386:.\Generated_Source\PSoC5/cyPm.c **** if(cyPmClockBackup.clkImoSrc != (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK)) + 847 .loc 1 386 0 + 848 0144 4FF04023 mov r3, #1073758208 + 849 0148 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 850 014a 94F83820 ldrb r2, [r4, #56] @ zero_extendqisi2 + 851 014e 00F03004 and r4, r0, #48 + 852 0152 A242 cmp r2, r4 + 853 0154 04D0 beq .L62 + 388:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + 854 .loc 1 388 0 + 855 0156 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 856 0158 21F03000 bic r0, r1, #48 + 857 015c 0243 orrs r2, r2, r0 + 858 015e 1A70 strb r2, [r3, #0] + 859 .L62: + 393:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmClockBackup.pllEnableState) + 860 .loc 1 393 0 + 861 0160 204B ldr r3, .L76+4 + 862 0162 93F83E40 ldrb r4, [r3, #62] @ zero_extendqisi2 + 863 0166 012C cmp r4, #1 + 864 0168 0CD1 bne .L63 + 403:.\Generated_Source\PSoC5/cyPm.c **** (void) CyPLL_OUT_Start(CY_PM_PLL_OUT_NO_WAIT); + 865 .loc 1 403 0 + 866 016a 0020 movs r0, #0 + 867 016c FFF7FEFF bl CyPLL_OUT_Start + 868 .LVL25: + 406:.\Generated_Source\PSoC5/cyPm.c **** CyDelayCycles((uint32)CY_PM_WAIT_250_US * CY_PM_GET_CPU_FREQ_MHZ); + 869 .loc 1 406 0 + 870 0170 1D49 ldr r1, .L76+8 + 871 0172 1B4A ldr r2, .L76 + 872 0174 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 873 0176 00F00703 and r3, r0, #7 + 874 017a D45C ldrb r4, [r2, r3] @ zero_extendqisi2 + 875 017c FA20 movs r0, #250 + 876 017e 6043 muls r0, r4, r0 + 877 0180 FFF7FEFF bl CyDelayCycles + 878 .LVL26: + 879 .L63: + 411:.\Generated_Source\PSoC5/cyPm.c **** if((CY_PM_MASTER_CLK_SRC_IMO == cyPmClockBackup.masterClkSrc) || + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 49 + + + 880 .loc 1 411 0 + 881 0184 174C ldr r4, .L76+4 + 882 0186 94F83210 ldrb r1, [r4, #50] @ zero_extendqisi2 + 883 018a 0129 cmp r1, #1 + 884 018c 0BD8 bhi .L64 + 415:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + 885 .loc 1 415 0 + 886 018e 1948 ldr r0, .L76+20 + 887 0190 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 888 0192 94F83A00 ldrb r0, [r4, #58] @ zero_extendqisi2 + 889 0196 8342 cmp r3, r0 + 890 0198 01D0 beq .L65 + 417:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + 891 .loc 1 417 0 + 892 019a FFF7FEFF bl CyMasterClk_SetDivider + 893 .LVL27: + 894 .L65: + 421:.\Generated_Source\PSoC5/cyPm.c **** CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + 895 .loc 1 421 0 + 896 019e 94F83200 ldrb r0, [r4, #50] @ zero_extendqisi2 + 897 01a2 FFF7FEFF bl CyMasterClk_SetSource + 898 .LVL28: + 899 .L64: + 425:.\Generated_Source\PSoC5/cyPm.c **** clkBusDivTmp = (uint16) ((uint16)CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + 900 .loc 1 425 0 + 901 01a6 154C ldr r4, .L76+28 + 902 01a8 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 903 .LVL29: + 426:.\Generated_Source\PSoC5/cyPm.c **** clkBusDivTmp |= CY_PM_CLK_BUS_LSB_DIV_REG; + 904 .loc 1 426 0 + 905 01aa 611E subs r1, r4, #1 + 427:.\Generated_Source\PSoC5/cyPm.c **** if(cyPmClockBackup.clkBusDiv != clkBusDivTmp) + 906 .loc 1 427 0 + 907 01ac 0D4C ldr r4, .L76+4 + 426:.\Generated_Source\PSoC5/cyPm.c **** clkBusDivTmp |= CY_PM_CLK_BUS_LSB_DIV_REG; + 908 .loc 1 426 0 + 909 01ae 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 + 910 .LVL30: + 427:.\Generated_Source\PSoC5/cyPm.c **** if(cyPmClockBackup.clkBusDiv != clkBusDivTmp) + 911 .loc 1 427 0 + 912 01b0 A08F ldrh r0, [r4, #60] + 913 01b2 43EA0222 orr r2, r3, r2, lsl #8 + 914 .LVL31: + 915 01b6 9042 cmp r0, r2 + 916 01b8 01D0 beq .L66 + 429:.\Generated_Source\PSoC5/cyPm.c **** CyBusClk_SetDivider(cyPmClockBackup.clkBusDiv); + 917 .loc 1 429 0 + 918 01ba FFF7FEFF bl CyBusClk_SetDivider + 919 .LVL32: + 920 .L66: + 433:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_CACHE_CR_REG = ((CY_PM_CACHE_CR_REG & ((uint8)(~CY_PM_CACHE_CR_CYCLES_MASK))) | + 921 .loc 1 433 0 + 922 01be 1048 ldr r0, .L76+32 + 923 01c0 94F83520 ldrb r2, [r4, #53] @ zero_extendqisi2 + 924 01c4 0178 ldrb r1, [r0, #0] @ zero_extendqisi2 + 925 01c6 01F03F03 and r3, r1, #63 + 926 01ca 1343 orrs r3, r3, r2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 50 + + + 927 01cc 0370 strb r3, [r0, #0] + 437:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG1_REG = cyPmClockBackup.enClkA; + 928 .loc 1 437 0 + 929 01ce 94F83000 ldrb r0, [r4, #48] @ zero_extendqisi2 + 930 01d2 0C49 ldr r1, .L76+36 + 931 01d4 0870 strb r0, [r1, #0] + 438:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_ACT_CFG2_REG = cyPmClockBackup.enClkD; + 932 .loc 1 438 0 + 933 01d6 94F83130 ldrb r3, [r4, #49] @ zero_extendqisi2 + 934 01da 4B70 strb r3, [r1, #1] + 439:.\Generated_Source\PSoC5/cyPm.c **** } + 935 .loc 1 439 0 + 936 01dc 1CBD pop {r2, r3, r4, pc} + 937 .L77: + 938 01de 00BF .align 2 + 939 .L76: + 940 01e0 00000000 .word .LANCHOR1 + 941 01e4 00000000 .word .LANCHOR0 + 942 01e8 00420040 .word 1073758720 + 943 01ec 0B400040 .word 1073758219 + 944 01f0 10420040 .word 1073758736 + 945 01f4 04400040 .word 1073758212 + 946 01f8 A0430040 .word 1073759136 + 947 01fc 07400040 .word 1073758215 + 948 0200 00480040 .word 1073760256 + 949 0204 A1430040 .word 1073759137 + 950 .cfi_endproc + 951 .LFE1: + 952 .size CyPmRestoreClocks, .-CyPmRestoreClocks + 953 .section .text.CyPmAltAct,"ax",%progbits + 954 .align 1 + 955 .global CyPmAltAct + 956 .thumb + 957 .thumb_func + 958 .type CyPmAltAct, %function + 959 CyPmAltAct: + 960 .LFB2: + 584:.\Generated_Source\PSoC5/cyPm.c **** { + 961 .loc 1 584 0 + 962 .cfi_startproc + 963 @ args = 0, pretend = 0, frame = 0 + 964 @ frame_needed = 0, uses_anonymous_args = 0 + 965 .LVL33: + 966 0000 30B5 push {r4, r5, lr} + 967 .LCFI3: + 968 .cfi_def_cfa_offset 12 + 969 .cfi_offset 4, -12 + 970 .cfi_offset 5, -8 + 971 .cfi_offset 14, -4 + 635:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 972 .loc 1 635 0 + 973 0002 124C ldr r4, .L79 + 974 0004 124B ldr r3, .L79+4 + 975 0006 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 636:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + 976 .loc 1 636 0 + 977 0008 0809 lsrs r0, r1, #4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 51 + + + 978 .LVL34: + 635:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 979 .loc 1 635 0 + 980 000a 1A71 strb r2, [r3, #4] + 636:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + 981 .loc 1 636 0 + 982 000c 2070 strb r0, [r4, #0] + 639:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 983 .loc 1 639 0 + 984 000e 1148 ldr r0, .L79+8 + 640:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + 985 .loc 1 640 0 + 986 0010 01F00F02 and r2, r1, #15 + 639:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 987 .loc 1 639 0 + 988 0014 0578 ldrb r5, [r0, #0] @ zero_extendqisi2 + 644:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + 989 .loc 1 644 0 + 990 0016 C1F30031 ubfx r1, r1, #12, #1 + 991 .LVL35: + 639:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 992 .loc 1 639 0 + 993 001a 5D71 strb r5, [r3, #5] + 640:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + 994 .loc 1 640 0 + 995 001c 0270 strb r2, [r0, #0] + 643:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 996 .loc 1 643 0 + 997 001e 0E4A ldr r2, .L79+12 + 998 0020 1578 ldrb r5, [r2, #0] @ zero_extendqisi2 + 999 0022 9D71 strb r5, [r3, #6] + 644:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + 1000 .loc 1 644 0 + 1001 0024 1170 strb r1, [r2, #0] + 648:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_A + 1002 .loc 1 648 0 + 1003 0026 0D49 ldr r1, .L79+16 + 1004 0028 0D78 ldrb r5, [r1, #0] @ zero_extendqisi2 + 1005 002a 05F0F805 and r5, r5, #248 + 1006 002e 45F00105 orr r5, r5, #1 + 1007 0032 0D70 strb r5, [r1, #0] + 651:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_MODE_CSR_REG; + 1008 .loc 1 651 0 + 1009 0034 0978 ldrb r1, [r1, #0] @ zero_extendqisi2 + 654:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 1010 .loc 1 654 0 + 1011 @ 654 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1012 0036 00BF NOP + 1013 + 1014 @ 0 "" 2 + 655:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 1015 .loc 1 655 0 + 1016 @ 655 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1017 0038 00BF NOP + 1018 + 1019 @ 0 "" 2 + 658:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WFI; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 52 + + + 1020 .loc 1 658 0 + 1021 @ 658 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1022 003a 30BF WFI + 1023 + 1024 @ 0 "" 2 + 663:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + 1025 .loc 1 663 0 + 1026 .thumb + 1027 003c 1979 ldrb r1, [r3, #4] @ zero_extendqisi2 + 1028 003e 2170 strb r1, [r4, #0] + 664:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + 1029 .loc 1 664 0 + 1030 0040 5979 ldrb r1, [r3, #5] @ zero_extendqisi2 + 1031 0042 0170 strb r1, [r0, #0] + 665:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + 1032 .loc 1 665 0 + 1033 0044 9B79 ldrb r3, [r3, #6] @ zero_extendqisi2 + 1034 0046 1370 strb r3, [r2, #0] + 1035 0048 30BD pop {r4, r5, pc} + 1036 .L80: + 1037 004a 00BF .align 2 + 1038 .L79: + 1039 004c 98430040 .word 1073759128 + 1040 0050 00000000 .word .LANCHOR0 + 1041 0054 99430040 .word 1073759129 + 1042 0058 9A430040 .word 1073759130 + 1043 005c 93430040 .word 1073759123 + 1044 .cfi_endproc + 1045 .LFE2: + 1046 .size CyPmAltAct, .-CyPmAltAct + 1047 .section .text.CyPmSleep,"ax",%progbits + 1048 .align 1 + 1049 .global CyPmSleep + 1050 .thumb + 1051 .thumb_func + 1052 .type CyPmSleep, %function + 1053 CyPmSleep: + 1054 .LFB3: + 788:.\Generated_Source\PSoC5/cyPm.c **** { + 1055 .loc 1 788 0 + 1056 .cfi_startproc + 1057 @ args = 0, pretend = 0, frame = 0 + 1058 @ frame_needed = 0, uses_anonymous_args = 0 + 1059 .LVL36: + 1060 0000 70B5 push {r4, r5, r6, lr} + 1061 .LCFI4: + 1062 .cfi_def_cfa_offset 16 + 1063 .cfi_offset 4, -16 + 1064 .cfi_offset 5, -12 + 1065 .cfi_offset 6, -8 + 1066 .cfi_offset 14, -4 + 788:.\Generated_Source\PSoC5/cyPm.c **** { + 1067 .loc 1 788 0 + 1068 0002 0C46 mov r4, r1 + 792:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); + 1069 .loc 1 792 0 + 1070 0004 FFF7FEFF bl CyEnterCriticalSection + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 53 + + + 1071 .LVL37: + 801:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + 1072 .loc 1 801 0 + 1073 0008 2D4B ldr r3, .L93 + 792:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); + 1074 .loc 1 792 0 + 1075 000a 0546 mov r5, r0 + 1076 .LVL38: + 801:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + 1077 .loc 1 801 0 + 1078 000c 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1079 000e 11F00806 ands r6, r1, #8 + 1080 0012 50D1 bne .L91 + 804:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + 1081 .loc 1 804 0 + 1082 0014 2B4A ldr r2, .L93+4 + 1083 0016 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 1084 .LVL39: + 1085 0018 00F01F03 and r3, r0, #31 + 1086 001c 1370 strb r3, [r2, #0] + 882:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpSaveSet(); + 1087 .loc 1 882 0 + 1088 001e FFF7FEFF bl CyPmHibSlpSaveSet + 1089 .LVL40: + 913:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 1090 .loc 1 913 0 + 1091 0022 294A ldr r2, .L93+8 + 1092 0024 2948 ldr r0, .L93+12 + 1093 0026 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 914:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + 1094 .loc 1 914 0 + 1095 0028 2309 lsrs r3, r4, #4 + 913:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 1096 .loc 1 913 0 + 1097 002a 0171 strb r1, [r0, #4] + 914:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + 1098 .loc 1 914 0 + 1099 002c 1370 strb r3, [r2, #0] + 917:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 1100 .loc 1 917 0 + 1101 002e 5178 ldrb r1, [r2, #1] @ zero_extendqisi2 + 918:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + 1102 .loc 1 918 0 + 1103 0030 04F00F03 and r3, r4, #15 + 917:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 1104 .loc 1 917 0 + 1105 0034 4171 strb r1, [r0, #5] + 918:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + 1106 .loc 1 918 0 + 1107 0036 5370 strb r3, [r2, #1] + 921:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 1108 .loc 1 921 0 + 1109 0038 9178 ldrb r1, [r2, #2] @ zero_extendqisi2 + 922:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + 1110 .loc 1 922 0 + 1111 003a C4F30034 ubfx r4, r4, #12, #1 + 921:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 54 + + + 1112 .loc 1 921 0 + 1113 003e 8171 strb r1, [r0, #6] + 922:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + 1114 .loc 1 922 0 + 1115 0040 9470 strb r4, [r2, #2] + 921:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 1116 .loc 1 921 0 + 1117 0042 0232 adds r2, r2, #2 + 935:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + 1118 .loc 1 935 0 + 1119 0044 A2F5CD72 sub r2, r2, #410 + 1120 0048 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 1121 004a 5907 lsls r1, r3, #29 + 1122 004c 03D1 bne .L92 + 1123 .L83: + 938:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + 1124 .loc 1 938 0 + 1125 004e 0122 movs r2, #1 + 1126 0050 80F82D20 strb r2, [r0, #45] + 1127 0054 0AE0 b .L85 + 1128 .L92: + 943:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + 1129 .loc 1 943 0 + 1130 0056 80F82D60 strb r6, [r0, #45] + 946:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + 1131 .loc 1 946 0 + 1132 005a 1178 ldrb r1, [r2, #0] @ zero_extendqisi2 + 1133 005c 01F00703 and r3, r1, #7 + 1134 0060 80F82C30 strb r3, [r0, #44] + 949:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + 1135 .loc 1 949 0 + 1136 0064 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 1137 0066 00F0F801 and r1, r0, #248 + 1138 006a 1170 strb r1, [r2, #0] + 1139 .L85: + 953:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_S + 1140 .loc 1 953 0 + 1141 006c 144B ldr r3, .L93 + 1142 006e 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1143 0070 00F0F801 and r1, r0, #248 + 1144 0074 41F00302 orr r2, r1, #3 + 1145 0078 1A70 strb r2, [r3, #0] + 956:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_MODE_CSR_REG; + 1146 .loc 1 956 0 + 1147 007a 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 959:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 1148 .loc 1 959 0 + 1149 @ 959 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1150 007c 00BF NOP + 1151 + 1152 @ 0 "" 2 + 960:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 1153 .loc 1 960 0 + 1154 @ 960 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1155 007e 00BF NOP + 1156 + 1157 @ 0 "" 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 55 + + + 963:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WFI; + 1158 .loc 1 963 0 + 1159 @ 963 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1160 0080 30BF WFI + 1161 + 1162 @ 0 "" 2 + 968:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + 1163 .loc 1 968 0 + 1164 .thumb + 1165 0082 1249 ldr r1, .L93+12 + 1166 0084 91F82D30 ldrb r3, [r1, #45] @ zero_extendqisi2 + 1167 0088 012B cmp r3, #1 + 1168 008a 08D0 beq .L86 + 970:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ + 1169 .loc 1 970 0 + 1170 008c 104B ldr r3, .L93+16 + 1171 008e 91F82C10 ldrb r1, [r1, #44] @ zero_extendqisi2 + 1172 0092 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1173 0094 20F00702 bic r2, r0, #7 + 1174 0098 42EA0100 orr r0, r2, r1 + 1175 009c 1870 strb r0, [r3, #0] + 1176 .L86: + 985:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpRestore(); + 1177 .loc 1 985 0 + 1178 009e FFF7FEFF bl CyPmHibSlpRestore + 1179 .LVL41: +1007:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + 1180 .loc 1 1007 0 + 1181 00a2 0A4B ldr r3, .L93+12 + 1182 00a4 084A ldr r2, .L93+8 + 1183 00a6 1979 ldrb r1, [r3, #4] @ zero_extendqisi2 + 1184 00a8 1170 strb r1, [r2, #0] +1008:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + 1185 .loc 1 1008 0 + 1186 00aa 5879 ldrb r0, [r3, #5] @ zero_extendqisi2 + 1187 00ac 5070 strb r0, [r2, #1] +1009:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + 1188 .loc 1 1009 0 + 1189 00ae 9979 ldrb r1, [r3, #6] @ zero_extendqisi2 + 1190 00b0 084B ldr r3, .L93+20 +1012:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); + 1191 .loc 1 1012 0 + 1192 00b2 2846 mov r0, r5 +1009:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + 1193 .loc 1 1009 0 + 1194 00b4 1970 strb r1, [r3, #0] + 1195 .LVL42: + 1196 .L91: +1013:.\Generated_Source\PSoC5/cyPm.c **** } + 1197 .loc 1 1013 0 + 1198 00b6 BDE87040 pop {r4, r5, r6, lr} +1012:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); + 1199 .loc 1 1012 0 + 1200 00ba FFF7FEBF b CyExitCriticalSection + 1201 .LVL43: + 1202 .L94: + 1203 00be 00BF .align 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 56 + + + 1204 .L93: + 1205 00c0 93430040 .word 1073759123 + 1206 00c4 83460040 .word 1073759875 + 1207 00c8 98430040 .word 1073759128 + 1208 00cc 00000000 .word .LANCHOR0 + 1209 00d0 00420040 .word 1073758720 + 1210 00d4 9A430040 .word 1073759130 + 1211 .cfi_endproc + 1212 .LFE3: + 1213 .size CyPmSleep, .-CyPmSleep + 1214 .section .text.CyPmHibernate,"ax",%progbits + 1215 .align 1 + 1216 .global CyPmHibernate + 1217 .thumb + 1218 .thumb_func + 1219 .type CyPmHibernate, %function + 1220 CyPmHibernate: + 1221 .LFB4: +1060:.\Generated_Source\PSoC5/cyPm.c **** { + 1222 .loc 1 1060 0 + 1223 .cfi_startproc + 1224 @ args = 0, pretend = 0, frame = 0 + 1225 @ frame_needed = 0, uses_anonymous_args = 0 + 1226 0000 38B5 push {r3, r4, r5, lr} + 1227 .LCFI5: + 1228 .cfi_def_cfa_offset 16 + 1229 .cfi_offset 3, -16 + 1230 .cfi_offset 4, -12 + 1231 .cfi_offset 5, -8 + 1232 .cfi_offset 14, -4 +1064:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); + 1233 .loc 1 1064 0 + 1234 0002 FFF7FEFF bl CyEnterCriticalSection + 1235 .LVL44: +1072:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + 1236 .loc 1 1072 0 + 1237 0006 7F4B ldr r3, .L125 +1064:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); + 1238 .loc 1 1064 0 + 1239 0008 0546 mov r5, r0 + 1240 .LVL45: +1072:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + 1241 .loc 1 1072 0 + 1242 000a 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1243 000c 01F00802 and r2, r1, #8 + 1244 0010 D3B2 uxtb r3, r2 + 1245 0012 002B cmp r3, #0 + 1246 0014 40F0F280 bne .L123 +1075:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + 1247 .loc 1 1075 0 + 1248 0018 7B48 ldr r0, .L125+4 + 1249 .LVL46: + 1250 .LBB10: + 1251 .LBB11: +1234:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_PWRSYS_CR1_REG & CY_PM_PWRSYS_CR1_I2CREG_BACKUP)) + 1252 .loc 1 1234 0 + 1253 001a 7C4B ldr r3, .L125+8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 57 + + + 1254 .LBE11: + 1255 .LBE10: +1075:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + 1256 .loc 1 1075 0 + 1257 001c 0478 ldrb r4, [r0, #0] @ zero_extendqisi2 + 1258 001e 04F01F01 and r1, r4, #31 + 1259 0022 0170 strb r1, [r0, #0] + 1260 .LBB15: + 1261 .LBB14: +1234:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_PWRSYS_CR1_REG & CY_PM_PWRSYS_CR1_I2CREG_BACKUP)) + 1262 .loc 1 1234 0 + 1263 0024 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1264 0026 02F00400 and r0, r2, #4 + 1265 002a C4B2 uxtb r4, r0 + 1266 002c 1CB1 cbz r4, .L97 +1248:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_CR1_REG &= ((uint8)(~CY_PM_PWRSYS_CR1_I2CREG_BACKUP)); + 1267 .loc 1 1248 0 + 1268 002e 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1269 0030 01F0FB02 and r2, r1, #251 + 1270 0034 1A70 strb r2, [r3, #0] + 1271 .L97: +1253:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.iloPowerMode = CyILO_SetPowerMode(CY_PM_POWERDOWN_MODE); + 1272 .loc 1 1253 0 + 1273 0036 0120 movs r0, #1 + 1274 0038 FFF7FEFF bl CyILO_SetPowerMode + 1275 .LVL47: + 1276 003c 744B ldr r3, .L125+12 + 1277 003e 1870 strb r0, [r3, #0] +1256:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.ilo1kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_1K)) ? + 1278 .loc 1 1256 0 + 1279 0040 7448 ldr r0, .L125+16 + 1280 0042 0478 ldrb r4, [r0, #0] @ zero_extendqisi2 +1257:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_DISABLED : CY_PM_ENABLED; + 1281 .loc 1 1257 0 + 1282 0044 C4F34001 ubfx r1, r4, #1, #1 +1256:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.ilo1kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_1K)) ? + 1283 .loc 1 1256 0 + 1284 0048 5970 strb r1, [r3, #1] +1260:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.ilo100kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_100K)) ? + 1285 .loc 1 1260 0 + 1286 004a 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 +1265:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_PWRSYS_SLP_TR_REG & CY_PM_PWRSYS_SLP_TR_BYPASS)) + 1287 .loc 1 1265 0 + 1288 004c 6E4C ldr r4, .L125+4 +1261:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_DISABLED : CY_PM_ENABLED; + 1289 .loc 1 1261 0 + 1290 004e C2F38000 ubfx r0, r2, #2, #1 +1260:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.ilo100kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_100K)) ? + 1291 .loc 1 1260 0 + 1292 0052 9870 strb r0, [r3, #2] +1265:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_PWRSYS_SLP_TR_REG & CY_PM_PWRSYS_SLP_TR_BYPASS)) + 1293 .loc 1 1265 0 + 1294 0054 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1295 0056 11F01002 ands r2, r1, #16 + 1296 005a 05D1 bne .L98 +1268:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.slpTrBypass = CY_PM_DISABLED; + 1297 .loc 1 1268 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 58 + + + 1298 005c DA70 strb r2, [r3, #3] +1269:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG |= CY_PM_PWRSYS_SLP_TR_BYPASS; + 1299 .loc 1 1269 0 + 1300 005e 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1301 0060 43F01001 orr r1, r3, #16 + 1302 0064 2170 strb r1, [r4, #0] + 1303 0066 01E0 b .L99 + 1304 .L98: +1273:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.slpTrBypass = CY_PM_ENABLED; + 1305 .loc 1 1273 0 + 1306 0068 0120 movs r0, #1 + 1307 006a D870 strb r0, [r3, #3] + 1308 .L99: + 1309 .LBB12: + 1310 .LBB13: +1715:.\Generated_Source\PSoC5/cyPm.c **** } +1716:.\Generated_Source\PSoC5/cyPm.c **** } +1717:.\Generated_Source\PSoC5/cyPm.c **** +1718:.\Generated_Source\PSoC5/cyPm.c **** +1719:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1720:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHviLviSaveDisable +1721:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1722:.\Generated_Source\PSoC5/cyPm.c **** * +1723:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1724:.\Generated_Source\PSoC5/cyPm.c **** * Saves analog and digital LVI and HVI configuration and disables them. +1725:.\Generated_Source\PSoC5/cyPm.c **** * +1726:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1727:.\Generated_Source\PSoC5/cyPm.c **** * None +1728:.\Generated_Source\PSoC5/cyPm.c **** * +1729:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1730:.\Generated_Source\PSoC5/cyPm.c **** * None +1731:.\Generated_Source\PSoC5/cyPm.c **** * +1732:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: +1733:.\Generated_Source\PSoC5/cyPm.c **** * No +1734:.\Generated_Source\PSoC5/cyPm.c **** * +1735:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1736:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHviLviSaveDisable(void) +1737:.\Generated_Source\PSoC5/cyPm.c **** { +1738:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVID_EN)) + 1311 .loc 1 1738 0 + 1312 006c 6A4C ldr r4, .L125+20 + 1313 006e 684B ldr r3, .L125+12 + 1314 0070 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 1315 0072 12F00100 ands r0, r2, #1 + 1316 0076 11D0 beq .L100 +1739:.\Generated_Source\PSoC5/cyPm.c **** { +1740:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidEn = CY_PM_ENABLED; + 1317 .loc 1 1740 0 + 1318 0078 0121 movs r1, #1 +1741:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidTrip = CY_VD_LVI_TRIP_REG & CY_VD_LVI_TRIP_LVID_MASK; + 1319 .loc 1 1741 0 + 1320 007a 684C ldr r4, .L125+24 +1740:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidEn = CY_PM_ENABLED; + 1321 .loc 1 1740 0 + 1322 007c 83F82510 strb r1, [r3, #37] + 1323 .loc 1 1741 0 + 1324 0080 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 59 + + +1742:.\Generated_Source\PSoC5/cyPm.c **** +1743:.\Generated_Source\PSoC5/cyPm.c **** /* Save state of reset device at a specified Vddd threshold */ +1744:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESD_EN)) ? \ + 1325 .loc 1 1744 0 + 1326 0082 6749 ldr r1, .L125+28 +1741:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidTrip = CY_VD_LVI_TRIP_REG & CY_VD_LVI_TRIP_LVID_MASK; + 1327 .loc 1 1741 0 + 1328 0084 02F00F00 and r0, r2, #15 + 1329 0088 83F82600 strb r0, [r3, #38] + 1330 .loc 1 1744 0 + 1331 008c 0C78 ldrb r4, [r1, #0] @ zero_extendqisi2 +1745:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_DISABLED : CY_PM_ENABLED; + 1332 .loc 1 1745 0 + 1333 008e C4F38012 ubfx r2, r4, #6, #1 +1744:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESD_EN)) ? \ + 1334 .loc 1 1744 0 + 1335 0092 83F82A20 strb r2, [r3, #42] +1746:.\Generated_Source\PSoC5/cyPm.c **** +1747:.\Generated_Source\PSoC5/cyPm.c **** CyVdLvDigitDisable(); + 1336 .loc 1 1747 0 + 1337 0096 FFF7FEFF bl CyVdLvDigitDisable + 1338 .LVL48: + 1339 009a 01E0 b .L101 + 1340 .L100: +1748:.\Generated_Source\PSoC5/cyPm.c **** } +1749:.\Generated_Source\PSoC5/cyPm.c **** else +1750:.\Generated_Source\PSoC5/cyPm.c **** { +1751:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lvidEn = CY_PM_DISABLED; + 1341 .loc 1 1751 0 + 1342 009c 83F82500 strb r0, [r3, #37] + 1343 .L101: +1752:.\Generated_Source\PSoC5/cyPm.c **** } +1753:.\Generated_Source\PSoC5/cyPm.c **** +1754:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVIA_EN)) + 1344 .loc 1 1754 0 + 1345 00a0 5D4B ldr r3, .L125+20 + 1346 00a2 5B4C ldr r4, .L125+12 + 1347 00a4 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1348 00a6 10F00201 ands r1, r0, #2 + 1349 00aa 0FD0 beq .L102 +1755:.\Generated_Source\PSoC5/cyPm.c **** { +1756:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaEn = CY_PM_ENABLED; + 1350 .loc 1 1756 0 + 1351 00ac 0122 movs r2, #1 +1757:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaTrip = CY_VD_LVI_TRIP_REG >> 4u; + 1352 .loc 1 1757 0 + 1353 00ae 5B4B ldr r3, .L125+24 +1756:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaEn = CY_PM_ENABLED; + 1354 .loc 1 1756 0 + 1355 00b0 84F82720 strb r2, [r4, #39] + 1356 .loc 1 1757 0 + 1357 00b4 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 +1758:.\Generated_Source\PSoC5/cyPm.c **** +1759:.\Generated_Source\PSoC5/cyPm.c **** /* Save state of reset device at a specified Vdda threshold */ +1760:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESA_EN)) ? \ + 1358 .loc 1 1760 0 + 1359 00b6 5A4A ldr r2, .L125+28 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 60 + + +1757:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaTrip = CY_VD_LVI_TRIP_REG >> 4u; + 1360 .loc 1 1757 0 + 1361 00b8 0109 lsrs r1, r0, #4 + 1362 00ba 84F82810 strb r1, [r4, #40] + 1363 .loc 1 1760 0 + 1364 00be 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 +1761:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_DISABLED : CY_PM_ENABLED; + 1365 .loc 1 1761 0 + 1366 00c0 D809 lsrs r0, r3, #7 +1760:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESA_EN)) ? \ + 1367 .loc 1 1760 0 + 1368 00c2 84F82B00 strb r0, [r4, #43] +1762:.\Generated_Source\PSoC5/cyPm.c **** +1763:.\Generated_Source\PSoC5/cyPm.c **** CyVdLvAnalogDisable(); + 1369 .loc 1 1763 0 + 1370 00c6 FFF7FEFF bl CyVdLvAnalogDisable + 1371 .LVL49: + 1372 00ca 01E0 b .L103 + 1373 .L102: +1764:.\Generated_Source\PSoC5/cyPm.c **** } +1765:.\Generated_Source\PSoC5/cyPm.c **** else +1766:.\Generated_Source\PSoC5/cyPm.c **** { +1767:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.lviaEn = CY_PM_DISABLED; + 1374 .loc 1 1767 0 + 1375 00cc 84F82710 strb r1, [r4, #39] + 1376 .L103: +1768:.\Generated_Source\PSoC5/cyPm.c **** } +1769:.\Generated_Source\PSoC5/cyPm.c **** +1770:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_HVIA_EN)) + 1377 .loc 1 1770 0 + 1378 00d0 514C ldr r4, .L125+20 + 1379 00d2 4F4B ldr r3, .L125+12 + 1380 00d4 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1381 00d6 11F00402 ands r2, r1, #4 + 1382 00da 05D0 beq .L104 +1771:.\Generated_Source\PSoC5/cyPm.c **** { +1772:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.hviaEn = CY_PM_ENABLED; + 1383 .loc 1 1772 0 + 1384 00dc 0120 movs r0, #1 + 1385 00de 83F82900 strb r0, [r3, #41] +1773:.\Generated_Source\PSoC5/cyPm.c **** CyVdHvAnalogDisable(); + 1386 .loc 1 1773 0 + 1387 00e2 FFF7FEFF bl CyVdHvAnalogDisable + 1388 .LVL50: + 1389 00e6 01E0 b .L105 + 1390 .L104: +1774:.\Generated_Source\PSoC5/cyPm.c **** } +1775:.\Generated_Source\PSoC5/cyPm.c **** else +1776:.\Generated_Source\PSoC5/cyPm.c **** { +1777:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.hviaEn = CY_PM_DISABLED; + 1391 .loc 1 1777 0 + 1392 00e8 83F82920 strb r2, [r3, #41] + 1393 .L105: + 1394 .LBE13: + 1395 .LBE12: +1294:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; + 1396 .loc 1 1294 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 61 + + + 1397 00ec 4D4C ldr r4, .L125+32 +1288:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpSaveSet(); + 1398 .loc 1 1288 0 + 1399 00ee FFF7FEFF bl CyPmHibSlpSaveSet + 1400 .LVL51: +1294:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; + 1401 .loc 1 1294 0 + 1402 00f2 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1403 00f4 464B ldr r3, .L125+12 +1295:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; + 1404 .loc 1 1295 0 + 1405 00f6 4C4A ldr r2, .L125+36 +1294:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; + 1406 .loc 1 1294 0 + 1407 00f8 D971 strb r1, [r3, #7] +1295:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; + 1408 .loc 1 1295 0 + 1409 00fa 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 +1297:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR0_REG = CY_PM_PWRSYS_WAKE_TR0; + 1410 .loc 1 1297 0 + 1411 00fc FF21 movs r1, #255 +1295:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; + 1412 .loc 1 1295 0 + 1413 00fe 1872 strb r0, [r3, #8] +1297:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR0_REG = CY_PM_PWRSYS_WAKE_TR0; + 1414 .loc 1 1297 0 + 1415 0100 2170 strb r1, [r4, #0] +1298:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR1_REG = CY_PM_PWRSYS_WAKE_TR1; + 1416 .loc 1 1298 0 + 1417 0102 B024 movs r4, #176 + 1418 0104 1470 strb r4, [r2, #0] + 1419 .LBE14: + 1420 .LBE15: +1091:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 1421 .loc 1 1091 0 + 1422 0106 494A ldr r2, .L125+40 +1092:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = CY_PM_WAKEUP_PICU; + 1423 .loc 1 1092 0 + 1424 0108 0421 movs r1, #4 +1091:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + 1425 .loc 1 1091 0 + 1426 010a 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 1427 010c 1871 strb r0, [r3, #4] +1092:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = CY_PM_WAKEUP_PICU; + 1428 .loc 1 1092 0 + 1429 010e 1170 strb r1, [r2, #0] +1094:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 1430 .loc 1 1094 0 + 1431 0110 5478 ldrb r4, [r2, #1] @ zero_extendqisi2 +1095:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = 0x00u; + 1432 .loc 1 1095 0 + 1433 0112 0021 movs r1, #0 +1094:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + 1434 .loc 1 1094 0 + 1435 0114 5C71 strb r4, [r3, #5] +1095:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = 0x00u; + 1436 .loc 1 1095 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 62 + + + 1437 0116 5170 strb r1, [r2, #1] +1097:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 1438 .loc 1 1097 0 + 1439 0118 9078 ldrb r0, [r2, #2] @ zero_extendqisi2 + 1440 011a 9871 strb r0, [r3, #6] +1098:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = 0x00u; + 1441 .loc 1 1098 0 + 1442 011c 9170 strb r1, [r2, #2] +1097:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + 1443 .loc 1 1097 0 + 1444 011e 0232 adds r2, r2, #2 +1102:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + 1445 .loc 1 1102 0 + 1446 0120 A2F5CD74 sub r4, r2, #410 + 1447 0124 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 1448 0126 4007 lsls r0, r0, #29 + 1449 0128 03D1 bne .L124 + 1450 .L106: +1105:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + 1451 .loc 1 1105 0 + 1452 012a 0124 movs r4, #1 + 1453 012c 83F82D40 strb r4, [r3, #45] + 1454 0130 0AE0 b .L108 + 1455 .L124: +1110:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + 1456 .loc 1 1110 0 + 1457 0132 83F82D10 strb r1, [r3, #45] +1113:.\Generated_Source\PSoC5/cyPm.c **** cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + 1458 .loc 1 1113 0 + 1459 0136 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1460 0138 01F00702 and r2, r1, #7 + 1461 013c 83F82C20 strb r2, [r3, #44] +1116:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + 1462 .loc 1 1116 0 + 1463 0140 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1464 0142 03F0F800 and r0, r3, #248 + 1465 0146 2070 strb r0, [r4, #0] + 1466 .L108: +1121:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_MODE_CSR_REG = (CY_PM_MODE_CSR_REG & ((uint8) (~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_H + 1467 .loc 1 1121 0 + 1468 0148 2E49 ldr r1, .L125 + 1469 014a 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 1470 014c 02F0F803 and r3, r2, #248 + 1471 0150 43F00400 orr r0, r3, #4 + 1472 0154 0870 strb r0, [r1, #0] +1124:.\Generated_Source\PSoC5/cyPm.c **** (void) CY_PM_MODE_CSR_REG; + 1473 .loc 1 1124 0 + 1474 0156 0B78 ldrb r3, [r1, #0] @ zero_extendqisi2 +1127:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 1475 .loc 1 1127 0 + 1476 @ 1127 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1477 0158 00BF NOP + 1478 + 1479 @ 0 "" 2 +1128:.\Generated_Source\PSoC5/cyPm.c **** CY_NOP; + 1480 .loc 1 1128 0 + 1481 @ 1128 ".\Generated_Source\PSoC5\cyPm.c" 1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 63 + + + 1482 015a 00BF NOP + 1483 + 1484 @ 0 "" 2 +1131:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WFI; + 1485 .loc 1 1131 0 + 1486 @ 1131 ".\Generated_Source\PSoC5\cyPm.c" 1 + 1487 015c 30BF WFI + 1488 + 1489 @ 0 "" 2 +1138:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + 1490 .loc 1 1138 0 + 1491 .thumb + 1492 015e 2C4C ldr r4, .L125+12 + 1493 0160 94F82D10 ldrb r1, [r4, #45] @ zero_extendqisi2 + 1494 0164 0129 cmp r1, #1 + 1495 0166 07D0 beq .L109 +1140:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ + 1496 .loc 1 1140 0 + 1497 0168 314A ldr r2, .L125+44 + 1498 016a 94F82C00 ldrb r0, [r4, #44] @ zero_extendqisi2 + 1499 016e 1378 ldrb r3, [r2, #0] @ zero_extendqisi2 + 1500 0170 23F00701 bic r1, r3, #7 + 1501 0174 0143 orrs r1, r1, r0 + 1502 0176 1170 strb r1, [r2, #0] + 1503 .L109: + 1504 .LBB16: + 1505 .LBB17: + 1506 .LBB18: + 1507 .LBB19: +1778:.\Generated_Source\PSoC5/cyPm.c **** } +1779:.\Generated_Source\PSoC5/cyPm.c **** } +1780:.\Generated_Source\PSoC5/cyPm.c **** +1781:.\Generated_Source\PSoC5/cyPm.c **** +1782:.\Generated_Source\PSoC5/cyPm.c **** /******************************************************************************* +1783:.\Generated_Source\PSoC5/cyPm.c **** * Function Name: CyPmHviLviRestore +1784:.\Generated_Source\PSoC5/cyPm.c **** ******************************************************************************** +1785:.\Generated_Source\PSoC5/cyPm.c **** * +1786:.\Generated_Source\PSoC5/cyPm.c **** * Summary: +1787:.\Generated_Source\PSoC5/cyPm.c **** * Restores analog and digital LVI and HVI configuration. +1788:.\Generated_Source\PSoC5/cyPm.c **** * +1789:.\Generated_Source\PSoC5/cyPm.c **** * Parameters: +1790:.\Generated_Source\PSoC5/cyPm.c **** * None +1791:.\Generated_Source\PSoC5/cyPm.c **** * +1792:.\Generated_Source\PSoC5/cyPm.c **** * Return: +1793:.\Generated_Source\PSoC5/cyPm.c **** * None +1794:.\Generated_Source\PSoC5/cyPm.c **** * +1795:.\Generated_Source\PSoC5/cyPm.c **** * Reentrant: +1796:.\Generated_Source\PSoC5/cyPm.c **** * No +1797:.\Generated_Source\PSoC5/cyPm.c **** * +1798:.\Generated_Source\PSoC5/cyPm.c **** *******************************************************************************/ +1799:.\Generated_Source\PSoC5/cyPm.c **** static void CyPmHviLviRestore(void) +1800:.\Generated_Source\PSoC5/cyPm.c **** { +1801:.\Generated_Source\PSoC5/cyPm.c **** /* Restore LVI/HVI configuration */ +1802:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.lvidEn) + 1508 .loc 1 1802 0 + 1509 0178 94F82540 ldrb r4, [r4, #37] @ zero_extendqisi2 + 1510 017c 244B ldr r3, .L125+12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 64 + + + 1511 017e 012C cmp r4, #1 + 1512 0180 05D1 bne .L110 +1803:.\Generated_Source\PSoC5/cyPm.c **** { +1804:.\Generated_Source\PSoC5/cyPm.c **** CyVdLvDigitEnable(cyPmBackup.lvidRst, cyPmBackup.lvidTrip); + 1513 .loc 1 1804 0 + 1514 0182 93F82A00 ldrb r0, [r3, #42] @ zero_extendqisi2 + 1515 0186 93F82610 ldrb r1, [r3, #38] @ zero_extendqisi2 + 1516 018a FFF7FEFF bl CyVdLvDigitEnable + 1517 .LVL52: + 1518 .L110: +1805:.\Generated_Source\PSoC5/cyPm.c **** } +1806:.\Generated_Source\PSoC5/cyPm.c **** +1807:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.lviaEn) + 1519 .loc 1 1807 0 + 1520 018e 204C ldr r4, .L125+12 + 1521 0190 94F82720 ldrb r2, [r4, #39] @ zero_extendqisi2 + 1522 0194 012A cmp r2, #1 + 1523 0196 05D1 bne .L111 +1808:.\Generated_Source\PSoC5/cyPm.c **** { +1809:.\Generated_Source\PSoC5/cyPm.c **** CyVdLvAnalogEnable(cyPmBackup.lviaRst, cyPmBackup.lviaTrip); + 1524 .loc 1 1809 0 + 1525 0198 94F82B00 ldrb r0, [r4, #43] @ zero_extendqisi2 + 1526 019c 94F82810 ldrb r1, [r4, #40] @ zero_extendqisi2 + 1527 01a0 FFF7FEFF bl CyVdLvAnalogEnable + 1528 .LVL53: + 1529 .L111: +1810:.\Generated_Source\PSoC5/cyPm.c **** } +1811:.\Generated_Source\PSoC5/cyPm.c **** +1812:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.hviaEn) + 1530 .loc 1 1812 0 + 1531 01a4 94F82910 ldrb r1, [r4, #41] @ zero_extendqisi2 + 1532 01a8 0129 cmp r1, #1 + 1533 01aa 01D1 bne .L112 +1813:.\Generated_Source\PSoC5/cyPm.c **** { +1814:.\Generated_Source\PSoC5/cyPm.c **** CyVdHvAnalogEnable(); + 1534 .loc 1 1814 0 + 1535 01ac FFF7FEFF bl CyVdHvAnalogEnable + 1536 .LVL54: + 1537 .L112: + 1538 .LBE19: + 1539 .LBE18: +1330:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.ilo1kEnable) + 1540 .loc 1 1330 0 + 1541 01b0 174C ldr r4, .L125+12 +1327:.\Generated_Source\PSoC5/cyPm.c **** CyPmHibSlpRestore(); + 1542 .loc 1 1327 0 + 1543 01b2 FFF7FEFF bl CyPmHibSlpRestore + 1544 .LVL55: +1330:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.ilo1kEnable) + 1545 .loc 1 1330 0 + 1546 01b6 6078 ldrb r0, [r4, #1] @ zero_extendqisi2 + 1547 01b8 0128 cmp r0, #1 + 1548 01ba 01D1 bne .L113 +1333:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start1K(); + 1549 .loc 1 1333 0 + 1550 01bc FFF7FEFF bl CyILO_Start1K + 1551 .LVL56: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 65 + + + 1552 .L113: +1337:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_ENABLED == cyPmBackup.ilo100kEnable) + 1553 .loc 1 1337 0 + 1554 01c0 A378 ldrb r3, [r4, #2] @ zero_extendqisi2 + 1555 01c2 012B cmp r3, #1 + 1556 01c4 01D1 bne .L114 +1340:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start100K(); + 1557 .loc 1 1340 0 + 1558 01c6 FFF7FEFF bl CyILO_Start100K + 1559 .LVL57: + 1560 .L114: +1344:.\Generated_Source\PSoC5/cyPm.c **** (void) CyILO_SetPowerMode(cyPmBackup.iloPowerMode); + 1561 .loc 1 1344 0 + 1562 01ca 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 1563 01cc FFF7FEFF bl CyILO_SetPowerMode + 1564 .LVL58: +1347:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_DISABLED == cyPmBackup.slpTrBypass) + 1565 .loc 1 1347 0 + 1566 01d0 E278 ldrb r2, [r4, #3] @ zero_extendqisi2 + 1567 01d2 22B9 cbnz r2, .L115 +1350:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_SLP_TR_REG &= ((uint8)(~CY_PM_PWRSYS_SLP_TR_BYPASS)); + 1568 .loc 1 1350 0 + 1569 01d4 0C49 ldr r1, .L125+4 + 1570 01d6 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 1571 01d8 00F0EF03 and r3, r0, #239 + 1572 01dc 0B70 strb r3, [r1, #0] + 1573 .L115: +1357:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR0_REG = cyPmBackup.wakeupTrim0; + 1574 .loc 1 1357 0 + 1575 01de 0C48 ldr r0, .L125+12 + 1576 01e0 104A ldr r2, .L125+32 + 1577 01e2 C179 ldrb r1, [r0, #7] @ zero_extendqisi2 + 1578 01e4 1170 strb r1, [r2, #0] +1358:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_PWRSYS_WAKE_TR1_REG = cyPmBackup.wakeupTrim1; + 1579 .loc 1 1358 0 + 1580 01e6 037A ldrb r3, [r0, #8] @ zero_extendqisi2 + 1581 01e8 5370 strb r3, [r2, #1] + 1582 .LBE17: + 1583 .LBE16: +1149:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + 1584 .loc 1 1149 0 + 1585 01ea 0179 ldrb r1, [r0, #4] @ zero_extendqisi2 + 1586 01ec 0F4A ldr r2, .L125+40 + 1587 01ee 1170 strb r1, [r2, #0] +1150:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + 1588 .loc 1 1150 0 + 1589 01f0 4379 ldrb r3, [r0, #5] @ zero_extendqisi2 +1151:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + 1590 .loc 1 1151 0 + 1591 01f2 1049 ldr r1, .L125+48 +1150:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + 1592 .loc 1 1150 0 + 1593 01f4 5370 strb r3, [r2, #1] +1151:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + 1594 .loc 1 1151 0 + 1595 01f6 8079 ldrb r0, [r0, #6] @ zero_extendqisi2 + 1596 01f8 0870 strb r0, [r1, #0] + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 66 + + +1154:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); + 1597 .loc 1 1154 0 + 1598 01fa 2846 mov r0, r5 + 1599 .LVL59: + 1600 .L123: +1155:.\Generated_Source\PSoC5/cyPm.c **** } + 1601 .loc 1 1155 0 + 1602 01fc BDE83840 pop {r3, r4, r5, lr} +1154:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); + 1603 .loc 1 1154 0 + 1604 0200 FFF7FEBF b CyExitCriticalSection + 1605 .LVL60: + 1606 .L126: + 1607 .align 2 + 1608 .L125: + 1609 0204 93430040 .word 1073759123 + 1610 0208 83460040 .word 1073759875 + 1611 020c 31430040 .word 1073759025 + 1612 0210 00000000 .word .LANCHOR0 + 1613 0214 00430040 .word 1073758976 + 1614 0218 F5460040 .word 1073759989 + 1615 021c F4460040 .word 1073759988 + 1616 0220 F7460040 .word 1073759991 + 1617 0224 85460040 .word 1073759877 + 1618 0228 86460040 .word 1073759878 + 1619 022c 98430040 .word 1073759128 + 1620 0230 00420040 .word 1073758720 + 1621 0234 9A430040 .word 1073759130 + 1622 .cfi_endproc + 1623 .LFE4: + 1624 .size CyPmHibernate, .-CyPmHibernate + 1625 .section .text.CyPmReadStatus,"ax",%progbits + 1626 .align 1 + 1627 .global CyPmReadStatus + 1628 .thumb + 1629 .thumb_func + 1630 .type CyPmReadStatus, %function + 1631 CyPmReadStatus: + 1632 .LFB5: +1188:.\Generated_Source\PSoC5/cyPm.c **** { + 1633 .loc 1 1188 0 + 1634 .cfi_startproc + 1635 @ args = 0, pretend = 0, frame = 0 + 1636 @ frame_needed = 0, uses_anonymous_args = 0 + 1637 .LVL61: + 1638 0000 38B5 push {r3, r4, r5, lr} + 1639 .LCFI6: + 1640 .cfi_def_cfa_offset 16 + 1641 .cfi_offset 3, -16 + 1642 .cfi_offset 4, -12 + 1643 .cfi_offset 5, -8 + 1644 .cfi_offset 14, -4 +1188:.\Generated_Source\PSoC5/cyPm.c **** { + 1645 .loc 1 1188 0 + 1646 0002 0546 mov r5, r0 +1194:.\Generated_Source\PSoC5/cyPm.c **** interruptState = CyEnterCriticalSection(); + 1647 .loc 1 1194 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 67 + + + 1648 0004 FFF7FEFF bl CyEnterCriticalSection + 1649 .LVL62: +1197:.\Generated_Source\PSoC5/cyPm.c **** interruptStatus |= CY_PM_INT_SR_REG; + 1650 .loc 1 1197 0 + 1651 0008 0649 ldr r1, .L128 + 1652 000a 074B ldr r3, .L128+4 + 1653 000c 91F84220 ldrb r2, [r1, #66] @ zero_extendqisi2 + 1654 0010 1C78 ldrb r4, [r3, #0] @ zero_extendqisi2 + 1655 0012 1443 orrs r4, r4, r2 + 1656 .LVL63: +1199:.\Generated_Source\PSoC5/cyPm.c **** interruptStatus &= ((uint8)(~mask)); + 1657 .loc 1 1199 0 + 1658 0014 24EA0505 bic r5, r4, r5 + 1659 0018 81F84250 strb r5, [r1, #66] +1202:.\Generated_Source\PSoC5/cyPm.c **** CyExitCriticalSection(interruptState); + 1660 .loc 1 1202 0 + 1661 001c FFF7FEFF bl CyExitCriticalSection + 1662 .LVL64: +1205:.\Generated_Source\PSoC5/cyPm.c **** } + 1663 .loc 1 1205 0 + 1664 0020 2046 mov r0, r4 + 1665 0022 38BD pop {r3, r4, r5, pc} + 1666 .L129: + 1667 .align 2 + 1668 .L128: + 1669 0024 00000000 .word .LANCHOR0 + 1670 0028 90430040 .word 1073759120 + 1671 .cfi_endproc + 1672 .LFE5: + 1673 .size CyPmReadStatus, .-CyPmReadStatus + 1674 .section .text.CyPmCtwSetInterval,"ax",%progbits + 1675 .align 1 + 1676 .global CyPmCtwSetInterval + 1677 .thumb + 1678 .thumb_func + 1679 .type CyPmCtwSetInterval, %function + 1680 CyPmCtwSetInterval: + 1681 .LFB8: +1383:.\Generated_Source\PSoC5/cyPm.c **** { + 1682 .loc 1 1383 0 + 1683 .cfi_startproc + 1684 @ args = 0, pretend = 0, frame = 0 + 1685 @ frame_needed = 0, uses_anonymous_args = 0 + 1686 .LVL65: + 1687 0000 38B5 push {r3, r4, r5, lr} + 1688 .LCFI7: + 1689 .cfi_def_cfa_offset 16 + 1690 .cfi_offset 3, -16 + 1691 .cfi_offset 4, -12 + 1692 .cfi_offset 5, -8 + 1693 .cfi_offset 14, -4 +1385:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_IE)); + 1694 .loc 1 1385 0 + 1695 0002 124C ldr r4, .L134 +1383:.\Generated_Source\PSoC5/cyPm.c **** { + 1696 .loc 1 1383 0 + 1697 0004 0546 mov r5, r0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 68 + + +1385:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_IE)); + 1698 .loc 1 1385 0 + 1699 0006 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1700 0008 03F0F700 and r0, r3, #247 + 1701 .LVL66: + 1702 000c 2070 strb r0, [r4, #0] +1388:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start1K(); + 1703 .loc 1 1388 0 + 1704 000e FFF7FEFF bl CyILO_Start1K + 1705 .LVL67: +1391:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_TW_CFG2_REG & CY_PM_CTW_EN)) + 1706 .loc 1 1391 0 + 1707 0012 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1708 0014 0E4B ldr r3, .L134+4 + 1709 0016 01F00402 and r2, r1, #4 + 1710 001a D0B2 uxtb r0, r2 + 1711 001c 60B1 cbz r0, .L131 +1394:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG1_REG != ctwInterval) + 1712 .loc 1 1394 0 + 1713 001e 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1714 0020 AA42 cmp r2, r5 + 1715 0022 11D0 beq .L130 +1397:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_EN)); + 1716 .loc 1 1397 0 + 1717 0024 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1718 0026 01F0FB00 and r0, r1, #251 + 1719 002a 2070 strb r0, [r4, #0] +1398:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG1_REG = ctwInterval; + 1720 .loc 1 1398 0 + 1721 002c 1D70 strb r5, [r3, #0] +1399:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + 1722 .loc 1 1399 0 + 1723 002e 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1724 0030 43F00402 orr r2, r3, #4 + 1725 0034 2270 strb r2, [r4, #0] + 1726 0036 38BD pop {r3, r4, r5, pc} + 1727 .L131: +1405:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG1_REG != ctwInterval) + 1728 .loc 1 1405 0 + 1729 0038 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 1730 003a A942 cmp r1, r5 +1408:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG1_REG = ctwInterval; + 1731 .loc 1 1408 0 + 1732 003c 18BF it ne + 1733 003e 1D70 strbne r5, [r3, #0] +1412:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + 1734 .loc 1 1412 0 + 1735 0040 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 1736 0042 40F00403 orr r3, r0, #4 + 1737 0046 2370 strb r3, [r4, #0] + 1738 .L130: + 1739 0048 38BD pop {r3, r4, r5, pc} + 1740 .L135: + 1741 004a 00BF .align 2 + 1742 .L134: + 1743 004c 82430040 .word 1073759106 + 1744 0050 81430040 .word 1073759105 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 69 + + + 1745 .cfi_endproc + 1746 .LFE8: + 1747 .size CyPmCtwSetInterval, .-CyPmCtwSetInterval + 1748 .section .text.CyPmOppsSet,"ax",%progbits + 1749 .align 1 + 1750 .global CyPmOppsSet + 1751 .thumb + 1752 .thumb_func + 1753 .type CyPmOppsSet, %function + 1754 CyPmOppsSet: + 1755 .LFB9: +1435:.\Generated_Source\PSoC5/cyPm.c **** { + 1756 .loc 1 1435 0 + 1757 .cfi_startproc + 1758 @ args = 0, pretend = 0, frame = 0 + 1759 @ frame_needed = 0, uses_anonymous_args = 0 + 1760 0000 08B5 push {r3, lr} + 1761 .LCFI8: + 1762 .cfi_def_cfa_offset 8 + 1763 .cfi_offset 3, -8 + 1764 .cfi_offset 14, -4 +1437:.\Generated_Source\PSoC5/cyPm.c **** if(0u == (CY_PM_SLOWCLK_X32_CR_REG & CY_PM_X32_CR_X32EN)) + 1765 .loc 1 1437 0 + 1766 0002 084B ldr r3, .L138 + 1767 0004 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1768 0006 C307 lsls r3, r0, #31 + 1769 0008 01D4 bmi .L137 +1440:.\Generated_Source\PSoC5/cyPm.c **** CyXTAL_32KHZ_Start(); + 1770 .loc 1 1440 0 + 1771 000a FFF7FEFF bl CyXTAL_32KHZ_Start + 1772 .LVL68: + 1773 .L137: +1444:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_1PPS_IE)); + 1774 .loc 1 1444 0 + 1775 000e 0649 ldr r1, .L138+4 + 1776 0010 0A78 ldrb r2, [r1, #0] @ zero_extendqisi2 + 1777 0012 02F0DF03 and r3, r2, #223 + 1778 0016 0B70 strb r3, [r1, #0] +1447:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_1PPS_EN; + 1779 .loc 1 1447 0 + 1780 0018 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 1781 001a 40F01002 orr r2, r0, #16 + 1782 001e 0A70 strb r2, [r1, #0] + 1783 0020 08BD pop {r3, pc} + 1784 .L139: + 1785 0022 00BF .align 2 + 1786 .L138: + 1787 0024 08430040 .word 1073758984 + 1788 0028 82430040 .word 1073759106 + 1789 .cfi_endproc + 1790 .LFE9: + 1791 .size CyPmOppsSet, .-CyPmOppsSet + 1792 .section .text.CyPmFtwSetInterval,"ax",%progbits + 1793 .align 1 + 1794 .global CyPmFtwSetInterval + 1795 .thumb + 1796 .thumb_func + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 70 + + + 1797 .type CyPmFtwSetInterval, %function + 1798 CyPmFtwSetInterval: + 1799 .LFB10: +1472:.\Generated_Source\PSoC5/cyPm.c **** { + 1800 .loc 1 1472 0 + 1801 .cfi_startproc + 1802 @ args = 0, pretend = 0, frame = 0 + 1803 @ frame_needed = 0, uses_anonymous_args = 0 + 1804 .LVL69: + 1805 0000 38B5 push {r3, r4, r5, lr} + 1806 .LCFI9: + 1807 .cfi_def_cfa_offset 16 + 1808 .cfi_offset 3, -16 + 1809 .cfi_offset 4, -12 + 1810 .cfi_offset 5, -8 + 1811 .cfi_offset 14, -4 +1474:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_IE)); + 1812 .loc 1 1474 0 + 1813 0002 114C ldr r4, .L144 +1472:.\Generated_Source\PSoC5/cyPm.c **** { + 1814 .loc 1 1472 0 + 1815 0004 0546 mov r5, r0 +1474:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_IE)); + 1816 .loc 1 1474 0 + 1817 0006 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1818 0008 03F0FD00 and r0, r3, #253 + 1819 .LVL70: + 1820 000c 2070 strb r0, [r4, #0] +1477:.\Generated_Source\PSoC5/cyPm.c **** CyILO_Start100K(); + 1821 .loc 1 1477 0 + 1822 000e FFF7FEFF bl CyILO_Start100K + 1823 .LVL71: +1480:.\Generated_Source\PSoC5/cyPm.c **** if(0u != (CY_PM_TW_CFG2_REG & CY_PM_FTW_EN)) + 1824 .loc 1 1480 0 + 1825 0012 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1826 0014 0D4B ldr r3, .L144+4 + 1827 0016 11F0010F tst r1, #1 + 1828 001a 0CD0 beq .L141 +1483:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG0_REG != ftwInterval) + 1829 .loc 1 1483 0 + 1830 001c 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1831 001e AA42 cmp r2, r5 + 1832 0020 11D0 beq .L140 +1486:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_EN)); + 1833 .loc 1 1486 0 + 1834 0022 2078 ldrb r0, [r4, #0] @ zero_extendqisi2 + 1835 0024 00F0FE01 and r1, r0, #254 + 1836 0028 2170 strb r1, [r4, #0] +1487:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG0_REG = ftwInterval; + 1837 .loc 1 1487 0 + 1838 002a 1D70 strb r5, [r3, #0] +1488:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + 1839 .loc 1 1488 0 + 1840 002c 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 1841 002e 43F00102 orr r2, r3, #1 + 1842 0032 2270 strb r2, [r4, #0] + 1843 0034 38BD pop {r3, r4, r5, pc} + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 71 + + + 1844 .L141: +1494:.\Generated_Source\PSoC5/cyPm.c **** if(CY_PM_TW_CFG0_REG != ftwInterval) + 1845 .loc 1 1494 0 + 1846 0036 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 1847 0038 A842 cmp r0, r5 +1497:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG0_REG = ftwInterval; + 1848 .loc 1 1497 0 + 1849 003a 18BF it ne + 1850 003c 1D70 strbne r5, [r3, #0] +1501:.\Generated_Source\PSoC5/cyPm.c **** CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + 1851 .loc 1 1501 0 + 1852 003e 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1853 0040 41F00103 orr r3, r1, #1 + 1854 0044 2370 strb r3, [r4, #0] + 1855 .L140: + 1856 0046 38BD pop {r3, r4, r5, pc} + 1857 .L145: + 1858 .align 2 + 1859 .L144: + 1860 0048 82430040 .word 1073759106 + 1861 004c 80430040 .word 1073759104 + 1862 .cfi_endproc + 1863 .LFE10: + 1864 .size CyPmFtwSetInterval, .-CyPmFtwSetInterval + 1865 .section .rodata + 1866 .set .LANCHOR1,. + 0 + 1867 .type cyPmImoFreqReg2Mhz, %object + 1868 .size cyPmImoFreqReg2Mhz, 7 + 1869 cyPmImoFreqReg2Mhz: + 1870 0000 0C .byte 12 + 1871 0001 06 .byte 6 + 1872 0002 18 .byte 24 + 1873 0003 03 .byte 3 + 1874 0004 30 .byte 48 + 1875 0005 3E .byte 62 + 1876 0006 4A .byte 74 + 1877 .LC0: + 1878 0007 02 .byte 2 + 1879 0008 01 .byte 1 + 1880 0009 03 .byte 3 + 1881 000a 00 .byte 0 + 1882 000b 04 .byte 4 + 1883 000c 05 .byte 5 + 1884 000d 06 .byte 6 + 1885 .bss + 1886 .align 1 + 1887 .set .LANCHOR0,. + 0 + 1888 .type cyPmBackup, %object + 1889 .size cyPmBackup, 47 + 1890 cyPmBackup: + 1891 0000 00000000 .space 47 + 1891 00000000 + 1891 00000000 + 1891 00000000 + 1891 00000000 + 1892 002f 00 .space 1 + 1893 .type cyPmClockBackup, %object + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 72 + + + 1894 .size cyPmClockBackup, 18 + 1895 cyPmClockBackup: + 1896 0030 00000000 .space 18 + 1896 00000000 + 1896 00000000 + 1896 00000000 + 1896 0000 + 1897 .type interruptStatus.4773, %object + 1898 .size interruptStatus.4773, 1 + 1899 interruptStatus.4773: + 1900 0042 00 .space 1 + 1901 0043 00 .text + 1902 .Letext0: + 1903 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 1904 .file 3 ".\\Generated_Source\\PSoC5\\cyPm.h" + 1905 .file 4 ".\\Generated_Source\\PSoC5\\CyFlash.h" + 1906 .file 5 ".\\Generated_Source\\PSoC5\\CyLib.h" + 1907 .section .debug_info,"",%progbits + 1908 .Ldebug_info0: + 1909 0000 180A0000 .4byte 0xa18 + 1910 0004 0200 .2byte 0x2 + 1911 0006 00000000 .4byte .Ldebug_abbrev0 + 1912 000a 04 .byte 0x4 + 1913 000b 01 .uleb128 0x1 + 1914 000c 4A040000 .4byte .LASF111 + 1915 0010 01 .byte 0x1 + 1916 0011 A4010000 .4byte .LASF112 + 1917 0015 EA010000 .4byte .LASF113 + 1918 0019 18000000 .4byte .Ldebug_ranges0+0x18 + 1919 001d 00000000 .4byte 0 + 1920 0021 00000000 .4byte 0 + 1921 0025 00000000 .4byte .Ldebug_line0 + 1922 0029 02 .uleb128 0x2 + 1923 002a 01 .byte 0x1 + 1924 002b 06 .byte 0x6 + 1925 002c 33010000 .4byte .LASF0 + 1926 0030 02 .uleb128 0x2 + 1927 0031 01 .byte 0x1 + 1928 0032 08 .byte 0x8 + 1929 0033 A4040000 .4byte .LASF1 + 1930 0037 02 .uleb128 0x2 + 1931 0038 02 .byte 0x2 + 1932 0039 05 .byte 0x5 + 1933 003a DD040000 .4byte .LASF2 + 1934 003e 02 .uleb128 0x2 + 1935 003f 02 .byte 0x2 + 1936 0040 07 .byte 0x7 + 1937 0041 D7020000 .4byte .LASF3 + 1938 0045 02 .uleb128 0x2 + 1939 0046 04 .byte 0x4 + 1940 0047 05 .byte 0x5 + 1941 0048 6C010000 .4byte .LASF4 + 1942 004c 02 .uleb128 0x2 + 1943 004d 04 .byte 0x4 + 1944 004e 07 .byte 0x7 + 1945 004f 48020000 .4byte .LASF5 + 1946 0053 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 73 + + + 1947 0054 08 .byte 0x8 + 1948 0055 05 .byte 0x5 + 1949 0056 25010000 .4byte .LASF6 + 1950 005a 02 .uleb128 0x2 + 1951 005b 08 .byte 0x8 + 1952 005c 07 .byte 0x7 + 1953 005d A2000000 .4byte .LASF7 + 1954 0061 03 .uleb128 0x3 + 1955 0062 04 .byte 0x4 + 1956 0063 05 .byte 0x5 + 1957 0064 696E7400 .ascii "int\000" + 1958 0068 02 .uleb128 0x2 + 1959 0069 04 .byte 0x4 + 1960 006a 07 .byte 0x7 + 1961 006b 1A020000 .4byte .LASF8 + 1962 006f 04 .uleb128 0x4 + 1963 0070 87010000 .4byte .LASF9 + 1964 0074 02 .byte 0x2 + 1965 0075 5B .byte 0x5b + 1966 0076 30000000 .4byte 0x30 + 1967 007a 04 .uleb128 0x4 + 1968 007b 14000000 .4byte .LASF10 + 1969 007f 02 .byte 0x2 + 1970 0080 5C .byte 0x5c + 1971 0081 3E000000 .4byte 0x3e + 1972 0085 04 .uleb128 0x4 + 1973 0086 C4010000 .4byte .LASF11 + 1974 008a 02 .byte 0x2 + 1975 008b 5D .byte 0x5d + 1976 008c 4C000000 .4byte 0x4c + 1977 0090 02 .uleb128 0x2 + 1978 0091 04 .byte 0x4 + 1979 0092 04 .byte 0x4 + 1980 0093 03040000 .4byte .LASF12 + 1981 0097 02 .uleb128 0x2 + 1982 0098 08 .byte 0x8 + 1983 0099 04 .byte 0x4 + 1984 009a 9D010000 .4byte .LASF13 + 1985 009e 02 .uleb128 0x2 + 1986 009f 01 .byte 0x1 + 1987 00a0 08 .byte 0x8 + 1988 00a1 07050000 .4byte .LASF14 + 1989 00a5 04 .uleb128 0x4 + 1990 00a6 27060000 .4byte .LASF15 + 1991 00aa 02 .byte 0x2 + 1992 00ab E8 .byte 0xe8 + 1993 00ac 4C000000 .4byte 0x4c + 1994 00b0 04 .uleb128 0x4 + 1995 00b1 93040000 .4byte .LASF16 + 1996 00b5 02 .byte 0x2 + 1997 00b6 F0 .byte 0xf0 + 1998 00b7 BB000000 .4byte 0xbb + 1999 00bb 05 .uleb128 0x5 + 2000 00bc 6F000000 .4byte 0x6f + 2001 00c0 02 .uleb128 0x2 + 2002 00c1 04 .byte 0x4 + 2003 00c2 07 .byte 0x7 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 74 + + + 2004 00c3 8C030000 .4byte .LASF17 + 2005 00c7 06 .uleb128 0x6 + 2006 00c8 61020000 .4byte .LASF34 + 2007 00cc 12 .byte 0x12 + 2008 00cd 03 .byte 0x3 + 2009 00ce F1 .byte 0xf1 + 2010 00cf A9010000 .4byte 0x1a9 + 2011 00d3 07 .uleb128 0x7 + 2012 00d4 A8030000 .4byte .LASF18 + 2013 00d8 03 .byte 0x3 + 2014 00d9 F4 .byte 0xf4 + 2015 00da 6F000000 .4byte 0x6f + 2016 00de 02 .byte 0x2 + 2017 00df 23 .byte 0x23 + 2018 00e0 00 .uleb128 0 + 2019 00e1 07 .uleb128 0x7 + 2020 00e2 AF030000 .4byte .LASF19 + 2021 00e6 03 .byte 0x3 + 2022 00e7 F5 .byte 0xf5 + 2023 00e8 6F000000 .4byte 0x6f + 2024 00ec 02 .byte 0x2 + 2025 00ed 23 .byte 0x23 + 2026 00ee 01 .uleb128 0x1 + 2027 00ef 07 .uleb128 0x7 + 2028 00f0 51000000 .4byte .LASF20 + 2029 00f4 03 .byte 0x3 + 2030 00f5 F6 .byte 0xf6 + 2031 00f6 6F000000 .4byte 0x6f + 2032 00fa 02 .byte 0x2 + 2033 00fb 23 .byte 0x23 + 2034 00fc 02 .uleb128 0x2 + 2035 00fd 07 .uleb128 0x7 + 2036 00fe 40020000 .4byte .LASF21 + 2037 0102 03 .byte 0x3 + 2038 0103 F7 .byte 0xf7 + 2039 0104 6F000000 .4byte 0x6f + 2040 0108 02 .byte 0x2 + 2041 0109 23 .byte 0x23 + 2042 010a 03 .uleb128 0x3 + 2043 010b 07 .uleb128 0x7 + 2044 010c E2000000 .4byte .LASF22 + 2045 0110 03 .byte 0x3 + 2046 0111 F8 .byte 0xf8 + 2047 0112 6F000000 .4byte 0x6f + 2048 0116 02 .byte 0x2 + 2049 0117 23 .byte 0x23 + 2050 0118 04 .uleb128 0x4 + 2051 0119 07 .uleb128 0x7 + 2052 011a 30060000 .4byte .LASF23 + 2053 011e 03 .byte 0x3 + 2054 011f F9 .byte 0xf9 + 2055 0120 6F000000 .4byte 0x6f + 2056 0124 02 .byte 0x2 + 2057 0125 23 .byte 0x23 + 2058 0126 05 .uleb128 0x5 + 2059 0127 07 .uleb128 0x7 + 2060 0128 7B060000 .4byte .LASF24 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 75 + + + 2061 012c 03 .byte 0x3 + 2062 012d FA .byte 0xfa + 2063 012e 6F000000 .4byte 0x6f + 2064 0132 02 .byte 0x2 + 2065 0133 23 .byte 0x23 + 2066 0134 06 .uleb128 0x6 + 2067 0135 07 .uleb128 0x7 + 2068 0136 F9030000 .4byte .LASF25 + 2069 013a 03 .byte 0x3 + 2070 013b FB .byte 0xfb + 2071 013c 6F000000 .4byte 0x6f + 2072 0140 02 .byte 0x2 + 2073 0141 23 .byte 0x23 + 2074 0142 07 .uleb128 0x7 + 2075 0143 07 .uleb128 0x7 + 2076 0144 5A030000 .4byte .LASF26 + 2077 0148 03 .byte 0x3 + 2078 0149 FC .byte 0xfc + 2079 014a 6F000000 .4byte 0x6f + 2080 014e 02 .byte 0x2 + 2081 014f 23 .byte 0x23 + 2082 0150 08 .uleb128 0x8 + 2083 0151 07 .uleb128 0x7 + 2084 0152 66010000 .4byte .LASF27 + 2085 0156 03 .byte 0x3 + 2086 0157 FD .byte 0xfd + 2087 0158 6F000000 .4byte 0x6f + 2088 015c 02 .byte 0x2 + 2089 015d 23 .byte 0x23 + 2090 015e 09 .uleb128 0x9 + 2091 015f 07 .uleb128 0x7 + 2092 0160 85000000 .4byte .LASF28 + 2093 0164 03 .byte 0x3 + 2094 0165 FE .byte 0xfe + 2095 0166 6F000000 .4byte 0x6f + 2096 016a 02 .byte 0x2 + 2097 016b 23 .byte 0x23 + 2098 016c 0A .uleb128 0xa + 2099 016d 07 .uleb128 0x7 + 2100 016e 3F010000 .4byte .LASF29 + 2101 0172 03 .byte 0x3 + 2102 0173 FF .byte 0xff + 2103 0174 7A000000 .4byte 0x7a + 2104 0178 02 .byte 0x2 + 2105 0179 23 .byte 0x23 + 2106 017a 0C .uleb128 0xc + 2107 017b 08 .uleb128 0x8 + 2108 017c C2040000 .4byte .LASF30 + 2109 0180 03 .byte 0x3 + 2110 0181 0001 .2byte 0x100 + 2111 0183 6F000000 .4byte 0x6f + 2112 0187 02 .byte 0x2 + 2113 0188 23 .byte 0x23 + 2114 0189 0E .uleb128 0xe + 2115 018a 08 .uleb128 0x8 + 2116 018b CB010000 .4byte .LASF31 + 2117 018f 03 .byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 76 + + + 2118 0190 0101 .2byte 0x101 + 2119 0192 6F000000 .4byte 0x6f + 2120 0196 02 .byte 0x2 + 2121 0197 23 .byte 0x23 + 2122 0198 0F .uleb128 0xf + 2123 0199 08 .uleb128 0x8 + 2124 019a AA050000 .4byte .LASF32 + 2125 019e 03 .byte 0x3 + 2126 019f 0201 .2byte 0x102 + 2127 01a1 6F000000 .4byte 0x6f + 2128 01a5 02 .byte 0x2 + 2129 01a6 23 .byte 0x23 + 2130 01a7 10 .uleb128 0x10 + 2131 01a8 00 .byte 0 + 2132 01a9 09 .uleb128 0x9 + 2133 01aa BD020000 .4byte .LASF33 + 2134 01ae 03 .byte 0x3 + 2135 01af 0401 .2byte 0x104 + 2136 01b1 C7000000 .4byte 0xc7 + 2137 01b5 0A .uleb128 0xa + 2138 01b6 8E020000 .4byte .LASF35 + 2139 01ba 2F .byte 0x2f + 2140 01bb 03 .byte 0x3 + 2141 01bc 0701 .2byte 0x107 + 2142 01be EF020000 .4byte 0x2ef + 2143 01c2 08 .uleb128 0x8 + 2144 01c3 18010000 .4byte .LASF36 + 2145 01c7 03 .byte 0x3 + 2146 01c8 0901 .2byte 0x109 + 2147 01ca 6F000000 .4byte 0x6f + 2148 01ce 02 .byte 0x2 + 2149 01cf 23 .byte 0x23 + 2150 01d0 00 .uleb128 0 + 2151 01d1 08 .uleb128 0x8 + 2152 01d2 D1040000 .4byte .LASF37 + 2153 01d6 03 .byte 0x3 + 2154 01d7 0A01 .2byte 0x10a + 2155 01d9 6F000000 .4byte 0x6f + 2156 01dd 02 .byte 0x2 + 2157 01de 23 .byte 0x23 + 2158 01df 01 .uleb128 0x1 + 2159 01e0 08 .uleb128 0x8 + 2160 01e1 F9040000 .4byte .LASF38 + 2161 01e5 03 .byte 0x3 + 2162 01e6 0B01 .2byte 0x10b + 2163 01e8 6F000000 .4byte 0x6f + 2164 01ec 02 .byte 0x2 + 2165 01ed 23 .byte 0x23 + 2166 01ee 02 .uleb128 0x2 + 2167 01ef 08 .uleb128 0x8 + 2168 01f0 80030000 .4byte .LASF39 + 2169 01f4 03 .byte 0x3 + 2170 01f5 0D01 .2byte 0x10d + 2171 01f7 6F000000 .4byte 0x6f + 2172 01fb 02 .byte 0x2 + 2173 01fc 23 .byte 0x23 + 2174 01fd 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 77 + + + 2175 01fe 08 .uleb128 0x8 + 2176 01ff 20030000 .4byte .LASF40 + 2177 0203 03 .byte 0x3 + 2178 0204 1701 .2byte 0x117 + 2179 0206 6F000000 .4byte 0x6f + 2180 020a 02 .byte 0x2 + 2181 020b 23 .byte 0x23 + 2182 020c 04 .uleb128 0x4 + 2183 020d 08 .uleb128 0x8 + 2184 020e 2B030000 .4byte .LASF41 + 2185 0212 03 .byte 0x3 + 2186 0213 1801 .2byte 0x118 + 2187 0215 6F000000 .4byte 0x6f + 2188 0219 02 .byte 0x2 + 2189 021a 23 .byte 0x23 + 2190 021b 05 .uleb128 0x5 + 2191 021c 08 .uleb128 0x8 + 2192 021d 36030000 .4byte .LASF42 + 2193 0221 03 .byte 0x3 + 2194 0222 1901 .2byte 0x119 + 2195 0224 6F000000 .4byte 0x6f + 2196 0228 02 .byte 0x2 + 2197 0229 23 .byte 0x23 + 2198 022a 06 .uleb128 0x6 + 2199 022b 08 .uleb128 0x8 + 2200 022c 39000000 .4byte .LASF43 + 2201 0230 03 .byte 0x3 + 2202 0231 1B01 .2byte 0x11b + 2203 0233 6F000000 .4byte 0x6f + 2204 0237 02 .byte 0x2 + 2205 0238 23 .byte 0x23 + 2206 0239 07 .uleb128 0x7 + 2207 023a 08 .uleb128 0x8 + 2208 023b 45000000 .4byte .LASF44 + 2209 023f 03 .byte 0x3 + 2210 0240 1C01 .2byte 0x11c + 2211 0242 6F000000 .4byte 0x6f + 2212 0246 02 .byte 0x2 + 2213 0247 23 .byte 0x23 + 2214 0248 08 .uleb128 0x8 + 2215 0249 08 .uleb128 0x8 + 2216 024a 27020000 .4byte .LASF45 + 2217 024e 03 .byte 0x3 + 2218 024f 1E01 .2byte 0x11e + 2219 0251 EF020000 .4byte 0x2ef + 2220 0255 02 .byte 0x2 + 2221 0256 23 .byte 0x23 + 2222 0257 09 .uleb128 0x9 + 2223 0258 08 .uleb128 0x8 + 2224 0259 5A020000 .4byte .LASF46 + 2225 025d 03 .byte 0x3 + 2226 025e 2101 .2byte 0x121 + 2227 0260 6F000000 .4byte 0x6f + 2228 0264 02 .byte 0x2 + 2229 0265 23 .byte 0x23 + 2230 0266 25 .uleb128 0x25 + 2231 0267 08 .uleb128 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 78 + + + 2232 0268 72060000 .4byte .LASF47 + 2233 026c 03 .byte 0x3 + 2234 026d 2201 .2byte 0x122 + 2235 026f 6F000000 .4byte 0x6f + 2236 0273 02 .byte 0x2 + 2237 0274 23 .byte 0x23 + 2238 0275 26 .uleb128 0x26 + 2239 0276 08 .uleb128 0x8 + 2240 0277 D1050000 .4byte .LASF48 + 2241 027b 03 .byte 0x3 + 2242 027c 2301 .2byte 0x123 + 2243 027e 6F000000 .4byte 0x6f + 2244 0282 02 .byte 0x2 + 2245 0283 23 .byte 0x23 + 2246 0284 27 .uleb128 0x27 + 2247 0285 08 .uleb128 0x8 + 2248 0286 D9000000 .4byte .LASF49 + 2249 028a 03 .byte 0x3 + 2250 028b 2401 .2byte 0x124 + 2251 028d 6F000000 .4byte 0x6f + 2252 0291 02 .byte 0x2 + 2253 0292 23 .byte 0x23 + 2254 0293 28 .uleb128 0x28 + 2255 0294 08 .uleb128 0x8 + 2256 0295 FF000000 .4byte .LASF50 + 2257 0299 03 .byte 0x3 + 2258 029a 2501 .2byte 0x125 + 2259 029c 6F000000 .4byte 0x6f + 2260 02a0 02 .byte 0x2 + 2261 02a1 23 .byte 0x23 + 2262 02a2 29 .uleb128 0x29 + 2263 02a3 08 .uleb128 0x8 + 2264 02a4 29040000 .4byte .LASF51 + 2265 02a8 03 .byte 0x3 + 2266 02a9 2601 .2byte 0x126 + 2267 02ab 6F000000 .4byte 0x6f + 2268 02af 02 .byte 0x2 + 2269 02b0 23 .byte 0x23 + 2270 02b1 2A .uleb128 0x2a + 2271 02b2 08 .uleb128 0x8 + 2272 02b3 40060000 .4byte .LASF52 + 2273 02b7 03 .byte 0x3 + 2274 02b8 2701 .2byte 0x127 + 2275 02ba 6F000000 .4byte 0x6f + 2276 02be 02 .byte 0x2 + 2277 02bf 23 .byte 0x23 + 2278 02c0 2B .uleb128 0x2b + 2279 02c1 08 .uleb128 0x8 + 2280 02c2 0F020000 .4byte .LASF53 + 2281 02c6 03 .byte 0x3 + 2282 02c7 2901 .2byte 0x129 + 2283 02c9 6F000000 .4byte 0x6f + 2284 02cd 02 .byte 0x2 + 2285 02ce 23 .byte 0x23 + 2286 02cf 2C .uleb128 0x2c + 2287 02d0 08 .uleb128 0x8 + 2288 02d1 34050000 .4byte .LASF54 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 79 + + + 2289 02d5 03 .byte 0x3 + 2290 02d6 2A01 .2byte 0x12a + 2291 02d8 6F000000 .4byte 0x6f + 2292 02dc 02 .byte 0x2 + 2293 02dd 23 .byte 0x23 + 2294 02de 2D .uleb128 0x2d + 2295 02df 08 .uleb128 0x8 + 2296 02e0 4E030000 .4byte .LASF55 + 2297 02e4 03 .byte 0x3 + 2298 02e5 2C01 .2byte 0x12c + 2299 02e7 6F000000 .4byte 0x6f + 2300 02eb 02 .byte 0x2 + 2301 02ec 23 .byte 0x23 + 2302 02ed 2E .uleb128 0x2e + 2303 02ee 00 .byte 0 + 2304 02ef 0B .uleb128 0xb + 2305 02f0 6F000000 .4byte 0x6f + 2306 02f4 FF020000 .4byte 0x2ff + 2307 02f8 0C .uleb128 0xc + 2308 02f9 C0000000 .4byte 0xc0 + 2309 02fd 1B .byte 0x1b + 2310 02fe 00 .byte 0 + 2311 02ff 09 .uleb128 0x9 + 2312 0300 5E000000 .4byte .LASF56 + 2313 0304 03 .byte 0x3 + 2314 0305 2E01 .2byte 0x12e + 2315 0307 B5010000 .4byte 0x1b5 + 2316 030b 0D .uleb128 0xd + 2317 030c E7040000 .4byte .LASF57 + 2318 0310 01 .byte 0x1 + 2319 0311 F805 .2byte 0x5f8 + 2320 0313 01 .byte 0x1 + 2321 0314 00000000 .4byte .LFB11 + 2322 0318 64010000 .4byte .LFE11 + 2323 031c 00000000 .4byte .LLST0 + 2324 0320 01 .byte 0x1 + 2325 0321 0E .uleb128 0xe + 2326 0322 27000000 .4byte .LASF58 + 2327 0326 01 .byte 0x1 + 2328 0327 7B06 .2byte 0x67b + 2329 0329 01 .byte 0x1 + 2330 032a 00000000 .4byte .LFB12 + 2331 032e A4000000 .4byte .LFE12 + 2332 0332 02 .byte 0x2 + 2333 0333 7D .byte 0x7d + 2334 0334 00 .sleb128 0 + 2335 0335 01 .byte 0x1 + 2336 0336 0F .uleb128 0xf + 2337 0337 01 .byte 0x1 + 2338 0338 64030000 .4byte .LASF59 + 2339 033c 01 .byte 0x1 + 2340 033d 50 .byte 0x50 + 2341 033e 01 .byte 0x1 + 2342 033f 00000000 .4byte .LFB0 + 2343 0343 B4010000 .4byte .LFE0 + 2344 0347 20000000 .4byte .LLST1 + 2345 034b 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 80 + + + 2346 034c F1030000 .4byte 0x3f1 + 2347 0350 10 .uleb128 0x10 + 2348 0351 38000000 .4byte .LVL0 + 2349 0355 67080000 .4byte 0x867 + 2350 0359 64030000 .4byte 0x364 + 2351 035d 11 .uleb128 0x11 + 2352 035e 01 .byte 0x1 + 2353 035f 50 .byte 0x50 + 2354 0360 02 .byte 0x2 + 2355 0361 08 .byte 0x8 + 2356 0362 37 .byte 0x37 + 2357 0363 00 .byte 0 + 2358 0364 10 .uleb128 0x10 + 2359 0365 62000000 .4byte .LVL1 + 2360 0369 7B080000 .4byte 0x87b + 2361 036d 77030000 .4byte 0x377 + 2362 0371 11 .uleb128 0x11 + 2363 0372 01 .byte 0x1 + 2364 0373 50 .byte 0x50 + 2365 0374 01 .byte 0x1 + 2366 0375 34 .byte 0x34 + 2367 0376 00 .byte 0 + 2368 0377 12 .uleb128 0x12 + 2369 0378 80000000 .4byte .LVL2 + 2370 037c 8F080000 .4byte 0x88f + 2371 0380 10 .uleb128 0x10 + 2372 0381 AA000000 .4byte .LVL3 + 2373 0385 A3080000 .4byte 0x8a3 + 2374 0389 93030000 .4byte 0x393 + 2375 038d 11 .uleb128 0x11 + 2376 038e 01 .byte 0x1 + 2377 038f 50 .byte 0x50 + 2378 0390 01 .byte 0x1 + 2379 0391 30 .byte 0x30 + 2380 0392 00 .byte 0 + 2381 0393 12 .uleb128 0x12 + 2382 0394 DC000000 .4byte .LVL4 + 2383 0398 B7080000 .4byte 0x8b7 + 2384 039c 10 .uleb128 0x10 + 2385 039d EE000000 .4byte .LVL5 + 2386 03a1 C1080000 .4byte 0x8c1 + 2387 03a5 AF030000 .4byte 0x3af + 2388 03a9 11 .uleb128 0x11 + 2389 03aa 01 .byte 0x1 + 2390 03ab 50 .byte 0x50 + 2391 03ac 01 .byte 0x1 + 2392 03ad 30 .byte 0x30 + 2393 03ae 00 .byte 0 + 2394 03af 10 .uleb128 0x10 + 2395 03b0 04010000 .4byte .LVL6 + 2396 03b4 D5080000 .4byte 0x8d5 + 2397 03b8 C2030000 .4byte 0x3c2 + 2398 03bc 11 .uleb128 0x11 + 2399 03bd 01 .byte 0x1 + 2400 03be 50 .byte 0x50 + 2401 03bf 01 .byte 0x1 + 2402 03c0 30 .byte 0x30 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 81 + + + 2403 03c1 00 .byte 0 + 2404 03c2 10 .uleb128 0x10 + 2405 03c3 1E010000 .4byte .LVL7 + 2406 03c7 E9080000 .4byte 0x8e9 + 2407 03cb D5030000 .4byte 0x3d5 + 2408 03cf 11 .uleb128 0x11 + 2409 03d0 01 .byte 0x1 + 2410 03d1 50 .byte 0x50 + 2411 03d2 01 .byte 0x1 + 2412 03d3 30 .byte 0x30 + 2413 03d4 00 .byte 0 + 2414 03d5 12 .uleb128 0x12 + 2415 03d6 2E010000 .4byte .LVL8 + 2416 03da 67080000 .4byte 0x867 + 2417 03de 12 .uleb128 0x12 + 2418 03df 44010000 .4byte .LVL9 + 2419 03e3 FD080000 .4byte 0x8fd + 2420 03e7 12 .uleb128 0x12 + 2421 03e8 60010000 .4byte .LVL10 + 2422 03ec 07090000 .4byte 0x907 + 2423 03f0 00 .byte 0 + 2424 03f1 13 .uleb128 0x13 + 2425 03f2 01 .byte 0x1 + 2426 03f3 90000000 .4byte .LASF60 + 2427 03f7 01 .byte 0x1 + 2428 03f8 0001 .2byte 0x100 + 2429 03fa 01 .byte 0x1 + 2430 03fb 00000000 .4byte .LFB1 + 2431 03ff 08020000 .4byte .LFE1 + 2432 0403 40000000 .4byte .LLST2 + 2433 0407 01 .byte 0x1 + 2434 0408 3B050000 .4byte 0x53b + 2435 040c 14 .uleb128 0x14 + 2436 040d CA050000 .4byte .LASF61 + 2437 0411 01 .byte 0x1 + 2438 0412 0201 .2byte 0x102 + 2439 0414 A5000000 .4byte 0xa5 + 2440 0418 10 .byte 0x10 + 2441 0419 15 .uleb128 0x15 + 2442 041a 6900 .ascii "i\000" + 2443 041c 01 .byte 0x1 + 2444 041d 0301 .2byte 0x103 + 2445 041f 7A000000 .4byte 0x7a + 2446 0423 16 .uleb128 0x16 + 2447 0424 41030000 .4byte .LASF62 + 2448 0428 01 .byte 0x1 + 2449 0429 0401 .2byte 0x104 + 2450 042b 7A000000 .4byte 0x7a + 2451 042f 60000000 .4byte .LLST3 + 2452 0433 17 .uleb128 0x17 + 2453 0434 95030000 .4byte .LASF63 + 2454 0438 01 .byte 0x1 + 2455 0439 0801 .2byte 0x108 + 2456 043b 4B050000 .4byte 0x54b + 2457 043f 02 .byte 0x2 + 2458 0440 91 .byte 0x91 + 2459 0441 70 .sleb128 -16 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 82 + + + 2460 0442 10 .uleb128 0x10 + 2461 0443 34000000 .4byte .LVL12 + 2462 0447 11090000 .4byte 0x911 + 2463 044b 66040000 .4byte 0x466 + 2464 044f 11 .uleb128 0x11 + 2465 0450 01 .byte 0x1 + 2466 0451 50 .byte 0x50 + 2467 0452 12 .byte 0x12 + 2468 0453 74 .byte 0x74 + 2469 0454 00 .sleb128 0 + 2470 0455 37 .byte 0x37 + 2471 0456 1A .byte 0x1a + 2472 0457 03 .byte 0x3 + 2473 0458 00000000 .4byte .LANCHOR1 + 2474 045c 22 .byte 0x22 + 2475 045d 94 .byte 0x94 + 2476 045e 01 .byte 0x1 + 2477 045f 08 .byte 0x8 + 2478 0460 FF .byte 0xff + 2479 0461 1A .byte 0x1a + 2480 0462 08 .byte 0x8 + 2481 0463 4B .byte 0x4b + 2482 0464 1E .byte 0x1e + 2483 0465 00 .byte 0 + 2484 0466 10 .uleb128 0x10 + 2485 0467 50000000 .4byte .LVL13 + 2486 046b 67080000 .4byte 0x867 + 2487 046f 7A040000 .4byte 0x47a + 2488 0473 11 .uleb128 0x11 + 2489 0474 01 .byte 0x1 + 2490 0475 50 .byte 0x50 + 2491 0476 02 .byte 0x2 + 2492 0477 08 .byte 0x8 + 2493 0478 37 .byte 0x37 + 2494 0479 00 .byte 0 + 2495 047a 10 .uleb128 0x10 + 2496 047b 62000000 .4byte .LVL14 + 2497 047f 25090000 .4byte 0x925 + 2498 0483 8D040000 .4byte 0x48d + 2499 0487 11 .uleb128 0x11 + 2500 0488 01 .byte 0x1 + 2501 0489 50 .byte 0x50 + 2502 048a 01 .byte 0x1 + 2503 048b 30 .byte 0x30 + 2504 048c 00 .byte 0 + 2505 048d 12 .uleb128 0x12 + 2506 048e 7C000000 .4byte .LVL16 + 2507 0492 11090000 .4byte 0x911 + 2508 0496 12 .uleb128 0x12 + 2509 0497 9E000000 .4byte .LVL17 + 2510 049b C1080000 .4byte 0x8c1 + 2511 049f 12 .uleb128 0x12 + 2512 04a0 A6000000 .4byte .LVL18 + 2513 04a4 D5080000 .4byte 0x8d5 + 2514 04a8 10 .uleb128 0x10 + 2515 04a9 CC000000 .4byte .LVL19 + 2516 04ad 7B080000 .4byte 0x87b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 83 + + + 2517 04b1 BB040000 .4byte 0x4bb + 2518 04b5 11 .uleb128 0x11 + 2519 04b6 01 .byte 0x1 + 2520 04b7 50 .byte 0x50 + 2521 04b8 01 .byte 0x1 + 2522 04b9 38 .byte 0x38 + 2523 04ba 00 .byte 0 + 2524 04bb 12 .uleb128 0x12 + 2525 04bc DE000000 .4byte .LVL20 + 2526 04c0 7B080000 .4byte 0x87b + 2527 04c4 10 .uleb128 0x10 + 2528 04c5 16010000 .4byte .LVL21 + 2529 04c9 8F080000 .4byte 0x88f + 2530 04cd DA040000 .4byte 0x4da + 2531 04d1 11 .uleb128 0x11 + 2532 04d2 01 .byte 0x1 + 2533 04d3 50 .byte 0x50 + 2534 04d4 04 .byte 0x4 + 2535 04d5 74 .byte 0x74 + 2536 04d6 00 .sleb128 0 + 2537 04d7 40 .byte 0x40 + 2538 04d8 1A .byte 0x1a + 2539 04d9 00 .byte 0 + 2540 04da 12 .uleb128 0x12 + 2541 04db 2E010000 .4byte .LVL22 + 2542 04df 3D090000 .4byte 0x93d + 2543 04e3 12 .uleb128 0x12 + 2544 04e4 38010000 .4byte .LVL23 + 2545 04e8 A3080000 .4byte 0x8a3 + 2546 04ec 12 .uleb128 0x12 + 2547 04ed 44010000 .4byte .LVL24 + 2548 04f1 47090000 .4byte 0x947 + 2549 04f5 10 .uleb128 0x10 + 2550 04f6 70010000 .4byte .LVL25 + 2551 04fa 51090000 .4byte 0x951 + 2552 04fe 08050000 .4byte 0x508 + 2553 0502 11 .uleb128 0x11 + 2554 0503 01 .byte 0x1 + 2555 0504 50 .byte 0x50 + 2556 0505 01 .byte 0x1 + 2557 0506 30 .byte 0x30 + 2558 0507 00 .byte 0 + 2559 0508 10 .uleb128 0x10 + 2560 0509 84010000 .4byte .LVL26 + 2561 050d 11090000 .4byte 0x911 + 2562 0511 1F050000 .4byte 0x51f + 2563 0515 11 .uleb128 0x11 + 2564 0516 01 .byte 0x1 + 2565 0517 50 .byte 0x50 + 2566 0518 05 .byte 0x5 + 2567 0519 74 .byte 0x74 + 2568 051a 00 .sleb128 0 + 2569 051b 08 .byte 0x8 + 2570 051c FA .byte 0xfa + 2571 051d 1E .byte 0x1e + 2572 051e 00 .byte 0 + 2573 051f 12 .uleb128 0x12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 84 + + + 2574 0520 9E010000 .4byte .LVL27 + 2575 0524 C1080000 .4byte 0x8c1 + 2576 0528 12 .uleb128 0x12 + 2577 0529 A6010000 .4byte .LVL28 + 2578 052d D5080000 .4byte 0x8d5 + 2579 0531 12 .uleb128 0x12 + 2580 0532 BE010000 .4byte .LVL32 + 2581 0536 E9080000 .4byte 0x8e9 + 2582 053a 00 .byte 0 + 2583 053b 0B .uleb128 0xb + 2584 053c 6F000000 .4byte 0x6f + 2585 0540 4B050000 .4byte 0x54b + 2586 0544 0C .uleb128 0xc + 2587 0545 C0000000 .4byte 0xc0 + 2588 0549 06 .byte 0x6 + 2589 054a 00 .byte 0 + 2590 054b 18 .uleb128 0x18 + 2591 054c 3B050000 .4byte 0x53b + 2592 0550 13 .uleb128 0x13 + 2593 0551 01 .byte 0x1 + 2594 0552 31040000 .4byte .LASF64 + 2595 0556 01 .byte 0x1 + 2596 0557 4702 .2byte 0x247 + 2597 0559 01 .byte 0x1 + 2598 055a 00000000 .4byte .LFB2 + 2599 055e 60000000 .4byte .LFE2 + 2600 0562 8C000000 .4byte .LLST4 + 2601 0566 01 .byte 0x1 + 2602 0567 8C050000 .4byte 0x58c + 2603 056b 19 .uleb128 0x19 + 2604 056c 09060000 .4byte .LASF65 + 2605 0570 01 .byte 0x1 + 2606 0571 4702 .2byte 0x247 + 2607 0573 7A000000 .4byte 0x7a + 2608 0577 AC000000 .4byte .LLST5 + 2609 057b 19 .uleb128 0x19 + 2610 057c C6030000 .4byte .LASF66 + 2611 0580 01 .byte 0x1 + 2612 0581 4702 .2byte 0x247 + 2613 0583 7A000000 .4byte 0x7a + 2614 0587 CD000000 .4byte .LLST6 + 2615 058b 00 .byte 0 + 2616 058c 13 .uleb128 0x13 + 2617 058d 01 .byte 0x1 + 2618 058e 1F040000 .4byte .LASF67 + 2619 0592 01 .byte 0x1 + 2620 0593 1303 .2byte 0x313 + 2621 0595 01 .byte 0x1 + 2622 0596 00000000 .4byte .LFB3 + 2623 059a D8000000 .4byte .LFE3 + 2624 059e EE000000 .4byte .LLST7 + 2625 05a2 01 .byte 0x1 + 2626 05a3 FD050000 .4byte 0x5fd + 2627 05a7 19 .uleb128 0x19 + 2628 05a8 09060000 .4byte .LASF65 + 2629 05ac 01 .byte 0x1 + 2630 05ad 1303 .2byte 0x313 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 85 + + + 2631 05af 6F000000 .4byte 0x6f + 2632 05b3 0E010000 .4byte .LLST8 + 2633 05b7 19 .uleb128 0x19 + 2634 05b8 C6030000 .4byte .LASF66 + 2635 05bc 01 .byte 0x1 + 2636 05bd 1303 .2byte 0x313 + 2637 05bf 7A000000 .4byte 0x7a + 2638 05c3 2F010000 .4byte .LLST9 + 2639 05c7 16 .uleb128 0x16 + 2640 05c8 49010000 .4byte .LASF68 + 2641 05cc 01 .byte 0x1 + 2642 05cd 1503 .2byte 0x315 + 2643 05cf 6F000000 .4byte 0x6f + 2644 05d3 50010000 .4byte .LLST10 + 2645 05d7 12 .uleb128 0x12 + 2646 05d8 08000000 .4byte .LVL37 + 2647 05dc 69090000 .4byte 0x969 + 2648 05e0 12 .uleb128 0x12 + 2649 05e1 22000000 .4byte .LVL40 + 2650 05e5 0B030000 .4byte 0x30b + 2651 05e9 12 .uleb128 0x12 + 2652 05ea A2000000 .4byte .LVL41 + 2653 05ee 21030000 .4byte 0x321 + 2654 05f2 1A .uleb128 0x1a + 2655 05f3 BE000000 .4byte .LVL43 + 2656 05f7 01 .byte 0x1 + 2657 05f8 77090000 .4byte 0x977 + 2658 05fc 00 .byte 0 + 2659 05fd 1B .uleb128 0x1b + 2660 05fe FA050000 .4byte .LASF69 + 2661 0602 01 .byte 0x1 + 2662 0603 CF04 .2byte 0x4cf + 2663 0605 01 .byte 0x1 + 2664 0606 01 .byte 0x1 + 2665 0607 1B .uleb128 0x1b + 2666 0608 B9000000 .4byte .LASF70 + 2667 060c 01 .byte 0x1 + 2668 060d C806 .2byte 0x6c8 + 2669 060f 01 .byte 0x1 + 2670 0610 01 .byte 0x1 + 2671 0611 1B .uleb128 0x1b + 2672 0612 DB010000 .4byte .LASF71 + 2673 0616 01 .byte 0x1 + 2674 0617 2905 .2byte 0x529 + 2675 0619 01 .byte 0x1 + 2676 061a 01 .byte 0x1 + 2677 061b 1B .uleb128 0x1b + 2678 061c 75010000 .4byte .LASF72 + 2679 0620 01 .byte 0x1 + 2680 0621 0707 .2byte 0x707 + 2681 0623 01 .byte 0x1 + 2682 0624 01 .byte 0x1 + 2683 0625 13 .uleb128 0x13 + 2684 0626 01 .byte 0x1 + 2685 0627 44050000 .4byte .LASF73 + 2686 062b 01 .byte 0x1 + 2687 062c 2304 .2byte 0x423 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 86 + + + 2688 062e 01 .byte 0x1 + 2689 062f 00000000 .4byte .LFB4 + 2690 0633 38020000 .4byte .LFE4 + 2691 0637 84010000 .4byte .LLST11 + 2692 063b 01 .byte 0x1 + 2693 063c 2E070000 .4byte 0x72e + 2694 0640 16 .uleb128 0x16 + 2695 0641 49010000 .4byte .LASF68 + 2696 0645 01 .byte 0x1 + 2697 0646 2504 .2byte 0x425 + 2698 0648 6F000000 .4byte 0x6f + 2699 064c A4010000 .4byte .LLST12 + 2700 0650 1C .uleb128 0x1c + 2701 0651 FD050000 .4byte 0x5fd + 2702 0655 1A000000 .4byte .LBB10 + 2703 0659 00000000 .4byte .Ldebug_ranges0+0 + 2704 065d 01 .byte 0x1 + 2705 065e 3F04 .2byte 0x43f + 2706 0660 B1060000 .4byte 0x6b1 + 2707 0664 1D .uleb128 0x1d + 2708 0665 07060000 .4byte 0x607 + 2709 0669 6C000000 .4byte .LBB12 + 2710 066d EC000000 .4byte .LBE12 + 2711 0671 01 .byte 0x1 + 2712 0672 0405 .2byte 0x504 + 2713 0674 94060000 .4byte 0x694 + 2714 0678 12 .uleb128 0x12 + 2715 0679 9A000000 .4byte .LVL48 + 2716 067d 8B090000 .4byte 0x98b + 2717 0681 12 .uleb128 0x12 + 2718 0682 CA000000 .4byte .LVL49 + 2719 0686 95090000 .4byte 0x995 + 2720 068a 12 .uleb128 0x12 + 2721 068b E6000000 .4byte .LVL50 + 2722 068f 9F090000 .4byte 0x99f + 2723 0693 00 .byte 0 + 2724 0694 10 .uleb128 0x10 + 2725 0695 3C000000 .4byte .LVL47 + 2726 0699 A9090000 .4byte 0x9a9 + 2727 069d A7060000 .4byte 0x6a7 + 2728 06a1 11 .uleb128 0x11 + 2729 06a2 01 .byte 0x1 + 2730 06a3 50 .byte 0x50 + 2731 06a4 01 .byte 0x1 + 2732 06a5 31 .byte 0x31 + 2733 06a6 00 .byte 0 + 2734 06a7 12 .uleb128 0x12 + 2735 06a8 F2000000 .4byte .LVL51 + 2736 06ac 0B030000 .4byte 0x30b + 2737 06b0 00 .byte 0 + 2738 06b1 1D .uleb128 0x1d + 2739 06b2 11060000 .4byte 0x611 + 2740 06b6 78010000 .4byte .LBB16 + 2741 06ba EA010000 .4byte .LBE16 + 2742 06be 01 .byte 0x1 + 2743 06bf 7A04 .2byte 0x47a + 2744 06c1 1A070000 .4byte 0x71a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 87 + + + 2745 06c5 1D .uleb128 0x1d + 2746 06c6 1B060000 .4byte 0x61b + 2747 06ca 78010000 .4byte .LBB18 + 2748 06ce B0010000 .4byte .LBE18 + 2749 06d2 01 .byte 0x1 + 2750 06d3 2C05 .2byte 0x52c + 2751 06d5 F5060000 .4byte 0x6f5 + 2752 06d9 12 .uleb128 0x12 + 2753 06da 8E010000 .4byte .LVL52 + 2754 06de C1090000 .4byte 0x9c1 + 2755 06e2 12 .uleb128 0x12 + 2756 06e3 A4010000 .4byte .LVL53 + 2757 06e7 DA090000 .4byte 0x9da + 2758 06eb 12 .uleb128 0x12 + 2759 06ec B0010000 .4byte .LVL54 + 2760 06f0 F3090000 .4byte 0x9f3 + 2761 06f4 00 .byte 0 + 2762 06f5 12 .uleb128 0x12 + 2763 06f6 B6010000 .4byte .LVL55 + 2764 06fa 21030000 .4byte 0x321 + 2765 06fe 12 .uleb128 0x12 + 2766 06ff C0010000 .4byte .LVL56 + 2767 0703 FD090000 .4byte 0x9fd + 2768 0707 12 .uleb128 0x12 + 2769 0708 CA010000 .4byte .LVL57 + 2770 070c 070A0000 .4byte 0xa07 + 2771 0710 12 .uleb128 0x12 + 2772 0711 D0010000 .4byte .LVL58 + 2773 0715 A9090000 .4byte 0x9a9 + 2774 0719 00 .byte 0 + 2775 071a 12 .uleb128 0x12 + 2776 071b 06000000 .4byte .LVL44 + 2777 071f 69090000 .4byte 0x969 + 2778 0723 1A .uleb128 0x1a + 2779 0724 04020000 .4byte .LVL60 + 2780 0728 01 .byte 0x1 + 2781 0729 77090000 .4byte 0x977 + 2782 072d 00 .byte 0 + 2783 072e 1E .uleb128 0x1e + 2784 072f 01 .byte 0x1 + 2785 0730 87050000 .4byte .LASF114 + 2786 0734 01 .byte 0x1 + 2787 0735 A304 .2byte 0x4a3 + 2788 0737 01 .byte 0x1 + 2789 0738 6F000000 .4byte 0x6f + 2790 073c 00000000 .4byte .LFB5 + 2791 0740 2C000000 .4byte .LFE5 + 2792 0744 D8010000 .4byte .LLST13 + 2793 0748 01 .byte 0x1 + 2794 0749 A0070000 .4byte 0x7a0 + 2795 074d 19 .uleb128 0x19 + 2796 074e 0A020000 .4byte .LASF74 + 2797 0752 01 .byte 0x1 + 2798 0753 A304 .2byte 0x4a3 + 2799 0755 6F000000 .4byte 0x6f + 2800 0759 F8010000 .4byte .LLST14 + 2801 075d 17 .uleb128 0x17 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 88 + + + 2802 075e 85060000 .4byte .LASF75 + 2803 0762 01 .byte 0x1 + 2804 0763 A504 .2byte 0x4a5 + 2805 0765 6F000000 .4byte 0x6f + 2806 0769 05 .byte 0x5 + 2807 076a 03 .byte 0x3 + 2808 076b 42000000 .4byte interruptStatus.4773 + 2809 076f 16 .uleb128 0x16 + 2810 0770 49010000 .4byte .LASF68 + 2811 0774 01 .byte 0x1 + 2812 0775 A604 .2byte 0x4a6 + 2813 0777 6F000000 .4byte 0x6f + 2814 077b 19020000 .4byte .LLST15 + 2815 077f 17 .uleb128 0x17 + 2816 0780 CF000000 .4byte .LASF76 + 2817 0784 01 .byte 0x1 + 2818 0785 A704 .2byte 0x4a7 + 2819 0787 6F000000 .4byte 0x6f + 2820 078b 01 .byte 0x1 + 2821 078c 54 .byte 0x54 + 2822 078d 12 .uleb128 0x12 + 2823 078e 08000000 .4byte .LVL62 + 2824 0792 69090000 .4byte 0x969 + 2825 0796 12 .uleb128 0x12 + 2826 0797 20000000 .4byte .LVL64 + 2827 079b 77090000 .4byte 0x977 + 2828 079f 00 .byte 0 + 2829 07a0 13 .uleb128 0x13 + 2830 07a1 01 .byte 0x1 + 2831 07a2 B7050000 .4byte .LASF77 + 2832 07a6 01 .byte 0x1 + 2833 07a7 6605 .2byte 0x566 + 2834 07a9 01 .byte 0x1 + 2835 07aa 00000000 .4byte .LFB8 + 2836 07ae 54000000 .4byte .LFE8 + 2837 07b2 2C020000 .4byte .LLST16 + 2838 07b6 01 .byte 0x1 + 2839 07b7 D5070000 .4byte 0x7d5 + 2840 07bb 19 .uleb128 0x19 + 2841 07bc EA020000 .4byte .LASF78 + 2842 07c0 01 .byte 0x1 + 2843 07c1 6605 .2byte 0x566 + 2844 07c3 6F000000 .4byte 0x6f + 2845 07c7 4C020000 .4byte .LLST17 + 2846 07cb 12 .uleb128 0x12 + 2847 07cc 12000000 .4byte .LVL67 + 2848 07d0 FD090000 .4byte 0x9fd + 2849 07d4 00 .byte 0 + 2850 07d5 13 .uleb128 0x13 + 2851 07d6 01 .byte 0x1 + 2852 07d7 98040000 .4byte .LASF79 + 2853 07db 01 .byte 0x1 + 2854 07dc 9A05 .2byte 0x59a + 2855 07de 01 .byte 0x1 + 2856 07df 00000000 .4byte .LFB9 + 2857 07e3 2C000000 .4byte .LFE9 + 2858 07e7 6D020000 .4byte .LLST18 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 89 + + + 2859 07eb 01 .byte 0x1 + 2860 07ec FA070000 .4byte 0x7fa + 2861 07f0 12 .uleb128 0x12 + 2862 07f1 0E000000 .4byte .LVL68 + 2863 07f5 110A0000 .4byte 0xa11 + 2864 07f9 00 .byte 0 + 2865 07fa 13 .uleb128 0x13 + 2866 07fb 01 .byte 0x1 + 2867 07fc EC000000 .4byte .LASF80 + 2868 0800 01 .byte 0x1 + 2869 0801 BF05 .2byte 0x5bf + 2870 0803 01 .byte 0x1 + 2871 0804 00000000 .4byte .LFB10 + 2872 0808 50000000 .4byte .LFE10 + 2873 080c 8D020000 .4byte .LLST19 + 2874 0810 01 .byte 0x1 + 2875 0811 2F080000 .4byte 0x82f + 2876 0815 19 .uleb128 0x19 + 2877 0816 68050000 .4byte .LASF81 + 2878 081a 01 .byte 0x1 + 2879 081b BF05 .2byte 0x5bf + 2880 081d 6F000000 .4byte 0x6f + 2881 0821 AD020000 .4byte .LLST20 + 2882 0825 12 .uleb128 0x12 + 2883 0826 12000000 .4byte .LVL71 + 2884 082a 070A0000 .4byte 0xa07 + 2885 082e 00 .byte 0 + 2886 082f 1F .uleb128 0x1f + 2887 0830 67060000 .4byte .LASF82 + 2888 0834 01 .byte 0x1 + 2889 0835 1F .byte 0x1f + 2890 0836 FF020000 .4byte 0x2ff + 2891 083a 05 .byte 0x5 + 2892 083b 03 .byte 0x3 + 2893 083c 00000000 .4byte cyPmBackup + 2894 0840 1F .uleb128 0x1f + 2895 0841 B2040000 .4byte .LASF83 + 2896 0845 01 .byte 0x1 + 2897 0846 20 .byte 0x20 + 2898 0847 A9010000 .4byte 0x1a9 + 2899 084b 05 .byte 0x5 + 2900 084c 03 .byte 0x3 + 2901 084d 30000000 .4byte cyPmClockBackup + 2902 0851 1F .uleb128 0x1f + 2903 0852 74050000 .4byte .LASF84 + 2904 0856 01 .byte 0x1 + 2905 0857 23 .byte 0x23 + 2906 0858 62080000 .4byte 0x862 + 2907 085c 05 .byte 0x5 + 2908 085d 03 .byte 0x3 + 2909 085e 00000000 .4byte cyPmImoFreqReg2Mhz + 2910 0862 18 .uleb128 0x18 + 2911 0863 3B050000 .4byte 0x53b + 2912 0867 20 .uleb128 0x20 + 2913 0868 01 .byte 0x1 + 2914 0869 52050000 .4byte .LASF85 + 2915 086d 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 90 + + + 2916 086e 4B .byte 0x4b + 2917 086f 01 .byte 0x1 + 2918 0870 01 .byte 0x1 + 2919 0871 7B080000 .4byte 0x87b + 2920 0875 21 .uleb128 0x21 + 2921 0876 6F000000 .4byte 0x6f + 2922 087a 00 .byte 0 + 2923 087b 20 .uleb128 0x20 + 2924 087c 01 .byte 0x1 + 2925 087d D8050000 .4byte .LASF86 + 2926 0881 05 .byte 0x5 + 2927 0882 49 .byte 0x49 + 2928 0883 01 .byte 0x1 + 2929 0884 01 .byte 0x1 + 2930 0885 8F080000 .4byte 0x88f + 2931 0889 21 .uleb128 0x21 + 2932 088a 6F000000 .4byte 0x6f + 2933 088e 00 .byte 0 + 2934 088f 20 .uleb128 0x20 + 2935 0890 01 .byte 0x1 + 2936 0891 1B000000 .4byte .LASF87 + 2937 0895 05 .byte 0x5 + 2938 0896 47 .byte 0x47 + 2939 0897 01 .byte 0x1 + 2940 0898 01 .byte 0x1 + 2941 0899 A3080000 .4byte 0x8a3 + 2942 089d 21 .uleb128 0x21 + 2943 089e 6F000000 .4byte 0x6f + 2944 08a2 00 .byte 0 + 2945 08a3 20 .uleb128 0x20 + 2946 08a4 01 .byte 0x1 + 2947 08a5 30020000 .4byte .LASF88 + 2948 08a9 05 .byte 0x5 + 2949 08aa 4A .byte 0x4a + 2950 08ab 01 .byte 0x1 + 2951 08ac 01 .byte 0x1 + 2952 08ad B7080000 .4byte 0x8b7 + 2953 08b1 21 .uleb128 0x21 + 2954 08b2 6F000000 .4byte 0x6f + 2955 08b6 00 .byte 0 + 2956 08b7 22 .uleb128 0x22 + 2957 08b8 01 .byte 0x1 + 2958 08b9 0C050000 .4byte .LASF92 + 2959 08bd 05 .byte 0x5 + 2960 08be 4C .byte 0x4c + 2961 08bf 01 .byte 0x1 + 2962 08c0 01 .byte 0x1 + 2963 08c1 20 .uleb128 0x20 + 2964 08c2 01 .byte 0x1 + 2965 08c3 E2030000 .4byte .LASF89 + 2966 08c7 05 .byte 0x5 + 2967 08c8 4F .byte 0x4f + 2968 08c9 01 .byte 0x1 + 2969 08ca 01 .byte 0x1 + 2970 08cb D5080000 .4byte 0x8d5 + 2971 08cf 21 .uleb128 0x21 + 2972 08d0 6F000000 .4byte 0x6f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 91 + + + 2973 08d4 00 .byte 0 + 2974 08d5 20 .uleb128 0x20 + 2975 08d6 01 .byte 0x1 + 2976 08d7 0A030000 .4byte .LASF90 + 2977 08db 05 .byte 0x5 + 2978 08dc 4E .byte 0x4e + 2979 08dd 01 .byte 0x1 + 2980 08de 01 .byte 0x1 + 2981 08df E9080000 .4byte 0x8e9 + 2982 08e3 21 .uleb128 0x21 + 2983 08e4 6F000000 .4byte 0x6f + 2984 08e8 00 .byte 0 + 2985 08e9 20 .uleb128 0x20 + 2986 08ea 01 .byte 0x1 + 2987 08eb 96050000 .4byte .LASF91 + 2988 08ef 05 .byte 0x5 + 2989 08f0 50 .byte 0x50 + 2990 08f1 01 .byte 0x1 + 2991 08f2 01 .byte 0x1 + 2992 08f3 FD080000 .4byte 0x8fd + 2993 08f7 21 .uleb128 0x21 + 2994 08f8 7A000000 .4byte 0x7a + 2995 08fc 00 .byte 0 + 2996 08fd 22 .uleb128 0x22 + 2997 08fe 01 .byte 0x1 + 2998 08ff D3030000 .4byte .LASF93 + 2999 0903 05 .byte 0x5 + 3000 0904 43 .byte 0x43 + 3001 0905 01 .byte 0x1 + 3002 0906 01 .byte 0x1 + 3003 0907 22 .uleb128 0x22 + 3004 0908 01 .byte 0x1 + 3005 0909 5B060000 .4byte .LASF94 + 3006 090d 05 .byte 0x5 + 3007 090e 67 .byte 0x67 + 3008 090f 01 .byte 0x1 + 3009 0910 01 .byte 0x1 + 3010 0911 20 .uleb128 0x20 + 3011 0912 01 .byte 0x1 + 3012 0913 3C040000 .4byte .LASF95 + 3013 0917 05 .byte 0x5 + 3014 0918 7A .byte 0x7a + 3015 0919 01 .byte 0x1 + 3016 091a 01 .byte 0x1 + 3017 091b 25090000 .4byte 0x925 + 3018 091f 21 .uleb128 0x21 + 3019 0920 85000000 .4byte 0x85 + 3020 0924 00 .byte 0 + 3021 0925 23 .uleb128 0x23 + 3022 0926 01 .byte 0x1 + 3023 0927 73030000 .4byte .LASF98 + 3024 092b 05 .byte 0x5 + 3025 092c 66 .byte 0x66 + 3026 092d 01 .byte 0x1 + 3027 092e A5000000 .4byte 0xa5 + 3028 0932 01 .byte 0x1 + 3029 0933 3D090000 .4byte 0x93d + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 92 + + + 3030 0937 21 .uleb128 0x21 + 3031 0938 6F000000 .4byte 0x6f + 3032 093c 00 .byte 0 + 3033 093d 22 .uleb128 0x22 + 3034 093e 01 .byte 0x1 + 3035 093f B2020000 .4byte .LASF96 + 3036 0943 05 .byte 0x5 + 3037 0944 48 .byte 0x48 + 3038 0945 01 .byte 0x1 + 3039 0946 01 .byte 0x1 + 3040 0947 22 .uleb128 0x22 + 3041 0948 01 .byte 0x1 + 3042 0949 E6050000 .4byte .LASF97 + 3043 094d 05 .byte 0x5 + 3044 094e 4B .byte 0x4b + 3045 094f 01 .byte 0x1 + 3046 0950 01 .byte 0x1 + 3047 0951 23 .uleb128 0x23 + 3048 0952 01 .byte 0x1 + 3049 0953 8D010000 .4byte .LASF99 + 3050 0957 05 .byte 0x5 + 3051 0958 42 .byte 0x42 + 3052 0959 01 .byte 0x1 + 3053 095a A5000000 .4byte 0xa5 + 3054 095e 01 .byte 0x1 + 3055 095f 69090000 .4byte 0x969 + 3056 0963 21 .uleb128 0x21 + 3057 0964 6F000000 .4byte 0x6f + 3058 0968 00 .byte 0 + 3059 0969 24 .uleb128 0x24 + 3060 096a 01 .byte 0x1 + 3061 096b 77020000 .4byte .LASF115 + 3062 096f 05 .byte 0x5 + 3063 0970 7E .byte 0x7e + 3064 0971 01 .byte 0x1 + 3065 0972 6F000000 .4byte 0x6f + 3066 0976 01 .byte 0x1 + 3067 0977 20 .uleb128 0x20 + 3068 0978 01 .byte 0x1 + 3069 0979 09040000 .4byte .LASF100 + 3070 097d 05 .byte 0x5 + 3071 097e 7F .byte 0x7f + 3072 097f 01 .byte 0x1 + 3073 0980 01 .byte 0x1 + 3074 0981 8B090000 .4byte 0x98b + 3075 0985 21 .uleb128 0x21 + 3076 0986 6F000000 .4byte 0x6f + 3077 098a 00 .byte 0 + 3078 098b 22 .uleb128 0x22 + 3079 098c 01 .byte 0x1 + 3080 098d 21050000 .4byte .LASF101 + 3081 0991 05 .byte 0x5 + 3082 0992 9D .byte 0x9d + 3083 0993 01 .byte 0x1 + 3084 0994 01 .byte 0x1 + 3085 0995 22 .uleb128 0x22 + 3086 0996 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 93 + + + 3087 0997 00000000 .4byte .LASF102 + 3088 099b 05 .byte 0x5 + 3089 099c 9E .byte 0x9e + 3090 099d 01 .byte 0x1 + 3091 099e 01 .byte 0x1 + 3092 099f 22 .uleb128 0x22 + 3093 09a0 01 .byte 0x1 + 3094 09a1 F6020000 .4byte .LASF103 + 3095 09a5 05 .byte 0x5 + 3096 09a6 A0 .byte 0xa0 + 3097 09a7 01 .byte 0x1 + 3098 09a8 01 .byte 0x1 + 3099 09a9 23 .uleb128 0x23 + 3100 09aa 01 .byte 0x1 + 3101 09ab 9F020000 .4byte .LASF104 + 3102 09af 05 .byte 0x5 + 3103 09b0 5F .byte 0x5f + 3104 09b1 01 .byte 0x1 + 3105 09b2 6F000000 .4byte 0x6f + 3106 09b6 01 .byte 0x1 + 3107 09b7 C1090000 .4byte 0x9c1 + 3108 09bb 21 .uleb128 0x21 + 3109 09bc 6F000000 .4byte 0x6f + 3110 09c0 00 .byte 0 + 3111 09c1 20 .uleb128 0x20 + 3112 09c2 01 .byte 0x1 + 3113 09c3 06010000 .4byte .LASF105 + 3114 09c7 05 .byte 0x5 + 3115 09c8 9B .byte 0x9b + 3116 09c9 01 .byte 0x1 + 3117 09ca 01 .byte 0x1 + 3118 09cb DA090000 .4byte 0x9da + 3119 09cf 21 .uleb128 0x21 + 3120 09d0 6F000000 .4byte 0x6f + 3121 09d4 21 .uleb128 0x21 + 3122 09d5 6F000000 .4byte 0x6f + 3123 09d9 00 .byte 0 + 3124 09da 20 .uleb128 0x20 + 3125 09db 01 .byte 0x1 + 3126 09dc 14060000 .4byte .LASF106 + 3127 09e0 05 .byte 0x5 + 3128 09e1 9C .byte 0x9c + 3129 09e2 01 .byte 0x1 + 3130 09e3 01 .byte 0x1 + 3131 09e4 F3090000 .4byte 0x9f3 + 3132 09e8 21 .uleb128 0x21 + 3133 09e9 6F000000 .4byte 0x6f + 3134 09ed 21 .uleb128 0x21 + 3135 09ee 6F000000 .4byte 0x6f + 3136 09f2 00 .byte 0 + 3137 09f3 22 .uleb128 0x22 + 3138 09f4 01 .byte 0x1 + 3139 09f5 48060000 .4byte .LASF107 + 3140 09f9 05 .byte 0x5 + 3141 09fa 9F .byte 0x9f + 3142 09fb 01 .byte 0x1 + 3143 09fc 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 94 + + + 3144 09fd 22 .uleb128 0x22 + 3145 09fe 01 .byte 0x1 + 3146 09ff 58010000 .4byte .LASF108 + 3147 0a03 05 .byte 0x5 + 3148 0a04 58 .byte 0x58 + 3149 0a05 01 .byte 0x1 + 3150 0a06 01 .byte 0x1 + 3151 0a07 22 .uleb128 0x22 + 3152 0a08 01 .byte 0x1 + 3153 0a09 B6030000 .4byte .LASF109 + 3154 0a0d 05 .byte 0x5 + 3155 0a0e 5A .byte 0x5a + 3156 0a0f 01 .byte 0x1 + 3157 0a10 01 .byte 0x1 + 3158 0a11 22 .uleb128 0x22 + 3159 0a12 01 .byte 0x1 + 3160 0a13 72000000 .4byte .LASF110 + 3161 0a17 05 .byte 0x5 + 3162 0a18 63 .byte 0x63 + 3163 0a19 01 .byte 0x1 + 3164 0a1a 01 .byte 0x1 + 3165 0a1b 00 .byte 0 + 3166 .section .debug_abbrev,"",%progbits + 3167 .Ldebug_abbrev0: + 3168 0000 01 .uleb128 0x1 + 3169 0001 11 .uleb128 0x11 + 3170 0002 01 .byte 0x1 + 3171 0003 25 .uleb128 0x25 + 3172 0004 0E .uleb128 0xe + 3173 0005 13 .uleb128 0x13 + 3174 0006 0B .uleb128 0xb + 3175 0007 03 .uleb128 0x3 + 3176 0008 0E .uleb128 0xe + 3177 0009 1B .uleb128 0x1b + 3178 000a 0E .uleb128 0xe + 3179 000b 55 .uleb128 0x55 + 3180 000c 06 .uleb128 0x6 + 3181 000d 11 .uleb128 0x11 + 3182 000e 01 .uleb128 0x1 + 3183 000f 52 .uleb128 0x52 + 3184 0010 01 .uleb128 0x1 + 3185 0011 10 .uleb128 0x10 + 3186 0012 06 .uleb128 0x6 + 3187 0013 00 .byte 0 + 3188 0014 00 .byte 0 + 3189 0015 02 .uleb128 0x2 + 3190 0016 24 .uleb128 0x24 + 3191 0017 00 .byte 0 + 3192 0018 0B .uleb128 0xb + 3193 0019 0B .uleb128 0xb + 3194 001a 3E .uleb128 0x3e + 3195 001b 0B .uleb128 0xb + 3196 001c 03 .uleb128 0x3 + 3197 001d 0E .uleb128 0xe + 3198 001e 00 .byte 0 + 3199 001f 00 .byte 0 + 3200 0020 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 95 + + + 3201 0021 24 .uleb128 0x24 + 3202 0022 00 .byte 0 + 3203 0023 0B .uleb128 0xb + 3204 0024 0B .uleb128 0xb + 3205 0025 3E .uleb128 0x3e + 3206 0026 0B .uleb128 0xb + 3207 0027 03 .uleb128 0x3 + 3208 0028 08 .uleb128 0x8 + 3209 0029 00 .byte 0 + 3210 002a 00 .byte 0 + 3211 002b 04 .uleb128 0x4 + 3212 002c 16 .uleb128 0x16 + 3213 002d 00 .byte 0 + 3214 002e 03 .uleb128 0x3 + 3215 002f 0E .uleb128 0xe + 3216 0030 3A .uleb128 0x3a + 3217 0031 0B .uleb128 0xb + 3218 0032 3B .uleb128 0x3b + 3219 0033 0B .uleb128 0xb + 3220 0034 49 .uleb128 0x49 + 3221 0035 13 .uleb128 0x13 + 3222 0036 00 .byte 0 + 3223 0037 00 .byte 0 + 3224 0038 05 .uleb128 0x5 + 3225 0039 35 .uleb128 0x35 + 3226 003a 00 .byte 0 + 3227 003b 49 .uleb128 0x49 + 3228 003c 13 .uleb128 0x13 + 3229 003d 00 .byte 0 + 3230 003e 00 .byte 0 + 3231 003f 06 .uleb128 0x6 + 3232 0040 13 .uleb128 0x13 + 3233 0041 01 .byte 0x1 + 3234 0042 03 .uleb128 0x3 + 3235 0043 0E .uleb128 0xe + 3236 0044 0B .uleb128 0xb + 3237 0045 0B .uleb128 0xb + 3238 0046 3A .uleb128 0x3a + 3239 0047 0B .uleb128 0xb + 3240 0048 3B .uleb128 0x3b + 3241 0049 0B .uleb128 0xb + 3242 004a 01 .uleb128 0x1 + 3243 004b 13 .uleb128 0x13 + 3244 004c 00 .byte 0 + 3245 004d 00 .byte 0 + 3246 004e 07 .uleb128 0x7 + 3247 004f 0D .uleb128 0xd + 3248 0050 00 .byte 0 + 3249 0051 03 .uleb128 0x3 + 3250 0052 0E .uleb128 0xe + 3251 0053 3A .uleb128 0x3a + 3252 0054 0B .uleb128 0xb + 3253 0055 3B .uleb128 0x3b + 3254 0056 0B .uleb128 0xb + 3255 0057 49 .uleb128 0x49 + 3256 0058 13 .uleb128 0x13 + 3257 0059 38 .uleb128 0x38 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 96 + + + 3258 005a 0A .uleb128 0xa + 3259 005b 00 .byte 0 + 3260 005c 00 .byte 0 + 3261 005d 08 .uleb128 0x8 + 3262 005e 0D .uleb128 0xd + 3263 005f 00 .byte 0 + 3264 0060 03 .uleb128 0x3 + 3265 0061 0E .uleb128 0xe + 3266 0062 3A .uleb128 0x3a + 3267 0063 0B .uleb128 0xb + 3268 0064 3B .uleb128 0x3b + 3269 0065 05 .uleb128 0x5 + 3270 0066 49 .uleb128 0x49 + 3271 0067 13 .uleb128 0x13 + 3272 0068 38 .uleb128 0x38 + 3273 0069 0A .uleb128 0xa + 3274 006a 00 .byte 0 + 3275 006b 00 .byte 0 + 3276 006c 09 .uleb128 0x9 + 3277 006d 16 .uleb128 0x16 + 3278 006e 00 .byte 0 + 3279 006f 03 .uleb128 0x3 + 3280 0070 0E .uleb128 0xe + 3281 0071 3A .uleb128 0x3a + 3282 0072 0B .uleb128 0xb + 3283 0073 3B .uleb128 0x3b + 3284 0074 05 .uleb128 0x5 + 3285 0075 49 .uleb128 0x49 + 3286 0076 13 .uleb128 0x13 + 3287 0077 00 .byte 0 + 3288 0078 00 .byte 0 + 3289 0079 0A .uleb128 0xa + 3290 007a 13 .uleb128 0x13 + 3291 007b 01 .byte 0x1 + 3292 007c 03 .uleb128 0x3 + 3293 007d 0E .uleb128 0xe + 3294 007e 0B .uleb128 0xb + 3295 007f 0B .uleb128 0xb + 3296 0080 3A .uleb128 0x3a + 3297 0081 0B .uleb128 0xb + 3298 0082 3B .uleb128 0x3b + 3299 0083 05 .uleb128 0x5 + 3300 0084 01 .uleb128 0x1 + 3301 0085 13 .uleb128 0x13 + 3302 0086 00 .byte 0 + 3303 0087 00 .byte 0 + 3304 0088 0B .uleb128 0xb + 3305 0089 01 .uleb128 0x1 + 3306 008a 01 .byte 0x1 + 3307 008b 49 .uleb128 0x49 + 3308 008c 13 .uleb128 0x13 + 3309 008d 01 .uleb128 0x1 + 3310 008e 13 .uleb128 0x13 + 3311 008f 00 .byte 0 + 3312 0090 00 .byte 0 + 3313 0091 0C .uleb128 0xc + 3314 0092 21 .uleb128 0x21 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 97 + + + 3315 0093 00 .byte 0 + 3316 0094 49 .uleb128 0x49 + 3317 0095 13 .uleb128 0x13 + 3318 0096 2F .uleb128 0x2f + 3319 0097 0B .uleb128 0xb + 3320 0098 00 .byte 0 + 3321 0099 00 .byte 0 + 3322 009a 0D .uleb128 0xd + 3323 009b 2E .uleb128 0x2e + 3324 009c 00 .byte 0 + 3325 009d 03 .uleb128 0x3 + 3326 009e 0E .uleb128 0xe + 3327 009f 3A .uleb128 0x3a + 3328 00a0 0B .uleb128 0xb + 3329 00a1 3B .uleb128 0x3b + 3330 00a2 05 .uleb128 0x5 + 3331 00a3 27 .uleb128 0x27 + 3332 00a4 0C .uleb128 0xc + 3333 00a5 11 .uleb128 0x11 + 3334 00a6 01 .uleb128 0x1 + 3335 00a7 12 .uleb128 0x12 + 3336 00a8 01 .uleb128 0x1 + 3337 00a9 40 .uleb128 0x40 + 3338 00aa 06 .uleb128 0x6 + 3339 00ab 9742 .uleb128 0x2117 + 3340 00ad 0C .uleb128 0xc + 3341 00ae 00 .byte 0 + 3342 00af 00 .byte 0 + 3343 00b0 0E .uleb128 0xe + 3344 00b1 2E .uleb128 0x2e + 3345 00b2 00 .byte 0 + 3346 00b3 03 .uleb128 0x3 + 3347 00b4 0E .uleb128 0xe + 3348 00b5 3A .uleb128 0x3a + 3349 00b6 0B .uleb128 0xb + 3350 00b7 3B .uleb128 0x3b + 3351 00b8 05 .uleb128 0x5 + 3352 00b9 27 .uleb128 0x27 + 3353 00ba 0C .uleb128 0xc + 3354 00bb 11 .uleb128 0x11 + 3355 00bc 01 .uleb128 0x1 + 3356 00bd 12 .uleb128 0x12 + 3357 00be 01 .uleb128 0x1 + 3358 00bf 40 .uleb128 0x40 + 3359 00c0 0A .uleb128 0xa + 3360 00c1 9742 .uleb128 0x2117 + 3361 00c3 0C .uleb128 0xc + 3362 00c4 00 .byte 0 + 3363 00c5 00 .byte 0 + 3364 00c6 0F .uleb128 0xf + 3365 00c7 2E .uleb128 0x2e + 3366 00c8 01 .byte 0x1 + 3367 00c9 3F .uleb128 0x3f + 3368 00ca 0C .uleb128 0xc + 3369 00cb 03 .uleb128 0x3 + 3370 00cc 0E .uleb128 0xe + 3371 00cd 3A .uleb128 0x3a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 98 + + + 3372 00ce 0B .uleb128 0xb + 3373 00cf 3B .uleb128 0x3b + 3374 00d0 0B .uleb128 0xb + 3375 00d1 27 .uleb128 0x27 + 3376 00d2 0C .uleb128 0xc + 3377 00d3 11 .uleb128 0x11 + 3378 00d4 01 .uleb128 0x1 + 3379 00d5 12 .uleb128 0x12 + 3380 00d6 01 .uleb128 0x1 + 3381 00d7 40 .uleb128 0x40 + 3382 00d8 06 .uleb128 0x6 + 3383 00d9 9742 .uleb128 0x2117 + 3384 00db 0C .uleb128 0xc + 3385 00dc 01 .uleb128 0x1 + 3386 00dd 13 .uleb128 0x13 + 3387 00de 00 .byte 0 + 3388 00df 00 .byte 0 + 3389 00e0 10 .uleb128 0x10 + 3390 00e1 898201 .uleb128 0x4109 + 3391 00e4 01 .byte 0x1 + 3392 00e5 11 .uleb128 0x11 + 3393 00e6 01 .uleb128 0x1 + 3394 00e7 31 .uleb128 0x31 + 3395 00e8 13 .uleb128 0x13 + 3396 00e9 01 .uleb128 0x1 + 3397 00ea 13 .uleb128 0x13 + 3398 00eb 00 .byte 0 + 3399 00ec 00 .byte 0 + 3400 00ed 11 .uleb128 0x11 + 3401 00ee 8A8201 .uleb128 0x410a + 3402 00f1 00 .byte 0 + 3403 00f2 02 .uleb128 0x2 + 3404 00f3 0A .uleb128 0xa + 3405 00f4 9142 .uleb128 0x2111 + 3406 00f6 0A .uleb128 0xa + 3407 00f7 00 .byte 0 + 3408 00f8 00 .byte 0 + 3409 00f9 12 .uleb128 0x12 + 3410 00fa 898201 .uleb128 0x4109 + 3411 00fd 00 .byte 0 + 3412 00fe 11 .uleb128 0x11 + 3413 00ff 01 .uleb128 0x1 + 3414 0100 31 .uleb128 0x31 + 3415 0101 13 .uleb128 0x13 + 3416 0102 00 .byte 0 + 3417 0103 00 .byte 0 + 3418 0104 13 .uleb128 0x13 + 3419 0105 2E .uleb128 0x2e + 3420 0106 01 .byte 0x1 + 3421 0107 3F .uleb128 0x3f + 3422 0108 0C .uleb128 0xc + 3423 0109 03 .uleb128 0x3 + 3424 010a 0E .uleb128 0xe + 3425 010b 3A .uleb128 0x3a + 3426 010c 0B .uleb128 0xb + 3427 010d 3B .uleb128 0x3b + 3428 010e 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 99 + + + 3429 010f 27 .uleb128 0x27 + 3430 0110 0C .uleb128 0xc + 3431 0111 11 .uleb128 0x11 + 3432 0112 01 .uleb128 0x1 + 3433 0113 12 .uleb128 0x12 + 3434 0114 01 .uleb128 0x1 + 3435 0115 40 .uleb128 0x40 + 3436 0116 06 .uleb128 0x6 + 3437 0117 9742 .uleb128 0x2117 + 3438 0119 0C .uleb128 0xc + 3439 011a 01 .uleb128 0x1 + 3440 011b 13 .uleb128 0x13 + 3441 011c 00 .byte 0 + 3442 011d 00 .byte 0 + 3443 011e 14 .uleb128 0x14 + 3444 011f 34 .uleb128 0x34 + 3445 0120 00 .byte 0 + 3446 0121 03 .uleb128 0x3 + 3447 0122 0E .uleb128 0xe + 3448 0123 3A .uleb128 0x3a + 3449 0124 0B .uleb128 0xb + 3450 0125 3B .uleb128 0x3b + 3451 0126 05 .uleb128 0x5 + 3452 0127 49 .uleb128 0x49 + 3453 0128 13 .uleb128 0x13 + 3454 0129 1C .uleb128 0x1c + 3455 012a 0B .uleb128 0xb + 3456 012b 00 .byte 0 + 3457 012c 00 .byte 0 + 3458 012d 15 .uleb128 0x15 + 3459 012e 34 .uleb128 0x34 + 3460 012f 00 .byte 0 + 3461 0130 03 .uleb128 0x3 + 3462 0131 08 .uleb128 0x8 + 3463 0132 3A .uleb128 0x3a + 3464 0133 0B .uleb128 0xb + 3465 0134 3B .uleb128 0x3b + 3466 0135 05 .uleb128 0x5 + 3467 0136 49 .uleb128 0x49 + 3468 0137 13 .uleb128 0x13 + 3469 0138 00 .byte 0 + 3470 0139 00 .byte 0 + 3471 013a 16 .uleb128 0x16 + 3472 013b 34 .uleb128 0x34 + 3473 013c 00 .byte 0 + 3474 013d 03 .uleb128 0x3 + 3475 013e 0E .uleb128 0xe + 3476 013f 3A .uleb128 0x3a + 3477 0140 0B .uleb128 0xb + 3478 0141 3B .uleb128 0x3b + 3479 0142 05 .uleb128 0x5 + 3480 0143 49 .uleb128 0x49 + 3481 0144 13 .uleb128 0x13 + 3482 0145 02 .uleb128 0x2 + 3483 0146 06 .uleb128 0x6 + 3484 0147 00 .byte 0 + 3485 0148 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 100 + + + 3486 0149 17 .uleb128 0x17 + 3487 014a 34 .uleb128 0x34 + 3488 014b 00 .byte 0 + 3489 014c 03 .uleb128 0x3 + 3490 014d 0E .uleb128 0xe + 3491 014e 3A .uleb128 0x3a + 3492 014f 0B .uleb128 0xb + 3493 0150 3B .uleb128 0x3b + 3494 0151 05 .uleb128 0x5 + 3495 0152 49 .uleb128 0x49 + 3496 0153 13 .uleb128 0x13 + 3497 0154 02 .uleb128 0x2 + 3498 0155 0A .uleb128 0xa + 3499 0156 00 .byte 0 + 3500 0157 00 .byte 0 + 3501 0158 18 .uleb128 0x18 + 3502 0159 26 .uleb128 0x26 + 3503 015a 00 .byte 0 + 3504 015b 49 .uleb128 0x49 + 3505 015c 13 .uleb128 0x13 + 3506 015d 00 .byte 0 + 3507 015e 00 .byte 0 + 3508 015f 19 .uleb128 0x19 + 3509 0160 05 .uleb128 0x5 + 3510 0161 00 .byte 0 + 3511 0162 03 .uleb128 0x3 + 3512 0163 0E .uleb128 0xe + 3513 0164 3A .uleb128 0x3a + 3514 0165 0B .uleb128 0xb + 3515 0166 3B .uleb128 0x3b + 3516 0167 05 .uleb128 0x5 + 3517 0168 49 .uleb128 0x49 + 3518 0169 13 .uleb128 0x13 + 3519 016a 02 .uleb128 0x2 + 3520 016b 06 .uleb128 0x6 + 3521 016c 00 .byte 0 + 3522 016d 00 .byte 0 + 3523 016e 1A .uleb128 0x1a + 3524 016f 898201 .uleb128 0x4109 + 3525 0172 00 .byte 0 + 3526 0173 11 .uleb128 0x11 + 3527 0174 01 .uleb128 0x1 + 3528 0175 9542 .uleb128 0x2115 + 3529 0177 0C .uleb128 0xc + 3530 0178 31 .uleb128 0x31 + 3531 0179 13 .uleb128 0x13 + 3532 017a 00 .byte 0 + 3533 017b 00 .byte 0 + 3534 017c 1B .uleb128 0x1b + 3535 017d 2E .uleb128 0x2e + 3536 017e 00 .byte 0 + 3537 017f 03 .uleb128 0x3 + 3538 0180 0E .uleb128 0xe + 3539 0181 3A .uleb128 0x3a + 3540 0182 0B .uleb128 0xb + 3541 0183 3B .uleb128 0x3b + 3542 0184 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 101 + + + 3543 0185 27 .uleb128 0x27 + 3544 0186 0C .uleb128 0xc + 3545 0187 20 .uleb128 0x20 + 3546 0188 0B .uleb128 0xb + 3547 0189 00 .byte 0 + 3548 018a 00 .byte 0 + 3549 018b 1C .uleb128 0x1c + 3550 018c 1D .uleb128 0x1d + 3551 018d 01 .byte 0x1 + 3552 018e 31 .uleb128 0x31 + 3553 018f 13 .uleb128 0x13 + 3554 0190 52 .uleb128 0x52 + 3555 0191 01 .uleb128 0x1 + 3556 0192 55 .uleb128 0x55 + 3557 0193 06 .uleb128 0x6 + 3558 0194 58 .uleb128 0x58 + 3559 0195 0B .uleb128 0xb + 3560 0196 59 .uleb128 0x59 + 3561 0197 05 .uleb128 0x5 + 3562 0198 01 .uleb128 0x1 + 3563 0199 13 .uleb128 0x13 + 3564 019a 00 .byte 0 + 3565 019b 00 .byte 0 + 3566 019c 1D .uleb128 0x1d + 3567 019d 1D .uleb128 0x1d + 3568 019e 01 .byte 0x1 + 3569 019f 31 .uleb128 0x31 + 3570 01a0 13 .uleb128 0x13 + 3571 01a1 11 .uleb128 0x11 + 3572 01a2 01 .uleb128 0x1 + 3573 01a3 12 .uleb128 0x12 + 3574 01a4 01 .uleb128 0x1 + 3575 01a5 58 .uleb128 0x58 + 3576 01a6 0B .uleb128 0xb + 3577 01a7 59 .uleb128 0x59 + 3578 01a8 05 .uleb128 0x5 + 3579 01a9 01 .uleb128 0x1 + 3580 01aa 13 .uleb128 0x13 + 3581 01ab 00 .byte 0 + 3582 01ac 00 .byte 0 + 3583 01ad 1E .uleb128 0x1e + 3584 01ae 2E .uleb128 0x2e + 3585 01af 01 .byte 0x1 + 3586 01b0 3F .uleb128 0x3f + 3587 01b1 0C .uleb128 0xc + 3588 01b2 03 .uleb128 0x3 + 3589 01b3 0E .uleb128 0xe + 3590 01b4 3A .uleb128 0x3a + 3591 01b5 0B .uleb128 0xb + 3592 01b6 3B .uleb128 0x3b + 3593 01b7 05 .uleb128 0x5 + 3594 01b8 27 .uleb128 0x27 + 3595 01b9 0C .uleb128 0xc + 3596 01ba 49 .uleb128 0x49 + 3597 01bb 13 .uleb128 0x13 + 3598 01bc 11 .uleb128 0x11 + 3599 01bd 01 .uleb128 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 102 + + + 3600 01be 12 .uleb128 0x12 + 3601 01bf 01 .uleb128 0x1 + 3602 01c0 40 .uleb128 0x40 + 3603 01c1 06 .uleb128 0x6 + 3604 01c2 9742 .uleb128 0x2117 + 3605 01c4 0C .uleb128 0xc + 3606 01c5 01 .uleb128 0x1 + 3607 01c6 13 .uleb128 0x13 + 3608 01c7 00 .byte 0 + 3609 01c8 00 .byte 0 + 3610 01c9 1F .uleb128 0x1f + 3611 01ca 34 .uleb128 0x34 + 3612 01cb 00 .byte 0 + 3613 01cc 03 .uleb128 0x3 + 3614 01cd 0E .uleb128 0xe + 3615 01ce 3A .uleb128 0x3a + 3616 01cf 0B .uleb128 0xb + 3617 01d0 3B .uleb128 0x3b + 3618 01d1 0B .uleb128 0xb + 3619 01d2 49 .uleb128 0x49 + 3620 01d3 13 .uleb128 0x13 + 3621 01d4 02 .uleb128 0x2 + 3622 01d5 0A .uleb128 0xa + 3623 01d6 00 .byte 0 + 3624 01d7 00 .byte 0 + 3625 01d8 20 .uleb128 0x20 + 3626 01d9 2E .uleb128 0x2e + 3627 01da 01 .byte 0x1 + 3628 01db 3F .uleb128 0x3f + 3629 01dc 0C .uleb128 0xc + 3630 01dd 03 .uleb128 0x3 + 3631 01de 0E .uleb128 0xe + 3632 01df 3A .uleb128 0x3a + 3633 01e0 0B .uleb128 0xb + 3634 01e1 3B .uleb128 0x3b + 3635 01e2 0B .uleb128 0xb + 3636 01e3 27 .uleb128 0x27 + 3637 01e4 0C .uleb128 0xc + 3638 01e5 3C .uleb128 0x3c + 3639 01e6 0C .uleb128 0xc + 3640 01e7 01 .uleb128 0x1 + 3641 01e8 13 .uleb128 0x13 + 3642 01e9 00 .byte 0 + 3643 01ea 00 .byte 0 + 3644 01eb 21 .uleb128 0x21 + 3645 01ec 05 .uleb128 0x5 + 3646 01ed 00 .byte 0 + 3647 01ee 49 .uleb128 0x49 + 3648 01ef 13 .uleb128 0x13 + 3649 01f0 00 .byte 0 + 3650 01f1 00 .byte 0 + 3651 01f2 22 .uleb128 0x22 + 3652 01f3 2E .uleb128 0x2e + 3653 01f4 00 .byte 0 + 3654 01f5 3F .uleb128 0x3f + 3655 01f6 0C .uleb128 0xc + 3656 01f7 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 103 + + + 3657 01f8 0E .uleb128 0xe + 3658 01f9 3A .uleb128 0x3a + 3659 01fa 0B .uleb128 0xb + 3660 01fb 3B .uleb128 0x3b + 3661 01fc 0B .uleb128 0xb + 3662 01fd 27 .uleb128 0x27 + 3663 01fe 0C .uleb128 0xc + 3664 01ff 3C .uleb128 0x3c + 3665 0200 0C .uleb128 0xc + 3666 0201 00 .byte 0 + 3667 0202 00 .byte 0 + 3668 0203 23 .uleb128 0x23 + 3669 0204 2E .uleb128 0x2e + 3670 0205 01 .byte 0x1 + 3671 0206 3F .uleb128 0x3f + 3672 0207 0C .uleb128 0xc + 3673 0208 03 .uleb128 0x3 + 3674 0209 0E .uleb128 0xe + 3675 020a 3A .uleb128 0x3a + 3676 020b 0B .uleb128 0xb + 3677 020c 3B .uleb128 0x3b + 3678 020d 0B .uleb128 0xb + 3679 020e 27 .uleb128 0x27 + 3680 020f 0C .uleb128 0xc + 3681 0210 49 .uleb128 0x49 + 3682 0211 13 .uleb128 0x13 + 3683 0212 3C .uleb128 0x3c + 3684 0213 0C .uleb128 0xc + 3685 0214 01 .uleb128 0x1 + 3686 0215 13 .uleb128 0x13 + 3687 0216 00 .byte 0 + 3688 0217 00 .byte 0 + 3689 0218 24 .uleb128 0x24 + 3690 0219 2E .uleb128 0x2e + 3691 021a 00 .byte 0 + 3692 021b 3F .uleb128 0x3f + 3693 021c 0C .uleb128 0xc + 3694 021d 03 .uleb128 0x3 + 3695 021e 0E .uleb128 0xe + 3696 021f 3A .uleb128 0x3a + 3697 0220 0B .uleb128 0xb + 3698 0221 3B .uleb128 0x3b + 3699 0222 0B .uleb128 0xb + 3700 0223 27 .uleb128 0x27 + 3701 0224 0C .uleb128 0xc + 3702 0225 49 .uleb128 0x49 + 3703 0226 13 .uleb128 0x13 + 3704 0227 3C .uleb128 0x3c + 3705 0228 0C .uleb128 0xc + 3706 0229 00 .byte 0 + 3707 022a 00 .byte 0 + 3708 022b 00 .byte 0 + 3709 .section .debug_loc,"",%progbits + 3710 .Ldebug_loc0: + 3711 .LLST0: + 3712 0000 00000000 .4byte .LFB11 + 3713 0004 04000000 .4byte .LCFI0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 104 + + + 3714 0008 0200 .2byte 0x2 + 3715 000a 7D .byte 0x7d + 3716 000b 00 .sleb128 0 + 3717 000c 04000000 .4byte .LCFI0 + 3718 0010 64010000 .4byte .LFE11 + 3719 0014 0200 .2byte 0x2 + 3720 0016 7D .byte 0x7d + 3721 0017 24 .sleb128 36 + 3722 0018 00000000 .4byte 0 + 3723 001c 00000000 .4byte 0 + 3724 .LLST1: + 3725 0020 00000000 .4byte .LFB0 + 3726 0024 02000000 .4byte .LCFI1 + 3727 0028 0200 .2byte 0x2 + 3728 002a 7D .byte 0x7d + 3729 002b 00 .sleb128 0 + 3730 002c 02000000 .4byte .LCFI1 + 3731 0030 B4010000 .4byte .LFE0 + 3732 0034 0200 .2byte 0x2 + 3733 0036 7D .byte 0x7d + 3734 0037 08 .sleb128 8 + 3735 0038 00000000 .4byte 0 + 3736 003c 00000000 .4byte 0 + 3737 .LLST2: + 3738 0040 00000000 .4byte .LFB1 + 3739 0044 04000000 .4byte .LCFI2 + 3740 0048 0200 .2byte 0x2 + 3741 004a 7D .byte 0x7d + 3742 004b 00 .sleb128 0 + 3743 004c 04000000 .4byte .LCFI2 + 3744 0050 08020000 .4byte .LFE1 + 3745 0054 0200 .2byte 0x2 + 3746 0056 7D .byte 0x7d + 3747 0057 10 .sleb128 16 + 3748 0058 00000000 .4byte 0 + 3749 005c 00000000 .4byte 0 + 3750 .LLST3: + 3751 0060 AA010000 .4byte .LVL29 + 3752 0064 B0010000 .4byte .LVL30 + 3753 0068 0500 .2byte 0x5 + 3754 006a 72 .byte 0x72 + 3755 006b 00 .sleb128 0 + 3756 006c 38 .byte 0x38 + 3757 006d 24 .byte 0x24 + 3758 006e 9F .byte 0x9f + 3759 006f B0010000 .4byte .LVL30 + 3760 0073 B6010000 .4byte .LVL31 + 3761 0077 0B00 .2byte 0xb + 3762 0079 72 .byte 0x72 + 3763 007a 00 .sleb128 0 + 3764 007b 38 .byte 0x38 + 3765 007c 24 .byte 0x24 + 3766 007d 73 .byte 0x73 + 3767 007e 00 .sleb128 0 + 3768 007f 08 .byte 0x8 + 3769 0080 FF .byte 0xff + 3770 0081 1A .byte 0x1a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 105 + + + 3771 0082 21 .byte 0x21 + 3772 0083 9F .byte 0x9f + 3773 0084 00000000 .4byte 0 + 3774 0088 00000000 .4byte 0 + 3775 .LLST4: + 3776 008c 00000000 .4byte .LFB2 + 3777 0090 02000000 .4byte .LCFI3 + 3778 0094 0200 .2byte 0x2 + 3779 0096 7D .byte 0x7d + 3780 0097 00 .sleb128 0 + 3781 0098 02000000 .4byte .LCFI3 + 3782 009c 60000000 .4byte .LFE2 + 3783 00a0 0200 .2byte 0x2 + 3784 00a2 7D .byte 0x7d + 3785 00a3 0C .sleb128 12 + 3786 00a4 00000000 .4byte 0 + 3787 00a8 00000000 .4byte 0 + 3788 .LLST5: + 3789 00ac 00000000 .4byte .LVL33 + 3790 00b0 0A000000 .4byte .LVL34 + 3791 00b4 0100 .2byte 0x1 + 3792 00b6 50 .byte 0x50 + 3793 00b7 0A000000 .4byte .LVL34 + 3794 00bb 60000000 .4byte .LFE2 + 3795 00bf 0400 .2byte 0x4 + 3796 00c1 F3 .byte 0xf3 + 3797 00c2 01 .uleb128 0x1 + 3798 00c3 50 .byte 0x50 + 3799 00c4 9F .byte 0x9f + 3800 00c5 00000000 .4byte 0 + 3801 00c9 00000000 .4byte 0 + 3802 .LLST6: + 3803 00cd 00000000 .4byte .LVL33 + 3804 00d1 1A000000 .4byte .LVL35 + 3805 00d5 0100 .2byte 0x1 + 3806 00d7 51 .byte 0x51 + 3807 00d8 1A000000 .4byte .LVL35 + 3808 00dc 60000000 .4byte .LFE2 + 3809 00e0 0400 .2byte 0x4 + 3810 00e2 F3 .byte 0xf3 + 3811 00e3 01 .uleb128 0x1 + 3812 00e4 51 .byte 0x51 + 3813 00e5 9F .byte 0x9f + 3814 00e6 00000000 .4byte 0 + 3815 00ea 00000000 .4byte 0 + 3816 .LLST7: + 3817 00ee 00000000 .4byte .LFB3 + 3818 00f2 02000000 .4byte .LCFI4 + 3819 00f6 0200 .2byte 0x2 + 3820 00f8 7D .byte 0x7d + 3821 00f9 00 .sleb128 0 + 3822 00fa 02000000 .4byte .LCFI4 + 3823 00fe D8000000 .4byte .LFE3 + 3824 0102 0200 .2byte 0x2 + 3825 0104 7D .byte 0x7d + 3826 0105 10 .sleb128 16 + 3827 0106 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 106 + + + 3828 010a 00000000 .4byte 0 + 3829 .LLST8: + 3830 010e 00000000 .4byte .LVL36 + 3831 0112 07000000 .4byte .LVL37-1 + 3832 0116 0100 .2byte 0x1 + 3833 0118 50 .byte 0x50 + 3834 0119 07000000 .4byte .LVL37-1 + 3835 011d D8000000 .4byte .LFE3 + 3836 0121 0400 .2byte 0x4 + 3837 0123 F3 .byte 0xf3 + 3838 0124 01 .uleb128 0x1 + 3839 0125 50 .byte 0x50 + 3840 0126 9F .byte 0x9f + 3841 0127 00000000 .4byte 0 + 3842 012b 00000000 .4byte 0 + 3843 .LLST9: + 3844 012f 00000000 .4byte .LVL36 + 3845 0133 07000000 .4byte .LVL37-1 + 3846 0137 0100 .2byte 0x1 + 3847 0139 51 .byte 0x51 + 3848 013a 07000000 .4byte .LVL37-1 + 3849 013e D8000000 .4byte .LFE3 + 3850 0142 0400 .2byte 0x4 + 3851 0144 F3 .byte 0xf3 + 3852 0145 01 .uleb128 0x1 + 3853 0146 51 .byte 0x51 + 3854 0147 9F .byte 0x9f + 3855 0148 00000000 .4byte 0 + 3856 014c 00000000 .4byte 0 + 3857 .LLST10: + 3858 0150 0C000000 .4byte .LVL38 + 3859 0154 18000000 .4byte .LVL39 + 3860 0158 0100 .2byte 0x1 + 3861 015a 50 .byte 0x50 + 3862 015b 18000000 .4byte .LVL39 + 3863 015f B6000000 .4byte .LVL42 + 3864 0163 0100 .2byte 0x1 + 3865 0165 55 .byte 0x55 + 3866 0166 B6000000 .4byte .LVL42 + 3867 016a BD000000 .4byte .LVL43-1 + 3868 016e 0100 .2byte 0x1 + 3869 0170 50 .byte 0x50 + 3870 0171 BD000000 .4byte .LVL43-1 + 3871 0175 D8000000 .4byte .LFE3 + 3872 0179 0100 .2byte 0x1 + 3873 017b 55 .byte 0x55 + 3874 017c 00000000 .4byte 0 + 3875 0180 00000000 .4byte 0 + 3876 .LLST11: + 3877 0184 00000000 .4byte .LFB4 + 3878 0188 02000000 .4byte .LCFI5 + 3879 018c 0200 .2byte 0x2 + 3880 018e 7D .byte 0x7d + 3881 018f 00 .sleb128 0 + 3882 0190 02000000 .4byte .LCFI5 + 3883 0194 38020000 .4byte .LFE4 + 3884 0198 0200 .2byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 107 + + + 3885 019a 7D .byte 0x7d + 3886 019b 10 .sleb128 16 + 3887 019c 00000000 .4byte 0 + 3888 01a0 00000000 .4byte 0 + 3889 .LLST12: + 3890 01a4 0A000000 .4byte .LVL45 + 3891 01a8 1A000000 .4byte .LVL46 + 3892 01ac 0100 .2byte 0x1 + 3893 01ae 50 .byte 0x50 + 3894 01af 1A000000 .4byte .LVL46 + 3895 01b3 FC010000 .4byte .LVL59 + 3896 01b7 0100 .2byte 0x1 + 3897 01b9 55 .byte 0x55 + 3898 01ba FC010000 .4byte .LVL59 + 3899 01be 03020000 .4byte .LVL60-1 + 3900 01c2 0100 .2byte 0x1 + 3901 01c4 50 .byte 0x50 + 3902 01c5 03020000 .4byte .LVL60-1 + 3903 01c9 38020000 .4byte .LFE4 + 3904 01cd 0100 .2byte 0x1 + 3905 01cf 55 .byte 0x55 + 3906 01d0 00000000 .4byte 0 + 3907 01d4 00000000 .4byte 0 + 3908 .LLST13: + 3909 01d8 00000000 .4byte .LFB5 + 3910 01dc 02000000 .4byte .LCFI6 + 3911 01e0 0200 .2byte 0x2 + 3912 01e2 7D .byte 0x7d + 3913 01e3 00 .sleb128 0 + 3914 01e4 02000000 .4byte .LCFI6 + 3915 01e8 2C000000 .4byte .LFE5 + 3916 01ec 0200 .2byte 0x2 + 3917 01ee 7D .byte 0x7d + 3918 01ef 10 .sleb128 16 + 3919 01f0 00000000 .4byte 0 + 3920 01f4 00000000 .4byte 0 + 3921 .LLST14: + 3922 01f8 00000000 .4byte .LVL61 + 3923 01fc 07000000 .4byte .LVL62-1 + 3924 0200 0100 .2byte 0x1 + 3925 0202 50 .byte 0x50 + 3926 0203 07000000 .4byte .LVL62-1 + 3927 0207 2C000000 .4byte .LFE5 + 3928 020b 0400 .2byte 0x4 + 3929 020d F3 .byte 0xf3 + 3930 020e 01 .uleb128 0x1 + 3931 020f 50 .byte 0x50 + 3932 0210 9F .byte 0x9f + 3933 0211 00000000 .4byte 0 + 3934 0215 00000000 .4byte 0 + 3935 .LLST15: + 3936 0219 08000000 .4byte .LVL62 + 3937 021d 1F000000 .4byte .LVL64-1 + 3938 0221 0100 .2byte 0x1 + 3939 0223 50 .byte 0x50 + 3940 0224 00000000 .4byte 0 + 3941 0228 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 108 + + + 3942 .LLST16: + 3943 022c 00000000 .4byte .LFB8 + 3944 0230 02000000 .4byte .LCFI7 + 3945 0234 0200 .2byte 0x2 + 3946 0236 7D .byte 0x7d + 3947 0237 00 .sleb128 0 + 3948 0238 02000000 .4byte .LCFI7 + 3949 023c 54000000 .4byte .LFE8 + 3950 0240 0200 .2byte 0x2 + 3951 0242 7D .byte 0x7d + 3952 0243 10 .sleb128 16 + 3953 0244 00000000 .4byte 0 + 3954 0248 00000000 .4byte 0 + 3955 .LLST17: + 3956 024c 00000000 .4byte .LVL65 + 3957 0250 0C000000 .4byte .LVL66 + 3958 0254 0100 .2byte 0x1 + 3959 0256 50 .byte 0x50 + 3960 0257 0C000000 .4byte .LVL66 + 3961 025b 54000000 .4byte .LFE8 + 3962 025f 0400 .2byte 0x4 + 3963 0261 F3 .byte 0xf3 + 3964 0262 01 .uleb128 0x1 + 3965 0263 50 .byte 0x50 + 3966 0264 9F .byte 0x9f + 3967 0265 00000000 .4byte 0 + 3968 0269 00000000 .4byte 0 + 3969 .LLST18: + 3970 026d 00000000 .4byte .LFB9 + 3971 0271 02000000 .4byte .LCFI8 + 3972 0275 0200 .2byte 0x2 + 3973 0277 7D .byte 0x7d + 3974 0278 00 .sleb128 0 + 3975 0279 02000000 .4byte .LCFI8 + 3976 027d 2C000000 .4byte .LFE9 + 3977 0281 0200 .2byte 0x2 + 3978 0283 7D .byte 0x7d + 3979 0284 08 .sleb128 8 + 3980 0285 00000000 .4byte 0 + 3981 0289 00000000 .4byte 0 + 3982 .LLST19: + 3983 028d 00000000 .4byte .LFB10 + 3984 0291 02000000 .4byte .LCFI9 + 3985 0295 0200 .2byte 0x2 + 3986 0297 7D .byte 0x7d + 3987 0298 00 .sleb128 0 + 3988 0299 02000000 .4byte .LCFI9 + 3989 029d 50000000 .4byte .LFE10 + 3990 02a1 0200 .2byte 0x2 + 3991 02a3 7D .byte 0x7d + 3992 02a4 10 .sleb128 16 + 3993 02a5 00000000 .4byte 0 + 3994 02a9 00000000 .4byte 0 + 3995 .LLST20: + 3996 02ad 00000000 .4byte .LVL69 + 3997 02b1 0C000000 .4byte .LVL70 + 3998 02b5 0100 .2byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 109 + + + 3999 02b7 50 .byte 0x50 + 4000 02b8 0C000000 .4byte .LVL70 + 4001 02bc 50000000 .4byte .LFE10 + 4002 02c0 0400 .2byte 0x4 + 4003 02c2 F3 .byte 0xf3 + 4004 02c3 01 .uleb128 0x1 + 4005 02c4 50 .byte 0x50 + 4006 02c5 9F .byte 0x9f + 4007 02c6 00000000 .4byte 0 + 4008 02ca 00000000 .4byte 0 + 4009 .section .debug_aranges,"",%progbits + 4010 0000 6C000000 .4byte 0x6c + 4011 0004 0200 .2byte 0x2 + 4012 0006 00000000 .4byte .Ldebug_info0 + 4013 000a 04 .byte 0x4 + 4014 000b 00 .byte 0 + 4015 000c 0000 .2byte 0 + 4016 000e 0000 .2byte 0 + 4017 0010 00000000 .4byte .LFB11 + 4018 0014 64010000 .4byte .LFE11-.LFB11 + 4019 0018 00000000 .4byte .LFB12 + 4020 001c A4000000 .4byte .LFE12-.LFB12 + 4021 0020 00000000 .4byte .LFB0 + 4022 0024 B4010000 .4byte .LFE0-.LFB0 + 4023 0028 00000000 .4byte .LFB1 + 4024 002c 08020000 .4byte .LFE1-.LFB1 + 4025 0030 00000000 .4byte .LFB2 + 4026 0034 60000000 .4byte .LFE2-.LFB2 + 4027 0038 00000000 .4byte .LFB3 + 4028 003c D8000000 .4byte .LFE3-.LFB3 + 4029 0040 00000000 .4byte .LFB4 + 4030 0044 38020000 .4byte .LFE4-.LFB4 + 4031 0048 00000000 .4byte .LFB5 + 4032 004c 2C000000 .4byte .LFE5-.LFB5 + 4033 0050 00000000 .4byte .LFB8 + 4034 0054 54000000 .4byte .LFE8-.LFB8 + 4035 0058 00000000 .4byte .LFB9 + 4036 005c 2C000000 .4byte .LFE9-.LFB9 + 4037 0060 00000000 .4byte .LFB10 + 4038 0064 50000000 .4byte .LFE10-.LFB10 + 4039 0068 00000000 .4byte 0 + 4040 006c 00000000 .4byte 0 + 4041 .section .debug_ranges,"",%progbits + 4042 .Ldebug_ranges0: + 4043 0000 1A000000 .4byte .LBB10 + 4044 0004 1C000000 .4byte .LBE10 + 4045 0008 24000000 .4byte .LBB15 + 4046 000c 06010000 .4byte .LBE15 + 4047 0010 00000000 .4byte 0 + 4048 0014 00000000 .4byte 0 + 4049 0018 00000000 .4byte .LFB11 + 4050 001c 64010000 .4byte .LFE11 + 4051 0020 00000000 .4byte .LFB12 + 4052 0024 A4000000 .4byte .LFE12 + 4053 0028 00000000 .4byte .LFB0 + 4054 002c B4010000 .4byte .LFE0 + 4055 0030 00000000 .4byte .LFB1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 110 + + + 4056 0034 08020000 .4byte .LFE1 + 4057 0038 00000000 .4byte .LFB2 + 4058 003c 60000000 .4byte .LFE2 + 4059 0040 00000000 .4byte .LFB3 + 4060 0044 D8000000 .4byte .LFE3 + 4061 0048 00000000 .4byte .LFB4 + 4062 004c 38020000 .4byte .LFE4 + 4063 0050 00000000 .4byte .LFB5 + 4064 0054 2C000000 .4byte .LFE5 + 4065 0058 00000000 .4byte .LFB8 + 4066 005c 54000000 .4byte .LFE8 + 4067 0060 00000000 .4byte .LFB9 + 4068 0064 2C000000 .4byte .LFE9 + 4069 0068 00000000 .4byte .LFB10 + 4070 006c 50000000 .4byte .LFE10 + 4071 0070 00000000 .4byte 0 + 4072 0074 00000000 .4byte 0 + 4073 .section .debug_line,"",%progbits + 4074 .Ldebug_line0: + 4075 0000 46030000 .section .debug_str,"MS",%progbits,1 + 4075 02006500 + 4075 00000201 + 4075 FB0E0D00 + 4075 01010101 + 4076 .LASF102: + 4077 0000 43795664 .ascii "CyVdLvAnalogDisable\000" + 4077 4C76416E + 4077 616C6F67 + 4077 44697361 + 4077 626C6500 + 4078 .LASF10: + 4079 0014 75696E74 .ascii "uint16\000" + 4079 313600 + 4080 .LASF87: + 4081 001b 4379494D .ascii "CyIMO_Start\000" + 4081 4F5F5374 + 4081 61727400 + 4082 .LASF58: + 4083 0027 4379506D .ascii "CyPmHibSlpRestore\000" + 4083 48696253 + 4083 6C705265 + 4083 73746F72 + 4083 6500 + 4084 .LASF43: + 4085 0039 77616B65 .ascii "wakeupTrim0\000" + 4085 75705472 + 4085 696D3000 + 4086 .LASF44: + 4087 0045 77616B65 .ascii "wakeupTrim1\000" + 4087 75705472 + 4087 696D3100 + 4088 .LASF20: + 4089 0051 6D617374 .ascii "masterClkSrc\000" + 4089 6572436C + 4089 6B537263 + 4089 00 + 4090 .LASF56: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 111 + + + 4091 005e 43595F50 .ascii "CY_PM_BACKUP_STRUCT\000" + 4091 4D5F4241 + 4091 434B5550 + 4091 5F535452 + 4091 55435400 + 4092 .LASF110: + 4093 0072 43795854 .ascii "CyXTAL_32KHZ_Start\000" + 4093 414C5F33 + 4093 324B485A + 4093 5F537461 + 4093 727400 + 4094 .LASF28: + 4095 0085 636C6B53 .ascii "clkSyncDiv\000" + 4095 796E6344 + 4095 697600 + 4096 .LASF60: + 4097 0090 4379506D .ascii "CyPmRestoreClocks\000" + 4097 52657374 + 4097 6F726543 + 4097 6C6F636B + 4097 7300 + 4098 .LASF7: + 4099 00a2 6C6F6E67 .ascii "long long unsigned int\000" + 4099 206C6F6E + 4099 6720756E + 4099 7369676E + 4099 65642069 + 4100 .LASF70: + 4101 00b9 4379506D .ascii "CyPmHviLviSaveDisable\000" + 4101 4876694C + 4101 76695361 + 4101 76654469 + 4101 7361626C + 4102 .LASF76: + 4103 00cf 746D7053 .ascii "tmpStatus\000" + 4103 74617475 + 4103 7300 + 4104 .LASF49: + 4105 00d9 6C766961 .ascii "lviaTrip\000" + 4105 54726970 + 4105 00 + 4106 .LASF22: + 4107 00e2 696D6F55 .ascii "imoUsbClk\000" + 4107 7362436C + 4107 6B00 + 4108 .LASF80: + 4109 00ec 4379506D .ascii "CyPmFtwSetInterval\000" + 4109 46747753 + 4109 6574496E + 4109 74657276 + 4109 616C00 + 4110 .LASF50: + 4111 00ff 68766961 .ascii "hviaEn\000" + 4111 456E00 + 4112 .LASF105: + 4113 0106 43795664 .ascii "CyVdLvDigitEnable\000" + 4113 4C764469 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 112 + + + 4113 67697445 + 4113 6E61626C + 4113 6500 + 4114 .LASF36: + 4115 0118 696C6F50 .ascii "iloPowerMode\000" + 4115 6F776572 + 4115 4D6F6465 + 4115 00 + 4116 .LASF6: + 4117 0125 6C6F6E67 .ascii "long long int\000" + 4117 206C6F6E + 4117 6720696E + 4117 7400 + 4118 .LASF0: + 4119 0133 7369676E .ascii "signed char\000" + 4119 65642063 + 4119 68617200 + 4120 .LASF29: + 4121 013f 636C6B42 .ascii "clkBusDiv\000" + 4121 75734469 + 4121 7600 + 4122 .LASF68: + 4123 0149 696E7465 .ascii "interruptState\000" + 4123 72727570 + 4123 74537461 + 4123 746500 + 4124 .LASF108: + 4125 0158 4379494C .ascii "CyILO_Start1K\000" + 4125 4F5F5374 + 4125 61727431 + 4125 4B00 + 4126 .LASF27: + 4127 0166 696D6F32 .ascii "imo2x\000" + 4127 7800 + 4128 .LASF4: + 4129 016c 6C6F6E67 .ascii "long int\000" + 4129 20696E74 + 4129 00 + 4130 .LASF72: + 4131 0175 4379506D .ascii "CyPmHviLviRestore\000" + 4131 4876694C + 4131 76695265 + 4131 73746F72 + 4131 6500 + 4132 .LASF9: + 4133 0187 75696E74 .ascii "uint8\000" + 4133 3800 + 4134 .LASF99: + 4135 018d 4379504C .ascii "CyPLL_OUT_Start\000" + 4135 4C5F4F55 + 4135 545F5374 + 4135 61727400 + 4136 .LASF13: + 4137 019d 646F7562 .ascii "double\000" + 4137 6C6500 + 4138 .LASF112: + 4139 01a4 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\cyPm.c\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 113 + + + 4139 6E657261 + 4139 7465645F + 4139 536F7572 + 4139 63655C50 + 4140 .LASF11: + 4141 01c4 75696E74 .ascii "uint32\000" + 4141 333200 + 4142 .LASF31: + 4143 01cb 786D687A .ascii "xmhzEnableState\000" + 4143 456E6162 + 4143 6C655374 + 4143 61746500 + 4144 .LASF71: + 4145 01db 4379506D .ascii "CyPmHibRestore\000" + 4145 48696252 + 4145 6573746F + 4145 726500 + 4146 .LASF113: + 4147 01ea 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 4147 43534932 + 4147 53445C55 + 4147 53425F42 + 4147 6F6F746C + 4148 .LASF74: + 4149 020a 6D61736B .ascii "mask\000" + 4149 00 + 4150 .LASF53: + 4151 020f 696D6F41 .ascii "imoActFreq\000" + 4151 63744672 + 4151 657100 + 4152 .LASF8: + 4153 021a 756E7369 .ascii "unsigned int\000" + 4153 676E6564 + 4153 20696E74 + 4153 00 + 4154 .LASF45: + 4155 0227 73636374 .ascii "scctData\000" + 4155 44617461 + 4155 00 + 4156 .LASF88: + 4157 0230 4379494D .ascii "CyIMO_SetSource\000" + 4157 4F5F5365 + 4157 74536F75 + 4157 72636500 + 4158 .LASF21: + 4159 0240 696D6F46 .ascii "imoFreq\000" + 4159 72657100 + 4160 .LASF5: + 4161 0248 6C6F6E67 .ascii "long unsigned int\000" + 4161 20756E73 + 4161 69676E65 + 4161 6420696E + 4161 7400 + 4162 .LASF46: + 4163 025a 6C766964 .ascii "lvidEn\000" + 4163 456E00 + 4164 .LASF34: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 114 + + + 4165 0261 6379506D .ascii "cyPmClockBackupStruct\000" + 4165 436C6F63 + 4165 6B426163 + 4165 6B757053 + 4165 74727563 + 4166 .LASF115: + 4167 0277 4379456E .ascii "CyEnterCriticalSection\000" + 4167 74657243 + 4167 72697469 + 4167 63616C53 + 4167 65637469 + 4168 .LASF35: + 4169 028e 6379506D .ascii "cyPmBackupStruct\000" + 4169 4261636B + 4169 75705374 + 4169 72756374 + 4169 00 + 4170 .LASF104: + 4171 029f 4379494C .ascii "CyILO_SetPowerMode\000" + 4171 4F5F5365 + 4171 74506F77 + 4171 65724D6F + 4171 646500 + 4172 .LASF96: + 4173 02b2 4379494D .ascii "CyIMO_Stop\000" + 4173 4F5F5374 + 4173 6F7000 + 4174 .LASF33: + 4175 02bd 43595F50 .ascii "CY_PM_CLOCK_BACKUP_STRUCT\000" + 4175 4D5F434C + 4175 4F434B5F + 4175 4241434B + 4175 55505F53 + 4176 .LASF3: + 4177 02d7 73686F72 .ascii "short unsigned int\000" + 4177 7420756E + 4177 7369676E + 4177 65642069 + 4177 6E7400 + 4178 .LASF78: + 4179 02ea 63747749 .ascii "ctwInterval\000" + 4179 6E746572 + 4179 76616C00 + 4180 .LASF103: + 4181 02f6 43795664 .ascii "CyVdHvAnalogDisable\000" + 4181 4876416E + 4181 616C6F67 + 4181 44697361 + 4181 626C6500 + 4182 .LASF90: + 4183 030a 43794D61 .ascii "CyMasterClk_SetSource\000" + 4183 73746572 + 4183 436C6B5F + 4183 53657453 + 4183 6F757263 + 4184 .LASF40: + 4185 0320 77616B65 .ascii "wakeupCfg0\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 115 + + + 4185 75704366 + 4185 673000 + 4186 .LASF41: + 4187 032b 77616B65 .ascii "wakeupCfg1\000" + 4187 75704366 + 4187 673100 + 4188 .LASF42: + 4189 0336 77616B65 .ascii "wakeupCfg2\000" + 4189 75704366 + 4189 673200 + 4190 .LASF62: + 4191 0341 636C6B42 .ascii "clkBusDivTmp\000" + 4191 75734469 + 4191 76546D70 + 4191 00 + 4192 .LASF55: + 4193 034e 626F6F73 .ascii "boostRefExt\000" + 4193 74526566 + 4193 45787400 + 4194 .LASF26: + 4195 035a 636C6B49 .ascii "clkImoSrc\000" + 4195 6D6F5372 + 4195 6300 + 4196 .LASF59: + 4197 0364 4379506D .ascii "CyPmSaveClocks\000" + 4197 53617665 + 4197 436C6F63 + 4197 6B7300 + 4198 .LASF98: + 4199 0373 43795854 .ascii "CyXTAL_Start\000" + 4199 414C5F53 + 4199 74617274 + 4199 00 + 4200 .LASF39: + 4201 0380 736C7054 .ascii "slpTrBypass\000" + 4201 72427970 + 4201 61737300 + 4202 .LASF17: + 4203 038c 73697A65 .ascii "sizetype\000" + 4203 74797065 + 4203 00 + 4204 .LASF63: + 4205 0395 6379506D .ascii "cyPmImoFreqMhz2Reg\000" + 4205 496D6F46 + 4205 7265714D + 4205 687A3252 + 4205 656700 + 4206 .LASF18: + 4207 03a8 656E436C .ascii "enClkA\000" + 4207 6B4100 + 4208 .LASF19: + 4209 03af 656E436C .ascii "enClkD\000" + 4209 6B4400 + 4210 .LASF109: + 4211 03b6 4379494C .ascii "CyILO_Start100K\000" + 4211 4F5F5374 + 4211 61727431 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 116 + + + 4211 30304B00 + 4212 .LASF66: + 4213 03c6 77616B65 .ascii "wakeupSource\000" + 4213 7570536F + 4213 75726365 + 4213 00 + 4214 .LASF93: + 4215 03d3 4379504C .ascii "CyPLL_OUT_Stop\000" + 4215 4C5F4F55 + 4215 545F5374 + 4215 6F7000 + 4216 .LASF89: + 4217 03e2 43794D61 .ascii "CyMasterClk_SetDivider\000" + 4217 73746572 + 4217 436C6B5F + 4217 53657444 + 4217 69766964 + 4218 .LASF25: + 4219 03f9 696D6F43 .ascii "imoClkSrc\000" + 4219 6C6B5372 + 4219 6300 + 4220 .LASF12: + 4221 0403 666C6F61 .ascii "float\000" + 4221 7400 + 4222 .LASF100: + 4223 0409 43794578 .ascii "CyExitCriticalSection\000" + 4223 69744372 + 4223 69746963 + 4223 616C5365 + 4223 6374696F + 4224 .LASF67: + 4225 041f 4379506D .ascii "CyPmSleep\000" + 4225 536C6565 + 4225 7000 + 4226 .LASF51: + 4227 0429 6C766964 .ascii "lvidRst\000" + 4227 52737400 + 4228 .LASF64: + 4229 0431 4379506D .ascii "CyPmAltAct\000" + 4229 416C7441 + 4229 637400 + 4230 .LASF95: + 4231 043c 43794465 .ascii "CyDelayCycles\000" + 4231 6C617943 + 4231 79636C65 + 4231 7300 + 4232 .LASF111: + 4233 044a 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 4233 4320342E + 4233 372E3320 + 4233 32303133 + 4233 30333132 + 4234 047d 616E6368 .ascii "anch revision 196615]\000" + 4234 20726576 + 4234 6973696F + 4234 6E203139 + 4234 36363135 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 117 + + + 4235 .LASF16: + 4236 0493 72656738 .ascii "reg8\000" + 4236 00 + 4237 .LASF79: + 4238 0498 4379506D .ascii "CyPmOppsSet\000" + 4238 4F707073 + 4238 53657400 + 4239 .LASF1: + 4240 04a4 756E7369 .ascii "unsigned char\000" + 4240 676E6564 + 4240 20636861 + 4240 7200 + 4241 .LASF83: + 4242 04b2 6379506D .ascii "cyPmClockBackup\000" + 4242 436C6F63 + 4242 6B426163 + 4242 6B757000 + 4243 .LASF30: + 4244 04c2 706C6C45 .ascii "pllEnableState\000" + 4244 6E61626C + 4244 65537461 + 4244 746500 + 4245 .LASF37: + 4246 04d1 696C6F31 .ascii "ilo1kEnable\000" + 4246 6B456E61 + 4246 626C6500 + 4247 .LASF2: + 4248 04dd 73686F72 .ascii "short int\000" + 4248 7420696E + 4248 7400 + 4249 .LASF57: + 4250 04e7 4379506D .ascii "CyPmHibSlpSaveSet\000" + 4250 48696253 + 4250 6C705361 + 4250 76655365 + 4250 7400 + 4251 .LASF38: + 4252 04f9 696C6F31 .ascii "ilo100kEnable\000" + 4252 30306B45 + 4252 6E61626C + 4252 6500 + 4253 .LASF14: + 4254 0507 63686172 .ascii "char\000" + 4254 00 + 4255 .LASF92: + 4256 050c 4379494D .ascii "CyIMO_DisableDoubler\000" + 4256 4F5F4469 + 4256 7361626C + 4256 65446F75 + 4256 626C6572 + 4257 .LASF101: + 4258 0521 43795664 .ascii "CyVdLvDigitDisable\000" + 4258 4C764469 + 4258 67697444 + 4258 69736162 + 4258 6C6500 + 4259 .LASF54: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 118 + + + 4260 0534 696D6F41 .ascii "imoActFreq12Mhz\000" + 4260 63744672 + 4260 65713132 + 4260 4D687A00 + 4261 .LASF73: + 4262 0544 4379506D .ascii "CyPmHibernate\000" + 4262 48696265 + 4262 726E6174 + 4262 6500 + 4263 .LASF85: + 4264 0552 4379466C .ascii "CyFlash_SetWaitCycles\000" + 4264 6173685F + 4264 53657457 + 4264 61697443 + 4264 79636C65 + 4265 .LASF81: + 4266 0568 66747749 .ascii "ftwInterval\000" + 4266 6E746572 + 4266 76616C00 + 4267 .LASF84: + 4268 0574 6379506D .ascii "cyPmImoFreqReg2Mhz\000" + 4268 496D6F46 + 4268 72657152 + 4268 6567324D + 4268 687A00 + 4269 .LASF114: + 4270 0587 4379506D .ascii "CyPmReadStatus\000" + 4270 52656164 + 4270 53746174 + 4270 757300 + 4271 .LASF91: + 4272 0596 43794275 .ascii "CyBusClk_SetDivider\000" + 4272 73436C6B + 4272 5F536574 + 4272 44697669 + 4272 64657200 + 4273 .LASF32: + 4274 05aa 636C6B44 .ascii "clkDistDelay\000" + 4274 69737444 + 4274 656C6179 + 4274 00 + 4275 .LASF77: + 4276 05b7 4379506D .ascii "CyPmCtwSetInterval\000" + 4276 43747753 + 4276 6574496E + 4276 74657276 + 4276 616C00 + 4277 .LASF61: + 4278 05ca 73746174 .ascii "status\000" + 4278 757300 + 4279 .LASF48: + 4280 05d1 6C766961 .ascii "lviaEn\000" + 4280 456E00 + 4281 .LASF86: + 4282 05d8 4379494D .ascii "CyIMO_SetFreq\000" + 4282 4F5F5365 + 4282 74467265 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 119 + + + 4282 7100 + 4283 .LASF97: + 4284 05e6 4379494D .ascii "CyIMO_EnableDoubler\000" + 4284 4F5F456E + 4284 61626C65 + 4284 446F7562 + 4284 6C657200 + 4285 .LASF69: + 4286 05fa 4379506D .ascii "CyPmHibSaveSet\000" + 4286 48696253 + 4286 61766553 + 4286 657400 + 4287 .LASF65: + 4288 0609 77616B65 .ascii "wakeupTime\000" + 4288 75705469 + 4288 6D6500 + 4289 .LASF106: + 4290 0614 43795664 .ascii "CyVdLvAnalogEnable\000" + 4290 4C76416E + 4290 616C6F67 + 4290 456E6162 + 4290 6C6500 + 4291 .LASF15: + 4292 0627 63797374 .ascii "cystatus\000" + 4292 61747573 + 4292 00 + 4293 .LASF23: + 4294 0630 666C6173 .ascii "flashWaitCycles\000" + 4294 68576169 + 4294 74437963 + 4294 6C657300 + 4295 .LASF52: + 4296 0640 6C766961 .ascii "lviaRst\000" + 4296 52737400 + 4297 .LASF107: + 4298 0648 43795664 .ascii "CyVdHvAnalogEnable\000" + 4298 4876416E + 4298 616C6F67 + 4298 456E6162 + 4298 6C6500 + 4299 .LASF94: + 4300 065b 43795854 .ascii "CyXTAL_Stop\000" + 4300 414C5F53 + 4300 746F7000 + 4301 .LASF82: + 4302 0667 6379506D .ascii "cyPmBackup\000" + 4302 4261636B + 4302 757000 + 4303 .LASF47: + 4304 0672 6C766964 .ascii "lvidTrip\000" + 4304 54726970 + 4304 00 + 4305 .LASF24: + 4306 067b 696D6F45 .ascii "imoEnable\000" + 4306 6E61626C + 4306 6500 + 4307 .LASF75: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cccYZJXD.s page 120 + + + 4308 0685 696E7465 .ascii "interruptStatus\000" + 4308 72727570 + 4308 74537461 + 4308 74757300 + 4309 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.o new file mode 100755 index 0000000000000000000000000000000000000000..200e226c02758de3334cfc3ef77d7c550b74a830 GIT binary patch literal 17976 zcmcJ0dwf&Jnf8p1WXTtdY!hP4#YeIY=3-ek1PFm3+l1Jb!48Hkw*-tWz>STCEMu8V z0)brGCMhhmA!+VOZ`pPm=%yFiEKSpG^L2ZHZTppEvm4soUz_cAcipCK)T9aD^URqe zA1P^n-yh#MaP-W3nRniK=bbs{jO5#I=vXbK6n2rKR!mSrEU#4(&msl2A|&REy8jsO zd@bF2rY-u3v@qM+HYa?k*3Q$3<*C=wOP_c(aUXEeiJ8Oi0>ejc8UFCtYv~0qJT#mh zyEJ_7ff>nn4&0nvd+^cZ{)4Y1eTT|N4j$S%GU>KQMsBi+RdX6r-e8@`arrq^6Ka{srem8(`MKFHSRA@=bxX^*(JpLqGeiA4E9e{$2o6UoyDFC5M$18eLoP9orLXZ{dGp;X>!TGZ*SJ?4OD{wV zIUaExOD{5~r^?3tqWrvs-nGJQQZtI4SGT#w{YLrf>1U*QB)wP|m+={FlzosGV|N23PUK7xa^EjHs-ZgwQ*#IaU|WC;nuXz26tw=;W~M@FJ-ix zyxZ2F9yMFlIAvrjEzXLTfi4}F(sMyp9Zp}b#vm`F<}YtAik75G#-)HPcr?9I^(Zf+ zCQ92pQD4eG?sg$IkVQ=Y^Wyvy%o~&^iLJPrMdWeR-4PM-;PT?i!$lnvGC!_D(4*~T z&y}t2PJ1Umc+bOQBT;euWI7@~pLY9Y?S1LI$fS#V+oEPs%02Ei{%LDh3q2ofm8qt2 zx7!`>kDi2Ya$f$0ogG$WPo*2p6SL&pnoH%EtvL0zda8}owziww3lUMTDB1dw*{bYp zMJ5<|Kl_PX{`6U~;J+@u`pZqxQ|V=*B6UZzyuhAdO%OXv93I?Yz4vel4sn z_RG26i_6=mV1#~X`M%0_3zg*BuP&b8TUpR?D!oK`bSixdFmAMM~sKv zPd2+ROiKmE!@|{45jPQ`>mzbO(M2m7Gux)O`;awW`MR3R91NwB=W>;BhVYx1LI0gAA7AD~+-iBYp~NuBgoiYzRl=GmsaY zZ&mbsbKaQ~%njxQbA`DRey+5mG#X6#@+wr;P>To5p1P6JurZF#0^r97K5#_z

    E$%YzF~j@Y$b1-eirQY-bIA2Q#MXEH{mY)oW^~ zjTO?i1o^XJtP5q{8W0=vACfMBl$CIq3cn+Z8>hVZmnhlJ=o}N@^`q9t9~}}?!D#^A zRTpZfx1Mm4AmKcQmAR3%?_NrH(48vws~-M(CyTtvv%RqPn*fA&5`Yf_;N>6i zS)y6vTUa~1%>dwxfY=NP{2?9u^(Qs}*j;#Vj4GCsIClPYBMpF#dB7LJFx@p9Ht{&0 zU<|obe!#DbXN_+Lux$YBp@7)@7}ofDpk`YjDkeP0B8^XwZ`zjKrJwRN9i8++4V^js zc-CBP$*YelnZ}%s9-aLmdo|(Fve2$JW7` zJNEP%15Co`FhYOD3FaUiylsJBy~PDv!21xc9-^2(X@xrqAnCIQ3B;W?M>f3tS8hP= zAh^TS;ZAy~k*a)lNy!m^wT{wZ*>uX*p$aI#BAiLUiZ9MFf(#@Cy-BajZoPFt1z67h zw5=}3Hh&2cUeKnjUUu!ZtsaYMc%>$@FK-k3kw zuW*7(QOs9`fqJn(gWwWVhfb-bMrgg+C0w3Z$8&g4q)tUEJwaUdpqJag(gz?^Vtz}F&*g$QN;kSGdVa3evdoV>s_z9nxeHnN*AYc=w@_qG5`_} zBW*$Grv9xF6LDxQ4G}2j0_+g2hWd8bB5v>$_xMN_2Kd=W^`}nEx@ zA%EryFc!UU>#OWj*)oSB!)cS+qJj#hxiAe8Dvj(#c#i0UgMBsFnu2-N*n);S$MebW zTZDNf6p9hRg?W|Q!s~k=@6y}KC^otqaSl@n`%?+j_8oVup*AH4Ni#v^qYzX9qq?7dmP@V%z%rW(QL#``J zq|W%OLzE5=mpR;EyMZNP9MiFcSC(F9 zSiyA?OIrr9Htst@jt;?2_^WXMzuJ_ER$~B6sc@7sV+jz^8&H?}z)}yEY1nN%z}fmqZKrrwz2>r*ea&B-iXLUPw0>F~Ol_ev9j|=||84aS< zmBb&)PAln`o;xrKMA;|t<1%T*?uMfmlfmjs~ zv&COE`j3;EM5~*Gg9`vJSX6u4FROqqAR6Q*hkU@nHFe+70eB94iN64v1*^=e#{6%( z=)vkOioFBIL zp<2<~O8YhoX+-aH`mAAvsV-Wf@^SA|`!?YN)V8pojME8?Fzze+dXWMYwu+$^;R7VL z>R^N!FDOEKvF~}cK8W6j_tC)$)40U-O~sTS#z6H_U+{-4!V6;Ve1w0arJ&A7zZVJ- z!N5lhz^zx?$q$xX_vgYWCzup!Zu)VHBMYKrt=Syw456puR}mWl3Z3m0AY;>GYzzP?cPub#bc? z&p%x~-O8lqkqez5oN*tD&8t1SB@ zgEkLOGeuU;YkTo2v!}p=jQlsR#uj}D90D=Lg-eJz3@L+4Vjlw3gV}I%8DDu=%c}F& zZWOtnGn~MBbrm)gIUt`c!bKp#Zwa;6-N8$g;%~-V#7CXOi`Bs+i3gMG|V6lX}ae>WGhj*gPL8 z=tQElX{$6@ow?ihGWn-tiS=RgY^%S!)H11P_}uk45bU(LvsJy^pjbeYQR;8WD(HJ+ ze^5@W(c&$7h<#*zLaK8ryEw!bMB#ZVp1^*^p)NNaPr${S1bg-*T3=TrTHw7pazuQM z2}${6x}3SGJ$`xuF}5#T4hC+!eYdjdX(Y&2K31nUT}Bot^AWVh%Ue>|-cZSbg{C69 zj<&-0GUJF4SyR})27P>9w)%0+Gl5OYUKmx&-$IbxJEPF__Df+~JiG8=#>&QMz8t}6 z%E3y8bVFggAnUTLnjn(NrtCs;0y`+!b=v{yVgo4P^*7{)0-BY@S4pY0jK?_|(^tr% zeni+s^>X!*I@A>2y>G}S);)B{0X!f@V4-^HU6T4zV2l_pq(aCr?zuwbVe^0Q`NN=GAWtEp^c$sUf`+;UlkC+!MBnnh_Saz&Um zxdjeAT5b%5D-@$wEb<+gHM3XcJFmG)Ve~$e*+OuK0`eNg(PKjTp5h z=)E)_%iniOtI;bz`%v#4E<5n+p5<$47fPXxX-eB{JE&7?OJn0qrll4^MkZg2(I5On zrVs*1S=T4X{>XS=GmSXyQ%R-GPt2Ce7*{mfFf0YK%hS1Ou9fsDUfCoI{l_@)MjBeC zIXEEaI0J2zQ3T=P7xU}2Lm|Oce{b?=0ni3KJRD(PV=$dK0XEJ?k2O|=0o&+czJiYOl`_l~e+!70yLL2MlG-uo~O z702JE>kl*7AW!j>>K$`*)FvGswdlEGs(J!Zn(+yEYbqLeGTvk^{l{W8OM3&Qrg)`B zmD9oe2fm4iFJx%tt=Lyh^N+1}X7V{n<%q@KUCwd}BAWJs&B$1+5<@=Vn1a7LqW6e@ z3+U`?MOY(c6{34?opZRm`(qJ&%?3ZD^D;4PbFOD{tMO{$X@brVU8zPR)vByp$#FLO zY48G&B?^r1mbag&A2m8H=_uGbmG8#Xk0f8L9Ov!`Yb4U`=oTDLqDw;ld>M#g?wPPp$YG% z6XHDS(tL}eNIJD)RXV!g(LKUiGTFwX?W`K?TtuzcnQ=ka$usrg!xVf?-Gy_t?BJo| z&zyBo`6-_HV59EJva(5V$esUhbzyqW<*<_@i~K7#__~HijRSaGkM>opid;5tk@rNy z99qLjucfdj;xh7xORpmsQ$ys$CUHJmJBEu*%&md?l=F6*+Ru*!e3_s4(l3z}2KLb# z?<$TAu?CFRDInPGvl2%1dk*oNnY+9|+h}8UqE~dQG%BmdXxu)P6g^mB@?(il&mvGK z=7&Qd94=&`R*Rji2$1RFT-qzjiUZ!l?JjejRYO@yU~^Rj{7pie+){6)0~K=UG=t1z zTL$t-2YG-&9&sR#RFFqA$RifyQ4aE`26^OwJi0(0V*C|qG}_DSO}liFCRfh(0l$*U zI*o7aIro3lO|N%^lhUo{)=Wp5U|ZWY7eU?xLn9R1ycnb!zF%+v^ZrJUBBy^{k0SO) zahnSm_Z-2$_{%Dz!qc>-NGta2I3#G@voLtSs0X;pSDU>)yL$47co!PYP{5}zsEj_2 zBDaJwft@#}u+8(BXyxd2&V_GAg6S_*X0A6R@$AxuJbH(i^YKC_#2t1Li&uZ^5-I_g zS8@v~WENHlhgWtBrmwjSa0O)u*ad$5q9a8@HccGzhc+NzFvKM?pxl+1Z#$U9?_<(m zIG#gao{=3Z-~NdkX`Vyn!xFhP7**L<-5_?kWGzeUYU+I6&`lDmyq(be@Z=vH!$PvW znL-Zv(s5-1Bx5z-UETr_k*q<)$^}33`inaZ*{sp>-mZyq_#I*pgJ+GGtaP~d*Pr}A zhQ`}Ow{}GrvE4h6mL#}|MYbmMfT7IzB%eB1@M%fw6n2}zmM)YsUYp#OU5NbqS@R>a zBz%8`(;Q;;00|qwnIL!4uk#=8R^}A1@FhU)?cCleV3(Af_u)+phX!d32){E|5~=rZZq zuesBIX@(=X!~t2-%cx@gy+Q29!+_&hn zp}%76%!Xa)nQmFb8Zlj{L)Aekj4ep30Dfs)0@c9x_~FJ47D#%i9|sI72=bIFHFSj} zsf9VdhR3(=%n}?=s6SJRfvn)rcchG$!)9=3%yP zLSH|i39yLzhyBXCpOkM*Nf7nv`8k>W^;Zsz&YUY@K(OJTaU9-(WVdC~^nDj}{%h^h zQK>eC@-7_(5h(r@4qe~wHu|XaHAaoiw2{9<5_dCsJPPM<1LLM0S}E(^`B97@{z_B( zX3xXV@Ab15rI7WYkF5|UJ#tXpdYE?C7%u$3945JbbffFMTC>mwW|PhQBe}XO5B`tv zLK`S%7QG}?-43zHD}Qp?l%LYiT2~`}e7LbyHO&`>#jxSj(OA?RtyMoI@P{-bO0_WIM@if^Xxl>2?jd)A@b{;DgC3>!p^PI#Kc-^&vghE8-n&=t9^bY6JdZnzV} z{RY6R9>^0~((FM)t^6%>3iNLe>`*~*h%+9+8kLQuuRAlWb9Ekos1Tu0IVAlgIq50+ z{NgkNw?>^X|3@NMv(h1BOx!6jL_jLbcz4m*0Z>aq`T$8HOd~i>3J-SN{bsJZefN~X z@R`_jk`(@cNBur*0vjAQZC4CGZ;Bmvk{KTPrlfNV7s3J`ptY6%Zg$3_J<(2nwl!tu z2cR>=Qz_=`u!V8BaAFze4gALa0CT#r{D`+Q9Q9vA0U25VxP?U$(GQqaC4 zftBNBbiH#;9}i3q?FHEv+mQ~j;bxlSo)Qb-i#l(mK6d%2me7F!VJWrzAaBBR$j@{5 z=%H3RTGjy>Y#PpOs1GDfuaI8iy|};pUhCv8YXYv{tE?@87@vTsSR^BQ^$mFSmul_H zF3_?+o+N2B1_cbgyKHh`eBO}SDuePzTr=3x`eIAajaiz){o`BIi5zuh&lZ)E57Jz_ zM)#YD3{n!$$v!tv29_6AI4Oy5r~a>~PGpF{e<}{_uH)GEXOWj_YZ!EIy zO+O`+(Fnqmxu=NnR29T+&igYcCqQ|zBWX0tyAFz>c~*>@N};8N!`HzJ&6t2M{Yo>TVo%j|=Oxy9rC zycGfktS%`pXq5|AhH_PJ&_5({sRO-3hWnjk{(;^8l)j-eMI~TLI%(U^p25HTId#ct zbwtrG1Jv9E`s>7Fi^pS|2;y8)B>YW_;+Yazno^CwKi`H&vn{Cj3=W=jhIR`g%Di4J z*mX{05E!2)>@pafA=EcLx?W0MCC3wq%EF)OW7DFh*0&eTqZ`TGGcRCVLKP}vCEz#!kdLTXMJjwdscJ)ckSrlvrFEz1~qlUo+6WE zgz^Xnb`0X?g2FZ#upZ0PW4HQ>pwkp9`(av~+|G$rf?(vch}srs2nP%)%>_)z5JC>U zOIIJTwx)0??9+uhfIq?HH8wGNu}wL{D)KwYT%R(}YoH|){+(I>IX3{%LKDh7J_exW(YMjA8Fwd#rvfGHTR#@Pt>v99kY4F2MSgOC5NF9m^4;Re*JY=&aIvpr~;wsxxp)crGy3C~RBL^b2C z6)Iaz!f<=Q6roL)^leIH-5{Y|77z|miB%o#q~0ljL7Q@yEqYH9vra{F6?P%rE*+Ja zKlwanVS?$JU)f_#QVdxFd|=_{aJS;qXvC)?@uH{p78h`mtB&%hgw&TX+)3PxILm^4 z+(}Yyf@uuSNz)x%Rz`E>@*YUt4F#gKr&kq{yHq&E=)&|#kJ$`g=4`~vQ=Wao1$So; zr1ul^cu*wgmsq`blFd7h8C?%8GBO)9nCbHF;P7Q9kD(Cba9{1J{F;|1+oj%ox%cP< zoswIQQ0M4AVSNIy8#EV0P`ykSpP+hKE;^y=uzXVb>Y&TGZssBC`s&8qA$m}XD!zN> z=s_9$ zU6Wm?1fg6}A3S|ZP6Y&2UG-PDg*~+mq6*x-gVeI;{y}=Oxb?@{c*&*CuN+I_4=t#B zo#r08fs@i}sN^b7UARSrWMe$cd8YQ)66m3a*etH--2^NSTlM|CYNKrE2ZBrtO`bo$EK~Q z@o;)DnzJAXuxygt9r>w|A~YARc}lOb{N*aQRAy{ zyx)@7E!~)gCoEyCT$#Rwds@xgTroonM~}N9Z&Y{vjaAP{NBY}buSNrH5VA~Ev5*-6 zPS7S&y}8_JNPpEKXJs+%%XD(5jVOX_PGMyhLENv2Qzav$Lot9YAloVzMGadTh5l$J z_%}-Ar>eeQgaFO0&G)lS)7GjC6)9x-iN6#ABr+rVLYKdJw!ieEsnxuSO!RJvq3&PZ zyor=vmReC6a!iDm<2mpBajSSGW~HeRrsvZDJ`Xs3aU2?6jR{trv{LO)np$U#?=4mx z?*G!CvksdM3>HwaRNpYn+3Z%(V#a=5K!=WBjL;DqRPWy>1qYBmq&PfSsNvs#)7N4$ z{S!lJf`rDeBH%(;81&l~u?K~^W?+eOU;q7SGdh-))TCURqHER?Ttzx#;G9UWw>Wc< zV21^fso5=qh1SM5W`^hYtW@*R*m#6+W3Kd$!jC32o9VoJ0x+zkrCz^vyFvl2Zo zPJQeawZ#jhl3>oAens>9gsiN|mj5**XxZmeQN#A1YKe{CFnE zvBK8u$??DXk|!Cio1(&BZKm)Y%@lqX=wHLg0}CCkmVfm^S#oioQ?Uc#|h4<|RG`;S_-s6r7yJci4EGR1btTL%VJaoIMjd z4#u}x_%{j`P8Yr#EAvEHIg8;`NEjrjfyz9tVG3#}Ux(d_v1rZY(yd6=a5OCBvUK#Z z=okm9x@>v+b_^d5gZps;>X_DXj9gqWq2q|s^$keWHx68Bq!ybm<55F%oE?v)X!#m! zO30PwDQQf~23Z_!re|!P&OlF(D&4oq8aVFCN}FB7Q4Nh4mG?1Ny_|>*;iV0>K+8{t zGjdhA8Y)#PEqB6WCs`BTxmde-4B)pR7eAf}?E&8prCSk%s#)p2OYSUJr7F=@jmJbm{yX_MV| zM+2GFcYK5kPoVZ4N+9Khxr7N19qV!#I0UH{126V75ILC3H?4hI8lOF_J>7>b(RCa= zb<(cScu4&^s!uKzj!TltN8G)VA{An4+`KE{_~dEk1z03-agf^7s#J-><-ky-&G;%V zE6sr~6mVdar8$G}S>eES9uC~-a9~c71AGj^xh@B`MM*lkPs1`OV*{>IW%Vxg!;;j0 zvNZKK6i~nYgt-5$mE}=b)lCOAH+dLwlf#G^Nk;H72xnT1;36jozcrQ6l6q`mxJlnh zCsnG%=<;TGk~bIldD9)a_(_<294wg0gg4nfj>9a;a7@0qFt;k*X>n|E;p|a)gjLw; z6|M}Y0oQk>;olbceZcgbapde}|+m7Da4o3zGFdelvN%uNd2q^g_rcsi+4 zy%}BW4uu1dYE2U#_YVbXVtzbB;f{i#u#?|!rsJrn@K*l9XbFU{sPK{2B<&2sqQXb{ z3wN&z^B+gEeHkv!BFsDYZKCjWYxrl-oUJ>~MCTVYJG=1N%@lrTG0oYS|4_{B?85h1 zR!k1V{40T<)>l}?HND~+h>(Vcxjm$r!n^rp+a6Wf3=5hm{H11uji>(0I;e#sgOQ_e$8h|zzoZT57=nNMBmYqRzW`pH3%>P!>-e@HWHl$V4pPftiJ$M_h8zZIy}OqU|g4ZLVHT@Ezb=Lw@c@ApBT zt!jBzAP)mxQ%f4}Rg^nVV;d4i@Vnh4=afRFO6uI1|2;^xX8yDLkYMMAJQB-KV&=y) zTJoAOD%%LoJ%3PC*mwQ_MA(?K$LpVtVEZ(#!YK7r;q1thvt%yHEu4+{FU6R%3%>wH zr`1fV+1cE-y^l3D!%1s0Mp+PUJdZVRDzKZtH>C3*qe$OK;6IR(9v9z`j#fpW<6=q`F^-7m`Aut6WpYUZqg(-X|kK-IZ--8TAvs&Q`~|_x=B;rq-k!F zXUBfJn`=fo38Q~oG>jTzB^LJPzF_y#3tz6(^%qzxr>pm>4o4|?jxJTI>}^+1a0PDZ ziBJ0V1QiEA9zC&@h*!4c=IV)}!ah9_ol`0*jO|HCZ*r*DUHI6Z-ojgh33%H;0nHgl zjZii!oUJE5(wDh1mKTaSyYMe8bHwPz6wNHWk+dZ3H2qn9g_Vr7!TT!Ao;sQhwjx^^ zbHQebhY37D;2?q93EWoT4uI*I{Z^5<*u;nbdO~7m=VK5)Cs1d0 zrQA7WTdA@xciuP%sn)!4ZXZ_9DI)RiQTl=SNz5CnLl2h5XLs0ZsL|+?8qaA~^B&!i zSYOP*s$&W=jPg+bP2@}3`)`NNv+No^BEnjrQqMqROx*!W9*FwOhEHg@@?jTRZggpU z;7u(!Xc3&r^^QoDb|^?vYpo;#pd?!Qf;nO zDUR*{IvAzyb&M<$9Fu!OOwzRAXIMi@7 zTmT`mHdEly0_O^xC-8WI3j`i3aJInr0;XrCZ;9;MYtA>IdM(||#~}QdK-JAE?#??O zDpl6y4y;3vY7MNM&ifcKy*^-%q7)SR4=Hc8G%e8dvo znvupzk*C}R;L~o>Z{4J4+@xpINtG(w<#KQYQY{W%?dKr3H~I0XrWL|Ljx_&KgD1t; z%VBD^@4auNDXQl2GSy`F=X>&$hPENQ@T>lD55Ay3iweJN6?W{(iLh~wMkQwnGtUsX zTHv_?&jC!2uI~t1dvyIC1IZd)dc)I|ap7#5f7wjo|81u5!Jd(uqB*Fz)uOhOyEZZUMlcnffot< z5MWxPd{-J3YZMspD+FC@H;a;6MSSVdczCaQVq`QngBIhTrNpj9JJAkq9}UE>bFoRp zt)oG*RWuj>53Ow<&8dAGh7F{-k~SDyMqT)~0JYD@w$TFon~QH|`v}sA@4rgx6R6C| z{K4`zry2DPH&r-$>}=hWw5YPN%ti{Kc~$K&WVlY+@Fl=Bx4w^t2cgZa7r+>c zTYSU|7zEnfVnLT%a4Xr|x}Z;4Zr#ufx3q9m+!_jEZ;>|KE(|Hny{~Ef)>L)%1;ok*nKcNItJeOdxF1H89A=T=E%ldeG06Cb;*8>ko&WdFmi9XwMPMPASdA5!>1Sb{cOo&IJiI<@ zIV!UzdQYBZxq0@l0~hlY;nF+~u1b{*al4V`kkySJ_je<0MSeW$8=z4G!hb9tL;=d2U51Y9$DgHr&Vaj3j8}&$SqrsGHZgIMwt)g z+{iA6awb`a;nU*MoEadTncT5j=vsi~&@u#70!JhT2bM%Eo;oI6C=f4RCrfWVoASJ-Gy%w6TiX2M-~^(w!Fg8?2TN^ z*@f3MQ~2R#3eShwHQek)%@p>Ss@!;-4(&dr<0xo##2Jc&ZzOO#ftw24N#G6wcNDm- zz|942C9tQ!Z2;4g+K*)P=;Bt*{LPb-8Xtpj3u{v2QGL&ZgrHT=9HlyIBDDERXq}0r zwNA49w1&&`*4q=Cz^~=YMqYW78lOO{PSj-eRFZ)?8WcrnkoD(tFW~Ym5AaI<(0|kx|c$mNg1db9o2rxaz-X*NJ=h(kZNGu%r7=*6~)P`)*xvI&XP3d3fET`Ocdr&3C>gzv*Q5`$()s2xlg_ukneSIxdA?|Ue|mPD=MXFX&sO^VR{A_E{Us}%Z{an# z_OjB)S>O4hCX*&S}Sd=PeiJJ4Rac{SGUgcR`pu{VksG6qiY7xUTum&2jUcdtc@|w;auP z`h?AQ2BDen^q8CP+(j_o=|42z898shGla%`=Z2E`&LA!Gowul)?|i|B`F^g|Uwm_( zN#`B^=KENS#_m@ByDdIEWPKlI<>9rlrk*XWbl#b3(s?7d`Ode8o9{bW`T161lYWww z{+N6}zJr>sQau6x@A+ttwVn9ijMpA(@zAjyK^=co?5+4?3(Vg}wJ&y>`E7mtKL}MH zzL$-YSoK->!q)Fr`%nimEj@?+7-@LjCB^~Z+?gLyP~FyIjUJ_vMn zp{${D_s8Lu!yf`z0ei2BiGlXWAf}!J&&ht9f&hHecl*i_>C@4Uc`)tHFrCNus|-W4 z7;Lft%_Uh~NEsw#v6}`6F+=d%JbYtMbRl&pDk3|E<9Q&SOy_ZdmX^=El~9q-uc_RS zYQKCoN2Rsn@Xc64=YWX*_%J4C7|84k$iv#hg~&O=0v_*Xj$ue+uaQseHKsC(XAYhW zk+7D?KW-ILLKi?XW5x#I+mYUTO(&Haa`8eW=30&_PQ(kK- zEjj~S87TZ))xi|DlSk8aq#uOe`k~|Wvp>3FAUcNmMq}rL?*!8AqJzM#`LY*6-Yu3K zQ}8?&->KA&z^`Y(U$_jzfhIlkv;5+Y6Ywtx=fe&SLSEaYgEA5#^xip0eRDZg(?0`2 z&Jd7Ct;4u74%DF#CF)!19}Z)^qF|s5R1Qvl8;BhIHwd4hV71C{dWha5#OpHbRt%gV zJR8F`VuU^h;W;vfDcIQ628^p&jbD1Kz1OPd2Ri;1BdKu)`Yv!7i28I=I2oGEd^38^ zYV+-}2B5m)RS%qL=E=CH!s{=59E1Te48Kw<3=^JF15l}PGiN@^EI^4alw{u!$pkFi)c zY?$L}Q5(ip7Efvt#aVBENX2kSh^`+vYWm}&^B!k4YVLW+M|+NXo#Tn4h;l`{jW)T_ z+@Fwmy|h{NW)2{|tv(``rY0vQl1b9KKmds%xeN>EP-29Hst%G*dz|(;?<;t=?gkXsVSGB z0Ig}-ldcv0k*4IhIekgZU|JT-evKboi|{1CV1cyg^r9)fbc!o!&L+c=N&(lHn z?2l(!E9A)BsQW*;-gUpe(V#{ymsb7JbPiQYH#>L%1b{^HnPwO#N*ZRIJUI@y_#T8` zIH>31cM3+-{br)%G)XS3Pvd-sMAy|Qr(1xNB;6qM@J-DDuEt3@#el1AHTfy{{gIDC zPpSvtJJ;;Ic`Txw(YqA<(@=C3eq4klsZN$I7cPDi#h-61;X6ZSq4@)_G&`*0SfdQ6 zv`Hn8y$r{XOQ)dlqae*`ikZwPq_49S>C!vc`~~1>PYzac&t+8+N9SL+?k>G zUcGHt;dDnb?u-Sj(EF;MH@HT{^R^}a=ciJ|4cJm@D>$2QqlUmq0>=v6S>QR6=P`j# z3mhuxdjM9dtd%W51^3`bJ%M_+WMeo`BEyfP40l->Hbo5xFOe2ew6(PqdYC-XU%V<~ zYLB%)0o3(c5GuJhV`XG5l=z5K9s+%fJFVtEtD0wYX+;mP-_mN#k1zrDr0wgo+W4Ge zq{ETpgHl2j$Vq|a zR=1hPeK8o4R8JSb$b2&_coK}Nj)Q+Vh|qN^=LHTB4i%R z$o0AC?gx=ZM4nYnx84!C2=@DOhgz$TUx@pd&S4&o1yt&ZjC3xz90~)*O&*?k8BLj@^!w^+4%;3>xnGXpsQ-^j~sa%b?GtnfG~`; zB3xRVP3_Uj!N7Mj@dQFrI4pL(6tjyftO59^lOye5uEf+Q#K}^xt)%@-S>ms>jVUqw zMv0+(#WGT37ez(aoqTN;nH7YWOr%Z$zl{5nZnl%5)#=RRdBvLhQ)BtbCk!XDu#;1i z5;Y5C@o33(yf$hI4o2}$Uun^M4Hb~oJr;+|r{m`dm@h^n(^M$WbT|A|3UUA&)pjSC zV)2NNIUc?s{E=k1OQ7mLRE8p;5^xgWo{v&;-oUrUdzVHZ-%!oTUR|ekxVYc>EV@*y$+^doJ=d&f3PT@uScotI-|w zV61?QqlY=Q&>79OS*F0g2c(?*|;4VdDmc=^C^LQ@a?MFX$qx1!rxUV+es=Z$a>I)~Jscn1tT79XAbbPrp(Zj1LPc>`hto7y zn;ha}&>2fmi2FFkPgZXzQf*kF_VY8vqaN$3v2o#Rd5uu@rx0>ylZKTVR5~Sd{$U!I zF64AyG)8Mqk38`T1u3s>DM=poX%pu3l%n^9gU<(s@o>%>b z%h5k`AVZS?8F`+~qc>BQctDmKV<|RcO$$@9M1Kr7fB8>0?D#}a9r;9)o2CIx2%3fT z+^8J!7mhz}XwYDY__R|bOVm`lJ-s5r?&_&GQ-)@UxgSe-iZ@(-&?utj(d}`{58ZX- z4Yw^-lXFU=Ca28sJ9RyEKPNtpQHM9wKy;LIj5EB;eKCr+j7;Mo{0J5@0gt3& z28N~^RO9s$>0|DqjD%+Eg8rcCsydD|(S&7)S|+A<2#y^iOsIQ^Q@777ZnSozaTp4= z3Vh(0T2(Zfg7Dqa!d>ik?ow-~j!05!PJ(1m3))WK;LOpo9tJmL(HM^_A)Z{<@i}R} zud4vQZKV7B7T?k6P5(lnc@CiCby>uX*)FhvMk&WFJ=SysspY}2Qrc%6-85+#xW`Z! zx)tbBqP519XjF5#$^|4Pu(e~*jBitOD@P!GU2OGA5UIYX$QR5VDlluuC|jqhMBki63IcQTyZ4h?K1aUVuc`WxZAF&gk+W7&V zUMNXLrXxxt^$N^Rcf41sY(VV$XKZzE#hf&Eo{mr3^!EIL&ieN0))wch_AZ^>%U>mC{WQIn8^z zUg-JcB9Pv2o!~1~c5Cl7GDr%@G zO;C;n9sxQd67;nEJ%n7^>>xZ`1{9af7Sr4nG=mQ+RTh*1L)nivei%)X-uj8>1YUt> z%?WQ4gxd;?w~y0Jd>9B)L*z&6;SgCjD!mn6c4Mk7$6(=6zBlhEnk8q`MG7VejK>sC z750?w=fJ{*DnHjYDfNd*Zqj5)QpKJYre9gLO_O|E16u9iBQA9o=xK)vKWpi|h7IW4 z2w*kzLl7Q?GEgt{-gRN5Gm7bCj6d!*#7F$%vA`R*qp^T;$D*8HhRUwnsdv)595ua1 z?MO{Wy~&7LZZ|QGRDG2^yrUC4<08~+$?YY2bZse}mnl(s{!oMEQ7)hgw3re$V zMG4v=k2DsKVYHxtONXWS;T_=`ra$70Wq-gZ_0(kkamURXCL@3#R|j z{dB}pcH+%Uo?_>=<|)qdgZC7q^jG#F*7hygOo&RB{z^&@Z#bZNzQIV&La*d>zLAui z7vZd6no5p&%9EU`^qxx20>QCUQgZkhgzpk)s~{Ha*g8X2cndNOrL?Ab+?AE}U(=}n zS)EB6jJzzC4ohpAEniB?iyD1KUd&UTy!_gdmy-nto$HpSN&DK3reQ%y3;h|Ru=t+_ zQ*SgvxB2pbWvBr^ZHk|`OG%eQT5z5av>VtIWj1>mg0#U1&I+&KZ1j3ka6UW{;oC@4 zMPr`w1m{WVK~*%T2##J!!Qo>N?k3PyG%N^fM9*8P0P(PQywk6X>rQ&3C|${|?jZaa z(tH!$&ksR?o$+J5m(7bBlDpZyf+DP3#@hwmWqhToV};Tk$v7w09wNU%T529%z8+d}tyxE`zq`$rD*P|$v9u1x8lByj98a}1y8e(@ zMQBpq$a>1E?Hobb8_;S8AH8dIty`BAtfluFrpS`wP&t7!N{(U%;RjFyMhl(7+!^L5 ziK8BTV?+iQm-c2Oxx~=on2d|L1FQEM`s$p84{w^QO)_*@a%s-6qM=w7jl&az(njIQ zgc)g6@-U=nz|lDQjU`4R4})z+q9lX-E>hyRL#4Xo%*bu-In!}I4~(v1Wrm3;r7N+i z)&%!qt$~9-U4xnMEG0(zweX~GBd>kRIR-Q(Qlv+9I-&U>IyOf-uIc(BvgB>)%PgXM_(HU(;-ZqUfMm&rf{P&z$&+yG_rF zJ&Rn)9r*{)0ao>V48otLdIb{I3njUE!#53dK@0NkHUT zW$Pe3NuFv}(8fqpRt4dW_#p_F%g;|TbL|iBPn9&aV}kHBJk^-UGb|<^el(cFIQg;o zf2=T(jG_U@yBbCc6Gy>-<6btO)!^ek^ZuaJY5BQFj0nOr1hMlQ8Wx1_lix^(X}S_{ zwxrWQR!i8x^D^o>26+BE<2TmvLHrhk9|ANstImK1{*RQ^c5r4i=^v4F!?a7IC+4^; z@pQR7={(L!SEt?}yh^^a&Umre4^P%&^YfDB3j%fKqj1Hlnkv1=+UEf685X^F)tjhI zjgC*pVZ7OzVg1pf)+~C5^sUE=+*&&IK!J&^WBnmvMQT!>75O`>w%Y~e=K!sC@X@E- zJGE}LB3VoCHB9MbsF7-xSs36a<7S{U%}-o<#Xs>#p#BW8H(ZUn%lgAtxQZ_Lhw?9*g41_~%+?>(A)$i6J_<2RarabT0l| zSDGY?SGDO;Z>r`E;ygx0uLiGg;O#xMF&JIQqh+*1=%L|-Xw1jsc{~=xE5(K&ueoI$ zmG%N{b}VbWsp?wJ-8l7BsvIGCcF6-W5UvB9fxpqeK5t~}jgVm@5=O|zUL%CO48n({ zIi-vcMj?&^KY5cd@7Q732X7tK$YdTvkiBdUj2x``vMW2+KbzoizAa)oeu(b4+qhPaiDnmkzu82hPn9a$ls8ni5mvTm zs0 zq{)Y}BHh8sV@G_rCQL6g|Ae%p`hFxSs(eJ7Em7@@B9KK+5foLOCQiq2H{K89;T9zw zgu{hiU69uFHnHXjKlnv8u(P6G~Q|Ik3|W3AH9CWjxr{^HeKQR zC*%~dOCcu40x2)#S~A*uLJe%*EWjFfInv{A)pYWNK*ES_rns(*`@i8k%D< zv}ms|?t&hPzG8t4lVQkUf)^Yt##mtAiRT_De=vs{ZJ>W z4)7l}SUO;__zJCWVfK8pSoP-bdfUeU;;!V8Fofk8Bwdu4qP4yf|MMsqze}S=ykzKQT3YssM8oGcAeu;gPyIP z3eNKQxt_Ij?45!h{XDUOQx-@pVI^~Nd`L3>E&|QO?G;mGR zm4JUoTQ-hiIUg>+-tqR)$sK#6|M=#V^;&BO@8#G!@1uJKv zB4fJPOW(alpe6X*YXn+FGc#_Lv0%svpABPigr-wmP>mP6@vI^?Ba8uKw`1oKr~-dG zvmLZR;_6~MrjC{*8JiTNtX9xg5HU^`R{JAaC@o$V5B!BS#+w&~sL8@>FpbfYDGzCD z#V-%D(CBzlxyYVWz{7L!YvT)NU#$Phu%T%?aa)NN@)SH#y!M4b5MQV@5vh6@AB~_( zfme<3HT86q@jEZhqr-sTcuP5hkZE6Nun*H1X3UGpcmotsF@(ijoTrmxO!k#2vRAi( z?D1F%j7HlTr_X!UI2fkknQyqCkM)}pTqBo$5sg}6U@#+ZNC+>RB5C?Y0D4du2}Ksr zbHX(wKYFtuuh=#*Pib;Ll_K}_Hjtau%e=2+Jj_0aV7{-8?okQ>1B`f4BJY?X!3;~% z{-r9{J89U%Tq;urxJ+X&o7>jY1YeUP7%sI8vF1{93I0)O_k%?`S0L3V1$KTdWh6wF z%K-D*DvnBONiNQ!H-qpEVvK?3Tj_YE4%hbjMlzB_FBkijjn|97HGYjR>eCCcx$fiz zJbFW3nxrqMNP2HeNg50C&E47NEeJKy)XjCeC^)7V)K3=$#}>)r1(zgCj{%t1@zKG} zTl9ISFPTAm-%r+eQ)Hc$NmiqWjj|Qc1WN~vozGgqs_oj$m+6JPN>Sqd+(bR~@m9)O7WqH#RFL7kBADy^# z-0B++NG3<8-u=Y8oH+_90s69Ced{2{ETzFu=3^-`*QMGq(~Q%v+;3seP?u6%*{5Uz z38ooFCQ!_WNE)xHXDSsBdzG&e<1c=KpGgti{%K_tcya7f zx9Tgu^u_Zem#GwJ4Y*Zi@H~8PQA?FysE&o zh@DPP|H<&hEEN-LF*4T<+;5-65a;C>ar2p$GoL*zI4#;i>$TfJ2N|s!I@x@6?^UCc zbu%Q7YYVP3R*7p(Un9d62q#f1G^tmu7$;q$utx%sIo6iSZdzn#cUu1rZ9Th-eYy-d zU2JarZJbB`g`sVttUtas z1c_i8w{P){CK>Si_@-o~m=85M(N{lnSDf$Sq)o!>g}DUg48}zxn{(X4qP8|SWFx!T zoLr8dxT=q{b39U^n>U47`YG9#*!GUv@=k4wQ9M-h%Pjnq@+dmhs4vY#tP3`(Y!KAR6slgwspFb*eGf zEqUd;#<;6$UZ7y4mF5eFMZ)~psx~H8;`W&d(C!Q(hqQk;VJTcJib?|o8tE%951;T7ppaFjxVfXK`Z2%kh!5Y7f| zCgptj)y?@OSaQjn7iHwU-pTpljGXs5ITvT-d{A=kF@tT;jRv=c4>`4EweS%qXBOU} zd#9;hk2h&u9&t|QO5I`WLKBhO^XV@t@T864PR-!!l8g8=%ZZa|-O z&Mf{9mYn%q9aeX3v+AgAZr!!buRG_Wx^tdfM+=wMUE2*-ZObuse0_0a9eHl4BhR<% z$n(8A^4wNOo`)olEtA`2NZKP#&VNFE`1tUGg?D6zgum$2c2q{rm#o^d^wrCKISTS+ z`&G$fQ<{Zwt&?+cM%(`BfE^QoSW61a~CIP7VQU0PUSg> z_DaH?R-UZByJt+AGg)&@&k5O<1-W4g7BtA%s|V$lVB=-V1`LB&sonH{BN>#boO3DFN?hXKwCUon#bA>MeHF@ z+D#)rSgCE* z_`c;!l`5-&xwbq08x02XbPTs|=sFyUV5#x2G$+8X#nAlyt+P%vt9q>c8=!qoI|!di zwt@PW3<=8OR>0(pzpopT80sGjE3V{hC3^|v8%7m(syNY z=bPIS15uVQ(Bc-k5#g;sOiU^dgxaK@+jdgvD`Zp^-{NS9ord-~n-Kbv&n}Qk4;D`X zXb7N2MDtg@M@%=C7%$D+Jh(lVh)YZH=hC8Sfa(bq?1m~~wAkN(IX9@N#x1_SR@Tu|aeqGgeB8@P6g_tDr ztRx#uve{=$(QiEDqR!m;NY!4}_gAyGIfIZ{+)eZM=pRzVa@pP99*y1adJU%$VK4Cv z#^AMd+)CvB8AX?20qkFnX}-W$T{Gs^Ke9&iQsI$RbI|vG;@75#Z~q8Z;&Vx6T!Xsl zNh*~V$Ec2FiZjP5N#^D;f7O>Gl1#o8iQCKEO3Vu8*bEzrws5a*dw@+l zHfF`cfLvcU&llizp?|p>!A)bnf}VtP^PhnLya|XNXA-V&b)?Sb%}dnM+%@(0F!xVw za$(Mv6mOp2;0ur$Ql(La<_L-vfWycI9cRPQJnB_?0L`{91FJNef%7oc72V{t<`_rB z*_p)CjqWF6NQ#KlOA}#78ch^3mJ3!BRb&V>F=Hb!w=!go;d9)piBE6UdW}M-(F@eE zR6rc1N*u+N0;?LBF$~ne>^Gj$QzL}^$!WY*x=sNfFxqo;8G;H)xVrZeT

    2nP)f3U7b;P@Jim{XKg|is1HZTqT$$qwZUe!p;z> zpcPf?V{UHg6>bAT0_RZbP%0KxL9~QbJX0v@=bQK^S*n2$`#>gU^2M6at6 z%*kc}7(hi9jbN%J>RSr6ZeALV%+akI-z1V7m(<+U%QP-Yu3h zMw>o0GCA$g?&0Lm_#;(H+IKV($rs(XWzTU}SB0E0p`1TC6>$ORCv&4I$$4~L*u*?b zaGLa`v#{gz!d~Ti#f(lG2ozcNDfi__ECpHhGjsCg=VS<1LkzNtk9}{2UWZ!%^6g8u#Kw+KhkN&ALn9#j)i0FL`<>Vm!oAOw;|Cz z7H6P9FSLK&uJ&^mRQ5EKJP{cdqvx#}OdJ)jTjIN==OV*=m@q~KT3P8yBeHpp_aNF! zd>68y-KNq-=o3{fGo|_yYt?%yWn2j3l;bxBTf65bj)s}c`p4MC5pE*2aNBt&fg}!2 zek|N3xQSU;;YW9=998~qt=zDHI@27~)c0|BzOm`1uUcKUScaHci$?VjC311km8?{& zDSha`M8|aFzN5rn@J zXD0p7cp*?Nz{F6yTr9lRg)BFSXd*3)mMsxa=&eP^^umAacIG-IwMJm)(7cMuRJ6>1 zsEm?L8O}Dj9f1q97SqKv-g~%l zIh|`SU)!j}%&Z*Mhy7e)HN!^R#+(JQJDM?`|Dp=_(F%LwKX%AU8AqqZ1Yld32#w-d zS@R&~$y%RUU3#=?B^C#~u$GrNvb%YtP*+DB#d^R{)i{DGYyl*Vl`2QFMqE63EM-(8 zE=;(?$c>m~F0v%zE*Krb592QWj#8CsSFILQ@Ej7jQGuCBD*UEwD*Q^aZHjE!!5H{a z{>+?*3;poiW9_SeLD*N|^BPgjO_9bOLT-p6O;v`2GG>b>cepq*O3a#Ex5B<1I*T3x zU5n5xzV0$f$XgBlR#Jg4 zZhNnxjUpX78hV-=hvqU(r1N*G{>1hr(m4Gm(>ksl(R&SBBII$$aH3Q<3Do}$}9g(?&?$|b6=I+#TR9>mc5yUaxxPf z8jbRl1f)|3UEu7{X8DU_*S?6_zXriYHOi^w+iy5Z#CYNnInZ+Qrk|)xwwq*QlZth1ZD<7HJJA1Vfqc3J;f{C|;pVb~?3*M)9fUjK2l>Mb zg84NFcb1fEM+D)!@y!UON)#S>u8+UlHOgOQTt~+yDgKUc{tj!Fzdcg?ohqtu1LyD3V*XC6kH3dE%ioPs{GAB? z9=f5+?`g&SonIe+k8hU0xau^aA86rC*wFcVZZUsPtB=1+o8_-EFV|BAk6p2@mLj!ZbdLF#*c=o?%i=dcccFI&G-z8`__tv-|W ze!-@kvQKZhXR_NlHueX45jY2z;V z_U#h(4Q5TNj#tO8_pGt;_;6Do*`nv_v-Hzcp2)T>1wBaf-^vuQvWrV#o2)CXX7yVs zdHk2mnhAZ99*(veh2OsL-;ld2;9FET4!aI-)(P2;XTAAH7zaXeP9a)K!>g3m%v<3O zN#&eq3sJb~jTLNF-foTDt_k;NdqpGgkMz8J+b8pZaxOme(a={kkBk~?ab{<3JurYl zhTH`Fu=9xhPwAl#;6CE7tu;Ddn-Q>A*{`dfy!+>2!!JG!Lf>nPZkJwJit;Hx&Z#}_zg7+J>k8wD_`PNRUylyc#-dY;kmT@&^> zgE1g(JLl+p7jPX9u6KYqBKrMv*5j=!?wHs>QD4;i!!X_}w=uB({h^P|7QU~mt^bca z3;H$ek8GRljll_wnktkY@=~E9{YGJr4jQh+LaXX5D92Cz^`&?IPICSZbN;Tm{0v)p zouai}6W)#a@8Z+$W)n5l{DC7YCh#mFEO<0zMTCV0`(SkWMTA+rhO+jhR~GSFC_gA2 z9|H2DGTP|Y;08@NkHUyq{Y#0MWjKDusf~}NA@)pl&oqmOVMY1yeUPnH2Q&AT?>P9} zAuB6x!MRN@unR*!t9pX9VtQDoy2P|`*164e*IBnZQ_{QfL_%MCw8>rT7 zVm)%0DxU&U4xMzXH92t|+f0|9a`qjj;w4uO-@*{SUp}9Kn4~B(!wTJHOLv=2gSl~Y@ z9|ZP_;R5!z?G_t9gems+2tZP)7B{>-pmy%Xh&8fDw+7i$pbnY4osaZM;+J8J`SRbW z1<@2Ge!;?WZ8e*#P2OeW zI;Oza{Hd?NYZ{mxx=mKwHyjz=ruMe9ldG&&ZA*JDU0Q>AAIZAlB{D(V0IdPR!zd!s(D~WJ=J&kKdN0rU=_DX`W#oarU>Ew?t zaxaRJ{Zk|b`bguSfn<-})oE}whXz8akZKnGnwQ0|dhsd>Y8zWWaqx}}8X9)EEe|}( zP3gZ5wV|=bly>5R#9>t{k|%kbz*_w3;5 z_T&-~)=X&LFOO0E8kB=|PdEImt#)yP{VHn@Wzg;S!Q0`8*azO~PWJGXRQSLX@|yPW zk>xY!PLe&s{<%Tv=T;|OSFhUPRp?ueeeIu|(=YKfdd#Dq2pr=oRVUJ~9Mf(UxFZC% z0=YQ5Zu>8LpXr_b(~%871yNh25Fr>*HHp;kopx~<#dHEJt7x+(lVmzILNG~??i?f> z*A+RELjP- zsS}2R>i3SlGwx+WBwbkO(f4KRk;&FwH%iOGHyrC^fWXS3YP9k|^D^1Y{{btzbZCn8<116sUe zF%_y5t*Wh45(9xZOG)%7dD#W7@NOkBL6=f6r?0W3Cy zN?rzGS51iPlZ~xUx(=3z{qKd{hg8IL8vcwfKj)L$WKI6~%-S^r3iuKtXw#1i1+5F? zQG@ttErT{;*DT~1|6vg%zg-9!$CR+g()f;58BDln(q|urHAc2@71#_0Hv#aYhAg#`?rst?@!g#Ah=E!@(_)3|=aT}2Ko;22}pEmgk z#Cv2+;gd=oEpau9j|5Eshztqt5^0md6UGE;6!$lPv?aBggqdq<<$He<0HF%SeIKw; zqPYJHNE1&U<0+Rpnlk~!P$#%sr%mpU8}l{F91V;c6X;Xi?*fU66!$^EK6q66f~Es) z4}hOZm3^6`=@Q&6(#A z>YuDeRt;a1*YpW#dF9Ty{tXkx*~%368-TQqapPjb%0HlW$ZEc(?ek~VX8HU!?`AnUn}uMOe@!}_F6lC7nK_&3sZlWV`a7?9Nu^CX(J$-Xj|0-wlg28| z*3K65D<&4bw9+O^QpUW*(k6l3`sfCv&Cn#gUH}W~gx4P6CAP>MJ*SL)_yZBzgx3#1 z+INS{5$w3}*YC7RLLjvtkOu6+tM9mRcdN`1B9IyfM1aQgKq}C90!TGan@j<=A(}R+ z4s4?ikj4*m1SQY{=umkeQU*kTH+abepbxy%CKG^fvCPp7P|*fd0G*2otiv#EQXkmP z1dyXnasLS96v-UbB#(Is0~NrYotpr*H7M?7frSpmJ-rFw8+gmNsS;k7fse$R6!$H_ zI$VGVuxA}W;~JTxYhYgk0co~C<0nAcHIN$mnuZJ({n!AJ2)2uO%ZtDK%BT|CneF`w z#_%idpRmuK5mGjZ9jYWJQZ2^J=&WM*c=R>yp)}#KWaASkSVB6x`|_FKR|;3dUePOC zANk7&yT_9-)*)UdQ)-MYj_#Cec)I!_@&Yz~1MO{KR_#Q}>r=fo}?hT^n{!Z&e;>e43zv4qmdQs@3j8D8` zO5-cv;BM1RsNwtbm=WDLYL1M(G^4#@r%n8|6x&1)tEAS)nqr^3%U9U||ozvXXOuoxu`bVCu#Yx|)R2&k>8kp3*&m%}&nO)9Dd&sNB{+(ZM8rpkLMT zW%Z0{n!R{X5N>!bw|F9tT|)=(PY-x`;|#CSn7@g}8g&zSFdu|l!zaS9X<-5$t_?}a zns};kwLlu(O^x_Uf)Ds}k{em#n{I3>9Dno5gox?DaT~&9zib`zWLODfCGct6d)u-f zdo~>{Lw@$~H4WLS4m-}7FfmO`d})(X0h6sRLs=S&rs}{><%|wBRtGaZk{@-i%iU#Tu2} z-)<1jh^e$%U)42_to?I$WZ&cSs60#~-k^`v53I!`LG$xrAoxhPg}JCPd8r~idho~J zA4DmL8G7m>>w;DO-4Yd28mjuFC|a^jO`K2o5mt^{E0wr!f!d7II)xw6_$)> zY=S)9zqn6wmmK)jaqcfIpa|Gom33I?9S}z`I5@^eekFXAi!6;{)lALds7G&|L2@)s z9fwDzf zKKz8}<(18fT9egxedQ!7cyN65GVq6*vvsyvJGYS}3`E&D5KVSp})~z{Y=`W8C#Ke;~6x0?!n8qew>0|_@7^UkfX+KuIeLq$6idCO= zZf9*axu+rZ55&e=r~cp`wz?jeSfd8Bt|^m*t7HlxBM;upYO2$`Fme5rN@L)zQeNJ@ zD0N9Fi!KUnQ4ZDADB4&?BV)J-Ms8DH9B)7YkjigXTBFH_$}1Fn{p`NZ_MGlAGrh{{ zXt=B7C74 zQ`I=sj9eQs&^FgDN&3WEGeRU{Ug@EvhYHq7@9_ZLa)xee*>~;*-}=&n6dRAn1vJLZV-ylhDuZFUa}yV|p&EHWd8fW`l7nC7m6R(R!bVB{IoNxmcCoQ|oQDA(I*n z6gLRER*WqJe_eL+l~;w?3$F@Ir4QONH;^y`s$JDu2tm6b^an zl;3#lVsc5U*owygl)YdC$z@>CtDcdx-^~os?A~mb?|>Tn?hzT7YpJI`{Y_AVS|D(m zZy#ucrmUir=@^kbhhO;PIIk9L!JXB(WU$6YSv6a9xqeaT9BR=erzM|SoMLCm?=isA zRj9lGWO;;@6jvcEJjBb(YQrr&peV?C0%R7Xg;+1km}D7|ml{ieRAZF|HS|V89+BUNSc_SlP1Y>yDvA5x#)@eq@=lnHnmXJwS%`*TL`3%`nSjXqz`wLyIC%0j4 z#F~<(nCvd&))r!C7C3i3M*4sUi$xoL$tm?QyFV$rsr{CMMb9Kk8IYr9Ya5|rGX0xg z5Yp+>Bhxy(xvb@i3DFxY=JVMdvRos~1F9iAijR$Fa(le;_}Ai@fr6)oNM(391VcP{ zE(?{`E;E_pX%}ynr|n8HIUuOBk8h|QiPh{}?rR8s({|X*W6JNGAem;~dt(bcs_B$3 z%DwqhDQvpg(y~9oyKgd$v^1QuN&ALkwNVzau?#O>#}Vphb`J~cQif7VXMubD}l3tBAg?|TNg3VbzT^CS4+AKDS;P6 z_Ca_Hn-@)di}6g99^*5r7h8n>s*v58Mt537PG2w(If=Y%B)dvcc!rI(tQ!w-n53K7 z<9suFDq&d730F-S4w``AKlssEO{V~3p#Yr^6mIt^Mx2`?p&LDglU;L#w^#tAPq zn`98(3{;JSnuy~h3Sm%jFXTFz`2&(6iYQ?db^qgO$^@dI0Vd}XEEL-71>9-irHEk@ zOa9~C$>1br(<%5c!%Nv>P>n3)f^A|SogA`I>1yVBk^V=tE&gA_ha&$G^&|u5Gr*;g zIw4m$5@~XB>gMH%W!}pZn%QJLhb7mMia)Cont#b;*v+#ATf}f${4`i2|4lOl?_|-vuCTn)kwe*0Z2~Htq~x_?vdCVmcp76IuG!jGX_|a8 z!Z=KP4>8t^D!SZhkxGPqDkSk+z_+Gon#L@niDo)^rD1oeVYTVKhF|MldUv`8@BG`6 z`)W^N;wahsa{HjtV`B@#VIMW`o|oi-O^OpOWNvM^=K@~wvk$>vq~3ArytPBxPz}YC z9rKklqml+6Wq=gBUUCl~B_V3C^)1Y$t}UMIF)Mss=a_5phm=iMVe}xm@r$3ZWY4Ynz^~%gs3EAK z)46~j_wN#|M#7>R%e?Qh6a?d>e~asCMyjrs3aP=%inGrlgmClr(3K(Dp?TXI%E;v1VRVm@VQ)l1wlYp_lgSYSwY2Zmn;gkMszqjV%V?Bljcm_lMEbhlqt z%HOiT{{3z6^b>ENTwfoojY<%KIN*Z?v-<#9kgKs$*F3G^JB#vNKYllAmU?>Xi!U?G z!x!V&6qd(DDG?4no5k?-5FT%Exx#yH>+Qr_0_4WvE8vvnV`j zKpoBg=y++Nf2h#waG!QYPCsyZ-F z2AkAXWUrPTlowetXO@@D+^k)?lBr>nB(L|w*Cwd#nD297cx83uM{?GJG*H-c`Qru} z!Rsc#$coO-tOqpL-eyz}zvXv%^C`+9L@3rNXdHVHO z{PEky)@#r4)GcJW*=JKXyGLt1<7j150%#EsR`8$2^I?q(bypXqZp2E(`?eN4@hh^=UDX`V(;Pg6H=s7t8y==rcs)OO1iE-CH!pu?El{23h^4VCjQG?y zke#8o+$E&Gkv(}6gmv8;T;U+Q8!xupwN%GxW`cERMzos#nsk9G^$hpPgmZ;5=iZ$C zjiAi28U6e~Z&}jZSl|d9W;x$xY!e#7`dAQzfcmd(;jilk!^&s%rO1GnYqZ*}I*|y+ zszgt>`~o@j5h9=Lxno=zPo+@k<5{gf9me=5SohROxeI`~(VEr;5RMmb+%Z z-6A)*4Wc#rloi+g1=6J)w%hE`_~LL=!K{dmi_eH|`J(!yqo~G&v%{v|6+MiQ1BR$~ zue}iJ^XigDozxABn|p8bh|4}K!^V(Q0xU#DM45U>O=hv_yfUPy4l}LcQGaIo{e1f|nF%aT&0>?z z)mGVJQEQ;t76KY%qKcP~Z`>&{H1Ui?6|Bsv2M z1;dLzVxBVEa7YN-gB-(b=Tzyj7aACo0Q0OhB_b{Mro_nQTRQRrXYzYMJl2i$IbB%b zYe~WHtiE(Q^UXG(z^?}i-EyGF%Ksmh-WhPK*cdHEja_vfmr{4jqj{W10ju?#0~CEb)|LSRD0a8SqsB)7SKI&h6of&lyxU3^oBb?4xl^rr&@HG;gp3mC+io^Fd^htQ6Z{>Hm1 zotN3ny-EB-1o-rySDRGgJG`L619(^WsmR;G!)H$qxU2m8SJKC0-H9sRo+l{k^p{}s zH%=zJ8&o|177wf9rK+A``A(Nzsux?ix_JTVKdhqE(r)|`Iwy_c3XHGyI-gj{NS8kD zUC$6Dlt*h*4*24gOsJ;$09q;DcvrPC^n)V4jN75wW|5jU7X=X%_D^vq$E1g< z1WI~n`h=0oCWM=7ToG9 z1AN(>9BQtl%|Q^%TYlajzXLLz|BWz*LvUI~HPtgN84%L98ygbZJdwS>5cg4Tt}&$G zFh<$NM4I+$4e-%)vQjx+TJiEzGGzFEEpMds9x9oTO)~g1n zS09ojEtpstq}v;gaYQa=7T4Y+=96C0Xj%xc{ojkC!8*L%P;7fl$-SgnQwJyUn)+<_y+^`FHm#)G61RVw}w(T z$!^S**_-U$hqC)uG*1upF2tCA^21}iLqt$c(nCPdw*QEI*S=C#2kT$tVL#Hn3%=w{vQc#M-DlPe^`{^mMyN_w0`mpXOJYXL|KFlBN$ugppexAA%!0<|>X$AQU zt4y=G+j?d0(l6-_iY*i}&%8y*h#SwZ3bP-x0#CGUEGsbJn?qQt$4!-!EIri-#Ye8c zg#3dZ+E=}wKk-JM?4vVCKkhWE!WmSFjDFNOXIh*YPSSV>g3#D{_^eE!ZfY-%P|}l9 zZcj-=Z}?=>OpTOTMRDdqsw;(fg}5DTq(3_=;1V8Gt%&sL1NeWHM+<>xX!P)hDq^EM zwlGIsO`r@>BH|z1K&2m_?Chrt(2R?BK3Zbq8e^Q>ut)swbQa{AD+a8U{lsKaVNX_> zVgEY%dBMUkZBpAQYV?wSv_fN+S#3F$XCZvD>+C6(-()s9Q+B+OyJE^~8llSSHJ}uD zWw2~RqP!*wXdXo0*)D&WM#61y6pOS$vb12AYCfb6G!W6}49e>xwtgOQnHmueM+BPR z1-#EU+7U1n=PP#L@4q6|JM=!fGP@CtF{qxcf-?nNu+Wk~Q$%q^x?Bu;+3hWAOK5Wv zF73nhV9$f2rNVCzhntQzCIuNFoY;fel^AJ~7P8C|h>CMCb;Q|2*uit5Rp`JDTJC2L znE8NXwzfSE;NDRsV{;7)zrP`4n+|qz`>hq63<%j5REjrlKo)IKRL*{y|5QKw@xdG7 zG8<(nUpoQH9}<%PvR{Xi`A>M%UH)cnM~~y!{WH!!p>h&m>E zUB+{QTwXRH-Hk(8t_m9dBn~1r(k??>h!6#5#VK*MwUtApGL!Zisy*Bn#&lD%I)ecK zsiWEsvz$fNEwQKfq34OoXQW}M1HC6YwA+Te&3m$kq=$4GjBuK-KqROhKdgH`$6;70 zynjYqYoI7_GkdX)toM#XQ0eMZC-o0P|As0Pf!;7DXlK(^>N_4CW2{6&)yEC)R_V~* zk6zUWd?s0211rY1tieq?0~=>Vg|M$f7OT`ilNZ*_6c{Mfj~n)-{UPSwPB+yE;=0(_ zwAsiM0R-jPoY)Pd0!_IEcS+9h8>_!tX?TI^CeeD1$t4w;#|HVs1m~IPiho0IOxs$} z3#wQAhYzlUjW8ztb!=vu^VTF>o~fBB@HJ2fxeC+u5VMxVAhE$5dLogen|{vJIJezB zmR11_(_@IRsu;U_$T*A8pXCFLwjT+$Wcrd~;kc}vD0kEaSn~iwyviS5&-)f2_V_d) ztc{j1s6v)QxFKc=9osYCLr}|NNb#$oH^gBp{(N_4&tspY%~ z?#JAGPhweovHJ(^VlVicJ1#`(~Y+`gV!;S zBB~6)l^+A=wsXnISZh-*b?;n*X)7=DbIha{l^KFK8qQ!=5>@iCndkr`AAn_V3_?AK z`-k!8%M&SE80Fp&NcLaq4Lb@UJpXJ&P=8{7@T+o!GCCvVY0_&lC~|VAfn{Q8LI;!v z)7x|!LKETVfM;^=!c;pt(1=hwFARn9CJsPEaZ;DdMyP2AJ@iO4PRI}JpJ(_KOk6Za zWoiNOj0+UOc=l+J$j5vlE%TCISUJ5k5l@K|`HH{4+qiiGdSFX8;mR(#o&4#qxvfmH zU_<(-3cQ`X@P+u3#NhIK3h^&!T}73Ulu{HAl;0e9=O^y4Mcb&UH48#Rx`>rx`QCZ) z%$2$F>D4Tq6;h9rgygSmfve<3$kp(B9M}t=FVeg^{MnNFiQDu*(vWqXWYfW>Z%?U!2#H*#SiBhdfW@J+7MS~aj0gH)=R-?n_*Trn2jmGQhFzKHj z6LWN&D8%te!C-N@4zwhS=>jn1xbY>Dv4kk(G@)al$eUD`b^|o9<%(#zYz!pwi-pwj z)YxR_ZnUGs-%47{QkLRzrbtl^BVbhZtNQ9Fq6^K+hJY%4>QWcq3B@VG2&C0fVnt2q zZVgu#8n3bs@_KuAmJJr8Lf3=lM>A4kfj$E-u^V14F`KR`Yh5%nOBT0btuomD7jWR+{ua2v6rVM zsf}4Cyq$e>-7}vurLS%#zras+?KiqO zy9JRB-sGvZ_D3!vdt>bycl_+WdK-P(vdxxMnGoF5WdaFUV}%%W7)NBx zSp)MfJ^U?op@k5j9>K#Ar2!CTZ;hW0_%1wl#5u#+xq1aTAz-h%q^)Zu@ z^`n13Y)?RoZD$axWq;ua`e(l=>9q=uG&WUCm^64;gq-Mjf?Ys;H?KAp&m&v*Y&NA^ z0rsi5QS|=&XzT>l5&1g8l_RL%ygdhM-8}!TO<)2g<-1$ATPTi zSH{8G13S>R5BWPs-bfq~=|O^dYThZL)@@iMOmI{OGIluWmv*A_;0o3aX}sa4vqg%P zfQ=M7BfxFs>*vrumJ~N9p&V~UZgW{_Ut8Lkr|?lQpTO6Y#k!@r&w}p-`eFwsqGeSZ=jEp%aKR=J;J_R5`0tSgyV@7 z_phsIn9uB+*)M2Ax#YKq*10yrU-u?uN`w+hwgQlBKVS3I_nj+rCllp^OmCoZ{RaY6uXWg$8?Y7x5TeEL-$BXyubGT#>crXjin1;4al};pj zmG4K_Mz%WU-$QR`>whPE2=+hzKo3erEp&_F;y zpg=B@wS?Ip=*^{mfPnOYf`A|ZQNce3*0yF!PS$b;HYSc!vHbF&f=EN#*riZW!AZel zLgZlns4RIujAX23J{J7u_Q8bEBoU}i=spyD&;xFTk!WQ8_N=-M4{vQ=Ty?VFo0=Xw zbLVYaT@IN)lePh3UmCgF0DR2be=k!W`n7-Jq@Ri4M=h$$&uGNm)e6J^6He_sgSm0V z<6nYTEB)0i@yw-=;4PVqtOF@az%sldzP`}yvLi-C_XXX2$uWV_R<2f1Ow!&z^Gj72 zb#G(?xsG*Zeb5A9hkg%KfBg{7S#DBAUB!K{KGH_@pTC#oJ6GWXX_CsYwgUQla@R9M zactT;680#z{ND<{%1N7iyw(ax1%&D2s^6-&=z^T{NwpnRQgSB0RKrV>^-SgX?uKhx zpz%(o;h7CDer!XZ5M^X(zOrxl%GXQwe7mNX6~QMYW!<7~y%b{Z(Em-Ye$~}2*%;Y& zk=Flzy)L{R@1Ok>1Vjo61Oyi7bp?w*|G&#GQ)I1B712WE8wJTlL`6P?lmN-$Y%KZ< zY9|!viaL!N&PIW9@siLK7Lo<2S+Kqd{Jp{ay}DWRU!n1;L3@r@WOb<0kxHdPC=SOP zj$3Ux*WNQzBN?a;FOEoOOBAjH_*F7qD}W8fp2MuORl@xDycvJ+^_Qg7! z_}&g;)^1!klz7z8R;!QjY6->kL>%YdmV>6k1M+Rv3wlF_Yl>3VFg1ay3Er^X^}Q*0 zFV#-)eNO|A#jU0$(KX3Y>+cd<`Z4Vr*>Q+}q6!Sn%@;fBgD{T)77bMLf13}mtGfE; zF<=2BD{*w*W<$w^bP`$s5i=74Zh725(wW;Y2>SL;_3x6A?39=2AcTKfx4HdyXD1&-7@K=bwnc!lK(pUC-EP;%TBoELVJMc38bj97%S~uAh@DX&bwvmB(<=3F z^LI{%2=Lr|)Za1=^wkDzONPZUtbB`VIRpCGDepVs8RlT_o*^>v-a@h7Lh)W>v0h{G-hZUOkHmT>WBfFse*eL* zo344$j!k&(seA@*WZVoj3Owh>!`%qo{kP)-Hv;i&!ok+cKTy}_-9G(RJ?7|^nGl-z zthuh`YO+GMc>J!|I+fG=aMbfh5^$mUg!SCnn1m?$ z+1O{QL`<`}{9xwspg-1H&CfZi)^n+m(8driz6 zSI;XwpWHVbXR<Mp5G9Z0> zpSwMIY?}ygw)MSVqVjOt)BbcWr&?69EaR-;s^hjiST)&8$lJ32Is0zT#X!LWR3#Y#Y{X;cc?shW`3in>dTnu0ng%t6Cp53R#!((TavAYrY1&iyK9W?(De~hPRv&H7ayV1$EojPP=`> zdh-0$=Cg+V&xxm?`>3ZdXD64iEqsMo)f3;uQ#k{7aFjA{dHnDFQZ24BwwP04ZbTSb z>2__He;R6@?#;R1PipUE(oRwPR%WIZ{VsFfHxwq1hR|5^!QG7$*Jt+MuS!{sD@L}ug zS0V~78kR=4;V1r6w(z7(V=6m@Go+7zuA$CY!`59X)tURvrNB0zxe%iqM4JxbOJ0u>2;&Bn~fzqC(Fh>e)CfpPHXf2w=nU4nB z9v{J?OmmmV9;#*I{5wQQe?t(4evjQ^?yse*1|b=O&~tz=H@DNPBeX8V`R>GzhB7-Ehr?;f^CqIIdsYdpuKaFo1zUX85z->W zvyAS7DpU_~Ld}Y}jfcBZt?vB9hn~Z9lpwhZ{tb&qS>7v#;OI*axy(3gS?$`6*4ibA znW`uGU|VmKm*em&S1CS|y7|2Pq#(%TLBhxb)O`5;4`^a!2LJHraB-PpVI%3i7E$ly z2MBkjY^Ax8B~Y>E^LXBKk`~p^ZJUj`>nk*8(-mbjXGYs8z5MDW{KD!hEGeqX+EN(z ziPDCD*sfTrxtuJ}3#2h;>>lXp5Nn`oVCh5Q*^Vo5>Qh1US70<93^>BaO>uSHRlFVN z7B+HICFG;Ek4$emvo#eSdsS=FEYEKU^E$S`RHd#Wi>wssMh_Vw+Wtk01pk%h?(!k&C;ThI>E??t7!7hiRELdD>oVf8K!m6L|#dHKKQkQN;^zS;J1 z5lM-(00l(*#0|Y;M2D&0xdQoFzs$%e9sA5Hop>E(wcfR`^#8cHbxKHcmzYhn9!Xio zHsatr(liEf@-~2(_7|Ixricqw%pvWd9I!PAI|WF7iX|6h$x}FJFKB+U_B@AOuN~wq zCG#wEXQ!hVF8Rp6dttv*Dja81ifBR!RSAR`lnn$PQqa;t# zXs4a0)V$W1yY!WI1HT2gq_~tuSK|UFE^NztH|kpY_?+ZY!ZNofa|J#n?;3OQ>lc6L zk0-)cxu8oPz^Oqbi)rbYYxZ27bKWO~FLGYHv1M-iOoe7po=k*VYZ4{p$-uHp;$ zW=#a#_V-th!rSLK1meMh{_G;Z?51UoN_*^Xf+PeO8irUU>_imv?i;#EpT}?TY@1Go z^i$)HFgdYSbK*tHJpuSqy3WtIjeD~ z>g0MmT>&Bk%m)u$p3be-Qjyp%56#PE)YaW4+^yX2i)((~q^@jlEqp(x^tcNju^j0D z-|kWaE!Bj^!~oD+1@@gsyOy0<}%5f zVaC5vos!cEZ1Z?E>-pHm+$9WrxoI?fv|`I1vi0*NC;;;fLo~T#Phtd4)`6DpFrHF2 zhTAnbIXS9nHLpQFvT-kZi^!8$jM-E~O&j02Z}SEz3Mo*0?tEJLSI%~9SfA-s7BWz! zu!L;WC(*Bn&;rNG%MN1V+T{WkOoLt$R3WW>Vk;TjImyc`Xen9v0=^`%F`mkI`;~l| zsCh%4LQ1U$6LKIsSw#LG-ZXj_6Mu~Gs|*H0q=3J~srnF-4Ar#+9DG-k?KUqf!~0kL zApcZnWj$AaH{F;+3)xB_#9>k68oR1bHBtNn6_so}?TNrVo*3omar@$U<=azaZ8$eI zbE(^PWpE1hxZ|k9;Vj)b98rl1f|y}q0RRrsmh6r4(^*jR4{VF9Mf$n=knXAxd6uCg804G{^H~7kX@P{Vn^Cm!8x^qhA!bT~r8I?2e+!*QWa8 zg}e8~wOP*7d5iBu0ipNp%J<6*qSq~?{HrPv#lx2-`n)yX?1`iFi4cG8>dH^844i(v zDA}+wZT~Lhs$oymy>!OSbs5{37evTx4Bq9!M=ZM$DrZ^2}W;h!4I;ZYt+Fk zRydnk<5a2BR0ZyX@YMd_haF+|lhO5R;jQTsslWSsr(k!Yzxw=iE4|BpXs;Dh@q?K-g z`Y35->8VgjZObh^L6CNO%#NFpR+QpXq~@U@o8TelL5dfnBzkT71a-xPbFs*e5zp~; zTKsU*fv#P8@pVJZ;lfU07G_&a>aC2Wq)$1R1VmJDq0FXGcd2I-$f^9eV3~97w8Q zxA#Oe3C*|0YnnqP0lL5+vPF&kCuY>mT><5?G+R@mPqB;mBsdmyLj}@V9>l+FHr0D% zAYtIF-huzRoXep^OfmwQR=A1ks1jy)-#^_;G|DdL8d=p%2EOk-f;?r|plUcj;xPq^ zAU!5D=!Jn~Ku13!G-DS&psT*Wa>++(roR8;++#lPKrLfk?Y(8d6?$0f(l$uF2erE* zXjQJ9a1*(MI~Z%8gmmyuLd1_Z*6U8ldb=wWwBG%i!u?J>D;aXd@|%?$DK3wS>5=7p zPf3B}FNnZvE4g`b8rnmmc)Yc%h{2>_En<2*JEqT%o#bK(lXyEvVzBEVvcWW~s`V_C z+5q^aCdTp@7b!dRUkOljnN6@L3JHQv$n_!ST5t~7f+7MiKjf5A6hY#5u=QtJS}rjBw&!{0R&TmajgSZW4<(jki1vTw?#93c8WF^EYI;p+t?|mjx9{EA9j)zvTTm`^HH1uiN0x z;&pg7_x#jDc%k~*<$Ug=v7#(*T7~xZ<7@Ub2h&PsVwszE;?c1lA!qqGKAV@8BxflL zWe-|XoIEJTQ8#qFyO@k^nO?H-ccyp%%g_~A1M2{)ntLI-Ih)?>X9X~L>#rbmp?qu~ zVuTH(N3BFNS%1*p!GI_`5Q0BQ-5KNl0b#7~E=Y2V0RY4~2&132bh4eLM|;COq{=U^ zjd>&F9=~0Am@s^NW#~36sMank^}2w2{Z!4>Y$V92P2XO3ygnOY`W`(r!FA9=ror)O zvC~;GYJ#NU={QP%JY{l~z-qu5{vAO0%>|Lorn<&+zRX$^R9%OVPp-yVsgV^@2eXT(bI00gWNNd5cws)_v6j5bpwIkK z4Q~gk1A15C?)=laJb@+kqCdH0QKgHNXy;6{{v4F)PXY&l;9A#P7;8>CLV1H%OGC%Kw3U7Z_ z1aOp{QZ`k}9nOZU2hIpFsf=~};8KWj%01dfH+V_dH*|)`y-NpJNb62a^52f_)0kO5 z)W;b&mcYcP05j_adUOrmFjk1zx6I$Qh1Y&Un<%)3AaU$#8eE!US)L$Lp=+_0 zZZ2>(?k!O-7TIt~f^ykK1x##V=DjBHEOIV+D6(9k);jzfQbDM3n@ahOB}$qfY74f3 zW~DEq8gwB~_2bP$)fhbNfu(`hG5mEu1<$_5<4aV!BRjNR=8-?iS|2 zYhpN_?KlV1@f|hS>6mywq#O;Q#0@-UxNSl3D>qIbUHA9C>pyuH_U9+~n~l*ji!WK0Aki8UQ$`b36N-8ctE=AakL|zXS z`IizPLi*5J?^VvxlXJ6Xk*K1iHFAva5}|LqccjL=(V&oVpQU6~uMAJ``P@FqQSwj& z#I`xRk`ID;Q`sr>+_pDtEWpt+LRMFn)+j%aCHhd*9Vc|@Q;K@CE@{4Fzv|D~75N*k zN4e*io}Tl6n)g59deDL*F(ly-Iyey6Q*aTR^G=}`wp4vhe(PuU53DubtGF}jK1FQ5 zmM4^77xwd!m?zaHMp?YEbGRcl)G$uN1pKxD0D5X0@cQ^o#_;<#L+WNtSPqeW`U)Gx z0ImyHrI6ywD{^T~9D@ktT86s`s@lq`&(Yad2u+KFcCV+hl520?YM?Tv`N zUrRVcImkn{n`^t|$2AgrbWyfy5mZ8A`czUCm7o8ot+Nh_qv`(r26uON_u%dxd~ppd zZoyrGySrwUayFg!~xHdqR`49o& z!Yv11QE4{;zc*V83!a0fY943Vo!Em0cJp4(lZi{!J>K{9aph{8FDw%|oFxxI?t38v z>!&`+2b^gw&xxoC0^A(se4|O2xb%B6g|FlGCj7!g;0<2k^0RY3q)$4juxg&#=u8~cTOgLYV%fIS50>tlFS7X#|hpm>@ zk9D52R1)iyv^d{pRz3gxUAX0-#ZY2guWNAeUhvALyv*_F= zcXy}A+qFllY1+JNp(%zez$zY>N}V4|4o6qrZWHxP9;RA<91XeUPN_ zNehcPxErik7b%_wI`t2k_b}-{lJi_;3k|8h4J8=~N~G*Lu&lI+zppO;MAQ4~+o{1( zb0r8|*al2(S+EaQzYu9PS1;_2cR`>m8{w{CO1P`lsr`QXp8l{t8^|mhbb>E&h`%D2ji4x{TEOX$HMCrGcTb1mxTK(mmtG?;VJ*7EBp$xWmA>YVh{RB{1{gLVHPuaO>k?SxcNJD_S$|5lYMZv zW36etv?)-&AH})aCKIBcsbRB35nuq(+IY+D$Q8ZV8=4#4!4@AvNb|*F?t~@LX-P2q zz~U^0dESCtGoO2dgF~;#jN%wrq%*2PdePoqq^19|rwP7hstpW;hoss^l6S=VP0QmE z4XkE-{_SRKU*BMgks9e^vE)^ux#~*H>)$2GT)jTHHs=^%C`dK^J&S7PYwDHzV4(Og z2iQpVlvGYA8S-=9{9Lvsp^7LG~-qZ4Hc$Jrv!o13wct`g+VUo7R zOCTw0RNQltut6hi2w0$)$kviUsi;xga5Pm|iPTqQPB@vFy9#KT5mUHT7GgF@*JL>I z*K8PAr(mha_0PWho<3wlSbc9yG~kjs{Ti#&Ls;56QRQ#yC(Nzi!Uvw;@LTtX_(`Z= zI5XDBSQsd7!m6gQ<>Dg?m#Axdwuznkezf-FVIwObTwZNBZ)WEhu+PsjsthYkcFvVA z;E}nUyQGH(le2rP+u#Ab$@N%i&Z!xg$TAM1)OH|`7fIifin9J_8%4vRau~oqdl@sB z7A{fw)HCoiu#RQO&#$%ulE!nNORhI@8p3In@ z?jy-*Z94HWVg65NDb=34MwTpRZ3iGK?V}0%B6CjRFy%PmL9Yo&HA+sGSnBnCZ{8`{ zn=Bret-9uU8q_wHA@Ek0Ow;J%`9<7(^{G;@)+jZaRmF{3MtU8g(jb3!&%GrTYtvJ{ zqBZNv%HrmN#7f}=|5dD~hOV^RuMxYWny(1W0-$oToKJGg#F&a@Jq%(PJ?N-+2_~!p zeG|8~A;Se5pgl=1;f?(Uf0Y?9E~i9t!Cl%Z+0UG#{D5McrMyS9gZ=rcVYmLo}XtUEJG+3;J z|IppQW~y(J@W`c``R~u&M~<*+I;$-YljFZ%w-nNw+cT)*UoSI zh_r4lYTUfyGUk?+XLmz6MQ%PWXV~oVRq<8MhjRKiJlaItov_cS*)v{jP|pmf75I1? z7A7#GjOwPlw9;8=UI_Mf?C54-VLObj z)bt$jRW5`EwJqPgy;WgZJ#21{x6M7|+w0Z|6E@}<($SJ@FWhWcoR6pF*-Bigyg=el zw%Ozi+EC$UCyNnFwpntQWzR}rr{ME`9fVa&2P-vs<`U8kJ)~Lq##ej@ne5Q@{&2lD z$UntVQpl3ycyhSuE99$;odfoz)IZQiU;GAgZXBm0-`ONjZLYehV7>E8%4KfrnixGq ztX2Ko%y9F3PCz+qE@yhHe-*?YsF!mjUyyo%i<#`{uxbFB*j(BE7{ivVmw9 z3`M8k*YJ9HF2^!Y(tMgXmS#-X)phJkY>RxibWaWH=FQt_NnEr(X`?@(Eu~#h^jz2y zk++D{tllgAHhgVuHK}_rqX*p9+B8GFMQDD7%mqL10?}NdS&3lm8u@Ftkdnu+_<-~a z@dWeYBtCq1U3%_152N~0tfY#0=c_U-dYc9F2rIev8ld@)c+a-)HmH{(WV@V%LC5K8 zbH%^DvU4cY*r4{*Z1?Bw^kRvy4JW2`mI~x!$kqH2#3rX)z@eHDr1bheWs|MW^LaJc zh*<uchIn^P0CXbH(KWa0dGs*N@vwS!-or@3?k7v8vR~gip)|arA2M zxUq9mNKD?b!4`8KpL$t6v)L@!`h|>Hw(&8LvsMGXo@3{6}{=4q-@{GbQ5fc4aZh4jL& zG6wC}Gcj^OEck~;F^htnPPz?QH$2{(n1A-bOon5~ATpMl=G|@TsY+=0llFoIi?fPh zGUgGZV~lF)Cjl5Z3BGX)Y!}C~{e6C}$)17$xfq?%p2_?{{31ip5jHcIlC~#}LR*Gc zZf2kA#6crZV&TN02^Jma)XYw^u)$+bV)yS{#HZ)*%Pa*ojHKoC!K+cB`IG*=+|}_M zC6NAPQ7_*ovTZ+;{xD-iPl_DN!cD~Yhfj3^k>XXm%|rXg1DY0uaG`47NSR8RCFal| zmz$TdZ%=XN1!>>#)T=8D)369#M)A7~Qx!}Z1b*@mWAyr>sHUtBOug5*kTW@Ix)BZi zP^nF^6kl~!ZdG0!Vi?xUrjPoOhXpBz_NGgQh&75!m$KhSDE#UHi(#Bv6%yszLDs+X zfR&goBdA5$ZzU8y_JCCht@%Oi+N)nk5nqYbfOYy#b0t2SaG0Cgl?v&NrGW(5IX?D; zO6k-WW&A^9RJ#wWU$D43?}%>p$mBk?i9Bn77hU0q$oy@%bai-jzEwW@qW1|Au$38w z;WB+ACHpDio^R$Y82a+1FBH|jAK7>oU5(we(F@-6C&DKJ1)}_3?2T>6E@~L=pHk9% z!*vT+7;ld-?KlNAwe1vVSCo7$h6tf;he~6Vx6>R7yag#GpvD6$77=ZX3Hf>soWNjY z#DTf`8hxX;v~ZR_%h(@d00WfgJUTHb9%rQw8Z(mHw*si zYPttq1;W7{6RIah#~>s`+`sw7>@+n=r;3c_D(#?OLOzl?7|g% zp9x_N=Rc1)z1W=-KDeBre4jamzqL~0XBS+cK^d?3=CjPMkSk{p;h}2qLL_9;KwhN0 zE|*mp+~qE>?&3PZ)Stj{!(WjDULP$nQ)aK736XAyZD|-o=y$U$d+1U)CSMNupdxDN zwj(RZb@5ry)^u&CaSZ00x(df+)K<}gz-5c?``EFU$f);1?$QMG%7*A=L@fnE&;2Zx z3-5}gxd#nJnmb!&_e7Na#N*?`e_NpMbz8gl4!Z1$9=VLKo*3)4_Vk8Kw7HWJZQVfA zYzKH6b@Ovzh*X%7JqO8bWv3GzZIO4&u?B+2*KAHi5ROl*t9|i~a8>FHAV?|2w%6$f zY$Kp`Nf^gZaFR)HDOT(D*fwLakMfLmJgj5AFTQYJd;F01ffZjd6&GrrxVO@Edx-yR zD7fk?oVmVXZ9KZzQ{(O&XbjiS-`UxOw--MY?MMM0^>;JaGaT{fp=muy7q7c*Pgk&R zXz4Oevkn_L$hN-@UypsFoOh%t1i2=)J|eGlJD;qbb1}D!jWXZ7<{R^163?0~3_S^P zM)glIyUTsVV*LF0NaT2B+{3`b)j)J`1w!vX7_kyQ2ol)d&K>ayl3`xD#wg0^4Ag~1 zdn(!Q^Zi!Jko}4dI!j&^sTM|edFuE|c|UzQ42yX(k}NKf77!^Qj8U-#DMKA^t!eH( zc$cAD53>vGE(>1mJ4)H)`Mu781cdwn^-xE&PUipcL9)BkvfWF~hwXrIW!UbQ#;3NT zjwBB7kJ4$C1F|&46{0ORuIRND=ldUXO0RKg4~d1jc&JhyTXiH2PaJS)m9XpKW$Pkw;6R-1m__)dyWAo`hO4*F;6lJfkV z9c=iCK#wi|VSux4ph()`BK+_m%e$co{i&&lqKMkLxH3}xsY10rj|XG@T;t^3T!?=- zA);=dq{}GMNlus2(k_!G z0kODu1FqPF=FgdpFob>V;fw2wV$bf44pZaIRp7zzhduf8Nfw(}=2Jb;^az&K~o<%47?>>TgPDt+sF7hQlb6(Si|YqRkfn*bA^1;qnKQtg19fORBxhr zVik;4vVfrh0jHJPSaqPYUq?O?b;={edVc=xGe(I7QCb#;@$GNPcVe!jFUo+n4FP4E z$pdANTF)u%gCW=_h-ycS$8LHcEAB`J^3U*9S<`Ul8JXGhQ9l9pUm$bNVEIj&EWpoS z2Iv`nB9XlYU|O0fZ9k^H$V$UdaX7q%Vq09{*BePzj5P(mc_E518=mES8iFj_Sr`H& zOUbLkCB|Bow$)eT3t_)a0nf0@jod=Q6AYs{Xo9(H=~}}YHjA*zYyFGN5`IEMXrv=n zw}H?5yPsWi-CBmY3>&aYe)g%#BJo%@>8tNq@;0*Zm5XL&(8q-mDWPV_JYF+FoLAlN zew74{5*@4a@>Ge`PkT5E^RW->r%Fy~+zCXIyodieR~6w!g=s6pNdn?mLeCx|sW3%t zRsW3-D!6j|YN?e2ji~6gHw4Gxqh`}F?B4{(B`%AS-3{T|b1Kq|(bob9Tza8#U?$a}pHg19li znYDjyMygm0GTEYOj-zR=BkuhLdDjC+q`d&&6i*x~Pg?ZHxwcM$#QGAZaH8@pDe}VdA!uI&vj6Ux#@bw- zY2fPzKD6mt>AZv}0`?##?v+V&JP=I&X(I8rtt zi5W_1dOHz7TOUb(p=hK3SGi~{SgmQMipF@jH6XzQ%x9tcw)J34!w5nKH=B^W`*G6( z$AaiS-u^lllCfr?_kXVcHtb2oD7|K z!#hywZZCc+)#uZ)j70BJ9)ZjZ7&8|2#iwfcLuTCV2R?V~X8Sl8&N+SHONYyM!vX4v zW|YDp`6Cfb-*HvUHm`tZ+^U32IZ9|Qrhw(vy2^Yj zOWxHUSn)&x->j=WW*_~n&yvY+jcl1Tc;rk8zG2Iv0gY1BD49+CfiD%w3FfD{lS@n&t_SnSe=?AhdIz+szcLtGw+w9{ z21u}L`e@-?WY-Jokw;aa@#j*7?NG_fW88j57#DRcya`8dyG$KwG&&2WuQ|)XcjQhV zG61q$J8fFkXQATfrG%UylZOYDBaxRzV++!Lz73BEmu@?-M^V$(AM`QRnc}>OjQakd zBIL88tZ(L(y+xF&bZHy5GmYb&MIw|Kf|Tq{S*K~Oq`ObvQrB@0T4X0DV!GpW;fLm# z|9H(Jq-RGIEB+WU1*-7R&6`kmkZ9@zf5u-;T4V77Tuo!dpSo5HEhZ_k1dJczG(D6W z7wK?#mt?*^)~Fy7^2^$GHO(9?NL8n-GNl= z@f7vy?cu|@*7{WQZm%+{o-y&+5B&(wo{0oAG~xy7Du4N$Js@|bevdw@-fZe@=XxWv z3{cAcE$yE=EHWu(OhpkzwC6z ztNIX-sNWT(NZCs@e{qiCS1qVX`Km)0M)67Jz#~;tUZ5g}TKKIj&}RDTMV98L`Z7~H z9V^(klBQNR+CYp8uuI$Tmqs$2%KfJEqlJ|z3SL`3p_R&0>JdLbOgvp{KxJu_?jv); zkO{RKKDE|;OI}p;DB6Rbp@QF~@tfT!9nOo9abcQo?pQ}l>V#CQ+2p~8iiH+dvbeIG z9gZAY2KbQ;#y}#p89m(!9GVq(EM_ZyL{0MOQW>;UrIaD=8x3K2FdIPU2MVBM<^big zkn0pET`mHB-1Q+pCU$BZRevC!o5gP|VtaQisX@-g(9w1F8UNFB59O{ER1y-@A<_#m> z)jozWj+qn3+x=&~$1z74+(P_S?r)u7s=Ep3>%+)~*Bm4ho{K*qwD=Jv?8nd)2!2E* z0?f?nyFwp!ko_6AVg2QGPC5VU`}N_nE!~2v65DXYMUcCifj9i-tRp{WpRS1y8!{@4 zqe0ooa+NtGW8hdNUe=MQtNcq?7f%$$LId(UQHJ!ZVvY78Sf z>#2ccb!hHQ}yN;h2QEKYbdon+;dA$cOtu45;!o# z#}I6H%M9G9 zUv6bZ0I9GHQDrm1Wb@?5Y(GrU5hMt5u%4Pp$Ohwf3^vWgD+A6sDUq4mj+xDLIMr$j zqhRsLkgp{<8>;fFi=`yK+Bi6;AgS~V&wU~AYSW5cE=ZJ-G;Ck}MvnBAE?MUK0WsAY z7G=$$`-*-Le>c52VqhL;5_WJ_<;G{D%J(75;s_%oEPJ zWlD?yJi1W|JnnO75J)bkDC(?F;){0riUxf=&*f~dqXumU9SO>v$eT2R#ICdNLvvC) zE7>!*Y)Vp@vOkRo=tPibJoe||E`17Bx z9jF!A@xH-}89_EQD}+l$;xY}BWhHIm_S4{fvGbJ%Z(n{P}E${xrpvF|ZWC(-gU1VvaRt{UE~so!xePK_Z%F-mx6%htbDl)d#`Fi(W6wc<-ptJBCu zD1*ut+k~F55)}`ekEG4b9*Rl+7?a}C4NEW-y$ExbEyQ$vOojmRh860L)qcy*4UmRt zRLdeX;W2G!k1%`%RqEM7w&T=Bo+?# za*M9MIv6`-?r>t!J^wtfdNPQe5g~UM4_Lt{FTio77+{yAQ3p;1&MSJ*ozSBTvnzX} z+qVWw#Cu@d&&n?Az^%2H|3;5(mRrUn6=-g0$C=Rkeq5(*0As$JJ~c~Y-tyT^w?A}a zActF1s-MI*m%Y#Gjd$&H)Q2CrFoc}DO=2X)#3GI%-!(1HEtS2rd@M^)^Qi8vg~16% zK%s);Grj(n@rhNs*xL=6@4+LtZ+5QHa6Bxg8d+K>Itb8i8Ap42 z^N`20bauWV)2pHi!MRT&S8Adwwu|M|*S4|Aw+xw2nOB*idAH^D61Rr+xN&U|Jp_38 zgcxET{Wkw)G4rXMK3jhq`EAo&Y6buv1`8qn6lwl7-4weCqf`3%z_hc(pMZl@bDkO_ zn#!0!eZbLCSuSxz3oWr~oh?QqF8%G4U@~7MG|Us$!osf7l3B?rDYs;NTjn@XTm)Pa zGCi?d6ijwi*v4VFo`8R!*Sq`Bl{O!avFlOq$W0P0zgN;trtOzI5Z4X4p+K7e^_cEJ~$4N%tV2ogN9^RUN#kRe) zW$Zg^?dQl9tG$iX&^wj>t|7-fhY13aW)Wn%upPP`5W@%B_hFR?rIiQ;1F^E8z-0?D z|Le7n5TuWtj`;RLXeEA4(8cNtlp>$?#%ROA=uKl}~k_)Hu^&z&WaHSKRz z)E)&$yQY;BHhmXL9O|y+tY4KC<=XY3w+GD|GY;6J~%H=iH45A@0+l z)WYS2sm0FJBCx3p_qSoby#SFyx4k~!4G1)^<2N8-zEX9w<)M3XecVjS9Ihk))3+6@ zlcTC_`f~oRaB8*WY335{SZ!_(9QMqXaM-FVq$#06JI!^~e?8ebQ9Zc=v&Ecyhcy`E z?171J8jdn9#j3576#dw0{SH)yX#3(5s4|!XFM(L4JT<{jV1?^Uyl{T2L+e(!F#C{w zDY|YpBHKz<_$IDysoaCRUuCBX*SxGPFM7JxAJ*(&FQ&%9R@S~$)l_*_n<(R~q-Wn{ zNh*kcz$8%Sycf?ld%CdCQdjh~^Vc5Q+;DMHE(f-741}wHplaDTq8zSieYOyXZEC%D z61~m+?#Ave5Ush)ogz1NA!k@g*9!?53F`o_qSzGYQdN7)0Cvx>`1MB>f+(&oNn3ei zskv!z#l?p@$y%!QL6Wemcv+?p*Wlm>as*ryRI*J{lA-h6)o7?rVz%q`O4s8;_pvDa z)SAYwHCSLB6c1bHk;%;<(u$(T!0E`r1l7mJ{FhMHs zs==&BIPKQobU7hK74J(5;sHD^9k9kB^D|bxD3;a*ADpUdoS~O;4v$|X_&Iz@@^I;< zP7tZ{DwK*Q=U&Lw{^s=i0OI29YkzNBOhD_zFZ#AE8s%h~UadW3d*%rR89!JHQ_X%J z^?B9V8OSTzy(8)RQ?7~ zH6V7_LqfoIy-sCA-SdcC15}?vTxL_SiT!W?S4s_)H!$pg|JA4-LSS_HAC|WMi^CJTQvn&7r%D`ixHMF_i-ZLD zYhv)-0RY)|Qhx)oMI=Qw&^~hD0szp{>v0&6cliA z^UzTLm#_ab2lHM%Isfq$74-bKuSMbj0Bt)*J4+X5Es)pW#^mE<%ebNA3Zno3@c(7Z z4axzvH!Z%}*C zFkCEk!ZG{H59coBdmB{9pE&8RnnL<9~wxKXv1O f!9jKyz`wPQ8Y=KmPXhqh(4z(FLS{?oYYF&2E6!L6 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj new file mode 100755 index 0000000..741237a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj @@ -0,0 +1,1061 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj.Micha_000 b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj.Micha_000 new file mode 100755 index 0000000..f0a0452 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyprj.Micha_000 @@ -0,0 +1,1083 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Cypress +Off-Chip + + + + +Cypress Component Catalog +Cypress Component Catalog\Communications +Cypress Component Catalog\System + + +Cypress Component Catalog\Display +Cypress Component Catalog\Filters +Cypress Component Catalog\Ports and Pins +Cypress Component Catalog\Power Supervision +Cypress Component Catalog\System +Cypress Component Catalog\System\Boost Converter [v5.0] +Cypress Component Catalog\System\Bootloadable [v1.20] +Cypress Component Catalog\System\Bootloader [v1.20] +Cypress Component Catalog\System\Clock [v2.10] +Cypress Component Catalog\System\Die Temperature [v2.0] +Cypress Component Catalog\System\DMA [v1.70] +Cypress Component Catalog\System\EEPROM [v2.10] +Cypress Component Catalog\System\Emulated EEPROM [v1.0] +Cypress Component Catalog\System\External Memory Interface +Cypress Component Catalog\System\Global Signal Reference [v2.0] +Cypress Component Catalog\System\ILO Trim [v1.0] +Cypress Component Catalog\System\Interrupt [v1.70] +Cypress Component Catalog\System\RTC [v2.0] + + + + +Off-Chip Component Catalog + + +Off-Chip Component Catalog +Off-Chip Component Catalog\Active +Off-Chip Component Catalog\Diodes +Off-Chip Component Catalog\Electro-Mechanical +Off-Chip Component Catalog\Passive +Off-Chip Component Catalog\Power +Off-Chip Component Catalog\Sensors +Off-Chip Component Catalog\Transistors + + + + + + + + +.\TopDesign\TopDesign.cysch +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.cysym +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.pdf +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.cycdx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.cystate +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60 +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_audio.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_audio.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_boot.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cdc.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cdc.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cls.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_descr.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_drv.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_episr.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_hid.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_hid.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_pm.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_std.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_vnd.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cdc.inf +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_midi.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_midi.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_pvt.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\Properties\Resources.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyadvancedpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyadvancedpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyapicustomizer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudio.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudiodescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudiodescriptorpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdc.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdcdescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdcdescriptorpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycustomizer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsconfig.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsconfig.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsdevice.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsdevice.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsendpoint.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsendpoint.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailshid.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailshid.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsinterface.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsinterface.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailslangid.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailslangid.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsstring.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsstring.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevice.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevicedescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevicedescriptorpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyhiddescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyhiddescriptorpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbase.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbase.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbits.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbits.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportcustom.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportcustom.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportlist.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportlist.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportnumber.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportnumber.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportunit.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportunit.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cystringdescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cystringdescriptorpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cytemplates.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyusbdescriptor.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyusbparameters.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudio2_0.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsepmngt.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsepmngt.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymidi.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymididescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymididescriptorpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymiditemplate.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsintassociation.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsintassociation.designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyusbconst.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cywrappercontrol.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cybasedescriptorpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cybasedescriptorpage.designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\Properties\Resources.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyadvancedpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudiodescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdcdescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsconfig.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsdevice.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsendpoint.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailshid.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsinterface.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailslangid.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsstring.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevicedescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyhiddescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbits.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportcustom.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportlist.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportnumber.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportunit.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cystringdescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsepmngt.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymididescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsintassociation.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cybasedescriptorpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\PSoC5\USBFS_v2_60.cysch +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_psoc3_usb_v1_0\cy_psoc3_usb_v1_0.cyprimitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_psoc3_usb_v1_0\cy_psoc3_usb_v1_0.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.cyprimitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\API\clk.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\API\clk.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\Custom\custom.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Bootloader_v1_20.cysym +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Bootloader_v1_20.cystate +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Bootloader_v1_20.pdf +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cycustomizer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cygeneralpage.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cygeneralpage.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cyparameters.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cywrappercontrol.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\Properties\Resources.Designer.cs +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cygeneralpage.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\Properties\Resources.resx +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\PSoC5\API\Bootloader.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\PSoC5\API\Bootloader.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\PSoC5\API\Bootloader_PVT.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +${CyRoot}\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +.\USB_Bootloader.cydwr +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cm3gcc.ld +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\Cm3RealView.scat +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\Cm3Start.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cm3.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cm3_psoc5.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyBootAsmGnu.s +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyBootAsmRv.s +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyDmac.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyDmac.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyFlash.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyFlash.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyLib.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyLib.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cypins.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cyPm.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cyPm.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CySpc.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CySpc.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cytypes.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cyutils.c +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cmFunc.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cmInstr.h +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\Cm3Iar.icf +${CyRoot}\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyBootAsmIar.s + + +.\Generated_Source\PSoC5\cyfitter_cfg.h +.\Generated_Source\PSoC5\cyfitter_cfg.c +.\Generated_Source\PSoC5\protect.hex +.\Generated_Source\PSoC5\eeprom.hex +.\Generated_Source\PSoC5\cymetadata.c +.\Generated_Source\PSoC5\cydevice.h +.\Generated_Source\PSoC5\cydevicegnu.inc +.\Generated_Source\PSoC5\cydevicerv.inc +.\Generated_Source\PSoC5\cydeviceiar.inc +.\Generated_Source\PSoC5\cydevice_trm.h +.\Generated_Source\PSoC5\cydevicegnu_trm.inc +.\Generated_Source\PSoC5\cydevicerv_trm.inc +.\Generated_Source\PSoC5\cydeviceiar_trm.inc +.\Generated_Source\PSoC5\cyfittergnu.inc +.\Generated_Source\PSoC5\cyfitterrv.inc +.\Generated_Source\PSoC5\cyfitteriar.inc +.\Generated_Source\PSoC5\cyfitter.h +.\Generated_Source\PSoC5\cydisabledsheets.h +.\Generated_Source\PSoC5\USBFS.c +.\Generated_Source\PSoC5\USBFS.h +.\Generated_Source\PSoC5\USBFS_audio.c +.\Generated_Source\PSoC5\USBFS_audio.h +.\Generated_Source\PSoC5\USBFS_boot.c +.\Generated_Source\PSoC5\USBFS_cdc.c +.\Generated_Source\PSoC5\USBFS_cdc.h +.\Generated_Source\PSoC5\USBFS_cls.c +.\Generated_Source\PSoC5\USBFS_descr.c +.\Generated_Source\PSoC5\USBFS_drv.c +.\Generated_Source\PSoC5\USBFS_episr.c +.\Generated_Source\PSoC5\USBFS_hid.c +.\Generated_Source\PSoC5\USBFS_hid.h +.\Generated_Source\PSoC5\USBFS_pm.c +.\Generated_Source\PSoC5\USBFS_std.c +.\Generated_Source\PSoC5\USBFS_vnd.c +.\Generated_Source\PSoC5\USBFS_cdc.inf +.\Generated_Source\PSoC5\USBFS_midi.c +.\Generated_Source\PSoC5\USBFS_midi.h +.\Generated_Source\PSoC5\USBFS_pvt.h +.\Generated_Source\PSoC5\BL.c +.\Generated_Source\PSoC5\BL.h +.\Generated_Source\PSoC5\BL_PVT.h +.\Generated_Source\PSoC5\SCSI_Out_DBx_aliases.h +.\Generated_Source\PSoC5\SCSI_Out_aliases.h +.\Generated_Source\PSoC5\USBFS_Dm_aliases.h +.\Generated_Source\PSoC5\USBFS_Dm.c +.\Generated_Source\PSoC5\USBFS_Dm.h +.\Generated_Source\PSoC5\USBFS_Dp_aliases.h +.\Generated_Source\PSoC5\USBFS_Dp.c +.\Generated_Source\PSoC5\USBFS_Dp.h +.\Generated_Source\PSoC5\cm3gcc.ld +.\Generated_Source\PSoC5\Cm3RealView.scat +.\Generated_Source\PSoC5\Cm3Start.c +.\Generated_Source\PSoC5\core_cm3_psoc5.h +.\Generated_Source\PSoC5\core_cm3.h +.\Generated_Source\PSoC5\CyBootAsmGnu.s +.\Generated_Source\PSoC5\CyBootAsmRv.s +.\Generated_Source\PSoC5\CyDmac.c +.\Generated_Source\PSoC5\CyDmac.h +.\Generated_Source\PSoC5\CyFlash.c +.\Generated_Source\PSoC5\CyFlash.h +.\Generated_Source\PSoC5\CyLib.c +.\Generated_Source\PSoC5\CyLib.h +.\Generated_Source\PSoC5\cypins.h +.\Generated_Source\PSoC5\cyPm.c +.\Generated_Source\PSoC5\cyPm.h +.\Generated_Source\PSoC5\CySpc.c +.\Generated_Source\PSoC5\CySpc.h +.\Generated_Source\PSoC5\cytypes.h +.\Generated_Source\PSoC5\cyutils.c +.\Generated_Source\PSoC5\core_cmFunc.h +.\Generated_Source\PSoC5\core_cmInstr.h +.\Generated_Source\PSoC5\Cm3Iar.icf +.\Generated_Source\PSoC5\CyBootAsmIar.s +.\Generated_Source\PSoC5\project.h + + +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyversion b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyversion new file mode 100644 index 0000000..aa3c2ca --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyversion @@ -0,0 +1 @@ + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.rpt b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.rpt new file mode 100755 index 0000000..81f4824 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.rpt @@ -0,0 +1,2289 @@ +Loading plugins phase: Elapsed time ==> 0s.499ms +Initializing data phase: Elapsed time ==> 3s.765ms + +cydsfit arguments: -.fdsnotice -.fdswarpdepfile=warp_dependencies.txt -.fdselabdepfile=elab_dependencies.txt -.fdsbldfile=generated_files.txt -p W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -d CY8C5267AXI-LP051 -s W:\SCSI2SD\USB_Bootloader.cydsn\Generated_Source\PSoC5 -- -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE + + +Elaboration phase: Elapsed time ==> 7s.219ms + + +HDL generation phase: Elapsed time ==> 0s.140ms + + + | | | | | | | + _________________ + -| |- + -| |- + -| |- + -| CYPRESS |- + -| |- + -| |- Warp Verilog Synthesis Compiler: Version 6.3 IR 41 + -| |- Copyright (C) 1991-2001 Cypress Semiconductor + |_______________| + | | | | | | | + +====================================================================== +Compiling: USB_Bootloader.v +Program : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\bin/warp.exe +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 USB_Bootloader.v -verilog +====================================================================== + +====================================================================== +Compiling: USB_Bootloader.v +Program : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\bin/warp.exe +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 USB_Bootloader.v -verilog +====================================================================== + +====================================================================== +Compiling: USB_Bootloader.v +Program : vlogfe +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 -verilog USB_Bootloader.v +====================================================================== + +vlogfe V6.3 IR 41: Verilog parser +Sat Oct 26 18:55:16 2013 + + +====================================================================== +Compiling: USB_Bootloader.v +Program : vpp +Options : -yv2 -q10 USB_Bootloader.v +====================================================================== + +vpp V6.3 IR 41: Verilog Pre-Processor +Sat Oct 26 18:55:16 2013 + + +vpp: No errors. + +Library 'work' => directory 'lcpsoc3' +General_symbol_table +General_symbol_table +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\std.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\cypress.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\work\cypress.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. +Using control file 'USB_Bootloader.ctl'. + +vlogfe: No errors. + + +====================================================================== +Compiling: USB_Bootloader.v +Program : tovif +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 -verilog USB_Bootloader.v +====================================================================== + +tovif V6.3 IR 41: High-level synthesis +Sat Oct 26 18:55:16 2013 + +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\std.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\cypress.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\work\cypress.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.ctl'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.v'. + +tovif: No errors. + + +====================================================================== +Compiling: USB_Bootloader.v +Program : topld +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 -verilog USB_Bootloader.v +====================================================================== + +topld V6.3 IR 41: Synthesis and optimization +Sat Oct 26 18:55:16 2013 + +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\std.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\cypress.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\work\cypress.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.ctl'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.v'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\lcpsoc3\stdlogic\cpsoc3.vif'. + +---------------------------------------------------------- +Detecting unused logic. +---------------------------------------------------------- + + + +------------------------------------------------------ +Alias Detection +------------------------------------------------------ +Aliasing one to \USBFS:tmpOE__Dm_net_0\ +Aliasing \USBFS:tmpOE__Dp_net_0\ to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_7 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_6 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_5 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_4 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_3 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_2 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_1 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_0 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_9 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_8 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_7 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_6 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_5 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_4 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_3 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_2 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_1 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_0 to \USBFS:tmpOE__Dm_net_0\ +Removing Rhs of wire one[37] = \USBFS:tmpOE__Dm_net_0\[32] +Removing Lhs of wire \USBFS:tmpOE__Dp_net_0\[40] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_7[49] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_6[50] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_5[51] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_4[52] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_3[53] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_2[54] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_1[55] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_0[56] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_9[84] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_8[85] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_7[86] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_6[87] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_5[88] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_4[89] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_3[90] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_2[91] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_1[92] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_0[93] = one[37] + +------------------------------------------------------ +Aliased 0 equations, 20 wires. +------------------------------------------------------ + +---------------------------------------------------------- +Circuit simplification +---------------------------------------------------------- + +Substituting virtuals - pass 1: + + +---------------------------------------------------------- +Circuit simplification results: + + Expanded 0 signals. + Turned 0 signals into soft nodes. + Maximum default expansion cost was set at 3. +---------------------------------------------------------- + +topld: No errors. + +CYPRESS_DIR : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp +Warp Program : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\bin/warp.exe +Warp Arguments : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 USB_Bootloader.v -verilog + +Warp synthesis phase: Elapsed time ==> 1s.359ms + + +cyp3fit: V3.0.0.1539, Family: PSoC3, Started at: Saturday, 26 October 2013 18:55:17 +Options: -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -d CY8C5267AXI-LP051 USB_Bootloader.v -verilog + + +Design parsing phase: Elapsed time ==> 0s.031ms + + + +Assigning clock USBFS_Clock_vbus to clock BUS_CLK because it is a pass-through + + + + + + + + + + + +------------------------------------------------------------ +Design Equations +------------------------------------------------------------ + + + ------------------------------------------------------------ + Pin listing + ------------------------------------------------------------ + + Pin : Name = SCSI_Out(0) + Attributes: + Alias: DBP_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(0)__PA , + pad => SCSI_Out(0)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(1) + Attributes: + Alias: ATN + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(1)__PA , + pad => SCSI_Out(1)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(2) + Attributes: + Alias: BSY + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(2)__PA , + pad => SCSI_Out(2)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(3) + Attributes: + Alias: ACK + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(3)__PA , + pad => SCSI_Out(3)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(4) + Attributes: + Alias: RST + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(4)__PA , + pad => SCSI_Out(4)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(5) + Attributes: + Alias: MSG + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(5)__PA , + pad => SCSI_Out(5)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(6) + Attributes: + Alias: SEL + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(6)__PA , + pad => SCSI_Out(6)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(7) + Attributes: + Alias: CD + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(7)__PA , + pad => SCSI_Out(7)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(8) + Attributes: + Alias: REQ + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(8)__PA , + pad => SCSI_Out(8)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(9) + Attributes: + Alias: IO_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(9)__PA , + pad => SCSI_Out(9)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(0) + Attributes: + Alias: DB0 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(0)__PA , + pad => SCSI_Out_DBx(0)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(1) + Attributes: + Alias: DB1 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(1)__PA , + pad => SCSI_Out_DBx(1)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(2) + Attributes: + Alias: DB2 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(2)__PA , + pad => SCSI_Out_DBx(2)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(3) + Attributes: + Alias: DB3 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(3)__PA , + pad => SCSI_Out_DBx(3)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(4) + Attributes: + Alias: DB4 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(4)__PA , + pad => SCSI_Out_DBx(4)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(5) + Attributes: + Alias: DB5 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(5)__PA , + pad => SCSI_Out_DBx(5)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(6) + Attributes: + Alias: DB6 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(6)__PA , + pad => SCSI_Out_DBx(6)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(7) + Attributes: + Alias: DB7 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out_DBx(7)__PA , + pad => SCSI_Out_DBx(7)_PAD ); + Properties: + { + } + + Pin : Name = \USBFS:Dm(0)\ + Attributes: + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: AUTO + Interrupt generated: False + Interrupt mode: NONE + Drive mode: HI_Z_ANALOG + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: True + Can contain Digital: False + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_MINUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dm(0)\__PA , + analog_term => \USBFS:Net_597\ , + pad => \USBFS:Dm(0)_PAD\ ); + Properties: + { + } + + Pin : Name = \USBFS:Dp(0)\ + Attributes: + In Group/Port: True + In Sync Option: SYNC + Out Sync Option: AUTO + Interrupt generated: True + Interrupt mode: FALLING + Drive mode: HI_Z_ANALOG + VTrip: CMOS + Slew: FAST + Input Sync needed: True + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: False + Is OE Registered: False + Uses Analog: True + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_PLUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dp(0)\__PA , + analog_term => \USBFS:Net_1000\ , + pad => \USBFS:Dp(0)_PAD\ ); + Properties: + { + } + + + + + + + + + + + + + + + + + + + + ------------------------------------------------------------ + Interrupt listing + ------------------------------------------------------------ + + interrupt: Name =\USBFS:arb_int\ + PORT MAP ( + interrupt => \USBFS:Net_79\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:bus_reset\ + PORT MAP ( + interrupt => \USBFS:Net_81\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:dp_int\ + PORT MAP ( + interrupt => \USBFS:Net_1010\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:ep_0\ + PORT MAP ( + interrupt => \USBFS:ept_int_0\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:ep_1\ + PORT MAP ( + interrupt => \USBFS:ept_int_1\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:ep_2\ + PORT MAP ( + interrupt => \USBFS:ept_int_2\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:sof_int\ + PORT MAP ( + interrupt => Net_40 ); + Properties: + { + int_type = "10" + } + + + + +------------------------------------------------------------ +Technology mapping summary +------------------------------------------------------------ + +Resource Type : Used : Free : Max : % Used +============================================================ +Digital clock dividers : 0 : 8 : 8 : 0.00% +Analog clock dividers : 0 : 4 : 4 : 0.00% +Pins : 23 : 49 : 72 : 31.94% +UDB Macrocells : 0 : 192 : 192 : 0.00% +UDB Unique Pterms : 0 : 384 : 384 : 0.00% +UDB Datapath Cells : 0 : 24 : 24 : 0.00% +UDB Status Cells : 0 : 24 : 24 : 0.00% +UDB Control Cells : 0 : 24 : 24 : 0.00% +DMA Channels : 0 : 24 : 24 : 0.00% +Interrupts : 7 : 25 : 32 : 21.88% +VIDAC Fixed Blocks : 0 : 1 : 1 : 0.00% +Comparator Fixed Blocks : 0 : 2 : 2 : 0.00% +CapSense Buffers : 0 : 2 : 2 : 0.00% +I2C Fixed Blocks : 0 : 1 : 1 : 0.00% +Timer Fixed Blocks : 0 : 4 : 4 : 0.00% +USB Fixed Blocks : 1 : 0 : 1 : 100.00% +LCD Fixed Blocks : 0 : 1 : 1 : 0.00% +EMIF Fixed Blocks : 0 : 1 : 1 : 0.00% +LPF Fixed Blocks : 0 : 2 : 2 : 0.00% +SAR Fixed Blocks : 0 : 1 : 1 : 0.00% + +Technology Mapping: Elapsed time ==> 0s.016ms +Tech mapping phase: Elapsed time ==> 0s.281ms + + +Initial Analog Placement Results: +IO_3@[IOP=(4)][IoId=(3)] : SCSI_Out(0) (fixed) +IO_2@[IOP=(4)][IoId=(2)] : SCSI_Out(1) (fixed) +IO_7@[IOP=(0)][IoId=(7)] : SCSI_Out(2) (fixed) +IO_6@[IOP=(0)][IoId=(6)] : SCSI_Out(3) (fixed) +IO_5@[IOP=(0)][IoId=(5)] : SCSI_Out(4) (fixed) +IO_4@[IOP=(0)][IoId=(4)] : SCSI_Out(5) (fixed) +IO_3@[IOP=(0)][IoId=(3)] : SCSI_Out(6) (fixed) +IO_2@[IOP=(0)][IoId=(2)] : SCSI_Out(7) (fixed) +IO_1@[IOP=(0)][IoId=(1)] : SCSI_Out(8) (fixed) +IO_0@[IOP=(0)][IoId=(0)] : SCSI_Out(9) (fixed) +IO_3@[IOP=(6)][IoId=(3)] : SCSI_Out_DBx(0) (fixed) +IO_2@[IOP=(6)][IoId=(2)] : SCSI_Out_DBx(1) (fixed) +IO_1@[IOP=(6)][IoId=(1)] : SCSI_Out_DBx(2) (fixed) +IO_0@[IOP=(6)][IoId=(0)] : SCSI_Out_DBx(3) (fixed) +IO_7@[IOP=(4)][IoId=(7)] : SCSI_Out_DBx(4) (fixed) +IO_6@[IOP=(4)][IoId=(6)] : SCSI_Out_DBx(5) (fixed) +IO_5@[IOP=(4)][IoId=(5)] : SCSI_Out_DBx(6) (fixed) +IO_4@[IOP=(4)][IoId=(4)] : SCSI_Out_DBx(7) (fixed) +IO_7@[IOP=(15)][IoId=(7)] : \USBFS:Dm(0)\ (fixed) +IO_6@[IOP=(15)][IoId=(6)] : \USBFS:Dp(0)\ (fixed) +USB[0]@[FFB(USB,0)] : \USBFS:USB\ +Analog Placement phase: Elapsed time ==> 0s.093ms + + +Analog Routing phase: Elapsed time ==> 0s.000ms + + +============ Analog Final Answer Routes ============ +Dump of CyAnalogRoutingResultsDB +Map of net to items { +} +Map of item to net { +} +Mux Info { +} +Dump of CyP35AnalogRoutingResultsDB +IsVddaHalfUsedForComp = False +IsVddaHalfUsedForSar0 = False +IsVddaHalfUsedForSar1 = False +Analog Code Generation phase: Elapsed time ==> 0s.984ms + + + +I2659: No Constrained paths were found. The placer will run in non-timing driven mode. +I2076: Total run-time: 1.3 sec. + + + + +No PLDs were packed. + +PLD Packing: Elapsed time ==> 0s.000ms + + + +Initial Partitioning Summary not displayed at this verbose level. + +Final Partitioning Summary not displayed at this verbose level. +Partitioning: Elapsed time ==> 0s.063ms + + +Annealing: Elapsed time ==> 0s.000ms + +The seed used for moves was 114161200. +Inital cost was 120, final cost is 120 (0.00% improvement). + + + +------------------------------------------------------------ +Final Placement Summary +------------------------------------------------------------ + + Resource Type : Count : Avg Inputs : Avg Outputs + ======================================================== + UDB : 0 : 0.00 : 0.00 + + + +------------------------------------------------------------ +Component Placement Details +------------------------------------------------------------ +UDB [UDB=(0,0)] is empty. +UDB [UDB=(0,1)] is empty. +UDB [UDB=(0,2)] is empty. +UDB [UDB=(0,3)] is empty. +UDB [UDB=(0,4)] is empty. +UDB [UDB=(0,5)] is empty. +UDB [UDB=(1,0)] is empty. +UDB [UDB=(1,1)] is empty. +UDB [UDB=(1,2)] is empty. +UDB [UDB=(1,3)] is empty. +UDB [UDB=(1,4)] is empty. +UDB [UDB=(1,5)] is empty. +UDB [UDB=(2,0)] is empty. +UDB [UDB=(2,1)] is empty. +UDB [UDB=(2,2)] is empty. +UDB [UDB=(2,3)] is empty. +UDB [UDB=(2,4)] is empty. +UDB [UDB=(2,5)] is empty. +UDB [UDB=(3,0)] is empty. +UDB [UDB=(3,1)] is empty. +UDB [UDB=(3,2)] is empty. +UDB [UDB=(3,3)] is empty. +UDB [UDB=(3,4)] is empty. +UDB [UDB=(3,5)] is empty. +Intr hod @ [IntrHod=(0)]: + Intr@ [IntrHod=(0)][IntrId=(0)] + interrupt: Name =\USBFS:ep_1\ + PORT MAP ( + interrupt => \USBFS:ept_int_1\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(1)] + interrupt: Name =\USBFS:ep_2\ + PORT MAP ( + interrupt => \USBFS:ept_int_2\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(12)] + interrupt: Name =\USBFS:dp_int\ + PORT MAP ( + interrupt => \USBFS:Net_1010\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(21)] + interrupt: Name =\USBFS:sof_int\ + PORT MAP ( + interrupt => Net_40 ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(22)] + interrupt: Name =\USBFS:arb_int\ + PORT MAP ( + interrupt => \USBFS:Net_79\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(23)] + interrupt: Name =\USBFS:bus_reset\ + PORT MAP ( + interrupt => \USBFS:Net_81\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(24)] + interrupt: Name =\USBFS:ep_0\ + PORT MAP ( + interrupt => \USBFS:ept_int_0\ ); + Properties: + { + int_type = "10" + } +Drq hod @ [DrqHod=(0)]: empty +Port 0 contains the following IO cells: +[IoId=0]: +Pin : Name = SCSI_Out(9) + Attributes: + Alias: IO_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(9)__PA , + pad => SCSI_Out(9)_PAD ); + Properties: + { + } + +[IoId=1]: +Pin : Name = SCSI_Out(8) + Attributes: + Alias: REQ + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(8)__PA , + pad => SCSI_Out(8)_PAD ); + Properties: + { + } + +[IoId=2]: +Pin : Name = SCSI_Out(7) + Attributes: + Alias: CD + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(7)__PA , + pad => SCSI_Out(7)_PAD ); + Properties: + { + } + +[IoId=3]: +Pin : Name = SCSI_Out(6) + Attributes: + Alias: SEL + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(6)__PA , + pad => SCSI_Out(6)_PAD ); + Properties: + { + } + +[IoId=4]: +Pin : Name = SCSI_Out(5) + Attributes: + Alias: MSG + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(5)__PA , + pad => SCSI_Out(5)_PAD ); + Properties: + { + } + +[IoId=5]: +Pin : Name = SCSI_Out(4) + Attributes: + Alias: RST + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(4)__PA , + pad => SCSI_Out(4)_PAD ); + Properties: + { + } + +[IoId=6]: +Pin : Name = SCSI_Out(3) + Attributes: + Alias: ACK + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(3)__PA , + pad => SCSI_Out(3)_PAD ); + Properties: + { + } + +[IoId=7]: +Pin : Name = SCSI_Out(2) + Attributes: + Alias: BSY + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(2)__PA , + pad => SCSI_Out(2)_PAD ); + Properties: + { + } + +Port 1 is empty +Port 2 is empty +Port 3 is empty +Port 4 contains the following IO cells: +[IoId=2]: +Pin : Name = SCSI_Out(1) + Attributes: + Alias: ATN + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(1)__PA , + pad => SCSI_Out(1)_PAD ); + Properties: + { + } + +[IoId=3]: +Pin : Name = SCSI_Out(0) + Attributes: + Alias: DBP_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(0)__PA , + pad => SCSI_Out(0)_PAD ); + Properties: + { + } + +[IoId=4]: +Pin : Name = SCSI_Out_DBx(7) + Attributes: + Alias: DB7 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out_DBx(7)__PA , + pad => SCSI_Out_DBx(7)_PAD ); + Properties: + { + } + +[IoId=5]: +Pin : Name = SCSI_Out_DBx(6) + Attributes: + Alias: DB6 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(6)__PA , + pad => SCSI_Out_DBx(6)_PAD ); + Properties: + { + } + +[IoId=6]: +Pin : Name = SCSI_Out_DBx(5) + Attributes: + Alias: DB5 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(5)__PA , + pad => SCSI_Out_DBx(5)_PAD ); + Properties: + { + } + +[IoId=7]: +Pin : Name = SCSI_Out_DBx(4) + Attributes: + Alias: DB4 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(4)__PA , + pad => SCSI_Out_DBx(4)_PAD ); + Properties: + { + } + +Port 5 is empty +Port 6 contains the following IO cells: +[IoId=0]: +Pin : Name = SCSI_Out_DBx(3) + Attributes: + Alias: DB3 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(3)__PA , + pad => SCSI_Out_DBx(3)_PAD ); + Properties: + { + } + +[IoId=1]: +Pin : Name = SCSI_Out_DBx(2) + Attributes: + Alias: DB2 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(2)__PA , + pad => SCSI_Out_DBx(2)_PAD ); + Properties: + { + } + +[IoId=2]: +Pin : Name = SCSI_Out_DBx(1) + Attributes: + Alias: DB1 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(1)__PA , + pad => SCSI_Out_DBx(1)_PAD ); + Properties: + { + } + +[IoId=3]: +Pin : Name = SCSI_Out_DBx(0) + Attributes: + Alias: DB0 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(0)__PA , + pad => SCSI_Out_DBx(0)_PAD ); + Properties: + { + } + +Port 12 is empty +Port 15 generates interrupt for logical port: + logicalport: Name =\USBFS:Dp\ + PORT MAP ( + in_clock_en => one , + in_reset => zero , + out_clock_en => one , + out_reset => zero , + interrupt => \USBFS:Net_1010\ , + in_clock => ClockBlock_BUS_CLK ); + Properties: + { + drive_mode = "000" + ibuf_enabled = "0" + id = "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42" + init_dr_st = "0" + input_clk_en = 0 + input_sync = "1" + input_sync_mode = "0" + intr_mode = "10" + invert_in_clock = 0 + invert_in_clock_en = 0 + invert_in_reset = 0 + invert_out_clock = 0 + invert_out_clock_en = 0 + invert_out_reset = 0 + io_voltage = "" + layout_mode = "CONTIGUOUS" + oe_conn = "0" + oe_reset = 0 + oe_sync = "0" + output_clk_en = 0 + output_clock_mode = "0" + output_conn = "0" + output_mode = "0" + output_reset = 0 + output_sync = "0" + pa_in_clock = -1 + pa_in_clock_en = -1 + pa_in_reset = -1 + pa_out_clock = -1 + pa_out_clock_en = -1 + pa_out_reset = -1 + pin_aliases = "" + pin_mode = "I" + por_state = 4 + port_alias_group = "" + port_alias_required = 0 + sio_group_cnt = 0 + sio_hifreq = "" + sio_hyst = "0" + sio_ibuf = "00000000" + sio_info = "00" + sio_obuf = "00000000" + sio_refsel = "00000000" + sio_vtrip = "00000000" + slew_rate = "0" + spanning = 0 + sw_only = 0 + use_annotation = "0" + vtrip = "00" + width = 1 + } + and contains the following IO cells: +[IoId=6]: +Pin : Name = \USBFS:Dp(0)\ + Attributes: + In Group/Port: True + In Sync Option: SYNC + Out Sync Option: AUTO + Interrupt generated: True + Interrupt mode: FALLING + Drive mode: HI_Z_ANALOG + VTrip: CMOS + Slew: FAST + Input Sync needed: True + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: False + Is OE Registered: False + Uses Analog: True + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_PLUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dp(0)\__PA , + analog_term => \USBFS:Net_1000\ , + pad => \USBFS:Dp(0)_PAD\ ); + Properties: + { + } + +[IoId=7]: +Pin : Name = \USBFS:Dm(0)\ + Attributes: + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: AUTO + Interrupt generated: False + Interrupt mode: NONE + Drive mode: HI_Z_ANALOG + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: True + Can contain Digital: False + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_MINUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dm(0)\__PA , + analog_term => \USBFS:Net_597\ , + pad => \USBFS:Dm(0)_PAD\ ); + Properties: + { + } + +Fixed Function block hod @ [FFB(CAN,0)]: empty +Fixed Function block hod @ [FFB(Cache,0)]: empty +Fixed Function block hod @ [FFB(CapSense,0)]: empty +Fixed Function block hod @ [FFB(Clock,0)]: + Clock Block @ [FFB(Clock,0)]: + clockblockcell: Name =ClockBlock + PORT MAP ( + clk_bus_glb => ClockBlock_BUS_CLK , + clk_bus => ClockBlock_BUS_CLK_local , + clk_sync => ClockBlock_MASTER_CLK , + clk_32k_xtal => ClockBlock_XTAL_32KHZ , + xtal => ClockBlock_XTAL , + ilo => ClockBlock_ILO , + clk_100k => ClockBlock_100k , + clk_1k => ClockBlock_1k , + clk_32k => ClockBlock_32k , + pllout => ClockBlock_PLL_OUT , + imo => ClockBlock_IMO ); + Properties: + { + } +Fixed Function block hod @ [FFB(Comparator,0)]: empty +Fixed Function block hod @ [FFB(DFB,0)]: empty +Fixed Function block hod @ [FFB(DSM,0)]: empty +Fixed Function block hod @ [FFB(Decimator,0)]: empty +Fixed Function block hod @ [FFB(EMIF,0)]: empty +Fixed Function block hod @ [FFB(I2C,0)]: empty +Fixed Function block hod @ [FFB(LCD,0)]: empty +Fixed Function block hod @ [FFB(LVD,0)]: empty +Fixed Function block hod @ [FFB(PM,0)]: empty +Fixed Function block hod @ [FFB(SPC,0)]: empty +Fixed Function block hod @ [FFB(Timer,0)]: empty +Fixed Function block hod @ [FFB(USB,0)]: + USB Block @ [FFB(USB,0)]: + usbcell: Name =\USBFS:USB\ + PORT MAP ( + dp => \USBFS:Net_1000\ , + dm => \USBFS:Net_597\ , + sof_int => Net_40 , + arb_int => \USBFS:Net_79\ , + usb_int => \USBFS:Net_81\ , + ept_int_8 => \USBFS:ept_int_8\ , + ept_int_7 => \USBFS:ept_int_7\ , + ept_int_6 => \USBFS:ept_int_6\ , + ept_int_5 => \USBFS:ept_int_5\ , + ept_int_4 => \USBFS:ept_int_4\ , + ept_int_3 => \USBFS:ept_int_3\ , + ept_int_2 => \USBFS:ept_int_2\ , + ept_int_1 => \USBFS:ept_int_1\ , + ept_int_0 => \USBFS:ept_int_0\ , + ord_int => \USBFS:Net_95\ , + dma_req_7 => \USBFS:dma_req_7\ , + dma_req_6 => \USBFS:dma_req_6\ , + dma_req_5 => \USBFS:dma_req_5\ , + dma_req_4 => \USBFS:dma_req_4\ , + dma_req_3 => \USBFS:dma_req_3\ , + dma_req_2 => \USBFS:dma_req_2\ , + dma_req_1 => \USBFS:dma_req_1\ , + dma_req_0 => \USBFS:dma_req_0\ , + dma_termin => \USBFS:Net_824\ ); + Properties: + { + cy_registers = "" + } +Fixed Function block hod @ [FFB(VIDAC,0)]: empty +Fixed Function block hod @ [FFB(CsAbuf,0)]: empty +Fixed Function block hod @ [FFB(Vref,0)]: empty +Fixed Function block hod @ [FFB(LPF,0)]: empty +Fixed Function block hod @ [FFB(SAR,0)]: empty + + + +------------------------------------------------------------ +Port Configuration report +------------------------------------------------------------ + | | | Interrupt | | | +Port | Pin | Fixed | Type | Drive Mode | Name | Connections +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 0 | 0 | * | NONE | CMOS_OUT | SCSI_Out(9) | + | 1 | * | NONE | CMOS_OUT | SCSI_Out(8) | + | 2 | * | NONE | CMOS_OUT | SCSI_Out(7) | + | 3 | * | NONE | CMOS_OUT | SCSI_Out(6) | + | 4 | * | NONE | CMOS_OUT | SCSI_Out(5) | + | 5 | * | NONE | CMOS_OUT | SCSI_Out(4) | + | 6 | * | NONE | CMOS_OUT | SCSI_Out(3) | + | 7 | * | NONE | CMOS_OUT | SCSI_Out(2) | +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 4 | 2 | * | NONE | CMOS_OUT | SCSI_Out(1) | + | 3 | * | NONE | CMOS_OUT | SCSI_Out(0) | + | 4 | * | NONE | CMOS_OUT | SCSI_Out_DBx(7) | + | 5 | * | NONE | CMOS_OUT | SCSI_Out_DBx(6) | + | 6 | * | NONE | CMOS_OUT | SCSI_Out_DBx(5) | + | 7 | * | NONE | CMOS_OUT | SCSI_Out_DBx(4) | +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 6 | 0 | * | NONE | CMOS_OUT | SCSI_Out_DBx(3) | + | 1 | * | NONE | CMOS_OUT | SCSI_Out_DBx(2) | + | 2 | * | NONE | CMOS_OUT | SCSI_Out_DBx(1) | + | 3 | * | NONE | CMOS_OUT | SCSI_Out_DBx(0) | +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 15 | 6 | * | FALLING | HI_Z_ANALOG | \USBFS:Dp(0)\ | Analog(\USBFS:Net_1000\) + | 7 | * | NONE | HI_Z_ANALOG | \USBFS:Dm(0)\ | Analog(\USBFS:Net_597\) +---------------------------------------------------------------------------------------------- + + + +Digital component placer commit/Report: Elapsed time ==> 0s.014ms +Digital Placement phase: Elapsed time ==> 2s.140ms + + +Routing successful. +Digital Routing phase: Elapsed time ==> 2s.955ms + + +Bitstream and API generation phase: Elapsed time ==> 0s.732ms + + +Bitstream verification phase: Elapsed time ==> 0s.127ms + + +Timing report is in USB_Bootloader_timing.html. +Static timing analysis phase: Elapsed time ==> 0s.638ms + + +Data reporting phase: Elapsed time ==> 0s.000ms + + +Design database save phase: Elapsed time ==> 0s.609ms + +cydsfit: Elapsed time ==> 8s.672ms + +Fitter phase: Elapsed time ==> 8s.750ms +API generation phase: Elapsed time ==> 3s.081ms +Dependency generation phase: Elapsed time ==> 0s.028ms +Cleanup phase: Elapsed time ==> 0s.031ms diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.svd b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.svd new file mode 100755 index 0000000..2171fc7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.svd @@ -0,0 +1,494 @@ + + + CY8C5267AXI_LP051 + 0.1 + CY8C52LP + 8 + 32 + + + USBFS + USBFS + 0x40004394 + + 0 + 0x1D0A + registers + + + + USBFS_PM_USB_CR0 + USB Power Mode Control Register 0 + 0x0 + 8 + read-write + 0 + 0 + + + fsusbio_ref_en + No description available + 0 + 0 + read-write + + + fsusbio_pd_n + No description available + 1 + 1 + read-write + + + fsusbio_pd_pullup_n + No description available + 2 + 2 + read-write + + + + + USBFS_PM_ACT_CFG + Active Power Mode Configuration Register + 0x11 + 8 + read-write + 0 + 0 + + + USBFS_PM_STBY_CFG + Standby Power Mode Configuration Register + 0x21 + 8 + read-write + 0 + 0 + + + USBFS_PRT_PS + Port Pin State Register + 0xE5D + 8 + read-write + 0 + 0 + + + PinState_DP + No description available + 6 + 6 + read-only + + + PinState_DM + No description available + 7 + 7 + read-only + + + + + USBFS_PRT_DM0 + Port Drive Mode Register + 0xE5E + 8 + read-write + 0 + 0 + + + DriveMode_DP + No description available + 6 + 6 + read-write + + + DriveMode_DM + No description available + 7 + 7 + read-write + + + + + USBFS_PRT_DM1 + Port Drive Mode Register + 0xE5F + 8 + read-write + 0 + 0 + + + PullUp_en_DP + No description available + 6 + 6 + read-write + + + PullUp_en_DM + No description available + 7 + 7 + read-write + + + + + USBFS_PRT_INP_DIS + Input buffer disable override + 0xE64 + 8 + read-write + 0 + 0 + + + seinput_dis_dp + No description available + 6 + 6 + read-write + + + seinput_dis_dm + No description available + 7 + 7 + read-write + + + + + USBFS_EP0_DR0 + bmRequestType + 0x1C6C + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR1 + bRequest + 0x1C6D + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR2 + wValueLo + 0x1C6E + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR3 + wValueHi + 0x1C6F + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR4 + wIndexLo + 0x1C70 + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR5 + wIndexHi + 0x1C71 + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR6 + lengthLo + 0x1C72 + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR7 + lengthHi + 0x1C73 + 8 + read-write + 0 + 0 + + + USBFS_CR0 + USB Control Register 0 + 0x1C74 + 8 + read-write + 0 + 0 + + + device_address + No description available + 6 + 0 + read-only + + + usb_enable + No description available + 7 + 7 + read-write + + + + + USBFS_CR1 + USB Control Register 1 + 0x1C75 + 8 + read-write + 0 + 0 + + + reg_enable + No description available + 0 + 0 + read-write + + + enable_lock + No description available + 1 + 1 + read-write + + + bus_activity + No description available + 2 + 2 + read-write + + + trim_offset_msb + No description available + 3 + 3 + read-write + + + + + USBFS_SIE_EP1_CR0 + The Endpoint1 Control Register + 0x1C7A + 8 + read-write + 0 + 0 + + + USBFS_USBIO_CR0 + USBIO Control Register 0 + 0x1C7C + 8 + read-write + 0 + 0 + + + rd + No description available + 0 + 0 + read-only + + + td + No description available + 5 + 5 + read-write + + + tse0 + No description available + 6 + 6 + read-write + + + ten + No description available + 7 + 7 + read-write + + + + + USBFS_USBIO_CR1 + USBIO Control Register 1 + 0x1C7E + 8 + read-write + 0 + 0 + + + dmo + No description available + 0 + 0 + read-only + + + dpo + No description available + 1 + 1 + read-only + + + usbpuen + No description available + 2 + 2 + read-write + + + iomode + No description available + 5 + 5 + read-write + + + + + USBFS_SIE_EP2_CR0 + The Endpoint2 Control Register + 0x1C8A + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP3_CR0 + The Endpoint3 Control Register + 0x1C9A + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP4_CR0 + The Endpoint4 Control Register + 0x1CAA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP5_CR0 + The Endpoint5 Control Register + 0x1CBA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP6_CR0 + The Endpoint6 Control Register + 0x1CCA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP7_CR0 + The Endpoint7 Control Register + 0x1CDA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP8_CR0 + The Endpoint8 Control Register + 0x1CEA + 8 + read-write + 0 + 0 + + + USBFS_BUF_SIZE + Dedicated Endpoint Buffer Size Register + 0x1CF8 + 8 + read-write + 0 + 0 + + + USBFS_EP_ACTIVE + Endpoint Active Indication Register + 0x1CFA + 8 + read-write + 0 + 0 + + + USBFS_EP_TYPE + Endpoint Type (IN/OUT) Indication + 0x1CFB + 8 + read-write + 0 + 0 + + + USBFS_USB_CLK_EN + USB Block Clock Enable Register + 0x1D09 + 8 + read-write + 0 + 0 + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader_timing.html b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader_timing.html new file mode 100755 index 0000000..517ee04 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader_timing.html @@ -0,0 +1,642 @@ + + + + +Static Timing Analysis Report + + + + + +

    View this file with a JavaScript-enabled browser to enable all features.

    + +

    Static Timing Analysis

    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Project : USB_Bootloader
    Build Time : 10/26/13 18:55:25
    Device : CY8C5267AXI-LP051
    Temperature : -40C - 85/125C
    Vdda : 5.00
    Vddd : 5.00
    Vio0 : 5.00
    Vio1 : 5.00
    Vio2 : 5.00
    Vio3 : 5.00
    Voltage : 5.0
    Vusb : 5.00
    +
    +
    +
    No Timing Violations
    +
    +
    +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    ClockDomainNominal FrequencyRequired FrequencyMaximum FrequencyViolation
    CyILOCyILO100.000 kHz100.000 kHz N/A
    CyIMOCyIMO24.000 MHz24.000 MHz N/A
    CyMASTER_CLKCyMASTER_CLK64.000 MHz64.000 MHz N/A
    CyBUS_CLKCyMASTER_CLK64.000 MHz64.000 MHz N/A
    CyPLL_OUTCyPLL_OUT64.000 MHz64.000 MHz N/A
    +
    +
    + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.c new file mode 100755 index 0000000..ea1c5aa --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.c @@ -0,0 +1,1462 @@ +/******************************************************************************* +* File Name: BL.c +* Version 1.20 +* +* Description: +* Provides an API for the Bootloader component. The API includes functions +* for starting boot loading operations, validating the application and +* jumping to the application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "BL_PVT.h" + +#include "project.h" +#include + + +/******************************************************************************* +* The Checksum and SizeBytes are forcefully set in code. We then post process +* the hex file from the linker and inject their values then. When the hex file +* is loaded onto the device these two variables should have valid values. +* Because the compiler can do optimizations remove the constant +* accesses, these should not be accessed directly. Instead, the variables +* CyBtldr_ChecksumAccess & CyBtldr_SizeBytesAccess should be used to get the +* proper values at runtime. +*******************************************************************************/ +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ + +const uint8 CYCODE BL_Checksum = 0u; +const uint8 CYCODE *BL_ChecksumAccess = (const uint8 CYCODE *)(&BL_Checksum); + +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ + +const uint32 CYCODE BL_SizeBytes = 0xFFFFFFFFu; +const uint32 CYCODE *BL_SizeBytesAccess = (const uint32 CYCODE *)(&BL_SizeBytes); + + +#if(0u != BL_DUAL_APP_BOOTLOADER) + uint8 BL_activeApp = BL_MD_BTLDB_ACTIVE_NONE; +#else + #define BL_activeApp (BL_MD_BTLDB_ACTIVE_0) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/*************************************** +* Function Prototypes +***************************************/ +static cystatus BL_WritePacket(uint8 status, uint8 buffer[], uint16 size) CYSMALL \ + ; + +static uint16 BL_CalcPacketChecksum(const uint8 buffer[], uint16 size) CYSMALL \ + ; + +static uint8 BL_Calc8BitFlashSum(uint32 start, uint32 size) CYSMALL \ + ; +#if(!CY_PSOC4) +static uint8 BL_Calc8BitEepromSum(uint32 start, uint32 size) CYSMALL \ + ; +#endif /* (!CY_PSOC4) */ + +static void BL_HostLink(uint8 timeOut) \ + ; + +static void BL_LaunchApplication(void) CYSMALL \ + ; + +static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + ; + +static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId)\ + ; + +#if(!CY_PSOC3) + /* Implementation for the PSoC 3 resides in a BL_psoc3.a51 file. */ + static void BL_LaunchBootloadable(uint32 appAddr); +#endif /* (!CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: BL_CalcPacketChecksum +******************************************************************************** +* +* Summary: +* This computes the 16 bit checksum for the provided number of bytes contained +* in the provided buffer +* +* Parameters: +* buffer: +* The buffer containing the data to compute the checksum for +* size: +* The number of bytes in buffer to compute the checksum for +* +* Returns: +* 16 bit checksum for the provided data +* +*******************************************************************************/ +static uint16 BL_CalcPacketChecksum(const uint8 buffer[], uint16 size) \ + CYSMALL +{ + #if(0u != BL_PACKET_CHECKSUM_CRC) + + uint16 CYDATA crc = BL_CRC_CCITT_INITIAL_VALUE; + uint16 CYDATA tmp; + uint8 CYDATA i; + uint16 CYDATA tmpIndex = size; + + if(0u == size) + { + crc = ~crc; + } + else + { + do + { + tmp = buffer[tmpIndex - size]; + + for (i = 0u; i < 8u; i++) + { + if (0u != ((crc & 0x0001u) ^ (tmp & 0x0001u))) + { + crc = (crc >> 1u) ^ BL_CRC_CCITT_POLYNOMIAL; + } + else + { + crc >>= 1u; + } + + tmp >>= 1u; + } + + size--; + } + while(0u != size); + + crc = ~crc; + tmp = crc; + crc = ( uint16 )(crc << 8u) | (tmp >> 8u); + } + + return(crc); + + #else + + uint16 CYDATA sum = 0u; + + while (size > 0u) + { + sum += buffer[size - 1u]; + size--; + } + + return(( uint16 )1u + ( uint16 )(~sum)); + + #endif /* (0u != BL_PACKET_CHECKSUM_CRC) */ +} + + +/******************************************************************************* +* Function Name: BL_Calc8BitFlashSum +******************************************************************************** +* +* Summary: +* This computes the 8 bit sum for the provided number of bytes contained in +* flash. +* +* Parameters: +* start: +* The starting address to start summing data for +* size: +* The number of bytes to read and compute the sum for +* +* Returns: +* 8 bit sum for the provided data +* +*******************************************************************************/ +static uint8 BL_Calc8BitFlashSum(uint32 start, uint32 size) \ + CYSMALL +{ + uint8 CYDATA sum = 0u; + + while (size > 0u) + { + size--; + sum += BL_GET_CODE_BYTE(start + size); + } + + return(sum); +} + + +#if(!CY_PSOC4) + + /******************************************************************************* + * Function Name: BL_Calc8BitEepromSum + ******************************************************************************** + * + * Summary: + * This computes the 8 bit sum for the provided number of bytes contained in + * EEPROM. + * + * Parameters: + * start: + * The starting address to start summing data for + * size: + * The number of bytes to read and compute the sum for + * + * Returns: + * 8 bit sum for the provided data + * + *******************************************************************************/ + static uint8 BL_Calc8BitEepromSum(uint32 start, uint32 size) \ + CYSMALL + { + uint8 CYDATA sum = 0u; + + while (size > 0u) + { + size--; + sum += BL_GET_EEPROM_BYTE(start + size); + } + + return(sum); + } + +#endif /* (!CY_PSOC4) */ + + +/******************************************************************************* +* Function Name: BL_Start +******************************************************************************** +* Summary: +* This function is called in order executing following algorithm: +* +* - Identify active bootloadable application (applicable only to +* Multi-application bootloader) +* +* - Validate bootloader application (desing-time configurable, Bootloader +* application validation option of the component customizer) +* +* - Validate active bootloadable application +* +* - Run communication subroutine (desing-time configurable, Wait for command +* option of the component customizer) +* +* - Schedule bootloadable and reset device +* +* Parameters: +* None +* +* Return: +* This method will never return. It will either load a new application and +* reset the device or it will jump directly to the existing application. +* +* Side Effects: +* If this method determines that the bootloader appliation itself is corrupt, +* this method will not return, instead it will simply hang the application. +* +*******************************************************************************/ +void BL_Start(void) CYSMALL +{ + #if(0u != BL_BOOTLOADER_APP_VALIDATION) + uint8 CYDATA calcedChecksum; + #endif /* (0u != BL_BOOTLOADER_APP_VALIDATION) */ + + #if(!CY_PSOC4) + uint8 CYXDATA BL_flashBuffer[BL_FROW_SIZE]; + #endif /* (!CY_PSOC4) */ + + cystatus tmpStatus; + + + /* Identify active bootloadable application */ + #if(0u != BL_DUAL_APP_BOOTLOADER) + + if(BL_MD_BTLDB_ACTIVE_VALUE(0u) == BL_MD_BTLDB_IS_ACTIVE) + { + BL_activeApp = BL_MD_BTLDB_ACTIVE_0; + } + else if (BL_MD_BTLDB_ACTIVE_VALUE(1u) == BL_MD_BTLDB_IS_ACTIVE) + { + BL_activeApp = BL_MD_BTLDB_ACTIVE_1; + } + else + { + BL_activeApp = BL_MD_BTLDB_ACTIVE_NONE; + } + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + /* Initialize Flash subsystem for non-PSoC 4 devices */ + #if(!CY_PSOC4) + if (CYRET_SUCCESS != CySetTemp()) + { + CyHalt(0x00u); + } + + if (CYRET_SUCCESS != CySetFlashEEBuffer(BL_flashBuffer)) + { + CyHalt(0x00u); + } + #endif /* (CY_PSOC4) */ + + + /*********************************************************************** + * Bootloader Application Validation + * + * Halt device if: + * - Calculated checksum does not much one stored in metadata section + * - Invalid pointer to the place where bootloader application ends + * - Flash subsystem where not initialized correctly + ***********************************************************************/ + #if(0u != BL_BOOTLOADER_APP_VALIDATION) + + /* Calculate Bootloader application checksum */ + calcedChecksum = BL_Calc8BitFlashSum(BL_MD_BTLDR_ADDR_PTR, + *BL_SizeBytesAccess - BL_MD_BTLDR_ADDR_PTR); + + /* we actually included the checksum, so remove it */ + calcedChecksum -= *BL_ChecksumAccess; + calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + + /* Checksum and pointer to bootloader verification */ + if((calcedChecksum != *BL_ChecksumAccess) || + (0u == *BL_SizeBytesAccess)) + { + CyHalt(0x00u); + } + + #endif /* (0u != BL_BOOTLOADER_APP_VALIDATION) */ + + + /*********************************************************************** + * Active Bootloadable Application Validation + * + * If active bootloadable application is invalid or bootloader + * application is scheduled - do the following: + * - schedule bootloader application to be run after software reset + * - Go to the communication subroutine. Will wait for commands forever + ***********************************************************************/ + tmpStatus = BL_ValidateBootloadable(BL_activeApp); + + if ((BL_GET_RUN_TYPE == BL_START_BTLDR) || + (CYRET_SUCCESS != tmpStatus)) + { + BL_SET_RUN_TYPE(0u); + + BL_HostLink(BL_WAIT_FOR_COMMAND_FOREVER); + } + + + /* Go to the communication subroutine. Will wait for commands specifed time */ + #if(0u != BL_WAIT_FOR_COMMAND) + + /* Timeout is in 100s of miliseconds */ + BL_HostLink(BL_WAIT_FOR_COMMAND_TIME); + + #endif /* (0u != BL_WAIT_FOR_COMMAND) */ + + + /* Schedule bootloadable application and perform software reset */ + BL_LaunchApplication(); +} + + +/******************************************************************************* +* Function Name: BL_LaunchApplication +******************************************************************************** +* +* Summary: +* Jumps the PC to the start address of the user application in flash. +* +* Parameters: +* None +* +* Returns: +* This method will never return if it succesfully goes to the user application. +* +*******************************************************************************/ +static void BL_LaunchApplication(void) CYSMALL +{ + /* Schedule Bootloadable to start after reset */ + BL_SET_RUN_TYPE(BL_START_APP); + + CySoftwareReset(); +} + + +/******************************************************************************* +* Function Name: CyBtldr_CheckLaunch +******************************************************************************** +* +* Summary: +* This routine checks to see if the bootloader or the bootloadable application +* should be run. If the application is to be run, it will start executing. +* If the bootloader is to be run, it will return so the bootloader can +* continue starting up. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void CyBtldr_CheckLaunch(void) CYSMALL +{ + +#if(CY_PSOC4) + + /******************************************************************************* + * Set cyBtldrRunType to zero in case of non-software reset occured. This means + * that bootloader application is scheduled - that is initial clean state. The + * value of cyBtldrRunType is valid only in case of software reset. + *******************************************************************************/ + if (0u == (BL_RES_CAUSE_REG & BL_RES_CAUSE_RESET_SOFT)) + { + cyBtldrRunType = 0u; + } + +#endif /* (CY_PSOC4) */ + + + if (BL_GET_RUN_TYPE == BL_START_APP) + { + BL_SET_RUN_TYPE(0u); + + /******************************************************************************* + * Indicates that we have told ourselves to jump to the application since we have + * already told ourselves to jump, we do not do any expensive verification of the + * application. We just check to make sure that the value at CY_APP_ADDR_ADDRESS + * is something other than 0. + *******************************************************************************/ + if(0u != BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, BL_activeApp)) + { + /* Never return from this method */ + BL_LaunchBootloadable(BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, + BL_activeApp)); + } + } +} + + +/* Moves the arguement appAddr (RO) into PC, moving execution to the appAddr */ +#if defined (__ARMCC_VERSION) + + __asm static void BL_LaunchBootloadable(uint32 appAddr) + { + BX R0 + ALIGN + } + +#elif defined(__GNUC__) + + __attribute__((noinline)) /* Workaround for GCC toolchain bug with inlining */ + __attribute__((naked)) + static void BL_LaunchBootloadable(uint32 appAddr) + { + __asm volatile(" BX R0\n"); + } + +#elif defined (__ICCARM__) + + static void BL_LaunchBootloadable(uint32 appAddr) + { + __asm volatile(" BX R0\n"); + } + +#endif /* (__ARMCC_VERSION) */ + + +/******************************************************************************* +* Function Name: BL_ValidateBootloadable +******************************************************************************** +* Summary: +* This routine computes the checksum, zero check, 0xFF check of the +* application area to determine whether a valid application is loaded. +* +* Parameters: +* appId: +* The application number to verify +* +* Returns: +* CYRET_SUCCESS - if successful +* CYRET_BAD_DATA - if the bootloadable is corrupt +* +*******************************************************************************/ +static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + + { + uint32 CYDATA idx; + + uint32 CYDATA end = BL_FIRST_APP_BYTE(appId) + + BL_GetMetadata(BL_GET_METADATA_BTLDB_LENGTH, + appId); + + CYBIT valid = 0u; /* Assume bad flash image */ + uint8 CYDATA calcedChecksum = 0u; + + + #if(0u != BL_DUAL_APP_BOOTLOADER) + + if(appId > 1u) + { + return(CYRET_BAD_DATA); + } + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + #if(0u != BL_FAST_APP_VALIDATION) + + if(BL_MD_BTLDB_VERIFIED_VALUE(appId) == BL_MD_BTLDB_IS_VERIFIED) + { + return(CYRET_SUCCESS); + } + + #endif /* (0u != BL_FAST_APP_VALIDATION) */ + + + /* Calculate checksum of bootloadable image */ + for(idx = BL_FIRST_APP_BYTE(appId); idx < end; ++idx) + { + uint8 CYDATA curByte = BL_GET_CODE_BYTE(idx); + + if((curByte != 0u) && (curByte != 0xFFu)) + { + valid = 1u; + } + + calcedChecksum += curByte; + } + + + /*************************************************************************** + * We do not compute checksum over the meta data section, so no need to + * subtract off App Verified or App Active information here like we do when + * verifying a row. + ***************************************************************************/ + + + #if((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u)) + + /* Add ECC data to checksum */ + idx = ((BL_FIRST_APP_BYTE(appId)) >> 3u); + + /* Flash may run into meta data, ECC does not so use full row */ + end = (end == (CY_FLASH_SIZE - BL_MD_SIZEOF)) + ? (CY_FLASH_SIZE >> 3u) + : (end >> 3u); + + for (; idx < end; ++idx) + { + calcedChecksum += CY_GET_XTND_REG8((volatile uint8 *)(CYDEV_ECC_BASE + idx)); + } + + #endif /* ((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u)) */ + + + calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + + if((calcedChecksum != BL_MD_BTLDB_CHECKSUM_VALUE(appId)) || + (0u == valid)) + { + return(CYRET_BAD_DATA); + } + + + #if(0u != BL_FAST_APP_VALIDATION) + BL_SetFlashByte((uint32) BL_MD_BTLDB_VERIFIED_OFFSET(appId), + BL_MD_BTLDB_IS_VERIFIED); + #endif /* (0u != BL_FAST_APP_VALIDATION) */ + + + return(CYRET_SUCCESS); +} + + +/******************************************************************************* +* Function Name: BL_HostLink +******************************************************************************** +* +* Summary: +* Causes the bootloader to attempt to read data being transmitted by the +* host application. If data is sent from the host, this establishes the +* communication interface to process all requests. +* +* Parameters: +* timeOut: +* The amount of time to listen for data before giving up. Timeout is +* measured in 10s of ms. Use 0 for infinite wait. +* +* Return: +* None +* +*******************************************************************************/ +static void BL_HostLink(uint8 timeOut) +{ + uint16 CYDATA numberRead; + uint16 CYDATA rspSize; + uint8 CYDATA ackCode; + uint16 CYDATA pktChecksum; + cystatus CYDATA readStat; + uint16 CYDATA pktSize = 0u; + uint16 CYDATA dataOffset = 0u; + uint8 CYDATA timeOutCnt = 10u; + + #if(0u == BL_DUAL_APP_BOOTLOADER) + uint8 CYDATA clearedMetaData = 0u; + #endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + + CYBIT communicationState = BL_COMMUNICATION_STATE_IDLE; + + uint8 packetBuffer[BL_SIZEOF_COMMAND_BUFFER]; + uint8 dataBuffer [BL_SIZEOF_COMMAND_BUFFER]; + + + /* Initialize communications channel. */ + CyBtldrCommStart(); + + /* Enable global interrupts */ + CyGlobalIntEnable; + + do + { + ackCode = CYRET_SUCCESS; + + do + { + readStat = CyBtldrCommRead(packetBuffer, + BL_SIZEOF_COMMAND_BUFFER, + &numberRead, + (0u == timeOut) ? 0xFFu : timeOut); + if (0u != timeOut) + { + timeOutCnt--; + } + + } while ( (0u != timeOutCnt) && (readStat != CYRET_SUCCESS) ); + + + if( readStat != CYRET_SUCCESS ) + { + continue; + } + + if((numberRead < BL_MIN_PKT_SIZE) || + (packetBuffer[BL_SOP_ADDR] != BL_SOP)) + { + ackCode = BL_ERR_DATA; + } + else + { + pktSize = ((uint16)((uint16)packetBuffer[BL_SIZE_ADDR + 1u] << 8u)) | + packetBuffer[BL_SIZE_ADDR]; + + pktChecksum = ((uint16)((uint16)packetBuffer[BL_CHK_ADDR(pktSize) + 1u] << 8u)) | + packetBuffer[BL_CHK_ADDR(pktSize)]; + + if((pktSize + BL_MIN_PKT_SIZE) > numberRead) + { + ackCode = BL_ERR_LENGTH; + } + else if(packetBuffer[BL_EOP_ADDR(pktSize)] != BL_EOP) + { + ackCode = BL_ERR_DATA; + } + else if(pktChecksum != BL_CalcPacketChecksum(packetBuffer, + pktSize + BL_DATA_ADDR)) + { + ackCode = BL_ERR_CHECKSUM; + } + else + { + /* Empty section */ + } + } + + rspSize = 0u; + if(ackCode == CYRET_SUCCESS) + { + uint8 CYDATA btldrData = packetBuffer[BL_DATA_ADDR]; + + ackCode = BL_ERR_DATA; + switch(packetBuffer[BL_CMD_ADDR]) + { + + + /*************************************************************************** + * Get metadata + ***************************************************************************/ + #if(0u != BL_CMD_GET_METADATA) + + case BL_COMMAND_GET_METADATA: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + if (btldrData >= BL_MAX_NUM_OF_BTLDB) + { + ackCode = BL_ERR_APP; + } + else if(CYRET_SUCCESS == BL_ValidateBootloadable(btldrData)) + { + #if(CY_PSOC3) + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + ((uint8 CYCODE *) (BL_META_BASE(btldrData))), 56); + #else + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + (uint8 *) BL_META_BASE(btldrData), 56u); + #endif /* (CY_PSOC3) */ + + rspSize = 56u; + ackCode = CYRET_SUCCESS; + } + else + { + ackCode = BL_ERR_APP; + } + } + break; + + #endif /* (0u != BL_CMD_GET_METADATA) */ + + + /*************************************************************************** + * Verify checksum + ***************************************************************************/ + case BL_COMMAND_CHECKSUM: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 0u)) + { + packetBuffer[BL_DATA_ADDR] = + (uint8)(BL_ValidateBootloadable(BL_activeApp) == CYRET_SUCCESS); + + rspSize = 1u; + ackCode = CYRET_SUCCESS; + } + break; + + + /*************************************************************************** + * Get flash size + ***************************************************************************/ + #if(0u != BL_CMD_GET_FLASH_SIZE_AVAIL) + + case BL_COMMAND_REPORT_SIZE: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + /* btldrData holds flash array ID sent by host */ + if(btldrData < BL_NUM_OF_FLASH_ARRAYS) + { + #if (1u == BL_NUM_OF_FLASH_ARRAYS) + uint16 CYDATA startRow = (uint16)*BL_SizeBytesAccess / CYDEV_FLS_ROW_SIZE; + #else + uint16 CYDATA startRow = 0u; + #endif /* (1u == BL_NUM_OF_FLASH_ARRAYS) */ + + packetBuffer[BL_DATA_ADDR] = LO8(startRow); + packetBuffer[BL_DATA_ADDR + 1u] = HI8(startRow); + packetBuffer[BL_DATA_ADDR + 2u] = LO8(CY_FLASH_NUMBER_ROWS - 1u); + packetBuffer[BL_DATA_ADDR + 3u] = HI8(CY_FLASH_NUMBER_ROWS - 1u); + + rspSize = 4u; + ackCode = CYRET_SUCCESS; + } + + } + break; + + #endif /* (0u != BL_CMD_GET_FLASH_SIZE_AVAIL) */ + + + /*************************************************************************** + * Get application status + ***************************************************************************/ + #if(0u != BL_DUAL_APP_BOOTLOADER) + + #if(0u != BL_CMD_GET_APP_STATUS_AVAIL) + + case BL_COMMAND_APP_STATUS: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + + packetBuffer[BL_DATA_ADDR] = + (uint8)BL_ValidateBootloadable(btldrData); + + packetBuffer[BL_DATA_ADDR + 1u] = + (uint8)BL_MD_BTLDB_ACTIVE_VALUE(btldrData); + + rspSize = 2u; + ackCode = CYRET_SUCCESS; + } + break; + + #endif /* (0u != BL_CMD_GET_APP_STATUS_AVAIL) */ + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + /*************************************************************************** + * Program / Erase row + ***************************************************************************/ + case BL_COMMAND_PROGRAM: + + /* The btldrData variable holds Flash Array ID */ + + #if (0u != BL_CMD_ERASE_ROW_AVAIL) + + case BL_COMMAND_ERASE: + if (BL_COMMAND_ERASE == packetBuffer[BL_CMD_ADDR]) + { + if ((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + { + #if(!CY_PSOC4) + if((btldrData >= BL_FIRST_EE_ARRAYID) && + (btldrData <= BL_LAST_EE_ARRAYID)) + { + /* Size of EEPROM row */ + dataOffset = CY_EEPROM_SIZEOF_ROW; + } + else + { + /* Size of FLASH row (depends on ECC configuration) */ + dataOffset = BL_FROW_SIZE; + } + #else + /* Size of FLASH row (no ECC available) */ + dataOffset = BL_FROW_SIZE; + #endif /* (!CY_PSOC4) */ + + #if(CY_PSOC3) + (void) memset(dataBuffer, (char8) 0, (int16) dataOffset); + #else + (void) memset(dataBuffer, 0, dataOffset); + #endif /* (CY_PSOC3) */ + } + else + { + break; + } + } + + #endif /* (0u != BL_CMD_ERASE_ROW_AVAIL) */ + + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize >= 3u)) + { + + /* The command may be sent along with the last block of data, to program the row. */ + #if(CY_PSOC3) + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR + 3u], + ( int16 )pktSize - 3); + #else + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR + 3u], + pktSize - 3u); + #endif /* (CY_PSOC3) */ + + dataOffset += (pktSize - 3u); + + #if(!CY_PSOC4) + if((btldrData >= BL_FIRST_EE_ARRAYID) && + (btldrData <= BL_LAST_EE_ARRAYID)) + { + + CyEEPROM_Start(); + + /* Size of EEPROM row */ + pktSize = CY_EEPROM_SIZEOF_ROW; + } + else + { + /* Size of FLASH row (depends on ECC configuration) */ + pktSize = BL_FROW_SIZE; + } + #else + /* Size of FLASH row (no ECC available) */ + pktSize = BL_FROW_SIZE; + #endif /* (!CY_PSOC4) */ + + + /* Check if we have all data to program */ + if(dataOffset == pktSize) + { + /* Get FLASH/EEPROM row number */ + dataOffset = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + packetBuffer[BL_DATA_ADDR + 1u]; + + #if(!CY_PSOC4) + if(btldrData <= BL_LAST_FLASH_ARRAYID) + { + #endif /* (!CY_PSOC4) */ + + #if(0u == BL_DUAL_APP_BOOTLOADER) + + if(0u == clearedMetaData) + { + /* Metadata section must be filled with zeroes */ + + uint8 erase[BL_FROW_SIZE]; + + #if(CY_PSOC3) + (void) memset(erase, (char8) 0, (int16) BL_FROW_SIZE); + #else + (void) memset(erase, 0, BL_FROW_SIZE); + #endif /* (CY_PSOC3) */ + + #if(CY_PSOC4) + (void) CySysFlashWriteRow(BL_MD_ROW, erase); + #else + (void) CyWriteRowFull((uint8) BL_MD_FLASH_ARRAY_NUM, + (uint16) BL_MD_ROW, + erase, + BL_FROW_SIZE); + #endif /* (CY_PSOC4) */ + + /* Set up flag that metadata was cleared */ + clearedMetaData = 1u; + } + + #else + + if(BL_activeApp < BL_MD_BTLDB_ACTIVE_NONE) + { + /* First active bootloadable application row */ + uint16 firstRow = (uint16) 1u + + (uint16) BL_GetMetadata(BL_GET_METADATA_BTLDR_LAST_ROW, + BL_activeApp); + + #if(CY_PSOC4) + uint16 row = dataOffset; + #else + uint16 row = (uint16)(btldrData * (CYDEV_FLS_SECTOR_SIZE / CYDEV_FLS_ROW_SIZE)) + + dataOffset; + #endif /* (CY_PSOC4) */ + + + /******************************************************************************* + * Last row is equal to the first row plus the number of rows available for each + * app. To compute this, we first subtract the number of appliaction images from + * the total flash rows: (CY_FLASH_NUMBER_ROWS - 2u). + * + * Then subtract off the first row: + * App Rows = (CY_FLASH_NUMBER_ROWS - 2u - firstRow) + * Then divide that number by the number of application that must fit within the + * space, if we are app1 then that number is 2, if app2 then 1. Our divisor is + * then: (2u - BL_activeApp). + * + * Adding this number to firstRow gives the address right beyond our valid range + * so we subtract 1. + *******************************************************************************/ + uint16 lastRow = (firstRow - 1u) + + ((uint16)((CYDEV_FLASH_SIZE / CYDEV_FLS_ROW_SIZE) - 2u - firstRow) / + ((uint16)2u - (uint16)BL_activeApp)); + + + /******************************************************************************* + * Check to see if the row to program is within the range of the active + * application, or if it maches the active application's metadata row. If so, + * refuse to program as it would corrupt the active app. + *******************************************************************************/ + if(((row >= firstRow) && (row <= lastRow)) || + ((btldrData == BL_MD_FLASH_ARRAY_NUM) && + (dataOffset == BL_MD_ROW_NUM(BL_activeApp)))) + { + ackCode = BL_ERR_ACTIVE; + dataOffset = 0u; + break; + } + } + + #endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + + #if(!CY_PSOC4) + } + #endif /* (!CY_PSOC4) */ + + #if(CY_PSOC4) + + ackCode = (CYRET_SUCCESS != CySysFlashWriteRow((uint32) dataOffset, dataBuffer)) \ + ? BL_ERR_ROW \ + : CYRET_SUCCESS; + + #else + + ackCode = (CYRET_SUCCESS != CyWriteRowFull(btldrData, dataOffset, dataBuffer, pktSize)) \ + ? BL_ERR_ROW \ + : CYRET_SUCCESS; + + #endif /* (CY_PSOC4) */ + + } + else + { + ackCode = BL_ERR_LENGTH; + } + + dataOffset = 0u; + } + break; + + + /*************************************************************************** + * Sync bootloader + ***************************************************************************/ + #if(0u != BL_CMD_SYNC_BOOTLOADER_AVAIL) + + case BL_COMMAND_SYNC: + + if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) + { + /* If something failed the host would send this command to reset the bootloader. */ + dataOffset = 0u; + + /* Don't ack the packet, just get ready to accept the next one */ + continue; + } + break; + + #endif /* (0u != BL_CMD_SYNC_BOOTLOADER_AVAIL) */ + + + /*************************************************************************** + * Set active application + ***************************************************************************/ + #if(0u != BL_DUAL_APP_BOOTLOADER) + + case BL_COMMAND_APP_ACTIVE: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + if(CYRET_SUCCESS == BL_ValidateBootloadable(btldrData)) + { + uint8 CYDATA idx; + + for(idx = 0u; idx < BL_MAX_NUM_OF_BTLDB; idx++) + { + BL_SetFlashByte((uint32) BL_MD_BTLDB_ACTIVE_OFFSET(idx), + (uint8 )(idx == btldrData)); + } + BL_activeApp = btldrData; + ackCode = CYRET_SUCCESS; + } + else + { + ackCode = BL_ERR_APP; + } + } + break; + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + /*************************************************************************** + * Send data + ***************************************************************************/ + #if (0u != BL_CMD_SEND_DATA_AVAIL) + + case BL_COMMAND_DATA: + + if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) + { + /* Make sure that dataOffset is valid before copying the data */ + if((dataOffset + pktSize) <= BL_SIZEOF_COMMAND_BUFFER) + { + ackCode = CYRET_SUCCESS; + + #if(CY_PSOC3) + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR], + ( int16 )pktSize); + #else + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR], + pktSize); + #endif /* (CY_PSOC3) */ + + dataOffset += pktSize; + } + else + { + ackCode = BL_ERR_LENGTH; + } + } + + break; + + #endif /* (0u != BL_CMD_SEND_DATA_AVAIL) */ + + + /*************************************************************************** + * Enter bootloader + ***************************************************************************/ + case BL_COMMAND_ENTER: + + if(pktSize == 0u) + { + #if(CY_PSOC3) + + BL_ENTER CYDATA BtldrVersion = + {CYSWAP_ENDIAN32(CYDEV_CHIP_JTAG_ID), CYDEV_CHIP_REV_EXPECT, BL_VERSION}; + + #else + + BL_ENTER CYDATA BtldrVersion = + {CYDEV_CHIP_JTAG_ID, CYDEV_CHIP_REV_EXPECT, BL_VERSION}; + + #endif /* (CY_PSOC3) */ + + communicationState = BL_COMMUNICATION_STATE_ACTIVE; + + rspSize = sizeof(BL_ENTER); + + #if(CY_PSOC3) + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + &BtldrVersion, + ( int16 )rspSize); + #else + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + &BtldrVersion, + rspSize); + #endif /* (CY_PSOC3) */ + + ackCode = CYRET_SUCCESS; + } + break; + + + /*************************************************************************** + * Verify row + ***************************************************************************/ + case BL_COMMAND_VERIFY: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + { + /* Get FLASH/EEPROM row number */ + uint16 CYDATA rowNum = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + packetBuffer[BL_DATA_ADDR + 1u]; + + #if(!CY_PSOC4) + + uint32 CYDATA rowAddr; + uint8 CYDATA checksum; + + if((btldrData >= BL_FIRST_EE_ARRAYID) && + (btldrData <= BL_LAST_EE_ARRAYID)) + { + /* EEPROM */ + /* Both PSoC 3 and PSoC 5LP architectures have one EEPROM array. */ + rowAddr = (uint32)rowNum * CYDEV_EEPROM_ROW_SIZE; + + checksum = BL_Calc8BitEepromSum(rowAddr, CYDEV_EEPROM_ROW_SIZE); + } + else + { + /* FLASH */ + rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) + + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); + + checksum = BL_Calc8BitFlashSum(rowAddr, CYDEV_FLS_ROW_SIZE); + } + + #else + + uint32 CYDATA rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) + + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); + + uint8 CYDATA checksum = BL_Calc8BitFlashSum(rowAddr, CYDEV_FLS_ROW_SIZE); + + #endif /* (!CY_PSOC4) */ + + + /* Calculate checksum on data from ECC */ + #if(!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u) + + if(btldrData <= BL_LAST_FLASH_ARRAYID) + { + uint16 CYDATA tmpIndex; + + rowAddr = CYDEV_ECC_BASE + ((uint32)btldrData * (CYDEV_FLS_SECTOR_SIZE / 8u)) + + ((uint32)rowNum * CYDEV_ECC_ROW_SIZE); + + for(tmpIndex = 0u; tmpIndex < CYDEV_ECC_ROW_SIZE; tmpIndex++) + { + checksum += CY_GET_XTND_REG8((uint8 CYFAR *)(rowAddr + tmpIndex)); + } + } + + #endif /* (!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u) */ + + + /******************************************************************************* + * App Verified & App Active are information that is updated in flash at runtime + * remove these items from the checksum to allow the host to verify everything is + * correct. + ******************************************************************************/ + if((BL_MD_FLASH_ARRAY_NUM == btldrData) && + (BL_CONTAIN_METADATA(rowNum))) + { + checksum -= BL_MD_BTLDB_ACTIVE_VALUE (BL_GET_APP_ID(rowNum)); + checksum -= BL_MD_BTLDB_VERIFIED_VALUE(BL_GET_APP_ID(rowNum)); + } + + packetBuffer[BL_DATA_ADDR] = (uint8)1u + (uint8)(~checksum); + ackCode = CYRET_SUCCESS; + rspSize = 1u; + } + break; + + + /*************************************************************************** + * Exit bootloader + ***************************************************************************/ + case BL_COMMAND_EXIT: + + if(CYRET_SUCCESS == BL_ValidateBootloadable(BL_activeApp)) + { + BL_SET_RUN_TYPE(BL_START_APP); + } + + CySoftwareReset(); + + /* Will never get here */ + break; + + + /*************************************************************************** + * Unsupported command + ***************************************************************************/ + default: + ackCode = BL_ERR_CMD; + break; + } + } + + /* ?CK the packet and function. */ + (void) BL_WritePacket(ackCode, packetBuffer, rspSize); + + } while ((0u == timeOut) || (BL_COMMUNICATION_STATE_ACTIVE == communicationState)); +} + + +/******************************************************************************* +* Function Name: BL_WritePacket +******************************************************************************** +* +* Summary: +* Creates a bootloader responce packet and transmits it back to the bootloader +* host application over the already established communications protocol. +* +* Parameters: +* status: +* The status code to pass back as the second byte of the packet +* buffer: +* The buffer containing the data portion of the packet +* size: +* The number of bytes contained within the buffer to pass back +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_UNKNOWN if there was an error tranmitting the packet. +* +*******************************************************************************/ +static cystatus BL_WritePacket(uint8 status, uint8 buffer[], uint16 size) CYSMALL \ + +{ + uint16 CYDATA checksum; + + /* Start of the packet. */ + buffer[BL_SOP_ADDR] = BL_SOP; + buffer[BL_CMD_ADDR] = status; + buffer[BL_SIZE_ADDR] = LO8(size); + buffer[BL_SIZE_ADDR + 1u] = HI8(size); + + /* Compute the checksum. */ + checksum = BL_CalcPacketChecksum(buffer, size + BL_DATA_ADDR); + + buffer[BL_CHK_ADDR(size)] = LO8(checksum); + buffer[BL_CHK_ADDR(1u + size)] = HI8(checksum); + buffer[BL_EOP_ADDR(size)] = BL_EOP; + + /* Start the packet transmit. */ + return(CyBtldrCommWrite(buffer, size + BL_MIN_PKT_SIZE, &size, 150u)); +} + + +/******************************************************************************* +* Function Name: BL_SetFlashByte +******************************************************************************** +* +* Summary: +* Writes byte a flash memory location +* +* Parameters: +* address: +* Address in Flash memory where data will be written +* +* runType: +* Byte to be written +* +* Return: +* None +* +*******************************************************************************/ +void BL_SetFlashByte(uint32 address, uint8 runType) +{ + uint32 flsAddr = address - CYDEV_FLASH_BASE; + uint8 rowData[CYDEV_FLS_ROW_SIZE]; + + #if !(CY_PSOC4) + uint8 arrayId = ( uint8 )(flsAddr / CYDEV_FLS_SECTOR_SIZE); + #endif /* !(CY_PSOC4) */ + + uint16 rowNum = ( uint16 )((flsAddr % CYDEV_FLS_SECTOR_SIZE) / CYDEV_FLS_ROW_SIZE); + uint32 baseAddr = address - (address % CYDEV_FLS_ROW_SIZE); + uint16 idx; + + for(idx = 0u; idx < CYDEV_FLS_ROW_SIZE; idx++) + { + rowData[idx] = BL_GET_CODE_BYTE(baseAddr + idx); + } + + rowData[address % CYDEV_FLS_ROW_SIZE] = runType; + + #if(CY_PSOC4) + (void) CySysFlashWriteRow((uint32) rowNum, rowData); + #else + (void) CyWriteRowData(arrayId, rowNum, rowData); + #endif /* (CY_PSOC4) */ +} + + +/******************************************************************************* +* Function Name: BL_GetMetadata +******************************************************************************** +* +* Summary: +* Returns value of the multi-byte field. +* +* Parameters: +* fieldName: +* The field to get data from: +* BL_GET_METADATA_BTLDB_ADDR +* BL_GET_METADATA_BTLDR_LAST_ROW +* BL_GET_METADATA_BTLDB_LENGTH +* BL_GET_METADATA_BTLDR_APP_VERSION +* BL_GET_METADATA_BTLDB_APP_VERSION +* BL_GET_METADATA_BTLDB_APP_ID +* BL_GET_METADATA_BTLDB_APP_CUST_ID +* +* appId: +* Number of the bootlodable application. +* +* Return: +* None +* +*******************************************************************************/ +static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId) +{ + uint32 fieldPtr; + uint8 fieldSize = 2u; + uint32 result; + + switch (fieldName) + { + case BL_GET_METADATA_BTLDB_APP_CUST_ID: + fieldPtr = BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId); + fieldSize = 4u; + break; + + case BL_GET_METADATA_BTLDR_APP_VERSION: + fieldPtr = BL_MD_BTLDR_APP_VERSION_OFFSET(appId); + break; + + case BL_GET_METADATA_BTLDB_ADDR: + fieldPtr = BL_MD_BTLDB_ADDR_OFFSET(appId); + #if(!CY_PSOC3) + fieldSize = 4u; + #endif /* (!CY_PSOC3) */ + break; + + case BL_GET_METADATA_BTLDR_LAST_ROW: + fieldPtr = BL_MD_BTLDR_LAST_ROW_OFFSET(appId); + break; + + case BL_GET_METADATA_BTLDB_LENGTH: + fieldPtr = BL_MD_BTLDB_LENGTH_OFFSET(appId); + #if(!CY_PSOC3) + fieldSize = 4u; + #endif /* (!CY_PSOC3) */ + break; + + case BL_GET_METADATA_BTLDB_APP_VERSION: + fieldPtr = BL_MD_BTLDB_APP_VERSION_OFFSET(appId); + break; + + case BL_GET_METADATA_BTLDB_APP_ID: + fieldPtr = BL_MD_BTLDB_APP_ID_OFFSET(appId); + break; + + default: + /* Should never be here */ + CYASSERT(0u != 0u); + fieldPtr = 0u; + break; + } + + + /* Read all fields as big-endian */ + if (2u == fieldSize) + { + result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)); + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *) fieldPtr ) << 8u; + } + else + { + result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 3u)); + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 2u)) << 8u; + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)) << 16u; + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr )) << 24u; + } + + /* Following fields should be little-endian */ +#if(!CY_PSOC3) + switch (fieldName) + { + case BL_GET_METADATA_BTLDR_LAST_ROW: + result = CYSWAP_ENDIAN16(result); + break; + + case BL_GET_METADATA_BTLDB_ADDR: + case BL_GET_METADATA_BTLDB_LENGTH: + result = CYSWAP_ENDIAN32(result); + break; + + default: + break; + } + +#endif /* (!CY_PSOC3) */ + + return (result); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.h new file mode 100755 index 0000000..e459c55 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL.h @@ -0,0 +1,318 @@ +/******************************************************************************* +* File Name: BL.h +* Version 1.20 +* +* Description: +* Provides an API for the Bootloader. The API includes functions for starting +* boot loading operations, validating the application and jumping to the +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOTLOADER_BL_H) +#define CY_BOOTLOADER_BL_H + +#include "cytypes.h" + + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component Bootloader_v1_20 requires cy_boot v3.0 or later +#endif /* (CY_ PSOC5X) */ + + +#define BL_DUAL_APP_BOOTLOADER (0u) +#define BL_BOOTLOADER_APP_VERSION (0u) +#define BL_FAST_APP_VALIDATION (0u) +#define BL_PACKET_CHECKSUM_CRC (0u) +#define BL_WAIT_FOR_COMMAND (1u) +#define BL_WAIT_FOR_COMMAND_TIME (20u) +#define BL_BOOTLOADER_APP_VALIDATION (1u) + +#define BL_CMD_GET_FLASH_SIZE_AVAIL (1u) +#define BL_CMD_ERASE_ROW_AVAIL (1u) +#define BL_CMD_VERIFY_ROW_AVAIL (1u) +#define BL_CMD_SYNC_BOOTLOADER_AVAIL (1u) +#define BL_CMD_SEND_DATA_AVAIL (1u) +#define BL_CMD_GET_METADATA (0u) + +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_CMD_GET_APP_STATUS_AVAIL (1u) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Bootloadable applications identification +*******************************************************************************/ +#define BL_MD_BTLDB_ACTIVE_0 (0x00u) +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_MD_BTLDB_ACTIVE_1 (0x01u) + #define BL_MD_BTLDB_ACTIVE_NONE (0x02u) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/* Mask used to indicate starting application */ +#define BL_SCHEDULE_BTLDB (0x80u) +#define BL_SCHEDULE_BTLDR (0x40u) +#define BL_SCHEDULE_MASK (0xC0u) + + +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ +extern const uint8 CYCODE BL_Checksum; +extern const uint8 CYCODE *BL_ChecksumAccess; + + +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ +extern const uint32 CYCODE BL_SizeBytes; +extern const uint32 CYCODE *BL_SizeBytesAccess; + + +/******************************************************************************* +* This variable is used by Bootloader/Bootloadable components to schedule what +* application will be started after software reset. +*******************************************************************************/ +#if (CY_PSOC4) + #if defined(__ARMCC_VERSION) + __attribute__ ((section(".bootloaderruntype"), zero_init)) + #elif defined (__GNUC__) + __attribute__ ((section(".bootloaderruntype"))) + #elif defined (__ICCARM__) + #pragma location=".bootloaderruntype" + #endif /* defined(__ARMCC_VERSION) */ + extern volatile uint32 cyBtldrRunType; +#endif /* (CY_PSOC4) */ + + +#if(0u != BL_DUAL_APP_BOOTLOADER) + extern uint8 BL_activeApp; +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +#if(CY_PSOC4) + /* Reset Cause Observation Register */ + #define BL_RES_CAUSE_REG (* (reg32 *) CYREG_RES_CAUSE) + #define BL_RES_CAUSE_PTR ( (reg32 *) CYREG_RES_CAUSE) +#else + #define BL_RESET_SR0_REG (* (reg8 *) CYREG_RESET_SR0) + #define BL_RESET_SR0_PTR ( (reg8 *) CYREG_RESET_SR0) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Get the reason of the device reset +* Return cyBtldrRunType in case if software reset was reset reason and +* set cyBtldrRunType to zero (bootloader application is scheduled - that is +* initial clean state) and return zero. +*******************************************************************************/ +#if(CY_PSOC4) + #define BL_GET_RUN_TYPE (cyBtldrRunType) +#else + #define BL_GET_RUN_TYPE (BL_RESET_SR0_REG & BL_SCHEDULE_MASK) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Schedule Bootloader/Bootloadable to be run after software reset +*******************************************************************************/ +#if(CY_PSOC4) + #define BL_SET_RUN_TYPE(x) (cyBtldrRunType = (x)) +#else + #define BL_SET_RUN_TYPE(x) (BL_RESET_SR0_REG = (x)) +#endif /* (CY_PSOC4) */ + + +/* Returns the number of Flash arrays availalbe in the device */ +#define BL_NUM_OF_FLASH_ARRAYS (CYDEV_FLASH_SIZE / CYDEV_FLS_SECTOR_SIZE) + + +/******************************************************************************* +* External References +*******************************************************************************/ +void BL_SetFlashByte(uint32 address, uint8 runType); +void CyBtldr_CheckLaunch(void) CYSMALL ; +void BL_Start(void) CYSMALL ; + +#if(CY_PSOC3) + /* Implementation for the PSoC 3 resides in a BL_psoc3.a51 file. */ + extern void BL_LaunchBootloadable(uint32 appAddr); +#endif /* (CY_PSOC3) */ + +/* If using custom interface as the IO Component, user must provide these functions */ +#if defined(CYDEV_BOOTLOADER_IO_COMP) && (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface) + + extern void CyBtldrCommStart(void); + extern void CyBtldrCommStop (void); + extern void CyBtldrCommReset(void); + extern cystatus CyBtldrCommWrite(uint8* buffer, uint16 size, uint16* count, uint8 timeOut); + extern cystatus CyBtldrCommRead (uint8* buffer, uint16 size, uint16* count, uint8 timeOut); + +#endif /* defined(CYDEV_BOOTLOADER_IO_COMP) && (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface) */ + + +/******************************************************************************* +* Kept for backward compatibility. +*******************************************************************************/ +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_ValidateApp(x) BL_ValidateBootloadable((x)) + #define BL_ValidateApplication \ + BL_ValidateBootloadable(BL_MD_BTLDB_ACTIVE_0) +#else + #define BL_ValidateApplication \ + BL_ValidateBootloadable(BL_MD_BTLDB_ACTIVE_0) + #define BL_ValidateApp(x) BL_ValidateBootloadable((x)) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from version 1.10 +*******************************************************************************/ +#define BL_BOOTLOADABLE_APP_VALID (BL_BOOTLOADER_APP_VALIDATION) +#define CyBtldr_Start BL_Start + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from version 1.20 +*******************************************************************************/ +#define BL_META_BASE(x) (CYDEV_FLASH_BASE + \ + (CYDEV_FLASH_SIZE - (( uint32 )(x) * CYDEV_FLS_ROW_SIZE) - \ + BL_META_DATA_SIZE)) +#define BL_META_ARRAY (BL_NUM_OF_FLASH_ARRAYS - 1u) +#define BL_META_APP_ENTRY_POINT_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_ADDR_OFFSET) +#define BL_META_APP_BYTE_LEN(x) (BL_META_BASE(x) + \ + BL_META_APP_BYTE_LEN_OFFSET) +#define BL_META_APP_RUN_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_RUN_TYPE_OFFSET) +#define BL_META_APP_ACTIVE_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_ACTIVE_OFFSET) +#define BL_META_APP_VERIFIED_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_VERIFIED_OFFSET) +#define BL_META_APP_BLDBL_VER_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_BL_BUILD_VER_OFFSET) +#define BL_META_APP_VER_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_VER_OFFSET) +#define BL_META_APP_ID_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_ID_OFFSET) +#define BL_META_APP_CUST_ID_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_CUST_ID_OFFSET) +#define BL_META_LAST_BLDR_ROW_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_BL_LAST_ROW_OFFSET) +#define BL_META_CHECKSUM_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_CHECKSUM_OFFSET) +#if(0u == BL_DUAL_APP_BOOTLOADER) + #define BL_MD_BASE BL_META_BASE(0u) + #define BL_MD_ROW ((CY_FLASH_NUMBER_ROWS / BL_NUM_OF_FLASH_ARRAYS) \ + - 1u) + #define BL_MD_CHECKSUM_ADDR BL_META_CHECKSUM_ADDR(0u) + #define BL_MD_LAST_BLDR_ROW_ADDR BL_META_LAST_BLDR_ROW_ADDR(0u) + #define BL_MD_APP_BYTE_LEN BL_META_APP_BYTE_LEN(0u) + #define BL_MD_APP_VERIFIED_ADDR BL_META_APP_VERIFIED_ADDR(0u) + #define BL_MD_APP_ENTRY_POINT_ADDR BL_META_APP_ENTRY_POINT_ADDR(0u) + #define BL_MD_APP_RUN_ADDR BL_META_APP_RUN_ADDR(0u) +#else + #define BL_MD_ROW(x) ((CY_FLASH_NUMBER_ROWS / BL_NUM_OF_FLASH_ARRAYS) \ + - 1u - ( uint32 )(x)) + #define BL_MD_CHECKSUM_ADDR BL_META_CHECKSUM_ADDR(appId) + #define BL_MD_LAST_BLDR_ROW_ADDR BL_META_LAST_BLDR_ROW_ADDR(appId) + #define BL_MD_APP_BYTE_LEN BL_META_APP_BYTE_LEN(appId) + #define BL_MD_APP_VERIFIED_ADDR BL_META_APP_VERIFIED_ADDR(appId) + #define BL_MD_APP_ENTRY_POINT_ADDR \ + BL_META_APP_ENTRY_POINT_ADDR(BL_activeApp) + #define BL_MD_APP_RUN_ADDR BL_META_APP_RUN_ADDR(BL_activeApp) +#endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + +#define BL_P_APP_ACTIVE(x) ((uint8 CYCODE *) BL_META_APP_ACTIVE_ADDR(x)) +#define BL_MD_PTR_CHECKSUM ((uint8 CYCODE *) BL_MD_CHECKSUM_ADDR) +#define BL_MD_PTR_APP_ENTRY_POINT ((BL_APP_ADDRESS CYCODE *) \ + BL_MD_APP_ENTRY_POINT_ADDR) +#define BL_MD_PTR_LAST_BLDR_ROW ((uint16 CYCODE *) BL_MD_LAST_BLDR_ROW_ADDR) +#define BL_MD_PTR_APP_BYTE_LEN ((BL_APP_ADDRESS CYCODE *) \ + BL_MD_APP_BYTE_LEN) +#define BL_MD_PTR_APP_RUN_ADDR ((uint8 CYCODE *) BL_MD_APP_RUN_ADDR) +#define BL_MD_PTR_APP_VERIFIED ((uint8 CYCODE *) BL_MD_APP_VERIFIED_ADDR) +#define BL_MD_PTR_APP_BLD_BL_VER ((uint16 CYCODE *) BL_MD_APP_BLDBL_VER_ADDR) +#define BL_MD_PTR_APP_VER ((uint16 CYCODE *) BL_MD_APP_VER_ADDR) +#define BL_MD_PTR_APP_ID ((uint16 CYCODE *) BL_MD_APP_ID_ADDR) +#define BL_MD_PTR_APP_CUST_ID ((uint32 CYCODE *) BL_MD_APP_CUST_ID_ADDR) +#if(CY_PSOC3) + #define BL_APP_ADDRESS uint16 + #define BL_GET_CODE_DATA(idx) (*((uint8 CYCODE *) (idx))) + #define BL_GET_CODE_WORD(idx) (*((uint32 CYCODE *) (idx))) + #define BL_META_APP_ADDR_OFFSET (3u) + #define BL_META_APP_BL_LAST_ROW_OFFSET (7u) + #define BL_META_APP_BYTE_LEN_OFFSET (11u) + #define BL_META_APP_RUN_TYPE_OFFSET (15u) +#else + #define BL_APP_ADDRESS uint32 + #define BL_GET_CODE_DATA(idx) (*((uint8 *)(CYDEV_FLASH_BASE + (idx)))) + #define BL_GET_CODE_WORD(idx) (*((uint32 *)(CYDEV_FLASH_BASE + (idx)))) + #define BL_META_APP_ADDR_OFFSET (1u) + #define BL_META_APP_BL_LAST_ROW_OFFSET (5u) + #define BL_META_APP_BYTE_LEN_OFFSET (9u) + #define BL_META_APP_RUN_TYPE_OFFSET (13u) +#endif /* (CY_PSOC3) */ +#define BL_META_APP_ACTIVE_OFFSET (16u) +#define BL_META_APP_VERIFIED_OFFSET (17u) +#define BL_META_APP_BL_BUILD_VER_OFFSET (18u) +#define BL_META_APP_ID_OFFSET (20u) +#define BL_META_APP_VER_OFFSET (22u) +#define BL_META_APP_CUST_ID_OFFSET (24u) +#if (CY_PSOC4) + #define BL_GET_REG16(x) ((uint16)( \ + (( uint16 )(( uint16 )CY_GET_XTND_REG8((x) ) )) | \ + (( uint16 )(( uint16 )CY_GET_XTND_REG8((x) + 1u) << 8u)) \ + )) + + #define BL_GET_REG32(x) ( \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) ) )) | \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) + 1u) << 8u)) | \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) + 2u) << 16u)) | \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) + 3u) << 24u)) \ + ) +#endif /* (CY_PSOC4) */ +#define BL_META_APP_CHECKSUM_OFFSET (0u) +#define BL_META_DATA_SIZE (64u) +#if(CY_PSOC4) + extern uint8 appRunType; +#endif /* (CY_PSOC4) */ + +#if(CY_PSOC4) + #define BL_SOFTWARE_RESET CY_SET_REG32(CYREG_CM0_AIRCR, 0x05FA0004u) +#else + #define BL_SOFTWARE_RESET CY_SET_REG8(CYREG_RESET_CR2, 0x01u) +#endif /* (CY_PSOC4) */ + +#define BL_SetFlashRunType(runType) BL_SetFlashByte( \ + BL_MD_APP_RUN_ADDR(0), (runType)) + +#define BL_START_APP (BL_SCHEDULE_BTLDB) +#define BL_START_BTLDR (BL_SCHEDULE_BTLDR) + +/* Some PSoC Creator versions used to generate only one name types */ +#if !defined (CYDEV_FLASH_BASE) + #define CYDEV_FLASH_BASE (CYDEV_FLS_BASE) +#endif /* !defined (CYDEV_FLASH_BASE) */ + +#if !defined (CYDEV_FLASH_SIZE) + #define CYDEV_FLASH_SIZE (CYDEV_FLS_SIZE) +#endif /* CYDEV_FLASH_SIZE */ + + +#endif /* CY_BOOTLOADER_BL_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL_PVT.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL_PVT.h new file mode 100755 index 0000000..9d12d71 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/BL_PVT.h @@ -0,0 +1,315 @@ +/******************************************************************************* +* File Name: BL_PVT.h +* Version 1.20 +* +* Description: +* Provides an API for the Bootloader. +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOTLOADER_BL_PVT_H) +#define CY_BOOTLOADER_BL_PVT_H + +#include "BL.h" + + +typedef struct +{ + uint32 SiliconId; + uint8 Revision; + uint8 BootLoaderVersion[3u]; + +} BL_ENTER; + + +#define BL_VERSION {\ + (uint8)20, \ + (uint8)1, \ + (uint8)0x01u \ + } + +/* Packet framing constants. */ +#define BL_SOP (0x01u) /* Start of Packet */ +#define BL_EOP (0x17u) /* End of Packet */ + + +/* Bootloader command responces */ +#define BL_ERR_KEY (0x01u) /* The provided key does not match the expected value */ +#define BL_ERR_VERIFY (0x02u) /* The verification of flash failed */ +#define BL_ERR_LENGTH (0x03u) /* The amount of data available is outside the expected range */ +#define BL_ERR_DATA (0x04u) /* The data is not of the proper form */ +#define BL_ERR_CMD (0x05u) /* The command is not recognized */ +#define BL_ERR_DEVICE (0x06u) /* The expected device does not match the detected device */ +#define BL_ERR_VERSION (0x07u) /* The bootloader version detected is not supported */ +#define BL_ERR_CHECKSUM (0x08u) /* The checksum does not match the expected value */ +#define BL_ERR_ARRAY (0x09u) /* The flash array is not valid */ +#define BL_ERR_ROW (0x0Au) /* The flash row is not valid */ +#define BL_ERR_PROTECT (0x0Bu) /* The flash row is protected and can not be programmed */ +#define BL_ERR_APP (0x0Cu) /* The application is not valid and cannot be set as active */ +#define BL_ERR_ACTIVE (0x0Du) /* The application is currently marked as active */ +#define BL_ERR_UNK (0x0Fu) /* An unknown error occurred */ + + +/* Bootloader command definitions. */ +#define BL_COMMAND_CHECKSUM (0x31u) /* Verify the checksum for the bootloadable project */ +#define BL_COMMAND_REPORT_SIZE (0x32u) /* Report the programmable portions of flash */ +#define BL_COMMAND_APP_STATUS (0x33u) /* Gets status info about the provided app status */ +#define BL_COMMAND_ERASE (0x34u) /* Erase the specified flash row */ +#define BL_COMMAND_SYNC (0x35u) /* Sync the bootloader and host application */ +#define BL_COMMAND_APP_ACTIVE (0x36u) /* Sets the active application */ +#define BL_COMMAND_DATA (0x37u) /* Queue up a block of data for programming */ +#define BL_COMMAND_ENTER (0x38u) /* Enter the bootloader */ +#define BL_COMMAND_PROGRAM (0x39u) /* Program the specified row */ +#define BL_COMMAND_VERIFY (0x3Au) /* Compute flash row checksum for verification */ +#define BL_COMMAND_EXIT (0x3Bu) /* Exits the bootloader & resets the chip */ +#define BL_COMMAND_GET_METADATA (0x3Cu) /* Reports the metadata for a selected application */ + + +/******************************************************************************* +* Bootloader packet byte addresses: +* [1-byte] [1-byte ] [2-byte] [n-byte] [ 2-byte ] [1-byte] +* [ SOP ] [Command] [ Size ] [ Data ] [Checksum] [ EOP ] +*******************************************************************************/ +#define BL_SOP_ADDR (0x00u) /* Start of packet offset from beginning */ +#define BL_CMD_ADDR (0x01u) /* Command offset from beginning */ +#define BL_SIZE_ADDR (0x02u) /* Packet size offset from beginning */ +#define BL_DATA_ADDR (0x04u) /* Packet data offset from beginning */ +#define BL_CHK_ADDR(x) (0x04u + (x)) /* Packet checksum offset from end */ +#define BL_EOP_ADDR(x) (0x06u + (x)) /* End of packet offset from end */ +#define BL_MIN_PKT_SIZE (7u) /* The minimum number of bytes in a packet */ + + +/******************************************************************************* +BL_ValidateBootloadable() +*******************************************************************************/ +#define BL_FIRST_APP_BYTE(appId) ((uint32)CYDEV_FLS_ROW_SIZE * \ + ((uint32) BL_GetMetadata(BL_GET_METADATA_BTLDR_LAST_ROW, appId) + \ + (uint32) 1u)) + +#define BL_MD_BTLDB_IS_VERIFIED (0x01u) + + +/******************************************************************************* +* BL_Start() +*******************************************************************************/ +#define BL_MD_BTLDB_IS_ACTIVE (0x01u) +#define BL_WAIT_FOR_COMMAND_FOREVER (0x00u) + + + /* Maximum number of bytes accepted in a packet plus some */ +#define BL_SIZEOF_COMMAND_BUFFER (300u) + + +/******************************************************************************* +* BL_HostLink() +*******************************************************************************/ +#define BL_COMMUNICATION_STATE_IDLE (0u) +#define BL_COMMUNICATION_STATE_ACTIVE (1u) + +#if(!CY_PSOC4) + + /******************************************************************************* + * The Array ID indicates the unique ID of the SONOS array being accessed: + * - 0x00-0x3E : Flash Arrays + * - 0x3F : Selects all Flash arrays simultaneously + * - 0x40-0x7F : Embedded EEPROM Arrays + *******************************************************************************/ + #define BL_FIRST_FLASH_ARRAYID (0x00u) + #define BL_LAST_FLASH_ARRAYID (0x3Fu) + #define BL_FIRST_EE_ARRAYID (0x40u) + #define BL_LAST_EE_ARRAYID (0x7Fu) + +#endif /* (!CY_PSOC4) */ + + +/******************************************************************************* +* BL_CalcPacketChecksum() +*******************************************************************************/ +#if(0u != BL_PACKET_CHECKSUM_CRC) + #define BL_CRC_CCITT_POLYNOMIAL (0x8408u) /* x^16 + x^12 + x^5 + 1 */ + #define BL_CRC_CCITT_INITIAL_VALUE (0xffffu) +#endif /* (0u != BL_PACKET_CHECKSUM_CRC) */ + + +/******************************************************************************* +* BL_GetMetadata() +*******************************************************************************/ +#define BL_GET_METADATA_BTLDB_ADDR (1u) +#define BL_GET_METADATA_BTLDR_LAST_ROW (2u) +#define BL_GET_METADATA_BTLDB_LENGTH (3u) +#define BL_GET_METADATA_BTLDR_APP_VERSION (4u) +#define BL_GET_METADATA_BTLDB_APP_VERSION (5u) +#define BL_GET_METADATA_BTLDB_APP_ID (6u) +#define BL_GET_METADATA_BTLDB_APP_CUST_ID (7u) + + +/******************************************************************************* +* CyBtldr_CheckLaunch() +*******************************************************************************/ +#define BL_RES_CAUSE_RESET_SOFT (0x10u) + + +/******************************************************************************* +* Metadata addresses and pointer defines +*******************************************************************************/ +#define BL_MD_SIZEOF (64u) + + +/******************************************************************************* +* Metadata base address. In case of bootloader application, the metadata is +* placed at row N-1; in case of multi-application bootloader, the bootloadable +* application number 1 will use row N-1, and application number 2 will use row +* N-2 to store its metadata, where N is the total number of rows for the +* selected device. +*******************************************************************************/ +#define BL_MD_BASE_ADDR(appId) (CYDEV_FLASH_BASE + \ + (CYDEV_FLASH_SIZE - ((uint32)(appId) * CYDEV_FLS_ROW_SIZE) - \ + BL_MD_SIZEOF)) + +#define BL_MD_FLASH_ARRAY_NUM (BL_NUM_OF_FLASH_ARRAYS - 1u) + +#define BL_MD_ROW_NUM(appId) ((CY_FLASH_NUMBER_ROWS / BL_NUM_OF_FLASH_ARRAYS) - \ + 1u - (uint32)(appId)) + +#define BL_MD_BTLDB_CHECKSUM_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 0u) +#if(CY_PSOC3) + #define BL_MD_BTLDB_ADDR_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 3u) + #define BL_MD_BTLDR_LAST_ROW_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 7u) + #define BL_MD_BTLDB_LENGTH_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 11u) +#else + #define BL_MD_BTLDB_ADDR_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 1u) + #define BL_MD_BTLDR_LAST_ROW_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 5u) + #define BL_MD_BTLDB_LENGTH_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 9u) +#endif /* (CY_PSOC3) */ +#define BL_MD_BTLDB_ACTIVE_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 16u) +#define BL_MD_BTLDB_VERIFIED_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 17u) +#define BL_MD_BTLDR_APP_VERSION_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 18u) +#define BL_MD_BTLDB_APP_ID_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 20u) +#define BL_MD_BTLDB_APP_VERSION_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 22u) +#define BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 24u) + + +/******************************************************************************* +* Macro for 1 byte long metadata fields +*******************************************************************************/ +#define BL_MD_BTLDB_CHECKSUM_PTR (appId) \ + ((reg8 *)(BL_MD_BTLDB_CHECKSUM_OFFSET(appId))) +#define BL_MD_BTLDB_CHECKSUM_VALUE(appId) \ + (CY_GET_XTND_REG8(BL_MD_BTLDB_CHECKSUM_OFFSET(appId))) + +#define BL_MD_BTLDB_ACTIVE_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_ACTIVE_OFFSET(appId))) +#define BL_MD_BTLDB_ACTIVE_VALUE(appId) \ + (CY_GET_XTND_REG8(BL_MD_BTLDB_ACTIVE_OFFSET(appId))) + +#define BL_MD_BTLDB_VERIFIED_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_VERIFIED_OFFSET(appId))) +#define BL_MD_BTLDB_VERIFIED_VALUE(appId) \ + (CY_GET_XTND_REG8(BL_MD_BTLDB_VERIFIED_OFFSET(appId))) + + +/******************************************************************************* +* Macro for multiple bytes long metadata fields pointers +*******************************************************************************/ +#define BL_MD_BTLDB_ADDR_PTR (appId) \ + ((reg8 *)(BL_MD_BTLDB_ADDR_OFFSET(appId))) + +#define BL_MD_BTLDR_LAST_ROW_PTR (appId) \ + ((reg8 *)(BL_MD_BTLDR_LAST_ROW_OFFSET(appId))) + +#define BL_MD_BTLDB_LENGTH_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_LENGTH_OFFSET(appId))) + +#define BL_MD_BTLDR_APP_VERSION_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDR_APP_VERSION_OFFSET(appId))) + +#define BL_MD_BTLDB_APP_ID_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_APP_ID_OFFSET(appId))) + +#define BL_MD_BTLDB_APP_VERSION_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_APP_VERSION_OFFSET(appId))) + +#define BL_MD_BTLDB_APP_CUST_ID_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId))) + + +/******************************************************************************* +* Get data byte from FLASH +*******************************************************************************/ +#if(CY_PSOC3) + #define BL_GET_CODE_BYTE(addr) (*((uint8 CYCODE *) (addr))) +#else + #define BL_GET_CODE_BYTE(addr) (*((uint8 *)(CYDEV_FLASH_BASE + (addr)))) +#endif /* (CY_PSOC3) */ + + +#if(!CY_PSOC4) + #define BL_GET_EEPROM_BYTE(addr) (*((uint8 *)(CYDEV_EE_BASE + (addr)))) +#endif /* (CY_PSOC3) */ + + +/* Our definition of a row size. */ +#if((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0)) + #define BL_FROW_SIZE ((CYDEV_FLS_ROW_SIZE) + (CYDEV_ECC_ROW_SIZE)) +#else + #define BL_FROW_SIZE CYDEV_FLS_ROW_SIZE +#endif /* ((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0)) */ + + +/******************************************************************************* +* Offset of the Bootloader application in flash +*******************************************************************************/ +#if(CY_PSOC4) + #define BL_MD_BTLDR_ADDR_PTR (0xC0u) /* Exclude the vector */ +#else + #define BL_MD_BTLDR_ADDR_PTR (0x00u) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Maximum number of Bootloadable applications +*******************************************************************************/ +#if(1u == BL_DUAL_APP_BOOTLOADER) + #define BL_MAX_NUM_OF_BTLDB (0x02u) +#else + #define BL_MAX_NUM_OF_BTLDB (0x01u) +#endif /* (1u == BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Returns TRUE if row specified as parameter contains metadata section +*******************************************************************************/ +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_CONTAIN_METADATA(row) \ + ((BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_0) == (row)) || \ + (BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_1) == (row))) +#else + #define BL_CONTAIN_METADATA(row) \ + (BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_0) == (row)) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Metadata section is located at the last flash row for the Boootloader, for the +* Multi-Application Bootloader, metadata section of the Bootloadable application +* # 0 is located at the last flash row, and metadata section of the Bootloadable +* application # 1 is located in the flash row before last. +*******************************************************************************/ +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_GET_APP_ID(row) \ + ((BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_0) == (row)) ? \ + BL_MD_BTLDB_ACTIVE_0 : \ + BL_MD_BTLDB_ACTIVE_1) +#else + #define BL_GET_APP_ID(row) (BL_MD_BTLDB_ACTIVE_0) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + +#endif /* CY_BOOTLOADER_BL_PVT_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Iar.icf b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Iar.icf new file mode 100755 index 0000000..f5416ec --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Iar.icf @@ -0,0 +1,113 @@ +/*###ICF### Section handled by ICF editor, don't touch! ****/ +/*-Editor annotation file-*/ +/* IcfEditorFile="$TOOLKIT_DIR$\config\ide\IcfEditor\cortex_v1_0.xml" */ +/*-Specials-*/ +define symbol __ICFEDIT_intvec_start__ = 0x00000000; +/*-Memory Regions-*/ +define symbol __ICFEDIT_region_ROM_start__ = 0x0; +define symbol __ICFEDIT_region_ROM_end__ = 131072 - 1; +define symbol __ICFEDIT_region_RAM_start__ = 0x20000000 - (32768 / 2); +define symbol __ICFEDIT_region_RAM_end__ = 0x20000000 + (32768 / 2) - 1; +/*-Sizes-*/ +define symbol __ICFEDIT_size_cstack__ = 0x2000; +define symbol __ICFEDIT_size_heap__ = 0x0800; +/**** End of ICF editor section. ###ICF###*/ + + +/******** Definitions ********/ +define symbol CY_APPL_LOADABLE = 0; +define symbol CY_APPL_LOADER = 1; +define symbol CY_APPL_NUM = 1; +define symbol CY_APPL_MAX = 1; +define symbol CY_METADATA_SIZE = 64; +define symbol CY_EE_IN_BTLDR = 0x0; +define symbol CY_EE_SIZE = 2048; + +if (!CY_APPL_LOADABLE) { + define symbol CYDEV_BTLDR_SIZE = 0; +} + +define symbol CY_FLASH_SIZE = 131072; +define symbol CY_APPL_ORIGIN = 0; +define symbol CY_FLASH_ROW_SIZE = 256; +define symbol CY_ECC_ROW_SIZE = 32; + +define memory mem with size = 4G; +define region ROM_region = mem:[from __ICFEDIT_region_ROM_start__ to __ICFEDIT_region_ROM_end__]; +define region RAM_region = mem:[from __ICFEDIT_region_RAM_start__ to __ICFEDIT_region_RAM_end__]; + +define block CSTACK with alignment = 8, size = __ICFEDIT_size_cstack__ { }; +define block HEAP with alignment = 8, size = __ICFEDIT_size_heap__ { }; +define block HSTACK {block HEAP, last block CSTACK}; + +define block LOADER { readonly section .cybootloader }; +define block APPL with fixed order {readonly section .romvectors, readonly}; + +/* The address of Flash row next after Bootloader image */ +define symbol CY_BTLDR_END = CYDEV_BTLDR_SIZE + + ((CYDEV_BTLDR_SIZE % CY_FLASH_ROW_SIZE) ? + (CY_FLASH_ROW_SIZE - (CYDEV_BTLDR_SIZE % CY_FLASH_ROW_SIZE)) : 0); + +/* The start address of Standard/Loader/Loadable#1 image */ +define symbol CY_APPL1_START = CY_APPL_ORIGIN ? CY_APPL_ORIGIN : CY_BTLDR_END; + +/* The number of metadata records located at the end of Flash */ +define symbol CY_METADATA_CNT = (CY_APPL_NUM == 2) ? 2 : ((CY_APPL_LOADER || CY_APPL_LOADABLE) ? 1 : 0); + +/* The application area size measured in rows */ +define symbol CY_APPL_ROW_CNT = ((CY_FLASH_SIZE - CY_APPL1_START) / CY_FLASH_ROW_SIZE) - CY_METADATA_CNT; + +/* The start address of Loadable#2 image if any */ +define symbol CY_APPL2_START = CY_APPL1_START + (CY_APPL_ROW_CNT / 2 + CY_APPL_ROW_CNT % 2) * CY_FLASH_ROW_SIZE; + +/* The current image (Standard/Loader/Loadable) start address */ +define symbol CY_APPL_START = (CY_APPL_NUM == 1) ? CY_APPL1_START : CY_APPL2_START; + +/* The ECC data placement address */ +define exported symbol CY_ECC_OFFSET = (CY_APPL_START / CY_FLASH_ROW_SIZE) * CY_ECC_ROW_SIZE; + +/* The EEPROM offset and size that can be used by current application (Standard/Loader/Loadable) */ +define symbol CY_EE_OFFSET = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? ((CY_EE_SIZE / CY_APPL_MAX) * (CY_APPL_NUM - 1)) : 0; +define symbol CY_EE_IN_USE = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? (CY_EE_SIZE / CY_APPL_MAX) : CY_EE_SIZE; + +/* Define EEPROM region */ +define region EEPROM_region = mem:[from (0x90200000 + CY_EE_OFFSET) size CY_EE_IN_USE]; + +/* Define APPL region that will limit application size */ +define region APPL_region = mem:[from CY_APPL_START size CY_APPL_ROW_CNT * CY_FLASH_ROW_SIZE]; + + +/****** Initializations ******/ +initialize by copy { readwrite }; +do not initialize { section .noinit }; +do not initialize { readwrite section .ramvectors }; + +/******** Placements *********/ +".cybootloader" : place at start of ROM_region {block LOADER}; +"APPL" : place at start of APPL_region {block APPL}; + +"RAMVEC" : place at start of RAM_region { readwrite section .ramvectors }; +"readwrite" : place in RAM_region { readwrite }; +"HSTACK" : place at end of RAM_region { block HSTACK}; + +keep { section .cybootloader, + section .cyloadermeta, + section .cyloadablemeta, + section .cyconfigecc, + section .cycustnvl, + section .cywolatch, + section .cyeeprom, + section .cyflashprotect, + section .cymeta }; + +".cyloadermeta" : place at address mem : (CY_APPL_LOADER ? (CY_FLASH_SIZE - CY_METADATA_SIZE) : 0xF0000000) { readonly section .cyloadermeta }; +".cyloadablemeta" : place at address mem : (CY_FLASH_SIZE - CY_FLASH_ROW_SIZE * (CY_APPL_NUM - 1) - CY_METADATA_SIZE) { readonly section .cyloadablemeta }; +".cyconfigecc" : place at address mem : (0x80000000 + CY_ECC_OFFSET) { readonly section .cyconfigecc }; +".cycustnvl" : place at address mem : 0x90000000 { readonly section .cycustnvl }; +".cywolatch" : place at address mem : 0x90100000 { readonly section .cywolatch }; +".cyeeprom" : place in EEPROM_region { readonly section .cyeeprom }; +".cyflashprotect" : place at address mem : 0x90400000 { readonly section .cyflashprotect }; +".cymeta" : place at address mem : 0x90500000 { readonly section .cymeta }; + + +/* EOF */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3RealView.scat b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3RealView.scat new file mode 100755 index 0000000..7c39f66 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3RealView.scat @@ -0,0 +1,190 @@ +#! armcc -E +; The first line specifies a preprocessor command that the linker invokes +; to pass a scatter file through a C preprocessor. + +;******************************************************************************** +;* File Name: Cm3RealView.scat +;* Version 4.0 +;* +;* Description: +;* This Linker Descriptor file describes the memory layout of the PSoC5 +;* device. The memory layout of the final binary and hex images as well as +;* the placement in PSoC5 memory is described. +;* +;* +;* Note: +;* +;* romvectors: Cypress default Interrupt sevice routine vector table. +;* +;* This is the ISR vector table at bootup. Used only for the reset vector. +;* +;* +;* ramvectors: Cypress ram interrupt service routine vector table. +;* +;* This is the ISR vector table used by the application. +;* +;* +;******************************************************************************** +;* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +;* You may use this file only in accordance with the license, terms, conditions, +;* disclaimers, and limitations in the end user license agreement accompanying +;* the software package with which this file was provided. +;********************************************************************************/ +#include "cyfitter.h" + +#define CY_FLASH_SIZE 131072 +#define CY_APPL_ORIGIN 0 +#define CY_FLASH_ROW_SIZE 256 +#define CY_ECC_ROW_SIZE 32 +#define CY_EE_SIZE 2048 +#define CY_METADATA_SIZE 64 + + +; Define application base address +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + #define CY_APPL_NUM 1 + #define CY_APPL_MAX 1 + #define CY_EE_IN_BTLDR + + #if CY_APPL_ORIGIN + #define APPL1_START CY_APPL_ORIGIN + #else + #define APPL1_START AlignExpr(ImageLimit(CYBOOTLOADER), CY_FLASH_ROW_SIZE) + #endif + + #define APPL_START (APPL1_START + AlignExpr(((CY_FLASH_SIZE - APPL1_START - 2 * CY_FLASH_ROW_SIZE) / 2 ) * (CY_APPL_NUM - 1), CY_FLASH_ROW_SIZE)) + #define ECC_OFFSET ((APPL_START / CY_FLASH_ROW_SIZE) * CY_ECC_ROW_SIZE) + #define EE_OFFSET (CY_EE_IN_BTLDR ? 0 : (CY_EE_SIZE / CY_APPL_MAX) * (CY_APPL_NUM - 1)) + #define EE_SIZE (CY_EE_IN_BTLDR ? CY_EE_SIZE : (CY_EE_SIZE / CY_APPL_MAX)) + +#else + + #define APPL_START 0 + #define ECC_OFFSET 0 + #define EE_OFFSET 0 + #define EE_SIZE CY_EE_SIZE + +#endif + + +; Place Bootloader at the beginning of Flash +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + + CYBOOTLOADER 0 + { + .cybootloader +0 + { + * (.cybootloader) + } + } + + #if CY_APPL_ORIGIN + ScatterAssert(APPL_START > LoadLimit(CYBOOTLOADER)) + #endif + +#endif + + +APPLICATION APPL_START (CY_FLASH_SIZE - APPL_START) +{ + VECTORS +0 + { + * (.romvectors) + } + + CODE +0 + { + * (+RO) + } + + ISRVECTORS (0x20000000 - (32768 / 2)) UNINIT + { + * (.ramvectors) + } + + NOINIT_DATA +0 UNINIT + { + * (.noinit) + } + + DATA +0 + { + .ANY (+RW, +ZI) + } + + ARM_LIB_HEAP (0x20000000 + (32768 / 2) - 0x0800 - 0x2000) EMPTY 0x0800 + { + } + + ARM_LIB_STACK (0x20000000 + (32768 / 2)) EMPTY -0x2000 + { + } +} + + +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_BOOTLOADER || CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER) + + CYLOADERMETA (CY_FLASH_SIZE - CY_METADATA_SIZE) + { + .cyloadermeta +0 { * (.cyloadermeta) } + } + +#else + + #if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + + CYLOADABLEMETA (CY_FLASH_SIZE - CY_FLASH_ROW_SIZE * (CY_APPL_NUM - 1) - CY_METADATA_SIZE) + { + .cyloadablemeta +0 { * (.cyloadablemeta) } + } + + #endif + +#endif + +#if (CYDEV_ECC_ENABLE == 0) + + CYCONFIGECC (0x80000000 + ECC_OFFSET) + { + .cyconfigecc +0 { * (.cyconfigecc) } + } + +#endif + +CYCUSTNVL 0x90000000 +{ + .cycustnvl +0 { * (.cycustnvl) } +} + +CYWOLATCH 0x90100000 +{ + .cywolatch +0 { * (.cywolatch) } +} + +#if defined(CYDEV_ALLOCATE_EEPROM) + + CYEEPROM 0x90200000 + EE_OFFSET (EE_SIZE) + { + .cyeeprom +0 { * (.cyeeprom) } + } + +#endif + +CYFLASHPROTECT 0x90400000 +{ + .cyflashprotect +0 { * (.cyflashprotect) } +} + +CYMETA 0x90500000 +{ + .cymeta +0 { * (.cymeta) } +} + +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + + CYLOADERMETA +0 + { + .cyloadermeta +0 { * (.cyloadermeta) } + } + +#endif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Start.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Start.c new file mode 100755 index 0000000..f4d6607 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/Cm3Start.c @@ -0,0 +1,461 @@ +/******************************************************************************* +* File Name: Cm3Start.c +* Version 4.0 +* +* Description: +* Startup code for the ARM CM3. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "cydevice_trm.h" +#include "cytypes.h" +#include "cyfitter_cfg.h" +#include "CyLib.h" +#include "CyDmac.h" +#include "cyfitter.h" + +#define CY_NUM_INTERRUPTS (32u) +#define CY_NUM_VECTORS (CYINT_IRQ_BASE + CY_NUM_INTERRUPTS) +#define CY_NUM_ROM_VECTORS (4u) +#define CY_NVIC_APINT_PTR ((reg32 *) CYREG_NVIC_APPLN_INTR) +#define CY_NVIC_CFG_CTRL_PTR ((reg32 *) CYREG_NVIC_CFG_CONTROL) +#define CY_NVIC_APINT_PRIGROUP_3_5 (0x00000400u) /* Priority group 3.5 split */ +#define CY_NVIC_APINT_VECTKEY (0x05FA0000u) /* This key is required in order to write the NVIC_APINT register */ +#define CY_NVIC_CFG_STACKALIGN (0x00000200u) /* This specifies that the exception stack must be 8 byte aligned */ + + +/* Extern functions */ +extern void CyBtldr_CheckLaunch(void); + +/* Function prototypes */ +void initialize_psoc(void); +CY_ISR(IntDefaultHandler); +void Reset(void); +CY_ISR(IntDefaultHandler); + +#if defined(__ARMCC_VERSION) + #define INITIAL_STACK_POINTER ((cyisraddress)(uint32)&Image$$ARM_LIB_STACK$$ZI$$Limit) +#elif defined (__GNUC__) + #define INITIAL_STACK_POINTER (&__cy_stack) +#elif defined (__ICCARM__) + #pragma language=extended + #pragma segment="CSTACK" + #define INITIAL_STACK_POINTER { .__ptr = __sfe( "CSTACK" ) } + + extern void __iar_program_start( void ); + extern void __iar_data_init3 (void); +#endif /* (__ARMCC_VERSION) */ + +/* Global variables */ +#if !defined (__ICCARM__) + CY_NOINIT static uint32 cySysNoInitDataValid; +#endif /* !defined (__ICCARM__) */ + + +/******************************************************************************* +* Default Ram Interrupt Vector table storage area. Must be 256-byte aligned. +*******************************************************************************/ +#if defined (__ICCARM__) + #pragma location=".ramvectors" + #pragma data_alignment=256 +#else + CY_SECTION(".ramvectors") + CY_ALIGN(256) +#endif /* defined (__ICCARM__) */ +cyisraddress CyRamVectors[CY_NUM_VECTORS]; + + +/******************************************************************************* +* Function Name: IntDefaultHandler +******************************************************************************** +* +* Summary: +* This function is called for all interrupts, other than reset, that get +* called before the system is setup. +* +* Parameters: +* None +* +* Return: +* None +* +* Theory: +* Any value other than zero is acceptable. +* +*******************************************************************************/ +CY_ISR(IntDefaultHandler) +{ + + while(1) + { + /*********************************************************************** + * We should never get here. If we do, a serious problem occured, so go + * into an infinite loop. + ***********************************************************************/ + } +} + + +#if defined(__ARMCC_VERSION) + +/* Local function for the device reset. */ +extern void Reset(void); + +/* Application entry point. */ +extern void $Super$$main(void); + +/* Linker-generated Stack Base addresses, Two Region and One Region */ +extern uint32 Image$$ARM_LIB_STACK$$ZI$$Limit; + +/* RealView C Library initialization. */ +extern int __main(void); + + +/******************************************************************************* +* Function Name: Reset +******************************************************************************** +* +* Summary: +* This function handles the reset interrupt for the RVDS/MDK toolchains. +* This is the first bit of code that is executed at startup. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void Reset(void) +{ + #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + + /* For PSoC 5LP, debugging is enabled by default */ + #if(CYDEV_DEBUGGING_ENABLE == 0) + *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + #endif /* (CYDEV_DEBUGGING_ENABLE) */ + + /* Reset Status Register has Read-to-clear SW access mode. + * Preserve current RESET_SR0 state to make it available for next reading. + */ + *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + + #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + + #if(CYDEV_BOOTLOADER_ENABLE) + CyBtldr_CheckLaunch(); + #endif /* (CYDEV_BOOTLOADER_ENABLE) */ + + __main(); +} + + +/******************************************************************************* +* Function Name: $Sub$$main +******************************************************************************** +* +* Summary: +* This function is called imediatly before the users main +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void $Sub$$main(void) +{ + initialize_psoc(); + + /* Call original main */ + $Super$$main(); + + while (1) + { + /* If main returns it is undefined what we should do. */ + } +} + +#elif defined(__GNUC__) + +void Start_c(void); + +/* Stack Base address */ +extern void __cy_stack(void); + +/* Application entry point. */ +extern int main(void); + +/* The static objects constructors initializer */ +extern void __libc_init_array(void); + +typedef unsigned char __cy_byte_align8 __attribute ((aligned (8))); + +struct __cy_region +{ + __cy_byte_align8 *init; /* Initial contents of this region. */ + __cy_byte_align8 *data; /* Start address of region. */ + size_t init_size; /* Size of initial data. */ + size_t zero_size; /* Additional size to be zeroed. */ +}; + +extern const struct __cy_region __cy_regions[]; +extern const char __cy_region_num __attribute__((weak)); +#define __cy_region_num ((size_t)&__cy_region_num) + + +/******************************************************************************* +* Function Name: Reset +******************************************************************************** +* +* Summary: +* This function handles the reset interrupt for the GCC toolchain. This is the +* first bit of code that is executed at startup. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void Reset(void) +{ + #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + + /* For PSoC 5LP, debugging is enabled by default */ + #if(CYDEV_DEBUGGING_ENABLE == 0) + *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + #endif /* (CYDEV_DEBUGGING_ENABLE) */ + + /* Reset Status Register has Read-to-clear SW access mode. + * Preserve current RESET_SR0 state to make it available for next reading. + */ + *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + + #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + + #if(CYDEV_BOOTLOADER_ENABLE) + CyBtldr_CheckLaunch(); + #endif /* (CYDEV_BOOTLOADER_ENABLE) */ + + Start_c(); +} + +__attribute__((weak)) +void _exit(int status) +{ + /* Cause a divide by 0 exception */ + int x = status / INT_MAX; + x = 4 / x; + + while(1) + { + } +} + +/******************************************************************************* +* Function Name: Start_c +******************************************************************************** +* +* Summary: +* This function handles initializing the .data and .bss sections in +* preperation for running standard C code. Once initialization is complete +* it will call main(). This function will never return. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void Start_c(void) __attribute__ ((noreturn)); +void Start_c(void) +{ + unsigned regions = __cy_region_num; + const struct __cy_region *rptr = __cy_regions; + + /* Initialize memory */ + for (regions = __cy_region_num, rptr = __cy_regions; regions--; rptr++) + { + uint32 *src = (uint32 *)rptr->init; + uint32 *dst = (uint32 *)rptr->data; + unsigned limit = rptr->init_size; + unsigned count; + + for (count = 0u; count != limit; count += sizeof (uint32)) + { + *dst++ = *src++; + } + limit = rptr->zero_size; + for (count = 0u; count != limit; count += sizeof (uint32)) + { + *dst++ = 0u; + } + } + + /* Invoke static objects constructors */ + __libc_init_array(); + (void) main(); + + while (1) + { + /* If main returns, make sure we don't return. */ + } +} + + +#elif defined (__ICCARM__) + +/******************************************************************************* +* Function Name: __low_level_init +******************************************************************************** +* +* Summary: +* This function perform early initializations for the IAR Embedded +* Workbench IDE. It is executed in the context of reset interrupt handler +* before the data sections are initialized. +* +* Parameters: +* None +* +* Return: +* The value that determines whether or not data sections should be initialized +* by the system startup code: +* 0 - skip data sections initialization; +* 1 - initialize data sections; +* +*******************************************************************************/ +int __low_level_init(void) +{ + #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + + /* For PSoC 5LP, debugging is enabled by default */ + #if(CYDEV_DEBUGGING_ENABLE == 0) + *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + #endif /* (CYDEV_DEBUGGING_ENABLE) */ + + /* Reset Status Register has Read-to-clear SW access mode. + * Preserve current RESET_SR0 state to make it available for next reading. + */ + *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + + #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + + #if (CYDEV_BOOTLOADER_ENABLE) + CyBtldr_CheckLaunch(); + #endif /* CYDEV_BOOTLOADER_ENABLE */ + + /* Initialize data sections */ + __iar_data_init3(); + + initialize_psoc(); + + return 0; +} + +#endif /* __GNUC__ */ + + +/******************************************************************************* +* +* Default Rom Interrupt Vector table. +* +*******************************************************************************/ +#if defined(__ARMCC_VERSION) + /* Suppress diagnostic message 1296-D: extended constant initialiser used */ + #pragma diag_suppress 1296 +#endif /* defined(__ARMCC_VERSION) */ + +#if defined (__ICCARM__) + #pragma location=".romvectors" + const intvec_elem __vector_table[CY_NUM_ROM_VECTORS] = +#else + CY_SECTION(".romvectors") + const cyisraddress RomVectors[CY_NUM_ROM_VECTORS] = +#endif /* defined (__ICCARM__) */ +{ + INITIAL_STACK_POINTER, /* The initial stack pointer 0 */ + #if defined (__ICCARM__) /* The reset handler 1 */ + __iar_program_start, + #else + (cyisraddress)&Reset, + #endif /* defined (__ICCARM__) */ + &IntDefaultHandler, /* The NMI handler 2 */ + &IntDefaultHandler, /* The hard fault handler 3 */ +}; + +#if defined(__ARMCC_VERSION) + #pragma diag_default 1296 +#endif /* defined(__ARMCC_VERSION) */ + + +/******************************************************************************* +* Function Name: initialize_psoc +******************************************************************************** +* +* Summary: +* This function used to initialize the PSoC chip before calling main. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +#if (defined(__GNUC__) && !defined(__ARMCC_VERSION)) +__attribute__ ((constructor(101))) +#endif +void initialize_psoc(void) +{ + uint32 i; + + /* Set Priority group 5. */ + + /* Writes to NVIC_APINT register require the VECTKEY in the upper half */ + *CY_NVIC_APINT_PTR = CY_NVIC_APINT_VECTKEY | CY_NVIC_APINT_PRIGROUP_3_5; + *CY_NVIC_CFG_CTRL_PTR |= CY_NVIC_CFG_STACKALIGN; + + /* Set Ram interrupt vectors to default functions. */ + for (i = 0u; i < CY_NUM_VECTORS; i++) + { + #if defined (__ICCARM__) + CyRamVectors[i] = (i < CY_NUM_ROM_VECTORS) ? __vector_table[i].__fun : &IntDefaultHandler; + #else + CyRamVectors[i] = (i < CY_NUM_ROM_VECTORS) ? RomVectors[i] : &IntDefaultHandler; + #endif /* defined (__ICCARM__) */ + } + + /* Was stored in CFGMEM to avoid being cleared while SRAM gets cleared */ + CyResetStatus = CY_GET_REG8(CYREG_PHUB_CFGMEM23_CFG1); + + /* Point NVIC at the RAM vector table. */ + *CYINT_VECT_TABLE = CyRamVectors; + + /* Initialize the configuration registers. */ + cyfitter_cfg(); + + #if(0u != DMA_CHANNELS_USED__MASK0) + + /* Setup DMA - only necessary if the design contains a DMA component. */ + CyDmacConfigure(); + + #endif /* (0u != DMA_CHANNELS_USED__MASK0) */ + + #if !defined (__ICCARM__) + /* Actually, no need to clean this variable, just to make compiler happy. */ + cySysNoInitDataValid = 0u; + #endif /* !defined (__ICCARM__) */ +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmGnu.s b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmGnu.s new file mode 100755 index 0000000..a8797f7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmGnu.s @@ -0,0 +1,174 @@ +/******************************************************************************* +* File Name: CyBootAsmGnu.s +* Version 4.0 +* +* Description: +* Assembly routines for GNU as. +* +******************************************************************************** +* Copyright 2010-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +.include "cyfittergnu.inc" + +.syntax unified +.text +.thumb + + +/******************************************************************************* +* Function Name: CyDelayCycles +******************************************************************************** +* +* Summary: +* Delays for the specified number of cycles. +* +* Parameters: +* uint32 cycles: number of cycles to delay. +* +* Return: +* None +* +*******************************************************************************/ +/* void CyDelayCycles(uint32 cycles) */ +.align 3 /* Align to 8 byte boundary (2^n) */ +.global CyDelayCycles +.func CyDelayCycles, CyDelayCycles +.type CyDelayCycles, %function +.thumb_func +CyDelayCycles: /* cycles bytes */ +/* If ICache is enabled */ +.ifeq CYDEV_INSTRUCT_CACHE_ENABLED - 1 + + ADDS r0, r0, #2 /* 1 2 Round to nearest multiple of 4 */ + LSRS r0, r0, #2 /* 1 2 Divide by 4 and set flags */ + BEQ CyDelayCycles_done /* 2 2 Skip if 0 */ + NOP /* 1 2 Loop alignment padding */ + +CyDelayCycles_loop: + SUBS r0, r0, #1 /* 1 2 */ + MOV r0, r0 /* 1 2 Pad loop to power of two cycles */ + BNE CyDelayCycles_loop /* 2 2 */ + +CyDelayCycles_done: + BX lr /* 3 2 */ + +.else + + CMP r0, #20 /* 1 2 If delay is short - jump to cycle */ + BLS CyDelayCycles_short /* 1 2 */ + PUSH {r1} /* 2 2 PUSH r1 to stack */ + MOVS r1, #1 /* 1 2 */ + + SUBS r0, r0, #20 /* 1 2 Subtract overhead */ + LDR r1,=CYREG_CACHE_CC_CTL/* 2 2 Load flash wait cycles value */ + LDRB r1, [r1, #0] /* 2 2 */ + ANDS r1, #0xC0 /* 1 2 */ + + LSRS r1, r1, #6 /* 1 2 */ + PUSH {r2} /* 1 2 PUSH r2 to stack */ + LDR r2, =cy_flash_cycles /* 2 2 */ + LDRB r1, [r2, r1] /* 2 2 */ + + POP {r2} /* 2 2 POP r2 from stack */ + NOP /* 1 2 Alignment padding */ + NOP /* 1 2 Alignment padding */ + NOP /* 1 2 Alignment padding */ + +CyDelayCycles_loop: + SBCS r0, r0, r1 /* 1 2 */ + BPL CyDelayCycles_loop /* 3 2 */ + NOP /* 1 2 Loop alignment padding */ + NOP /* 1 2 Loop alignment padding */ + + POP {r1} /* 2 2 POP r1 from stack */ +CyDelayCycles_done: + BX lr /* 3 2 */ + NOP /* 1 2 Alignment padding */ + NOP /* 1 2 Alignment padding */ + +CyDelayCycles_short: + SBCS r0, r0, #4 /* 1 2 */ + BPL CyDelayCycles_short /* 3 2 */ + BX lr /* 3 2 */ + +cy_flash_cycles: +.byte 0x0B +.byte 0x05 +.byte 0x07 +.byte 0x09 +.endif + +.endfunc + + +/******************************************************************************* +* Function Name: CyEnterCriticalSection +******************************************************************************** +* +* Summary: +* CyEnterCriticalSection disables interrupts and returns a value indicating +* whether interrupts were previously enabled (the actual value depends on +* whether the device is PSoC 3 or PSoC 5). +* +* Note Implementation of CyEnterCriticalSection manipulates the IRQ enable bit +* with interrupts still enabled. The test and set of the interrupt bits is not +* atomic; this is true for both PSoC 3 and PSoC 5. Therefore, to avoid +* corrupting processor state, it must be the policy that all interrupt routines +* restore the interrupt enable bits as they were found on entry. +* +* Parameters: +* None +* +* Return: +* uint8 +* Returns 0 if interrupts were previously enabled or 1 if interrupts +* were previously disabled. +* +*******************************************************************************/ +/* uint8 CyEnterCriticalSection(void) */ +.global CyEnterCriticalSection +.func CyEnterCriticalSection, CyEnterCriticalSection +.type CyEnterCriticalSection, %function +.thumb_func +CyEnterCriticalSection: + MRS r0, PRIMASK /* Save and return interrupt state */ + CPSID I /* Disable interrupts */ + BX lr +.endfunc + + +/******************************************************************************* +* Function Name: CyExitCriticalSection +******************************************************************************** +* +* Summary: +* CyExitCriticalSection re-enables interrupts if they were enabled before +* CyEnterCriticalSection was called. The argument should be the value returned +* from CyEnterCriticalSection. +* +* Parameters: +* uint8 savedIntrStatus: +* Saved interrupt status returned by the CyEnterCriticalSection function. +* +* Return: +* None +* +*******************************************************************************/ +/* void CyExitCriticalSection(uint8 savedIntrStatus) */ +.global CyExitCriticalSection +.func CyExitCriticalSection, CyExitCriticalSection +.type CyExitCriticalSection, %function +.thumb_func +CyExitCriticalSection: + MSR PRIMASK, r0 /* Restore interrupt state */ + BX lr +.endfunc + +.end + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmIar.s b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmIar.s new file mode 100755 index 0000000..166ba87 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmIar.s @@ -0,0 +1,156 @@ +;------------------------------------------------------------------------------- +; FILENAME: CyBootAsmIar.s +; Version 4.0 +; +; DESCRIPTION: +; Assembly routines for IAR Embedded Workbench IDE. +; +;------------------------------------------------------------------------------- +; Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + SECTION .text:CODE:ROOT(4) + PUBLIC CyDelayCycles + PUBLIC CyEnterCriticalSection + PUBLIC CyExitCriticalSection + INCLUDE cyfitteriar.inc + THUMB + + +;------------------------------------------------------------------------------- +; Function Name: CyEnterCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyEnterCriticalSection disables interrupts and returns a value indicating +; whether interrupts were previously enabled. +; +; Note Implementation of CyEnterCriticalSection manipulates the IRQ enable bit +; with interrupts still enabled. The test and set of the interrupt bits is not +; atomic. Therefore, to avoid corrupting processor state, it must be the policy +; that all interrupt routines restore the interrupt enable bits as they were +; found on entry. +; +; Parameters: +; None +; +; Return: +; uint8 +; Returns 0 if interrupts were previously enabled or 1 if interrupts +; were previously disabled. +; +;------------------------------------------------------------------------------- +; uint8 CyEnterCriticalSection(void) + +CyEnterCriticalSection: + MRS r0, PRIMASK ; Save and return interrupt state + CPSID I ; Disable interrupts + BX lr + + +;------------------------------------------------------------------------------- +; Function Name: CyExitCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyExitCriticalSection re-enables interrupts if they were enabled before +; CyEnterCriticalSection was called. The argument should be the value returned +; from CyEnterCriticalSection. +; +; Parameters: +; uint8 savedIntrStatus: +; Saved interrupt status returned by the CyEnterCriticalSection function. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyExitCriticalSection(uint8 savedIntrStatus) + +CyExitCriticalSection: + MSR PRIMASK, r0 ; Restore interrupt state + BX lr + + +;------------------------------------------------------------------------------- +; Function Name: CyDelayCycles +;------------------------------------------------------------------------------- +; +; Summary: +; Delays for the specified number of cycles. +; +; Parameters: +; uint32 cycles: number of cycles to delay. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyDelayCycles(uint32 cycles) + +CyDelayCycles: + IF CYDEV_INSTRUCT_CACHE_ENABLED == 1 + ; cycles bytes + ADDS r0, r0, #2 ; 1 2 Round to nearest multiple of 4 + LSRS r0, r0, #2 ; 1 2 Divide by 4 and set flags + BEQ CyDelayCycles_done ; 2 2 Skip if 0 + NOP ; 1 2 Loop alignment padding +CyDelayCycles_loop: + SUBS r0, r0, #1 ; 1 2 + MOV r0, r0 ; 1 2 Pad loop to power of two cycles + BNE CyDelayCycles_loop ; 2 2 +CyDelayCycles_done: + BX lr ; 3 2 + + ELSE + + CMP r0, #20 ; 1 2 If delay is short - jump to cycle + BLS CyDelayCycles_short ; 1 2 + PUSH {r1} ; 2 2 PUSH r1 to stack + MOVS r1, #1 ; 1 2 + + SUBS r0, r0, #20 ; 1 2 Subtract overhead + LDR r1,=CYREG_CACHE_CC_CTL; 2 2 Load flash wait cycles value + LDRB r1, [r1, #0] ; 2 2 + ANDS r1, r1, #0xC0 ; 1 2 + + LSRS r1, r1, #6 ; 1 2 + PUSH {r2} ; 1 2 PUSH r2 to stack + LDR r2, =cy_flash_cycles ; 2 2 + LDRB r1, [r2, r1] ; 2 2 + + POP {r2} ; 2 2 POP r2 from stack + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + +CyDelayCycles_loop: + SBCS r0, r0, r1 ; 1 2 + BPL CyDelayCycles_loop ; 3 2 + NOP ; 1 2 Loop alignment padding + NOP ; 1 2 Loop alignment padding + + POP {r1} ; 2 2 POP r1 from stack +CyDelayCycles_done: + BX lr ; 3 2 + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding +CyDelayCycles_short: + SBCS r0, r0, #4 ; 1 2 + BPL CyDelayCycles_short ; 3 2 + BX lr ; 3 2 + NOP ; 1 2 Loop alignment padding + + DATA +cy_flash_cycles: +byte_1 DCB 0x0B +byte_2 DCB 0x05 +byte_3 DCB 0x07 +byte_4 DCB 0x09 + + ENDIF + + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmRv.s b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmRv.s new file mode 100755 index 0000000..6c40635 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyBootAsmRv.s @@ -0,0 +1,161 @@ +;------------------------------------------------------------------------------- +; FILENAME: CyBootAsmRv.s +; Version 4.0 +; +; DESCRIPTION: +; Assembly routines for RealView. +; +;------------------------------------------------------------------------------- +; Copyright 2010-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + AREA |.text|,CODE,ALIGN=3 + THUMB + EXTERN Reset + + GET cyfitterrv.inc + +;------------------------------------------------------------------------------- +; Function Name: CyDelayCycles +;------------------------------------------------------------------------------- +; +; Summary: +; Delays for the specified number of cycles. +; +; Parameters: +; uint32 cycles: number of cycles to delay. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyDelayCycles(uint32 cycles) + ALIGN 8 +CyDelayCycles FUNCTION + EXPORT CyDelayCycles + IF CYDEV_INSTRUCT_CACHE_ENABLED == 1 + ; cycles bytes + ADDS r0, r0, #2 ; 1 2 Round to nearest multiple of 4 + LSRS r0, r0, #2 ; 1 2 Divide by 4 and set flags + BEQ CyDelayCycles_done ; 2 2 Skip if 0 + NOP ; 1 2 Loop alignment padding +CyDelayCycles_loop + SUBS r0, r0, #1 ; 1 2 + MOV r0, r0 ; 1 2 Pad loop to power of two cycles + BNE CyDelayCycles_loop ; 2 2 + NOP ; 1 2 Loop alignment padding +CyDelayCycles_done + BX lr ; 3 2 + + ELSE + + CMP r0, #20 ; 1 2 If delay is short - jump to cycle + BLS CyDelayCycles_short ; 1 2 + PUSH {r1} ; 2 2 PUSH r1 to stack + MOVS r1, #1 ; 1 2 + + SUBS r0, r0, #20 ; 1 2 Subtract overhead + LDR r1,=CYREG_CACHE_CC_CTL; 2 2 Load flash wait cycles value + LDRB r1, [r1, #0] ; 2 2 + ANDS r1, #0xC0 ; 1 2 + + LSRS r1, r1, #6 ; 1 2 + PUSH {r2} ; 1 2 PUSH r2 to stack + LDR r2, =cy_flash_cycles ; 2 2 + LDRB r1, [r2, r1] ; 2 2 + + POP {r2} ; 2 2 POP r2 from stack + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + +CyDelayCycles_loop + SBCS r0, r0, r1 ; 1 2 + BPL CyDelayCycles_loop ; 3 2 + NOP ; 1 2 Loop alignment padding + NOP ; 1 2 Loop alignment padding + + POP {r1} ; 2 2 POP r1 from stack +CyDelayCycles_done + BX lr ; 3 2 + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + +CyDelayCycles_short + SBCS r0, r0, #4 ; 1 2 + BPL CyDelayCycles_short ; 3 2 + BX lr ; 3 2 + +cy_flash_cycles +byte_1 DCB 0x0B +byte_2 DCB 0x05 +byte_3 DCB 0x07 +byte_4 DCB 0x09 + + ENDIF + ENDFUNC + + +;------------------------------------------------------------------------------- +; Function Name: CyEnterCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyEnterCriticalSection disables interrupts and returns a value indicating +; whether interrupts were previously enabled (the actual value depends on +; whether the device is PSoC 3 or PSoC 5). +; +; Note Implementation of CyEnterCriticalSection manipulates the IRQ enable bit +; with interrupts still enabled. The test and set of the interrupt bits is not +; atomic; this is true for both PSoC 3 and PSoC 5. Therefore, to avoid +; corrupting processor state, it must be the policy that all interrupt routines +; restore the interrupt enable bits as they were found on entry. +; +; Parameters: +; None +; +; Return: +; uint8 +; Returns 0 if interrupts were previously enabled or 1 if interrupts +; were previously disabled. +; +;------------------------------------------------------------------------------- +; uint8 CyEnterCriticalSection(void) +CyEnterCriticalSection FUNCTION + EXPORT CyEnterCriticalSection + MRS r0, PRIMASK ; Save and return interrupt state + CPSID I ; Disable interrupts + BX lr + ENDFUNC + + +;------------------------------------------------------------------------------- +; Function Name: CyExitCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyExitCriticalSection re-enables interrupts if they were enabled before +; CyEnterCriticalSection was called. The argument should be the value returned +; from CyEnterCriticalSection. +; +; Parameters: +; uint8 savedIntrStatus: +; Saved interrupt status returned by the CyEnterCriticalSection function. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyExitCriticalSection(uint8 savedIntrStatus) +CyExitCriticalSection FUNCTION + EXPORT CyExitCriticalSection + MSR PRIMASK, r0 ; Restore interrupt state + BX lr + ENDFUNC + + END + +; [] END OF FILE diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.c new file mode 100755 index 0000000..f4983c3 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.c @@ -0,0 +1,1131 @@ +/******************************************************************************* +* File Name: CyDmac.c +* Version 4.0 +* +* Description: +* Provides an API for the DMAC component. The API includes functions for the +* DMA controller, DMA channels and Transfer Descriptors. +* +* This API is the library version not the auto generated code that gets +* generated when the user places a DMA component on the schematic. +* +* The auto generated code would use the APi's in this module. +* +* Note: +* This code is endian agnostic. +* +* The Transfer Descriptor memory can be used as regular memory if the TD's are +* not being used. +* +* This code uses the first byte of each TD to manage the free list of TD's. +* The user can over write this once the TD is allocated. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyDmac.h" + + +/******************************************************************************* +* The following variables are initialized from CyDmacConfigure() function that +* is executed from initialize_psoc() at the early initialization stage. +* In case of IAR EW IDE, initialize_psoc() is executed before the data sections +* are initialized. To avoid zeroing, these variables should be initialized +* properly during segments initialization as well. +*******************************************************************************/ +static uint8 CyDmaTdCurrentNumber = CY_DMA_NUMBEROF_TDS; /* Current Number of free elements in the list */ +static uint8 CyDmaTdFreeIndex = (uint8)(CY_DMA_NUMBEROF_TDS - 1u); /* Index of the first available TD */ +static uint32 CyDmaChannels = DMA_CHANNELS_USED__MASK0; /* Bit map of DMA channel ownership */ + + +/******************************************************************************* +* Function Name: CyDmacConfigure +******************************************************************************** +* +* Summary: +* Creates a linked list of all the TDs to be allocated. This function is called +* by the startup code; you do not normally need to call it. You could call this +* function if all of the DMA channels are inactive. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyDmacConfigure(void) +{ + uint8 dmaIndex; + + /* Set TD list variables. */ + CyDmaTdFreeIndex = (uint8)(CY_DMA_NUMBEROF_TDS - 1u); + CyDmaTdCurrentNumber = CY_DMA_NUMBEROF_TDS; + + /* Make TD free list. */ + for(dmaIndex = (uint8)(CY_DMA_NUMBEROF_TDS - 1u); dmaIndex != 0u; dmaIndex--) + { + CY_DMA_TDMEM_STRUCT_PTR[dmaIndex].TD0[0u] = (uint8)(dmaIndex - 1u); + } + + /* Make the last one point to zero. */ + CY_DMA_TDMEM_STRUCT_PTR[dmaIndex].TD0[0u] = 0u; +} + + +/******************************************************************************* +* Function Name: CyDmacError +******************************************************************************** +* +* Summary: +* Returns errors of the last failed DMA transaction. +* +* Parameters: +* None +* +* Return: +* Errors of the last failed DMA transaction. +* +* DMAC_PERIPH_ERR: +* Set to 1 when a peripheral responds to a bus transaction with an error +* response. +* +* DMAC_UNPOP_ACC: +* Set to 1 when an access is attempted to an invalid address. +* +* DMAC_BUS_TIMEOUT: +* Set to 1 when a bus timeout occurs. Cleared by writing a 1. Timeout values +* are determined by the BUS_TIMEOUT field in the PHUBCFG register. +* +* Theory: +* Once an error occurs the error bits are sticky and are only cleared by a +* write 1 to the error register. +* +*******************************************************************************/ +uint8 CyDmacError(void) +{ + return((uint8)(((uint32) 0x0Fu) & *CY_DMA_ERR_PTR)); +} + + +/******************************************************************************* +* Function Name: CyDmacClearError +******************************************************************************** +* +* Summary: +* Clears the error bits in the error register of the DMAC. +* +* Parameters: +* error: +* Clears the error bits in the DMAC error register. +* +* DMAC_PERIPH_ERR: +* Set to 1 when a peripheral responds to a bus transaction with an error +* response. +* +* DMAC_UNPOP_ACC: +* Set to 1 when an access is attempted to an invalid address. +* +* DMAC_BUS_TIMEOUT: +* Set to 1 when a bus timeout occurs. Cleared by writing a 1. Timeout values +* are determined by the BUS_TIMEOUT field in the PHUBCFG register. +* +* Return: +* None +* +* Theory: +* Once an error occurs the error bits are sticky and are only cleared by a +* write 1 to the error register. +* +*******************************************************************************/ +void CyDmacClearError(uint8 error) +{ + *CY_DMA_ERR_PTR = (((uint32)0x0Fu) & ((uint32)error)); +} + + +/******************************************************************************* +* Function Name: CyDmacErrorAddress +******************************************************************************** +* +* Summary: +* When an DMAC_BUS_TIMEOUT, DMAC_UNPOP_ACC and DMAC_PERIPH_ERR occurs the +* address of the error is written to the error address register and can be read +* with this function. +* +* If there are multiple errors, only the address of the first is saved. +* +* Parameters: +* None +* +* Return: +* The address that caused the error. +* +*******************************************************************************/ +uint32 CyDmacErrorAddress(void) +{ + return(CY_GET_REG32(CY_DMA_ERR_ADR_PTR)); +} + + +/******************************************************************************* +* Function Name: CyDmaChAlloc +******************************************************************************** +* +* Summary: +* Allocates a channel from the DMAC to be used in all functions that require a +* channel handle. +* +* Parameters: +* None +* +* Return: +* The allocated channel number. Zero is a valid channel number. +* DMA_INVALID_CHANNEL is returned if there are no channels available. +* +*******************************************************************************/ +uint8 CyDmaChAlloc(void) +{ + uint8 interruptState; + uint8 dmaIndex; + uint32 channel = 1u; + + + /* Enter critical section! */ + interruptState = CyEnterCriticalSection(); + + /* Look for a free channel. */ + for(dmaIndex = 0u; dmaIndex < CY_DMA_NUMBEROF_CHANNELS; dmaIndex++) + { + if(0uL == (CyDmaChannels & channel)) + { + /* Mark the channel as used. */ + CyDmaChannels |= channel; + break; + } + + channel <<= 1u; + } + + if(dmaIndex >= CY_DMA_NUMBEROF_CHANNELS) + { + dmaIndex = CY_DMA_INVALID_CHANNEL; + } + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + + return(dmaIndex); +} + + +/******************************************************************************* +* Function Name: CyDmaChFree +******************************************************************************** +* +* Summary: +* Frees a channel allocated by DmaChAlloc(). +* +* Parameters: +* uint8 chHandle: +* The handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChFree(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + uint8 interruptState; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Clear the bit mask that keeps track of ownership. */ + CyDmaChannels &= ~(((uint32) 1u) << chHandle); + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChEnable +******************************************************************************** +* +* Summary: +* Enables the DMA channel. A software or hardware request still must happen +* before the channel is executed. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 preserveTds: +* Preserves the original TD state when the TD has completed. This parameter +* applies to all TDs in the channel. +* +* 0 - When a TD is completed, the DMAC leaves the TD configuration values in +* their current state, and does not restore them to their original state. +* +* 1 - When a TD is completed, the DMAC restores the original configuration +* values of the TD. +* +* When preserveTds is set, the TD slot that equals the channel number becomes +* RESERVED and that becomes where the working registers exist. So, for example, +* if you are using CH06 and preserveTds is set, you are not allowed to use TD +* slot 6. That is reclaimed by the DMA engine for its private use. +* +* Note Do not chain back to a completed TD if the preserveTds for the channel +* is set to 0. When a TD has completed preserveTds for the channel set to 0, +* the transfer count will be at 0. If a TD with a transfer count of 0 is +* started, the TD will transfer an indefinite amount of data. +* +* Take extra precautions when using the hardware request (DRQ) option when the +* preserveTds is set to 0, as you might be requesting the wrong data. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if (0u != preserveTds) + { + /* Store the intermediate TD states separately in CHn_SEP_TD0/1 to + * preserve the original TD chain + */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= CY_DMA_CH_BASIC_CFG_WORK_SEP; + } + else + { + /* Store the intermediate and final TD states on top of the original TD chain */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_CH_BASIC_CFG_WORK_SEP); + } + + /* Enable channel */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= CY_DMA_CH_BASIC_CFG_EN; + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChDisable +******************************************************************************** +* +* Summary: +* Disables the DMA channel. Once this function is called, CyDmaChStatus() may +* be called to determine when the channel is disabled and which TDs were being +* executed. +* +* If it is currently executing it will allow the current burst to finish +* naturally. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChDisable(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /*********************************************************************** + * Should not change configuration information of a DMA channel when it + * is active (or vulnerable to becoming active). + ***********************************************************************/ + + /* Disable channel */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~CY_DMA_CH_BASIC_CFG_EN)); + + /* Store the intermediate and final TD states on top of the original TD chain */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~CY_DMA_CH_BASIC_CFG_WORK_SEP)); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaClearPendingDrq +******************************************************************************** +* +* Summary: +* Clears pending DMA data request. +* +* Parameters: +* uint8 chHandle: +* Handle to the dma channel. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaClearPendingDrq(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].action[0] |= CY_DMA_CPU_TERM_CHAIN; + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] |= 0x01u; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChPriority +******************************************************************************** +* +* Summary: +* Sets the priority of a DMA channel. You can use this function when you want +* to change the priority at run time. If the priority remains the same for a +* DMA channel, then you can configure the priority in the .cydwr file. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 priority: +* Priority to set the channel to, 0 - 7. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) +{ + uint8 value; + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + value = CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~(0x0Eu))); + + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] = value | ((uint8) ((priority & 0x7u) << 0x01u)); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetExtendedAddress +******************************************************************************** +* +* Summary: +* Sets the high 16 bits of the source and destination addresses for the DMA +* channel (valid for all TDs in the chain). +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint16 source: +* Upper 16 bit address of the DMA transfer source. +* +* uint16 destination: +* Upper 16 bit address of the DMA transfer destination. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination) \ + +{ + cystatus status = CYRET_BAD_PARAM; + reg16 *convert; + + #if(CY_PSOC5) + + /* 0x1FFF8000-0x1FFFFFFF needs to use alias at 0x20008000-0x2000FFFF */ + if(source == 0x1FFFu) + { + source = 0x2000u; + } + + if(destination == 0x1FFFu) + { + destination = 0x2000u; + } + + #endif /* (CY_PSOC5) */ + + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /* Set source address */ + convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[0]; + CY_SET_REG16(convert, source); + + /* Set destination address */ + convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[2u]; + CY_SET_REG16(convert, destination); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetInitialTd +******************************************************************************** +* +* Summary: +* Sets the initial TD to be executed for the channel when the CyDmaChEnable() +* function is called. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). +* +* uint8 startTd: +* The index of TD to set as the first TD associated with the channel. Zero is +* a valid TD index. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1u] = startTd; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetRequest +******************************************************************************** +* +* Summary: +* Allows the caller to terminate a chain of TDs, terminate one TD, or create a +* direct request to start the DMA channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 request: +* One of the following constants. Each of the constants is a three-bit value. +* +* CPU_REQ - Create a direct request to start the DMA channel +* CPU_TERM_TD - Terminate one TD +* CPU_TERM_CHAIN - Terminate a chain of TDs +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] |= (request & (CPU_REQ | CPU_TERM_TD | CPU_TERM_CHAIN)); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChGetRequest +******************************************************************************** +* +* Summary: +* This function allows the caller of CyDmaChSetRequest() to determine if the +* request was completed. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* Returns a three-bit field, corresponding to the three bits of the request, +* which describes the state of the previously posted request. If the value is +* zero, the request was completed. CY_DMA_INVALID_CHANNEL if the handle is +* invalid. +* +*******************************************************************************/ +cystatus CyDmaChGetRequest(uint8 chHandle) +{ + cystatus status = CY_DMA_INVALID_CHANNEL; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + status = (cystatus) ((uint32)CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] & + (uint32)(CY_DMA_CPU_REQ | CY_DMA_CPU_TERM_TD | CY_DMA_CPU_TERM_CHAIN)); + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChStatus +******************************************************************************** +* +* Summary: +* Determines the status of the DMA channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 * currentTd: +* The address to store the index of the current TD. Can be NULL if the value +* is not needed. +* +* uint8 * state: +* The address to store the state of the channel. Can be NULL if the value is +* not needed. +* +* STATUS_TD_ACTIVE +* 0: Channel is not currently being serviced by DMAC +* 1: Channel is currently being serviced by DMAC +* +* STATUS_CHAIN_ACTIVE +* 0: TD chain is inactive; either no DMA requests have triggered a new chain +* or the previous chain has completed. +* 1: TD chain has been triggered by a DMA request +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +* Theory: +* The caller can check on the activity of the Current TD and the Chain. +* +*******************************************************************************/ +cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if(NULL != currentTd) + { + *currentTd = CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1] & 0x7Fu; + } + + if(NULL != state) + { + *state= CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[0]; + } + + status = CYRET_SUCCESS; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetConfiguration +******************************************************************************** +* +* Summary: +* Sets configuration information of the channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). +* +* uint8 burstCount: +* Specifies the size of bursts (1 to 127) the data transfer should be divided +* into. If this value is zero then the whole transfer is done in one burst. +* +* uint8 requestPerBurst: +* The whole of the data can be split into multiple bursts, if this is +* required to complete the transaction: +* 0: All subsequent bursts after the first burst will be automatically +* requested and carried out +* 1: All subsequent bursts after the first burst must also be individually +* requested. +* +* uint8 tdDone0: +* Selects one of the TERMOUT0 interrupt lines to signal completion. The line +* connected to the nrq terminal will determine the TERMOUT0_SEL definition and +* should be used as supplied by cyfitter.h +* +* uint8 tdDone1: +* Selects one of the TERMOUT1 interrupt lines to signal completion. The line +* connected to the nrq terminal will determine the TERMOUT1_SEL definition and +* should be used as supplied by cyfitter.h +* +* uint8 tdStop: +* Selects one of the TERMIN interrupt lines to signal to the DMAC that the TD +* should terminate. The signal connected to the trq terminal will determine +* which TERMIN (termination request) is used. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, + uint8 tdDone0, uint8 tdDone1, uint8 tdStop) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBurst & 0x1u) << 7u)); + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[1] = ((uint8)((tdDone1 & 0xFu) << 4u)) | (tdDone0 & 0xFu); + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[2] = 0x0Fu & tdStop; + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[3] = 0u; /* burstcount_remain. */ + + status = CYRET_SUCCESS; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdAllocate +******************************************************************************** +* +* Summary: +* Allocates a TD for use with an allocated DMA channel. +* +* Parameters: +* None +* +* Return: +* Zero-based index of the TD to be used by the caller. Since there are 128 TDs +* minus the reserved TDs (0 to 23), the value returned would range from 24 to +* 127 not 24 to 128. DMA_INVALID_TD is returned if there are no free TDs +* available. +* +*******************************************************************************/ +uint8 CyDmaTdAllocate(void) +{ + uint8 interruptState; + uint8 element = CY_DMA_INVALID_TD; + + /* Enter critical section! */ + interruptState = CyEnterCriticalSection(); + + if(CyDmaTdCurrentNumber > NUMBEROF_CHANNELS) + { + /* Get pointer to the Next available. */ + element = CyDmaTdFreeIndex; + + /* Decrement the count. */ + CyDmaTdCurrentNumber--; + + /* Update the next available pointer. */ + CyDmaTdFreeIndex = CY_DMA_TDMEM_STRUCT_PTR[element].TD0[0]; + } + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + + return(element); +} + + +/******************************************************************************* +* Function Name: CyDmaTdFree +******************************************************************************** +* +* Summary: +* Returns a TD to the free list. +* +* Parameters: +* uint8 tdHandle: +* The TD handle returned by the CyDmaTdAllocate(). +* +* Return: +* None +* +*******************************************************************************/ +void CyDmaTdFree(uint8 tdHandle) +{ + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* Enter critical section! */ + uint8 interruptState = CyEnterCriticalSection(); + + /* Get pointer to the Next available. */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u] = CyDmaTdFreeIndex; + + /* Set new Next Available. */ + CyDmaTdFreeIndex = tdHandle; + + /* Keep track of how many left. */ + CyDmaTdCurrentNumber++; + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + } +} + + +/******************************************************************************* +* Function Name: CyDmaTdFreeCount +******************************************************************************** +* +* Summary: +* Returns the number of free TDs available to be allocated. +* +* Parameters: +* None +* +* Return: +* The number of free TDs. +* +*******************************************************************************/ +uint8 CyDmaTdFreeCount(void) +{ + return(CyDmaTdCurrentNumber - CY_DMA_NUMBEROF_CHANNELS); +} + + +/******************************************************************************* +* Function Name: CyDmaTdSetConfiguration +******************************************************************************** +* +* Summary: +* Configures the TD. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 transferCount: +* The size of the data transfer (in bytes) for this TD. A size of zero will +* cause the transfer to continue indefinitely. This parameter is limited to +* 4095 bytes; the TD is not initialized at all when a higher value is passed. +* +* uint8 nextTd: +* Zero based index of the next Transfer Descriptor in the TD chain. Zero is a +* valid pointer to the next TD; DMA_END_CHAIN_TD is the end of the chain. +* DMA_DISABLE_TD indicates an end to the chain and the DMA is disabled. No +* further TDs are fetched. DMA_DISABLE_TD is only supported on PSoC3 and +* PSoC 5LP silicons. +* +* uint8 configuration: +* Stores the Bit field of configuration bits. +* +* CY_DMA_TD_SWAP_EN - Perform endian swap +* +* CY_DMA_TD_SWAP_SIZE4 - Swap size = 4 bytes +* +* CY_DMA_TD_AUTO_EXEC_NEXT - The next TD in the chain will trigger +* automatically when the current TD completes. +* +* CY_DMA_TD_TERMIN_EN - Terminate this TD if a positive edge on the trq +* input line occurs. The positive edge must occur +* during a burst. That is the only time the DMAC +* will listen for it. +* +* DMA__TD_TERMOUT_EN - When this TD completes, the TERMOUT signal will +* generate a pulse. Note that this option is +* instance specific with the instance name followed +* by two underscores. In this example, the instance +* name is DMA. +* +* CY_DMA_TD_INC_DST_ADR - Increment DST_ADR according to the size of each +* data transaction in the burst. +* +* CY_DMA_TD_INC_SRC_ADR - Increment SRC_ADR according to the size of each +* data transaction in the burst. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle or transferCount is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configuration) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + if((tdHandle < CY_DMA_NUMBEROF_TDS) && (0u == (0xF000u & transferCount))) + { + /* Set 12 bits transfer count. */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u]; + CY_SET_REG16(convert, transferCount); + + /* Set Next TD pointer. */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u] = nextTd; + + /* Configure the TD */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u] = configuration; + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdGetConfiguration +******************************************************************************** +* +* Summary: +* Retrieves the configuration of the TD. If a NULL pointer is passed as a +* parameter, that parameter is skipped. You may request only the values you are +* interested in. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 * transferCount: +* The address to store the size of the data transfer (in bytes) for this TD. +* A size of zero could indicate that the TD has completed its transfer, or +* that the TD is doing an indefinite transfer. +* +* uint8 * nextTd: +* The address to store the index of the next TD in the TD chain. +* +* uint8 * configuration: +* The address to store the Bit field of configuration bits. +* See CyDmaTdSetConfiguration() function description. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +* Side Effects: +* If a TD has a transfer count of N and is executed, the transfer count becomes +* 0. If it is reexecuted, the Transfer count of zero will be interpreted as a +* request for indefinite transfer. Be careful when requesting a TD with a +* transfer count of zero. +* +*******************************************************************************/ +cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * configuration) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* If we have a pointer */ + if(NULL != transferCount) + { + /* Get the 12 bits of the transfer count */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0]; + *transferCount = 0x0FFFu & CY_GET_REG16(convert); + } + + /* If we have a pointer */ + if(NULL != nextTd) + { + /* Get the Next TD pointer */ + *nextTd = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u]; + } + + /* If we have a pointer */ + if(NULL != configuration) + { + /* Get the configuration the TD */ + *configuration = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u]; + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdSetAddress +******************************************************************************** +* +* Summary: +* Sets the lower 16 bits of the source and destination addresses for this TD +* only. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 source: +* The lower 16 address bits of the source of the data transfer. +* +* uint16 destination: +* The lower 16 address bits of the destination of the data transfer. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) +{ + cystatus status = CYRET_BAD_PARAM; + reg16 *convert; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* Set source address */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0u]; + CY_SET_REG16(convert, source); + + /* Set destination address */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2u]; + CY_SET_REG16(convert, destination); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdGetAddress +******************************************************************************** +* +* Summary: +* Retrieves the lower 16 bits of the source and/or destination addresses for +* this TD only. If NULL is passed for a pointer parameter, that value is +* skipped. You may request only the values of interest. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 * source: +* The address to store the lower 16 address bits of the source of the data +* transfer. +* +* uint16 * destination: +* The address to store the lower 16 address bits of the destination of the +* data transfer. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) +{ + cystatus status = CYRET_BAD_PARAM; + reg16 *convert; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* If we have a pointer. */ + if(NULL != source) + { + /* Get source address */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0u]; + *source = CY_GET_REG16(convert); + } + + /* If we have a pointer. */ + if(NULL != destination) + { + /* Get Destination address. */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2u]; + *destination = CY_GET_REG16(convert); + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChRoundRobin +******************************************************************************** +* +* Summary: +* Either enables or disables the Round-Robin scheduling enforcement algorithm. +* Within a priority level a Round-Robin fairness algorithm is enforced. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or Dma_DmaInitialize(). +* +* uint8 enableRR: +* 0: Disable Round-Robin fairness algorithm +* 1: Enable Round-Robin fairness algorithm +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if (0u != enableRR) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= (uint8)CY_DMA_ROUND_ROBIN_ENABLE; + } + else + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_ROUND_ROBIN_ENABLE); + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.h new file mode 100755 index 0000000..6a3ee85 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyDmac.h @@ -0,0 +1,218 @@ +/******************************************************************************* +* File Name: CyDmac.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the DMA Controller. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYDMAC_H) +#define CY_BOOT_CYDMAC_H + + +#include "cytypes.h" +#include "cyfitter.h" +#include "cydevice_trm.h" +#include "CyLib.h" + + +/*************************************** +* Function Prototypes +***************************************/ + +/* DMA Controller functions. */ +void CyDmacConfigure(void) ; +uint8 CyDmacError(void) ; +void CyDmacClearError(uint8 error) ; +uint32 CyDmacErrorAddress(void) ; + +/* Channel specific functions. */ +uint8 CyDmaChAlloc(void) ; +cystatus CyDmaChFree(uint8 chHandle) ; +cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) ; +cystatus CyDmaChDisable(uint8 chHandle) ; +cystatus CyDmaClearPendingDrq(uint8 chHandle) ; +cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) ; +cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination)\ +; +cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) ; +cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) ; +cystatus CyDmaChGetRequest(uint8 chHandle) ; +cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) ; +cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, uint8 tdDone0, + uint8 tdDone1, uint8 tdStop) ; +cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) ; + +/* Transfer Descriptor functions. */ +uint8 CyDmaTdAllocate(void) ; +void CyDmaTdFree(uint8 tdHandle) ; +uint8 CyDmaTdFreeCount(void) ; +cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configuration)\ +; +cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * configuration)\ +; +cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) ; +cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) ; + + +/*************************************** +* Data Struct Definitions +***************************************/ + +typedef struct dmac_ch_struct +{ + volatile uint8 basic_cfg[4]; + volatile uint8 action[4]; + volatile uint8 basic_status[4]; + volatile uint8 reserved[4]; + +} dmac_ch; + + +typedef struct dmac_cfgmem_struct +{ + volatile uint8 CFG0[4]; + volatile uint8 CFG1[4]; + +} dmac_cfgmem; + + +typedef struct dmac_tdmem_struct +{ + volatile uint8 TD0[4]; + volatile uint8 TD1[4]; + +} dmac_tdmem; + + +typedef struct dmac_tdmem2_struct +{ + volatile uint16 xfercnt; + volatile uint8 next_td_ptr; + volatile uint8 flags; + volatile uint16 src_adr; + volatile uint16 dst_adr; +} dmac_tdmem2; + + +/*************************************** +* API Constants +***************************************/ + +#define CY_DMA_INVALID_CHANNEL 0xFFu /* Invalid Channel ID */ +#define CY_DMA_INVALID_TD 0xFFu /* Invalid TD */ +#define CY_DMA_END_CHAIN_TD 0xFFu /* End of chain TD */ +#define CY_DMA_DISABLE_TD 0xFEu + +#define CY_DMA_TD_SIZE 0x08u + +/* The "u" was removed as workaround for Keil compiler bug */ +#define CY_DMA_TD_SWAP_EN 0x80 +#define CY_DMA_TD_SWAP_SIZE4 0x40 +#define CY_DMA_TD_AUTO_EXEC_NEXT 0x20 +#define CY_DMA_TD_TERMIN_EN 0x10 +#define CY_DMA_TD_TERMOUT1_EN 0x08 +#define CY_DMA_TD_TERMOUT0_EN 0x04 +#define CY_DMA_TD_INC_DST_ADR 0x02 +#define CY_DMA_TD_INC_SRC_ADR 0x01 + +#define CY_DMA_NUMBEROF_TDS 128u +#define CY_DMA_NUMBEROF_CHANNELS ((uint8)(CYDEV_DMA_CHANNELS_AVAILABLE)) + +/* Action register bits */ +#define CY_DMA_CPU_REQ ((uint8)(1u << 0u)) +#define CY_DMA_CPU_TERM_TD ((uint8)(1u << 1u)) +#define CY_DMA_CPU_TERM_CHAIN ((uint8)(1u << 2u)) + +/* Basic Status register bits */ +#define CY_DMA_STATUS_CHAIN_ACTIVE ((uint8)(1u << 0u)) +#define CY_DMA_STATUS_TD_ACTIVE ((uint8)(1u << 1u)) + +/* DMA controller register error bits */ +#define CY_DMA_BUS_TIMEOUT (1u << 1u) +#define CY_DMA_UNPOP_ACC (1u << 2u) +#define CY_DMA_PERIPH_ERR (1u << 3u) + +/* Round robin bits */ +#define CY_DMA_ROUND_ROBIN_ENABLE ((uint8)(1u << 4u)) + + +/******************************************************************************* +* CyDmaChEnable() / CyDmaChDisable() API constants +*******************************************************************************/ +#define CY_DMA_CH_BASIC_CFG_EN (0x01u) +#define CY_DMA_CH_BASIC_CFG_WORK_SEP (0x20u) + + +/*************************************** +* Registers +***************************************/ + +#define CY_DMA_CFG_REG (*(reg32 *) CYREG_PHUB_CFG) +#define CY_DMA_CFG_PTR ( (reg32 *) CYREG_PHUB_CFG) + +#define CY_DMA_ERR_REG (*(reg32 *) CYREG_PHUB_ERR) +#define CY_DMA_ERR_PTR ( (reg32 *) CYREG_PHUB_ERR) + +#define CY_DMA_ERR_ADR_REG (*(reg32 *) CYREG_PHUB_ERR_ADR) +#define CY_DMA_ERR_ADR_PTR ( (reg32 *) CYREG_PHUB_ERR_ADR) + +#define CY_DMA_CH_STRUCT_REG (*(dmac_ch CYXDATA *) CYDEV_PHUB_CH0_BASE) +#define CY_DMA_CH_STRUCT_PTR ( (dmac_ch CYXDATA *) CYDEV_PHUB_CH0_BASE) + +#define CY_DMA_CFGMEM_STRUCT_REG (*(dmac_cfgmem CYXDATA *) CYDEV_PHUB_CFGMEM0_BASE) +#define CY_DMA_CFGMEM_STRUCT_PTR ( (dmac_cfgmem CYXDATA *) CYDEV_PHUB_CFGMEM0_BASE) + +#define CY_DMA_TDMEM_STRUCT_REG (*(dmac_tdmem CYXDATA *) CYDEV_PHUB_TDMEM0_BASE) +#define CY_DMA_TDMEM_STRUCT_PTR ( (dmac_tdmem CYXDATA *) CYDEV_PHUB_TDMEM0_BASE) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define DMA_INVALID_CHANNEL (CY_DMA_INVALID_CHANNEL) +#define DMA_INVALID_TD (CY_DMA_INVALID_TD) +#define DMA_END_CHAIN_TD (CY_DMA_END_CHAIN_TD) +#define DMAC_TD_SIZE (CY_DMA_TD_SIZE) +#define TD_SWAP_EN (CY_DMA_TD_SWAP_EN) +#define TD_SWAP_SIZE4 (CY_DMA_TD_SWAP_SIZE4) +#define TD_AUTO_EXEC_NEXT (CY_DMA_TD_AUTO_EXEC_NEXT) +#define TD_TERMIN_EN (CY_DMA_TD_TERMIN_EN) +#define TD_TERMOUT1_EN (CY_DMA_TD_TERMOUT1_EN) +#define TD_TERMOUT0_EN (CY_DMA_TD_TERMOUT0_EN) +#define TD_INC_DST_ADR (CY_DMA_TD_INC_DST_ADR) +#define TD_INC_SRC_ADR (CY_DMA_TD_INC_SRC_ADR) +#define NUMBEROF_TDS (CY_DMA_NUMBEROF_TDS) +#define NUMBEROF_CHANNELS (CY_DMA_NUMBEROF_CHANNELS) +#define CPU_REQ (CY_DMA_CPU_REQ) +#define CPU_TERM_TD (CY_DMA_CPU_TERM_TD) +#define CPU_TERM_CHAIN (CY_DMA_CPU_TERM_CHAIN) +#define STATUS_CHAIN_ACTIVE (CY_DMA_STATUS_CHAIN_ACTIVE) +#define STATUS_TD_ACTIVE (CY_DMA_STATUS_TD_ACTIVE) +#define DMAC_BUS_TIMEOUT (CY_DMA_BUS_TIMEOUT) +#define DMAC_UNPOP_ACC (CY_DMA_UNPOP_ACC) +#define DMAC_PERIPH_ERR (CY_DMA_PERIPH_ERR) +#define ROUND_ROBIN_ENABLE (CY_DMA_ROUND_ROBIN_ENABLE) +#define DMA_DISABLE_TD (CY_DMA_DISABLE_TD) + +#define DMAC_CFG (CY_DMA_CFG_PTR) +#define DMAC_ERR (CY_DMA_ERR_PTR) +#define DMAC_ERR_ADR (CY_DMA_ERR_ADR_PTR) +#define DMAC_CH (CY_DMA_CH_STRUCT_PTR) +#define DMAC_CFGMEM (CY_DMA_CFGMEM_STRUCT_PTR) +#define DMAC_TDMEM (CY_DMA_TDMEM_STRUCT_PTR) + +#endif /* (CY_BOOT_CYDMAC_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.c new file mode 100755 index 0000000..e692e66 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.c @@ -0,0 +1,694 @@ +/******************************************************************************* +* File Name: CyFlash.c +* Version 4.0 +* +* Description: +* Provides an API for the FLASH/EEPROM. +* +* Note: +* This code is endian agnostic. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyFlash.h" + + +/******************************************************************************* +* Holds die temperature, updated by CySetTemp(). Used for flash writting. +* The first byte is the sign of the temperature (0 = negative, 1 = positive). +* The second byte is the magnitude. +*******************************************************************************/ +uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + +#if(CYDEV_ECC_ENABLE == 0) + static uint8 * rowBuffer = 0; +#endif /* (CYDEV_ECC_ENABLE == 0) */ + + +static cystatus CySetTempInt(void); + + +/******************************************************************************* +* Function Name: CyFlash_Start +******************************************************************************** +* +* Summary: +* Enable the Flash. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyFlash_Start(void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + + CyDelayUs(CY_FLASH_EE_STARTUP_DELAY); +} + + +/******************************************************************************* +* Function Name: CyFlash_Stop +******************************************************************************** +* +* Summary: +* Disable the Flash. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* This setting is ignored as long as the CPU is currently running. This will +* only take effect when the CPU is later disabled. +* +*******************************************************************************/ +void CyFlash_Stop(void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); +} + + +/******************************************************************************* +* Function Name: CySetTempInt +******************************************************************************** +* +* Summary: +* Sends a command to the SPC to read the die temperature. Sets a global value +* used by the Write functions. This function must be called once before +* executing a series of Flash writing functions. +* +* Parameters: +* None +* +* Return: +* status: +* CYRET_SUCCESS - if successful +* CYRET_LOCKED - if Flash writing already in use +* CYRET_UNKNOWN - if there was an SPC error +* +*******************************************************************************/ +static cystatus CySetTempInt(void) +{ + cystatus status; + + /* Make sure SPC is powered */ + CySpcStart(); + + /* Plan for failure. */ + status = CYRET_UNKNOWN; + + if(CySpcLock() == CYRET_SUCCESS) + { + /* Write the command. */ + if(CYRET_STARTED == CySpcGetTemp(CY_TEMP_NUMBER_OF_SAMPLES)) + { + do + { + if(CySpcReadData(dieTemperature, CY_FLASH_DIE_TEMP_DATA_SIZE) == CY_FLASH_DIE_TEMP_DATA_SIZE) + { + status = CYRET_SUCCESS; + + while(CY_SPC_BUSY) + { + /* Spin until idle. */ + CyDelayUs(1u); + } + break; + } + + } while(CY_SPC_BUSY); + } + + CySpcUnlock(); + } + else + { + status = CYRET_LOCKED; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CySetTemp +******************************************************************************** +* +* Summary: +* This is a wraparound for CySetTempInt(). It is used to return second +* successful read of temperature value. +* +* Parameters: +* None +* +* Return: +* status: +* CYRET_SUCCESS if successful. +* CYRET_LOCKED if Flash writing already in use +* CYRET_UNKNOWN if there was an SPC error. +* +* uint8 dieTemperature[2]: +* Holds die temperature for the flash writting algorithm. The first byte is +* the sign of the temperature (0 = negative, 1 = positive). The second byte is +* the magnitude. +* +*******************************************************************************/ +cystatus CySetTemp(void) +{ + cystatus status = CySetTempInt(); + + if(status == CYRET_SUCCESS) + { + status = CySetTempInt(); + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CySetFlashEEBuffer +******************************************************************************** +* +* Summary: +* Sets the user supplied temporary buffer to store SPC data while performing +* flash and EEPROM commands. This buffer is only necessary when Flash ECC is +* disabled. +* +* Parameters: +* buffer: +* Address of block of memory to store temporary memory. The size of the block +* of memory is CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE. +* +* Return: +* status: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if the buffer is NULL +* +*******************************************************************************/ +cystatus CySetFlashEEBuffer(uint8 * buffer) +{ + cystatus status = CYRET_SUCCESS; + + CySpcStart(); + + #if(CYDEV_ECC_ENABLE == 0) + + if(NULL == buffer) + { + status = CYRET_BAD_PARAM; + } + else if(CySpcLock() != CYRET_SUCCESS) + { + status = CYRET_LOCKED; + } + else + { + rowBuffer = buffer; + CySpcUnlock(); + } + + #else + + /* To supress the warning */ + buffer = buffer; + + #endif /* (CYDEV_ECC_ENABLE == 0u) */ + + return(status); +} + + +#if(CYDEV_ECC_ENABLE == 1) + + /******************************************************************************* + * Function Name: CyWriteRowData + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of data in + * Flash or EEPROM. + * + * Parameters: + * arrayID: ID of the array to write. + * The type of write, Flash or EEPROM, is determined from the array ID. + * The arrays in the part are sequential starting at the first ID for the + * specific memory type. The array ID for the Flash memory lasts from 0x00 to + * 0x3F and for the EEPROM memory it lasts from 0x40 to 0x7F. + * rowAddress: rowAddress of flash row to program. + * rowData: Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + { + uint16 rowSize; + cystatus status; + + rowSize = (arrayId > CY_SPC_LAST_FLASH_ARRAYID) ? CYDEV_EEPROM_ROW_SIZE : CYDEV_FLS_ROW_SIZE; + status = CyWriteRowFull(arrayId, rowAddress, rowData, rowSize); + + return(status); + } + +#else + + /******************************************************************************* + * Function Name: CyWriteRowData + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of data in + * Flash or EEPROM. + * + * Parameters: + * arrayID : ID of the array to write. + * The type of write, Flash or EEPROM, is determined from the array ID. + * The arrays in the part are sequential starting at the first ID for the + * specific memory type. The array ID for the Flash memory lasts from 0x00 to + * 0x3F and for the EEPROM memory it lasts from 0x40 to 0x7F. + * rowAddress : rowAddress of flash row to program. + * rowData : Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + { + uint8 i; + uint32 offset; + uint16 rowSize; + cystatus status; + + /* Check whether rowBuffer pointer has been initialized by CySetFlashEEBuffer() */ + if(NULL != rowBuffer) + { + if(arrayId > CY_SPC_LAST_FLASH_ARRAYID) + { + rowSize = CYDEV_EEPROM_ROW_SIZE; + } + else + { + rowSize = CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE; + + /* Save the ECC area. */ + offset = CYDEV_ECC_BASE + + ((uint32)arrayId * CYDEV_ECC_SECTOR_SIZE) + + ((uint32)rowAddress * CYDEV_ECC_ROW_SIZE); + + for(i = 0u; i < CYDEV_ECC_ROW_SIZE; i++) + { + *(rowBuffer + CYDEV_FLS_ROW_SIZE + i) = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + } + } + + /* Copy the rowdata to the temporary buffer. */ + #if(CY_PSOC3) + (void) memcpy((void *) rowBuffer, (void *)((uint32) rowData), (int16) CYDEV_FLS_ROW_SIZE); + #else + (void) memcpy((void *) rowBuffer, (const void *) rowData, CYDEV_FLS_ROW_SIZE); + #endif /* (CY_PSOC3) */ + + status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, rowSize); + } + else + { + status = CYRET_UNKNOWN; + } + + return(status); + } + +#endif /* (CYDEV_ECC_ENABLE == 0u) */ + + +#if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + + /******************************************************************************* + * Function Name: CyWriteRowConfig + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of config data in flash. + * This function is only valid for Flash array IDs (not for EEPROM). + * + * Parameters: + * arrayId: ID of the array to write + * The arrays in the part are sequential starting at the first ID for the + * specific memory type. The array ID for the Flash memory lasts + * from 0x00 to 0x3F. + * rowAddress: Address of the sector to erase. + * rowECC: Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC)\ + + { + uint32 offset; + uint16 i; + cystatus status; + + /* Check whether rowBuffer pointer has been initialized by CySetFlashEEBuffer() */ + if(NULL != rowBuffer) + { + /* Read the existing flash data. */ + offset = ((uint32)arrayId * CYDEV_FLS_SECTOR_SIZE) + + ((uint32)rowAddress * CYDEV_FLS_ROW_SIZE); + + #if (CYDEV_FLS_BASE != 0u) + offset += CYDEV_FLS_BASE; + #endif /* (CYDEV_FLS_BASE != 0u) */ + + for (i = 0u; i < CYDEV_FLS_ROW_SIZE; i++) + { + rowBuffer[i] = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + } + + #if(CY_PSOC3) + (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + (void *)(uint32)rowECC, + (int16)CYDEV_ECC_ROW_SIZE); + #else + (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + (const void *)rowECC, + CYDEV_ECC_ROW_SIZE); + #endif /* (CY_PSOC3) */ + + status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE); + } + else + { + status = CYRET_UNKNOWN; + } + + return (status); + } + +#endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + + + +/******************************************************************************* +* Function Name: CyWriteRowFull +******************************************************************************** +* Summary: +* Sends a command to the SPC to load and program a row of data in flash. +* rowData array is expected to contain Flash and ECC data if needed. +* +* Parameters: +* arrayId: FLASH or EEPROM array id. +* rowData: Pointer to a row of data to write. +* rowNumber: Zero based number of the row. +* rowSize: Size of the row. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_LOCKED if the SPC is already in use. +* CYRET_CANCELED if command not accepted +* CYRET_UNKNOWN if there was an SPC error. +* +*******************************************************************************/ +cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8* rowData, uint16 rowSize) \ + +{ + cystatus status; + + if(CySpcLock() == CYRET_SUCCESS) + { + /* Load row data into SPC internal latch */ + status = CySpcLoadRow(arrayId, rowData, rowSize); + + if(CYRET_STARTED == status) + { + while(CY_SPC_BUSY) + { + /* Wait for SPC to finish and get SPC status */ + CyDelayUs(1u); + } + + /* Hide SPC status */ + if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + { + status = CYRET_SUCCESS; + } + else + { + status = CYRET_UNKNOWN; + } + + if(CYRET_SUCCESS == status) + { + /* Erase and program flash with the data from SPC interval latch */ + status = CySpcWriteRow(arrayId, rowNumber, dieTemperature[0u], dieTemperature[1u]); + + if(CYRET_STARTED == status) + { + while(CY_SPC_BUSY) + { + /* Wait for SPC to finish and get SPC status */ + CyDelayUs(1u); + } + + /* Hide SPC status */ + if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + { + status = CYRET_SUCCESS; + } + else + { + status = CYRET_UNKNOWN; + } + } + } + + } + + CySpcUnlock(); + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyFlash_SetWaitCycles +******************************************************************************** +* +* Summary: +* Sets the number of clock cycles the cache will wait before it samples data +* coming back from Flash. This function must be called before increasing CPU +* clock frequency. It can optionally be called after lowering CPU clock +* frequency in order to improve CPU performance. +* +* Parameters: +* uint8 freq: +* Frequency of operation in Megahertz. +* +* Return: +* None +* +*******************************************************************************/ +void CyFlash_SetWaitCycles(uint8 freq) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /*************************************************************************** + * The number of clock cycles the cache will wait before it samples data + * coming back from Flash must be equal or greater to to the CPU frequency + * outlined in clock cycles. + ***************************************************************************/ + + #if (CY_PSOC3) + + if (freq <= 22u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_22MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 44u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC3) */ + + + #if (CY_PSOC5) + + if (freq <= 16u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_16MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 33u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_33MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 50u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_50MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_51MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC5) */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyEEPROM_Start +******************************************************************************** +* +* Summary: +* Enable the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_Start(void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; +} + + +/******************************************************************************* +* Function Name: CyEEPROM_Stop +******************************************************************************** +* +* Summary: +* Disable the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_Stop (void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); +} + + +/******************************************************************************* +* Function Name: CyEEPROM_ReadReserve +******************************************************************************** +* +* Summary: +* Request access to the EEPROM for reading and wait until access is available. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_ReadReserve(void) +{ + /* Make a request for PHUB to have access */ + *CY_FLASH_EE_SCR_PTR |= CY_FLASH_EE_SCR_AHB_EE_REQ; + + while (0u == (*CY_FLASH_EE_SCR_PTR & CY_FLASH_EE_SCR_AHB_EE_ACK)) + { + /* Wait for acknowledgement from PHUB */ + } +} + + +/******************************************************************************* +* Function Name: CyEEPROM_ReadRelease +******************************************************************************** +* +* Summary: +* Release the read reservation of the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_ReadRelease(void) +{ + *CY_FLASH_EE_SCR_PTR |= 0x00u; +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.h new file mode 100755 index 0000000..69f8c88 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyFlash.h @@ -0,0 +1,239 @@ +/******************************************************************************* +* File Name: CyFlash.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the FLASH/EEPROM. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYFLASH_H) +#define CY_BOOT_CYFLASH_H + +#include "cydevice_trm.h" +#include "cytypes.h" +#include "CyLib.h" +#include "CySpc.h" + +#define CY_FLASH_DIE_TEMP_DATA_SIZE (2u) /* Die temperature data size */ + +extern uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + + +/*************************************** +* API Constants +***************************************/ + +#define CY_FLASH_BASE (CYDEV_FLASH_BASE) +#define CY_FLASH_SIZE (CYDEV_FLS_SIZE) +#define CY_FLASH_SIZEOF_ARRAY (CYDEV_FLS_SECTOR_SIZE) +#define CY_FLASH_SIZEOF_ROW (CYDEV_FLS_ROW_SIZE) +#define CY_FLASH_SIZEOF_ECC_ROW (CYDEV_ECC_ROW_SIZE) +#define CY_FLASH_NUMBER_ROWS (CYDEV_FLS_SIZE / CYDEV_FLS_ROW_SIZE) +#define CY_FLASH_NUMBER_ARRAYS (CYDEV_FLS_SIZE / CYDEV_FLS_SECTOR_SIZE) + +#define CY_EEPROM_BASE (CYDEV_EE_BASE) +#define CY_EEPROM_SIZE (CYDEV_EE_SIZE) +#define CY_EEPROM_SIZEOF_ARRAY (CYDEV_EEPROM_SECTOR_SIZE) +#define CY_EEPROM_SIZEOF_ROW (CYDEV_EEPROM_ROW_SIZE) +#define CY_EEPROM_NUMBER_ROWS (EEPROM_SIZE / CYDEV_EEPROM_ROW_SIZE) +#define CY_EEPROM_NUMBER_ARRAYS (CYDEV_EE_SIZE / CY_EEPROM_SIZEOF_ARRAY) + + +#if !defined(CYDEV_FLS_BASE) + #define CYDEV_FLS_BASE CYDEV_FLASH_BASE +#endif /* !defined(CYDEV_FLS_BASE) */ + + +/*************************************** +* Function Prototypes +***************************************/ + +/* Flash Functions */ +void CyFlash_Start(void); +void CyFlash_Stop(void); +cystatus CySetTemp(void); +cystatus CySetFlashEEBuffer(uint8 * buffer); +cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8 * rowData, uint16 rowSize) \ + ; +cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData); + +#if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC) \ + ; +#endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + +void CyFlash_SetWaitCycles(uint8 freq) ; + +/* EEPROM Functions */ +void CyEEPROM_Start(void) ; +void CyEEPROM_Stop(void) ; + +void CyEEPROM_ReadReserve(void) ; +void CyEEPROM_ReadRelease(void) ; + + +/*************************************** +* Registers +***************************************/ +/* Active Power Mode Configuration Register 12 */ +#define CY_FLASH_PM_ACT_EEFLASH_REG (* (reg8 *) CYREG_PM_ACT_CFG12) +#define CY_FLASH_PM_ACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_ACT_CFG12) + +/* Alternate Active Power Mode Configuration Register 12 */ +#define CY_FLASH_PM_ALTACT_EEFLASH_REG (* (reg8 *) CYREG_PM_STBY_CFG12) +#define CY_FLASH_PM_ALTACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_STBY_CFG12) + + +/* Cache Control Register */ +#if (CY_PSOC3) + + #define CY_FLASH_CONTROL_REG (* (reg8 *) CYREG_CACHE_CR ) + #define CY_FLASH_CONTROL_PTR ( (reg8 *) CYREG_CACHE_CR ) + +#else + + #define CY_FLASH_CONTROL_REG (* (reg8 *) CYREG_CACHE_CC_CTL ) + #define CY_FLASH_CONTROL_PTR ( (reg8 *) CYREG_CACHE_CC_CTL ) + +#endif /* (CY_PSOC3) */ + + +/* EEPROM Status & Control Register */ +#define CY_FLASH_EE_SCR_REG (* (reg8 *) CYREG_SPC_EE_SCR) +#define CY_FLASH_EE_SCR_PTR ( (reg8 *) CYREG_SPC_EE_SCR) + + + +/*************************************** +* Register Constants +***************************************/ + +/* Power Mode Masks */ +#define CY_FLASH_PM_EE_MASK (0x10u) +#define CY_FLASH_PM_FLASH_MASK (0x01u) + +/* Frequency Constants */ +#if (CY_PSOC3) + + #define CY_FLASH_LESSER_OR_EQUAL_22MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_44MHz (0x02u) + #define CY_FLASH_GREATER_44MHz (0x03u) + +#endif /* (CY_PSOC3) */ + +#if (CY_PSOC5) + + #define CY_FLASH_LESSER_OR_EQUAL_16MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_33MHz (0x02u) + #define CY_FLASH_LESSER_OR_EQUAL_50MHz (0x03u) + #define CY_FLASH_GREATER_51MHz (0x00u) + +#endif /* (CY_PSOC5) */ + +#define CY_FLASH_CYCLES_MASK_SHIFT (0x06u) +#define CY_FLASH_CYCLES_MASK ((uint8)(0x03u << (CY_FLASH_CYCLES_MASK_SHIFT))) +#define CY_FLASH_EE_STARTUP_DELAY (5u) + +#define CY_FLASH_EE_SCR_AHB_EE_REQ (0x01u) +#define CY_FLASH_EE_SCR_AHB_EE_ACK (0x02u) + + + +/* Default values for getting temperature. */ + +#define CY_TEMP_NUMBER_OF_SAMPLES (0x1u) +#define CY_TEMP_TIMER_PERIOD (0xFFFu) +#define CY_TEMP_CLK_DIV_SELECT (0x4u) +#define CY_TEMP_NUM_SAMPLES (1 << (CY_TEMP_NUMBER_OF_SAMPLES)) +#define CY_SPC_CLK_PERIOD (120u) /* nS */ +#define CY_SYS_ns_PER_TICK (1000u) +#define CY_FRM_EXEC_TIME (1000u) /* nS */ + +#define CY_GET_TEMP_TIME ((1 << (CY_TEMP_NUM_SAMPLES + 1)) * \ + (CY_SPC_CLK_PERIOD * CY_TEMP_CLK_DIV_SELECT) * \ + CY_TEMP_TIMER_PERIOD + CY_FRM_EXEC_TIME) + +#define CY_TEMP_MAX_WAIT ((CY_GET_TEMP_TIME) / CY_SYS_ns_PER_TICK) /* In system ticks. */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define FLASH_SIZE (CY_FLASH_SIZE) +#define FLASH_SIZEOF_SECTOR (CY_FLASH_SIZEOF_ARRAY) +#define FLASH_NUMBER_ROWS (CY_FLASH_NUMBER_ROWS) +#define FLASH_NUMBER_SECTORS (CY_FLASH_NUMBER_ARRAYS) +#define EEPROM_SIZE (CY_EEPROM_SIZE) +#define EEPROM_SIZEOF_SECTOR (CY_EEPROM_SIZEOF_ARRAY) +#define EEPROM_NUMBER_ROWS (CY_EEPROM_NUMBER_ROWS) +#define EEPROM_NUMBER_SECTORS (CY_EEPROM_NUMBER_ARRAYS) +#define CY_EEPROM_NUMBER_SECTORS (CY_EEPROM_NUMBER_ARRAYS) +#define CY_EEPROM_SIZEOF_SECTOR (CY_EEPROM_SIZEOF_ARRAY) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define FLASH_CYCLES_PTR (CY_FLASH_CONTROL_PTR) + +#define TEMP_NUMBER_OF_SAMPLES (CY_TEMP_NUMBER_OF_SAMPLES) +#define TEMP_TIMER_PERIOD (CY_TEMP_TIMER_PERIOD) +#define TEMP_CLK_DIV_SELECT (CY_TEMP_CLK_DIV_SELECT) +#define NUM_SAMPLES (CY_TEMP_NUM_SAMPLES) +#define SPC_CLK_PERIOD (CY_SPC_CLK_PERIOD) +#define FRM_EXEC_TIME (CY_FRM_EXEC_TIME) +#define GET_TEMP_TIME (CY_GET_TEMP_TIME) +#define TEMP_MAX_WAIT (CY_TEMP_MAX_WAIT) + +#define ECC_ADDR (0x80u) + + +#define PM_ACT_EE_PTR (CY_FLASH_PM_ACT_EEFLASH_PTR) +#define PM_ACT_FLASH_PTR (CY_FLASH_PM_ACT_EEFLASH_PTR) + +#define PM_STBY_EE_PTR (CY_FLASH_PM_ALTACT_EEFLASH_PTR) +#define PM_STBY_FLASH_PTR (CY_FLASH_PM_ALTACT_EEFLASH_PTR) + +#define PM_EE_MASK (CY_FLASH_PM_EE_MASK) +#define PM_FLASH_MASK (CY_FLASH_PM_FLASH_MASK) + +#define FLASH_CYCLES_MASK_SHIFT (CY_FLASH_CYCLES_MASK_SHIFT) +#define FLASH_CYCLES_MASK (CY_FLASH_CYCLES_MASK) + + +#if (CY_PSOC3) + + #define LESSER_OR_EQUAL_22MHz (CY_FLASH_LESSER_OR_EQUAL_22MHz) + #define LESSER_OR_EQUAL_44MHz (CY_FLASH_LESSER_OR_EQUAL_44MHz) + #define GREATER_44MHz (CY_FLASH_GREATER_44MHz) + +#endif /* (CY_PSOC3) */ + +#if (CY_PSOC5) + + #define LESSER_OR_EQUAL_16MHz (CY_FLASH_LESSER_OR_EQUAL_16MHz) + #define LESSER_OR_EQUAL_33MHz (CY_FLASH_LESSER_OR_EQUAL_33MHz) + #define LESSER_OR_EQUAL_50MHz (CY_FLASH_LESSER_OR_EQUAL_50MHz) + #define LESSER_OR_EQUAL_67MHz (CY_FLASH_LESSER_OR_EQUAL_67MHz) + #define GREATER_67MHz (CY_FLASH_GREATER_67MHz) + #define GREATER_51MHz (CY_FLASH_GREATER_51MHz) + +#endif /* (CY_PSOC5) */ + +#define AHUB_EE_REQ_ACK_PTR (CY_FLASH_EE_SCR_PTR) + + +#endif /* (CY_BOOT_CYFLASH_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.c new file mode 100755 index 0000000..206c6cb --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.c @@ -0,0 +1,2710 @@ +/******************************************************************************* +* File Name: CyLib.c +* Version 4.0 +* +* Description: +* Provides system API for the clocking, interrupts and watchdog timer. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyLib.h" + + +/******************************************************************************* +* The CyResetStatus variable is used to obtain value of RESET_SR0 register after +* a device reset. It is set from initialize_psoc() at the early initialization +* stage. In case of IAR EW IDE, initialize_psoc() is executed before the data +* sections are initialized. To avoid zeroing, CyResetStatus should be placed +* to the .noinit section. +*******************************************************************************/ +CY_NOINIT uint8 CYXDATA CyResetStatus; + + +/* Variable Vdda */ +#if(CYDEV_VARIABLE_VDDA == 1) + + uint8 CyScPumpEnabled = (uint8)(CYDEV_VDDA_MV < 2700); + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* Do not use these definitions directly in your application */ +uint32 cydelay_freq_hz = BCLK__BUS_CLK__HZ; +uint32 cydelay_freq_khz = (BCLK__BUS_CLK__HZ + 999u) / 1000u; +uint8 cydelay_freq_mhz = (uint8)((BCLK__BUS_CLK__HZ + 999999u) / 1000000u); +uint32 cydelay_32k_ms = 32768u * ((BCLK__BUS_CLK__HZ + 999u) / 1000u); + + +/* Function Prototypes */ +static uint8 CyUSB_PowerOnCheck(void) ; +static void CyIMO_SetTrimValue(uint8 freq) ; +static void CyBusClk_Internal_SetDivider(uint16 divider); + + +/******************************************************************************* +* Function Name: CyPLL_OUT_Start +******************************************************************************** +* +* Summary: +* Enables the PLL. Optionally waits for it to become stable. +* Waits at least 250 us or until it is detected that the PLL is stable. +* +* Parameters: +* wait: +* 0: Return immediately after configuration +* 1: Wait for PLL lock or timeout. +* +* Return: +* Status +* CYRET_SUCCESS - Completed successfully +* CYRET_TIMEOUT - Timeout occurred without detecting a stable clock. +* If the input source of the clock is jittery, then the lock indication +* may not occur. However, after the timeout has expired the generated PLL +* clock can still be used. +* +* Side Effects: +* If wait is enabled: This function wses the Fast Time Wheel to time the wait. +* Any other use of the Fast Time Wheel will be stopped during the period of +* this function and then restored. This function also uses the 100 KHz ILO. +* If not enabled, this function will enable the 100 KHz ILO for the period of +* this function. +* +* No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or +* Once Per Second interrupt may be made by interrupt routines during the period +* of this function execution. The current operation of the ILO, Central Time +* Wheel and Once Per Second interrupt are maintained during the operation of +* this function provided the reading of the Power Manager Interrupt Status +* Register is only done using the CyPmReadStatus() function. +* +*******************************************************************************/ +cystatus CyPLL_OUT_Start(uint8 wait) +{ + cystatus status = CYRET_SUCCESS; + + uint8 iloEnableState; + uint8 pmTwCfg0State; + uint8 pmTwCfg2State; + + + /* Enables the PLL circuit */ + CY_CLK_PLL_CFG0_REG |= CY_CLK_PLL_ENABLE; + + if(wait != 0u) + { + /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + pmTwCfg0State = CY_LIB_PM_TW_CFG0_REG; + pmTwCfg2State = CY_LIB_PM_TW_CFG2_REG; + + CyPmFtwSetInterval(CY_CLK_PLL_FTW_INTERVAL); + + status = CYRET_TIMEOUT; + + while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the interrupt status */ + if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + { + if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + { + status = CYRET_SUCCESS; + break; + } + } + } + + /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + if(0u == iloEnableState) + { + CyILO_Stop100K(); + } + + CY_LIB_PM_TW_CFG0_REG = pmTwCfg0State; + CY_LIB_PM_TW_CFG2_REG = pmTwCfg2State; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_Stop +******************************************************************************** +* +* Summary: +* Disables the PLL. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPLL_OUT_Stop(void) +{ + CY_CLK_PLL_CFG0_REG &= ((uint8)(~CY_CLK_PLL_ENABLE)); +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_SetPQ +******************************************************************************** +* +* Summary: +* Sets the P and Q dividers and the charge pump current. +* The Frequency Out will be P/Q * Frequency In. +* The PLL must be disabled before calling this function. +* +* Parameters: +* uint8 pDiv: +* Valid range [8 - 255]. +* +* uint8 qDiv: +* Valid range [1 - 16]. Input Frequency / Q must be in range of 1 to 3 MHz. + +* uint8 current: +* Valid range [1 - 7]. Charge pump current in uA. Refer to the device TRM and +* datasheet for more information. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) +{ + /* Halt CPU in debug mode if PLL is enabled */ + CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + + if((pDiv >= CY_CLK_PLL_MIN_P_VALUE ) && + (qDiv <= CY_CLK_PLL_MAX_Q_VALUE ) && (qDiv >= CY_CLK_PLL_MIN_Q_VALUE ) && + (current >= CY_CLK_PLL_MIN_CUR_VALUE) && (current <= CY_CLK_PLL_MAX_CUR_VALUE)) + { + /* Set new values */ + CY_CLK_PLL_P_REG = pDiv; + CY_CLK_PLL_Q_REG = ((uint8)(qDiv - 1u)); + CY_CLK_PLL_CFG1_REG = (CY_CLK_PLL_CFG1_REG & CY_CLK_PLL_CURRENT_MASK) | + ((uint8)(((uint8)(current - 1u)) << CY_CLK_PLL_CURRENT_POSITION)); + } + else + { + /*********************************************************************** + * Halt CPU in debug mode if: + * - P divider is less than required + * - Q divider is out of range + * - pump current is out of range + ***********************************************************************/ + CYASSERT(0u != 0u); + } + +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_SetSource +******************************************************************************** +* +* Summary: +* Sets the input clock source to the PLL. The PLL must be disabled before +* calling this function. +* +* Parameters: +* source: One of the three available PLL clock sources +* CY_PLL_SOURCE_IMO : IMO +* CY_PLL_SOURCE_XTAL : MHz Crystal +* CY_PLL_SOURCE_DSI : DSI +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyPLL_OUT_SetSource(uint8 source) +{ + /* Halt CPU in debug mode if PLL is enabled */ + CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + + switch(source) + { + case CY_PLL_SOURCE_IMO: + case CY_PLL_SOURCE_XTAL: + case CY_PLL_SOURCE_DSI: + CY_LIB_CLKDIST_CR_REG = ((CY_LIB_CLKDIST_CR_REG & CY_LIB_CLKDIST_CR_PLL_SCR_MASK) | source); + break; + + default: + CYASSERT(0u != 0u); + break; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_Start +******************************************************************************** +* +* Summary: +* Enables the IMO. Optionally waits at least 6 us for it to settle. +* +* Parameters: +* uint8 wait: +* 0: Return immediately after configuration +* 1: Wait for at least 6 us for the IMO to settle. +* +* Return: +* None +* +* Side Effects: +* If wait is enabled: This function wses the Fast Time Wheel to time the wait. +* Any other use of the Fast Time Wheel will be stopped during the period of +* this function and then restored. This function also uses the 100 KHz ILO. +* If not enabled, this function will enable the 100 KHz ILO for the period of +* this function. +* +* No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or +* Once Per Second interrupt may be made by interrupt routines during the period +* of this function execution. The current operation of the ILO, Central Time +* Wheel and Once Per Second interrupt are maintained during the operation of +* this function provided the reading of the Power Manager Interrupt Status +* Register is only done using the CyPmReadStatus() function. +* +*******************************************************************************/ +void CyIMO_Start(uint8 wait) +{ + uint8 pmFtwCfg2Reg; + uint8 pmFtwCfg0Reg; + uint8 ilo100KhzEnable; + + + CY_LIB_PM_ACT_CFG0_REG |= CY_LIB_PM_ACT_CFG0_IMO_EN; + CY_LIB_PM_STBY_CFG0_REG |= CY_LIB_PM_STBY_CFG0_IMO_EN; + + if(0u != wait) + { + /* Need to turn on the 100KHz ILO if it happens to not already be running.*/ + ilo100KhzEnable = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + pmFtwCfg0Reg = CY_LIB_PM_TW_CFG0_REG; + pmFtwCfg2Reg = CY_LIB_PM_TW_CFG2_REG; + + CyPmFtwSetInterval(CY_LIB_CLK_IMO_FTW_TIMEOUT); + + while (0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the interrupt status */ + } + + if(0u == ilo100KhzEnable) + { + CyILO_Stop100K(); + } + + CY_LIB_PM_TW_CFG0_REG = pmFtwCfg0Reg; + CY_LIB_PM_TW_CFG2_REG = pmFtwCfg2Reg; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_Stop +******************************************************************************** +* +* Summary: +* Disables the IMO. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_Stop(void) +{ + CY_LIB_PM_ACT_CFG0_REG &= ((uint8) (~CY_LIB_PM_ACT_CFG0_IMO_EN)); + CY_LIB_PM_STBY_CFG0_REG &= ((uint8) (~CY_LIB_PM_STBY_CFG0_IMO_EN)); +} + + +/******************************************************************************* +* Function Name: CyUSB_PowerOnCheck +******************************************************************************** +* +* Summary: +* Returns the USB power status value. A private function to cy_boot. +* +* Parameters: +* None +* +* Return: +* uint8: one if the USB is enabled, 0 if not enabled. +* +*******************************************************************************/ +static uint8 CyUSB_PowerOnCheck(void) +{ + uint8 poweredOn = 0u; + + /* Check whether device is in Active or AltActiv and if USB is powered on */ + if((((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ACTIVE ) && + (0u != (CY_LIB_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + (((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ALT_ACT) && + (0u != (CY_LIB_PM_STBY_CFG5_REG & CY_ALT_ACT_USB_ENABLED)))) + { + poweredOn = 1u; + } + + return (poweredOn); +} + + +/******************************************************************************* +* Function Name: CyIMO_SetTrimValue +******************************************************************************** +* +* Summary: +* Sets the IMO factory trim values. +* +* Parameters: +* uint8 freq - frequency for which trims must be set +* +* Return: +* None +* +*******************************************************************************/ +static void CyIMO_SetTrimValue(uint8 freq) +{ + uint8 usbPowerOn = CyUSB_PowerOnCheck(); + + /* If USB is powered */ + if(usbPowerOn == 1u) + { + /* Unlock USB write */ + CY_LIB_USB_CR1_REG &= ((uint8)(~CY_LIB_USB_CLK_EN)); + } + switch(freq) + { + case CY_IMO_FREQ_3MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_3MHZ_PTR); + break; + + case CY_IMO_FREQ_6MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_6MHZ_PTR); + break; + + case CY_IMO_FREQ_12MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_12MHZ_PTR); + break; + + case CY_IMO_FREQ_24MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_24MHZ_PTR); + break; + + case CY_IMO_FREQ_48MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_TR1_PTR); + break; + + case CY_IMO_FREQ_62MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_67MHZ_PTR); + break; + +#if(CY_PSOC5) + case CY_IMO_FREQ_74MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_80MHZ_PTR); + break; +#endif /* (CY_PSOC5) */ + + case CY_IMO_FREQ_USB: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_USB_PTR); + + /* If USB is powered */ + if(usbPowerOn == 1u) + { + /* Lock the USB Oscillator */ + CY_LIB_USB_CR1_REG |= CY_LIB_USB_CLK_EN; + } + break; + + default: + CYASSERT(0u != 0u); + break; + } + +} + + +/******************************************************************************* +* Function Name: CyIMO_SetFreq +******************************************************************************** +* +* Summary: +* Sets the frequency of the IMO. Changes may be made while the IMO is running. +* +* Parameters: +* freq: Frequency of IMO operation +* CY_IMO_FREQ_3MHZ to set 3 MHz +* CY_IMO_FREQ_6MHZ to set 6 MHz +* CY_IMO_FREQ_12MHZ to set 12 MHz +* CY_IMO_FREQ_24MHZ to set 24 MHz +* CY_IMO_FREQ_48MHZ to set 48 MHz +* CY_IMO_FREQ_62MHZ to set 62.6 MHz +* CY_IMO_FREQ_74MHZ to set 74.7 MHz (not applicable for PSoC 3) +* CY_IMO_FREQ_USB to set 24 MHz (Trimmed for USB operation) +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +* When the USB setting is chosen, the USB clock locking circuit is enabled. +* Otherwise this circuit is disabled. The USB block must be powered before +* selecting the USB setting. +* +*******************************************************************************/ +void CyIMO_SetFreq(uint8 freq) +{ + uint8 currentFreq; + uint8 nextFreq; + + /*************************************************************************** + * When changing the IMO frequency the Trim values must also be set + * accordingly.This requires reading the current frequency. If the new + * frequency is faster, then set the new trim and then change the frequency, + * otherwise change the frequency and then set the new trim values. + ***************************************************************************/ + + currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + + /* Check if the requested frequency is USB. */ + nextFreq = (freq == CY_IMO_FREQ_USB) ? CY_IMO_FREQ_24MHZ : freq; + + switch (currentFreq) + { + case 0u: + currentFreq = CY_IMO_FREQ_12MHZ; + break; + + case 1u: + currentFreq = CY_IMO_FREQ_6MHZ; + break; + + case 2u: + currentFreq = CY_IMO_FREQ_24MHZ; + break; + + case 3u: + currentFreq = CY_IMO_FREQ_3MHZ; + break; + + case 4u: + currentFreq = CY_IMO_FREQ_48MHZ; + break; + + case 5u: + currentFreq = CY_IMO_FREQ_62MHZ; + break; + +#if(CY_PSOC5) + case 6u: + currentFreq = CY_IMO_FREQ_74MHZ; + break; +#endif /* (CY_PSOC5) */ + + default: + CYASSERT(0u != 0u); + break; + } + + if (nextFreq >= currentFreq) + { + /* Set the new trim first */ + CyIMO_SetTrimValue(freq); + } + + /* Set the usbclk_on bit when using CY_IMO_FREQ_USB, if not clear it */ + switch(freq) + { + case CY_IMO_FREQ_3MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_3MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_6MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_6MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_12MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_12MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_24MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_24MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_48MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_48MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_62MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_62MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + +#if(CY_PSOC5) + case CY_IMO_FREQ_74MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_74MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; +#endif /* (CY_PSOC5) */ + + case CY_IMO_FREQ_USB: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_24MHZ_VALUE) | CY_LIB_IMO_USBCLK_ON_SET; + break; + + default: + CYASSERT(0u != 0u); + break; + } + + /* Turn on the IMO Doubler, if switching to CY_IMO_FREQ_USB */ + if (freq == CY_IMO_FREQ_USB) + { + CyIMO_EnableDoubler(); + } + else + { + CyIMO_DisableDoubler(); + } + + if (nextFreq < currentFreq) + { + /* Set the new trim after setting the frequency */ + CyIMO_SetTrimValue(freq); + } +} + + +/******************************************************************************* +* Function Name: CyIMO_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the clock output from the IMO block. +* +* The output from the IMO is by default the IMO itself. Optionally the MHz +* Crystal or a DSI input can be the source of the IMO output instead. +* +* Parameters: +* source: CY_IMO_SOURCE_DSI to set the DSI as source. +* CY_IMO_SOURCE_XTAL to set the MHz as source. +* CY_IMO_SOURCE_IMO to set the IMO itself. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyIMO_SetSource(uint8 source) +{ + switch(source) + { + case CY_IMO_SOURCE_DSI: + CY_LIB_CLKDIST_CR_REG &= ((uint8)(~CY_LIB_CLKDIST_CR_IMO2X)); + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + break; + + case CY_IMO_SOURCE_XTAL: + CY_LIB_CLKDIST_CR_REG |= CY_LIB_CLKDIST_CR_IMO2X; + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + break; + + case CY_IMO_SOURCE_IMO: + CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_IMO)); + break; + + default: + /* Incorrect source value */ + CYASSERT(0u != 0u); + break; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_EnableDoubler +******************************************************************************** +* +* Summary: +* Enables the IMO doubler. The 2x frequency clock is used to convert a 24 MHz +* input to a 48 MHz output for use by the USB block. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_EnableDoubler(void) +{ + /* Set the FASTCLK_IMO_CR_PTR regigster's 4th bit */ + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_DOUBLER; +} + + +/******************************************************************************* +* Function Name: CyIMO_DisableDoubler +******************************************************************************** +* +* Summary: +* Disables the IMO doubler. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_DisableDoubler(void) +{ + CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_DOUBLER)); +} + + +/******************************************************************************* +* Function Name: CyMasterClk_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the master clock. +* +* Parameters: +* source: One of the four available Master clock sources. +* CY_MASTER_SOURCE_IMO +* CY_MASTER_SOURCE_PLL +* CY_MASTER_SOURCE_XTAL +* CY_MASTER_SOURCE_DSI +* +* Return: +* None +* +* Side Effects: +* The current source and the new source must both be running and stable before +* calling this function. +* +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyMasterClk_SetSource(uint8 source) +{ + CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & MASTER_CLK_SRC_CLEAR) | + (source & ((uint8)(~MASTER_CLK_SRC_CLEAR))); +} + + +/******************************************************************************* +* Function Name: CyMasterClk_SetDivider +******************************************************************************** +* +* Summary: +* Sets the divider value used to generate Master Clock. +* +* Parameters: +* uint8 divider: +* Valid range [0-255]. The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +* When changing the Master or Bus clock divider value from div-by-n to div-by-1 +* the first clock cycle output after the div-by-1 can be up to 4 ns shorter +* than the final/expected div-by-1 period. +* +*******************************************************************************/ +void CyMasterClk_SetDivider(uint8 divider) +{ + CY_LIB_CLKDIST_MSTR0_REG = divider; +} + + +/******************************************************************************* +* Function Name: CyBusClk_Internal_SetDivider +******************************************************************************** +* +* Summary: +* Function used by CyBusClk_SetDivider(). For internal use only. +* +* Parameters: +* divider: Valid range [0-65535]. +* The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +*******************************************************************************/ +static void CyBusClk_Internal_SetDivider(uint16 divider) +{ + /* Mask bits to enable shadow loads */ + CY_LIB_CLKDIST_AMASK_REG &= CY_LIB_CLKDIST_AMASK_MASK; + CY_LIB_CLKDIST_DMASK_REG = CY_LIB_CLKDIST_DMASK_MASK; + + /* Enable mask bits to enable shadow loads */ + CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_MASK; + + /* Update Shadow Divider Value Register with the new divider */ + CY_LIB_CLKDIST_WRK_LSB_REG = LO8(divider); + CY_LIB_CLKDIST_WRK_MSB_REG = HI8(divider); + + + /*************************************************************************** + * Copy shadow value defined in Shadow Divider Value Register + * (CY_LIB_CLKDIST_WRK_LSB_REG and CY_LIB_CLKDIST_WRK_MSB_REG) to all + * dividers selected in Analog and Digital Clock Mask Registers + * (CY_LIB_CLKDIST_AMASK_REG and CY_LIB_CLKDIST_DMASK_REG). + ***************************************************************************/ + CY_LIB_CLKDIST_LD_REG |= CY_LIB_CLKDIST_LD_LOAD; +} + + +/******************************************************************************* +* Function Name: CyBusClk_SetDivider +******************************************************************************** +* +* Summary: +* Sets the divider value used to generate Bus Clock. +* +* Parameters: +* divider: Valid range [0-65535]. The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyBusClk_SetDivider(uint16 divider) +{ + uint8 masterClkDiv; + uint16 busClkDiv; + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + /* Work around to set the bus clock divider value */ + busClkDiv = (uint16)((uint16)CY_LIB_CLKDIST_BCFG_MSB_REG << 8u); + busClkDiv |= CY_LIB_CLKDIST_BCFG_LSB_REG; + + if ((divider == 0u) || (busClkDiv == 0u)) + { + /* Save away the master clock divider value */ + masterClkDiv = CY_LIB_CLKDIST_MSTR0_REG; + + if (masterClkDiv < CY_LIB_CLKDIST_MASTERCLK_DIV) + { + /* Set master clock divider to 7 */ + CyMasterClk_SetDivider(CY_LIB_CLKDIST_MASTERCLK_DIV); + } + + if (divider == 0u) + { + /* Set the SSS bit and the divider register desired value */ + CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_SSS; + CyBusClk_Internal_SetDivider(divider); + } + else + { + CyBusClk_Internal_SetDivider(divider); + CY_LIB_CLKDIST_BCFG2_REG &= ((uint8)(~CY_LIB_CLKDIST_BCFG2_SSS)); + } + + /* Restore the master clock */ + CyMasterClk_SetDivider(masterClkDiv); + } + else + { + CyBusClk_Internal_SetDivider(divider); + } + + CyExitCriticalSection(interruptState); +} + + +#if(CY_PSOC3) + + /******************************************************************************* + * Function Name: CyCpuClk_SetDivider + ******************************************************************************** + * + * Summary: + * Sets the divider value used to generate the CPU Clock. Only applicable for + * PSoC 3 parts. + * + * Parameters: + * divider: Valid range [0-15]. The clock will be divided by this value + 1. + * For example to divide by 2 this parameter should be set to 1. + * + * Return: + * None + * + * Side Effects: + * If as result of this function execution the CPU clock frequency is increased + * then the number of clock cycles the cache will wait before it samples data + * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + * with appropriate parameter. It can be optionally called if CPU clock + * frequency is lowered in order to improve CPU performance. + * See CyFlash_SetWaitCycles() description for more information. + * + *******************************************************************************/ + void CyCpuClk_SetDivider(uint8 divider) + { + CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & CY_LIB_CLKDIST_MSTR1_DIV_MASK) | + ((uint8)(divider << CY_LIB_CLKDIST_DIV_POSITION)); + } + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: CyUsbClk_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the USB clock. +* +* Parameters: +* source: One of the four available USB clock sources +* CY_LIB_USB_CLK_IMO2X - IMO 2x +* CY_LIB_USB_CLK_IMO - IMO +* CY_LIB_USB_CLK_PLL - PLL +* CY_LIB_USB_CLK_DSI - DSI +* +* Return: +* None +* +*******************************************************************************/ +void CyUsbClk_SetSource(uint8 source) +{ + CY_LIB_CLKDIST_UCFG_REG = (CY_LIB_CLKDIST_UCFG_REG & ((uint8)(~CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK))) | + (CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK & source); +} + + +/******************************************************************************* +* Function Name: CyILO_Start1K +******************************************************************************** +* +* Summary: +* Enables the ILO 1 KHz oscillator. +* +* Note The ILO 1 KHz oscillator is always enabled by default, regardless of the +* selection in the Clock Editor. Therefore, this API is only needed if the +* oscillator was turned off manually. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Start1K(void) +{ + /* Set the bit 1 of ILO RS */ + CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ; +} + + +/******************************************************************************* +* Function Name: CyILO_Stop1K +******************************************************************************** +* +* Summary: +* Disables the ILO 1 KHz oscillator. +* +* Note The ILO 1 KHz oscillator must be enabled if Sleep or Hibernate low power +* mode APIs are expected to be used. For more information, refer to the Power +* Management section of this document. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* PSoC5: Stopping the ILO 1 kHz could break the active WDT functionality. +* +*******************************************************************************/ +void CyILO_Stop1K(void) +{ + /* Clear the bit 1 of ILO RS */ + CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ)); +} + + +/******************************************************************************* +* Function Name: CyILO_Start100K +******************************************************************************** +* +* Summary: +* Enables the ILO 100 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Start100K(void) +{ + CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; +} + + +/******************************************************************************* +* Function Name: CyILO_Stop100K +******************************************************************************** +* +* Summary: +* Disables the ILO 100 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Stop100K(void) +{ + CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ)); +} + + +/******************************************************************************* +* Function Name: CyILO_Enable33K +******************************************************************************** +* +* Summary: +* Enables the ILO 33 KHz divider. +* +* Note that the 33 KHz clock is generated from the 100 KHz oscillator, +* so it must also be running in order to generate the 33 KHz output. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Enable33K(void) +{ + /* Set the bit 5 of ILO RS */ + CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ; +} + + +/******************************************************************************* +* Function Name: CyILO_Disable33K +******************************************************************************** +* +* Summary: +* Disables the ILO 33 KHz divider. +* +* Note that the 33 KHz clock is generated from the 100 KHz oscillator, but this +* API does not disable the 100 KHz clock. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Disable33K(void) +{ + CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ)); +} + + +/******************************************************************************* +* Function Name: CyILO_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the clock output from the ILO block. +* +* Parameters: +* source: One of the three available ILO output sources +* Value Define Source +* 0 CY_ILO_SOURCE_100K ILO 100 KHz +* 1 CY_ILO_SOURCE_33K ILO 33 KHz +* 2 CY_ILO_SOURCE_1K ILO 1 KHz +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_SetSource(uint8 source) +{ + CY_LIB_CLKDIST_CR_REG = (CY_LIB_CLKDIST_CR_REG & CY_ILO_SOURCE_BITS_CLEAR) | + (((uint8) (source << 2u)) & ((uint8)(~CY_ILO_SOURCE_BITS_CLEAR))); +} + + +/******************************************************************************* +* Function Name: CyILO_SetPowerMode +******************************************************************************** +* +* Summary: +* Sets the power mode used by the ILO during power down. Allows for lower power +* down power usage resulting in a slower startup time. +* +* Parameters: +* uint8 mode +* CY_ILO_FAST_START - Faster start-up, internal bias left on when powered down +* CY_ILO_SLOW_START - Slower start-up, internal bias off when powered down +* +* Return: +* Prevous power mode state. +* +*******************************************************************************/ +uint8 CyILO_SetPowerMode(uint8 mode) +{ + uint8 state; + + /* Get current state. */ + state = CY_LIB_SLOWCLK_ILO_CR0_REG; + + /* Set the the oscillator power mode. */ + if(mode != CY_ILO_FAST_START) + { + CY_LIB_SLOWCLK_ILO_CR0_REG = (state | CY_ILO_CONTROL_PD_MODE); + } + else + { + CY_LIB_SLOWCLK_ILO_CR0_REG = (state & ((uint8)(~CY_ILO_CONTROL_PD_MODE))); + } + + /* Return the old mode. */ + return ((state & CY_ILO_CONTROL_PD_MODE) >> CY_ILO_CONTROL_PD_POSITION); +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_Start +******************************************************************************** +* +* Summary: +* Enables the 32 KHz Crystal Oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_32KHZ_Start(void) +{ + volatile uint16 i; + + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + + #if(CY_PSOC3) + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_PDBEN; + #endif /* (CY_PSOC3) */ + + /* Enable operation of the 32K Crystal Oscillator */ + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_EN; + + for (i = 1000u; i > 0u; i--) + { + if(0u != (CyXTAL_32KHZ_ReadStatus() & CY_XTAL32K_ANA_STAT)) + { + /* Ready - switch to the hign power mode */ + (void) CyXTAL_32KHZ_SetPowerMode(0u); + + break; + } + CyDelayUs(1u); + } +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_Stop +******************************************************************************** +* +* Summary: +* Disables the 32KHz Crystal Oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_32KHZ_Stop(void) +{ + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_POWERDOWN; + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + CY_CLK_XTAL32_CR_REG &= ((uint8)(~(CY_CLK_XTAL32_CR_EN | CY_CLK_XTAL32_CR_LPM))); + + #if(CY_PSOC3) + CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_PDBEN)); + #endif /* (CY_PSOC3) */ +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_ReadStatus +******************************************************************************** +* +* Summary: +* Returns status of the 32 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* Value Define Source +* 20 CY_XTAL32K_ANA_STAT Analog measurement +* 1: Stable +* 0: Not stable +* +*******************************************************************************/ +uint8 CyXTAL_32KHZ_ReadStatus(void) +{ + return(CY_CLK_XTAL32_CR_REG & CY_XTAL32K_ANA_STAT); +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_SetPowerMode +******************************************************************************** +* +* Summary: +* Sets the power mode for the 32 KHz oscillator used during sleep mode. +* Allows for lower power during sleep when there are fewer sources of noise. +* During active mode the oscillator is always run in high power mode. +* +* Parameters: +* uint8 mode +* 0: High power mode +* 1: Low power mode during sleep +* +* Return: +* Previous power mode. +* +*******************************************************************************/ +uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) +{ + uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; + + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + + if(1u == mode) + { + /* Low power mode during Sleep */ + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_LOW_POWER; + CyDelayUs(10u); + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_LOWPOWER; + CyDelayUs(20u); + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_LPM; + } + else + { + /* High power mode */ + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_HIGH_POWER; + CyDelayUs(10u); + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_LPM)); + } + + return(state); +} + + +/******************************************************************************* +* Function Name: CyXTAL_Start +******************************************************************************** +* +* Summary: +* Enables the megahertz crystal. +* +* PSoC 3: +* Waits until the XERR bit is low (no error) for a millisecond or until the +* number of milliseconds specified by the wait parameter has expired. +* +* Parameters: +* wait: Valid range [0-255]. +* This is the timeout value in milliseconds. +* The appropriate value is crystal specific. +* +* Return: +* CYRET_SUCCESS - Completed successfully +* CYRET_TIMEOUT - Timeout occurred without detecting a low value on XERR. +* +* Side Effects and Restrictions: +* If wait is enabled (non-zero wait). Uses the Fast Timewheel to time the wait. +* Any other use of the Fast Timewheel (FTW) will be stopped during the period +* of this function and then restored. +* +* Uses the 100KHz ILO. If not enabled, this function will enable the 100KHz +* ILO for the period of this function. No changes to the setup of the ILO, +* Fast Timewheel, Central Timewheel or Once Per Second interrupt may be made +* by interrupt routines during the period of this function. +* +* The current operation of the ILO, Central Timewheel and Once Per Second +* interrupt are maintained during the operation of this function provided the +* reading of the Power Manager Interrupt Status Register is only done using the +* CyPmReadStatus() function. +* +*******************************************************************************/ +cystatus CyXTAL_Start(uint8 wait) +{ + cystatus status = CYRET_SUCCESS; + volatile uint8 timeout = wait; + volatile uint8 count; + uint8 iloEnableState; + uint8 pmTwCfg0Tmp; + uint8 pmTwCfg2Tmp; + + + /* Enables the MHz crystal oscillator circuit */ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_ENABLE; + + + if(wait > 0u) + { + /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG; + pmTwCfg0Tmp = CY_LIB_PM_TW_CFG0_REG; + pmTwCfg2Tmp = CY_LIB_PM_TW_CFG2_REG; + + /* Set 250 us interval */ + CyPmFtwSetInterval(CY_CLK_XMHZ_FTW_INTERVAL); + status = CYRET_TIMEOUT; + + + for( ; timeout > 0u; timeout--) + { + /* Read XERR bit to clear it */ + (void) CY_CLK_XMHZ_CSR_REG; + + /* Wait for a millisecond - 4 x 250 us */ + for(count = 4u; count > 0u; count--) + { + while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the FTW interrupt event */ + } + } + + + /******************************************************************* + * High output indicates oscillator failure. + * Only can be used after start-up interval (1 ms) is completed. + *******************************************************************/ + if(0u == (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) + { + status = CYRET_SUCCESS; + break; + } + } + + + /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + if(0u == (iloEnableState & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ)) + { + CyILO_Stop100K(); + } + CY_LIB_PM_TW_CFG0_REG = pmTwCfg0Tmp; + CY_LIB_PM_TW_CFG2_REG = pmTwCfg2Tmp; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyXTAL_Stop +******************************************************************************** +* +* Summary: +* Disables the megahertz crystal oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_Stop(void) +{ + /* Disable the the oscillator. */ + FASTCLK_XMHZ_CSR &= ((uint8)(~XMHZ_CONTROL_ENABLE)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_EnableErrStatus +******************************************************************************** +* +* Summary: +* Enables the generation of the XERR status bit for the megahertz crystal. +* This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_EnableErrStatus(void) +{ + /* If oscillator has insufficient amplitude, XERR bit will be high. */ + CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XFB)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_DisableErrStatus +******************************************************************************** +* +* Summary: +* Disables the generation of the XERR status bit for the megahertz crystal. +* This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_DisableErrStatus(void) +{ + /* If oscillator has insufficient amplitude, XERR bit will be high. */ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XFB; +} + + +/******************************************************************************* +* Function Name: CyXTAL_ReadStatus +******************************************************************************** +* +* Summary: +* Reads the XERR status bit for the megahertz crystal. This status bit is a +* sticky clear on read value. This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* Status +* 0: No error +* 1: Error +* +*******************************************************************************/ +uint8 CyXTAL_ReadStatus(void) +{ + /*************************************************************************** + * High output indicates oscillator failure. Only use this after start-up + * interval is completed. This can be used for status and failure recovery. + ***************************************************************************/ + return((0u != (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) ? 1u : 0u); +} + + +/******************************************************************************* +* Function Name: CyXTAL_EnableFaultRecovery +******************************************************************************** +* +* Summary: +* Enables the fault recovery circuit which will switch to the IMO in the case +* of a fault in the megahertz crystal circuit. The crystal must be up and +* running with the XERR bit at 0, before calling this function to prevent +* immediate fault switchover. This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_EnableFaultRecovery(void) +{ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XPROT; +} + + +/******************************************************************************* +* Function Name: CyXTAL_DisableFaultRecovery +******************************************************************************** +* +* Summary: +* Disables the fault recovery circuit which will switch to the IMO in the case +* of a fault in the megahertz crystal circuit. This function is not available +* for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_DisableFaultRecovery(void) +{ + CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XPROT)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_SetStartup +******************************************************************************** +* +* Summary: +* Sets the startup settings for the crystal. Logic model outputs a frequency +* (setting + 4) MHz when enabled. +* +* This is artificial as the actual frequency is determined by an attached +* external crystal. +* +* Parameters: +* setting: Valid range [0-31]. +* Value is dependent on the frequency and quality of the crystal being used. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetStartup(uint8 setting) +{ + CY_CLK_XMHZ_CFG0_REG = (CY_CLK_XMHZ_CFG0_REG & ((uint8)(~CY_CLK_XMHZ_CFG0_XCFG_MASK))) | + (setting & CY_CLK_XMHZ_CFG0_XCFG_MASK); +} + + + +/******************************************************************************* +* Function Name: CyXTAL_SetFbVoltage +******************************************************************************** +* +* Summary: +* Sets the feedback reference voltage to use for the crystal circuit. +* This function is only available for PSoC3 and PSoC 5LP. +* +* Parameters: +* setting: Valid range [0-15]. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetFbVoltage(uint8 setting) +{ + CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_FB_MASK))) | + (setting & CY_CLK_XMHZ_CFG1_VREF_FB_MASK)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_SetWdVoltage +******************************************************************************** +* +* Summary: +* Sets the reference voltage used by the watchdog to detect a failure in the +* crystal circuit. This function is only available for PSoC3 and PSoC 5LP. +* +* Parameters: +* setting: Valid range [0-7]. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetWdVoltage(uint8 setting) +{ + CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_WD_MASK))) | + (((uint8)(setting << 4u)) & CY_CLK_XMHZ_CFG1_VREF_WD_MASK)); +} + + +/******************************************************************************* +* Function Name: CyHalt +******************************************************************************** +* +* Summary: +* Halts the CPU. +* +* Parameters: +* uint8 reason: Value to be used during debugging. +* +* Return: +* None +* +*******************************************************************************/ +void CyHalt(uint8 reason) CYREENTRANT +{ + if(0u != reason) + { + /* To remove unreferenced local variable warning */ + } + + #if defined (__ARMCC_VERSION) + __breakpoint(0x0); + #elif defined(__GNUC__) || defined (__ICCARM__) + __asm(" bkpt 1"); + #elif defined(__C51__) + CYDEV_HALT_CPU; + #endif /* (__ARMCC_VERSION) */ +} + + +/******************************************************************************* +* Function Name: CySoftwareReset +******************************************************************************** +* +* Summary: +* Forces a software reset of the device. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySoftwareReset(void) +{ + CY_LIB_RESET_CR2_REG |= CY_LIB_RESET_CR2_RESET; +} + + +/******************************************************************************* +* Function Name: CyDelay +******************************************************************************** +* +* Summary: +* Blocks for milliseconds. +* +* Note: +* CyDelay has been implemented with the instruction cache assumed enabled. When +* instruction cache is disabled on PSoC5, CyDelay will be two times larger. For +* example, with instruction cache disabled CyDelay(100) would result in about +* 200 ms delay instead of 100 ms. +* +* Parameters: +* milliseconds: number of milliseconds to delay. +* +* Return: +* None +* +*******************************************************************************/ +void CyDelay(uint32 milliseconds) CYREENTRANT +{ + while (milliseconds > 32768u) + { + /*********************************************************************** + * This loop prevents overflow.At 100MHz, milliseconds * delay_freq_khz + * overflows at about 42 seconds. + ***********************************************************************/ + CyDelayCycles(cydelay_32k_ms); + milliseconds = ((uint32)(milliseconds - 32768u)); + } + + CyDelayCycles(milliseconds * cydelay_freq_khz); +} + + +#if(!CY_PSOC3) + + /* For PSoC3 devices function is defined in CyBootAsmKeil.a51 file */ + + /******************************************************************************* + * Function Name: CyDelayUs + ******************************************************************************** + * + * Summary: + * Blocks for microseconds. + * + * Note: + * CyDelay has been implemented with the instruction cache assumed enabled. + * When instruction cache is disabled on PSoC5, CyDelayUs will be two times + * larger. Ex: With instruction cache disabled CyDelayUs(100) would result + * in about 200us delay instead of 100us. + * + * Parameters: + * uint16 microseconds: number of microseconds to delay. + * + * Return: + * None + * + * Side Effects: + * CyDelayUS has been implemented with the instruction cache assumed enabled. + * When instruction cache is disabled on PSoC 5, CyDelayUs will be two times + * larger. For example, with instruction cache disabled CyDelayUs(100) would + * result in about 200 us delay instead of 100 us. + * + * If the bus clock frequency is a small non-integer number, the actual delay + * can be up to twice as long as the nominal value. The actual delay cannot be + * shorter than the nominal one. + *******************************************************************************/ + void CyDelayUs(uint16 microseconds) CYREENTRANT + { + CyDelayCycles((uint32)microseconds * cydelay_freq_mhz); + } + +#endif /* (!CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: CyDelayFreq +******************************************************************************** +* +* Summary: +* Sets clock frequency for CyDelay. +* +* Parameters: +* freq: Frequency of bus clock in Hertz. +* +* Return: +* None +* +*******************************************************************************/ +void CyDelayFreq(uint32 freq) CYREENTRANT +{ + if (freq != 0u) + { + cydelay_freq_hz = freq; + } + else + { + cydelay_freq_hz = BCLK__BUS_CLK__HZ; + } + + cydelay_freq_mhz = (uint8)((cydelay_freq_hz + 999999u) / 1000000u); + cydelay_freq_khz = (cydelay_freq_hz + 999u) / 1000u; + cydelay_32k_ms = 32768u * cydelay_freq_khz; +} + + +/******************************************************************************* +* Function Name: CyWdtStart +******************************************************************************** +* +* Summary: +* Enables the watchdog timer. +* +* The timer is configured for the specified count interval, the central +* timewheel is cleared, the setting for low power mode is configured and the +* watchdog timer is enabled. +* +* Once enabled the watchdog cannot be disabled. The watchdog counts each time +* the Central Time Wheel (CTW) reaches the period specified. The watchdog must +* be cleared using the CyWdtClear() function before three ticks of the watchdog +* timer occur. The CTW is free running, so this will occur after between 2 and +* 3 timer periods elapse. +* +* PSoC5: The watchdog timer should not be used during sleep modes. Since the +* WDT cannot be disabled after it is enabled, the WDT timeout period can be +* set to be greater than the sleep wakeup period, then feed the dog on each +* wakeup from Sleep. +* +* Parameters: +* ticks: One of the four available timer periods. Once WDT enabled, the + interval cannot be changed. +* CYWDT_2_TICKS - 4 - 6 ms +* CYWDT_16_TICKS - 32 - 48 ms +* CYWDT_128_TICKS - 256 - 384 ms +* CYWDT_1024_TICKS - 2.048 - 3.072 s +* +* lpMode: Low power mode configuration. This parameter is ignored for PSoC 5. +* The WDT always acts as if CYWDT_LPMODE_NOCHANGE is passed. +* +* CYWDT_LPMODE_NOCHANGE - No Change +* CYWDT_LPMODE_MAXINTER - Switch to longest timer mode during low power +* mode +* CYWDT_LPMODE_DISABLED - Disable WDT during low power mode +* +* Return: +* None +* +* Side Effects: +* PSoC5: The ILO 1 KHz must be enabled for proper WDT operation. Stopping the +* ILO 1 kHz could break the active WDT functionality. +* +*******************************************************************************/ +void CyWdtStart(uint8 ticks, uint8 lpMode) +{ + /* Set WDT interval */ + CY_WDT_CFG_REG = (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_INTERVAL_MASK))) | (ticks & CY_WDT_CFG_INTERVAL_MASK); + + /* Reset CTW to ensure that first watchdog period is full */ + CY_WDT_CFG_REG |= CY_WDT_CFG_CTW_RESET; + CY_WDT_CFG_REG &= ((uint8)(~CY_WDT_CFG_CTW_RESET)); + + /* Setting the low power mode */ + CY_WDT_CFG_REG = (((uint8)(lpMode << CY_WDT_CFG_LPMODE_SHIFT)) & CY_WDT_CFG_LPMODE_MASK) | + (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_LPMODE_MASK))); + + /* Enables the watchdog reset */ + CY_WDT_CFG_REG |= CY_WDT_CFG_WDR_EN; +} + + +/******************************************************************************* +* Function Name: CyWdtClear +******************************************************************************** +* +* Summary: +* Clears (feeds) the watchdog timer. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyWdtClear(void) +{ + CY_WDT_CR_REG = CY_WDT_CR_FEED; +} + + + +/******************************************************************************* +* Function Name: CyVdLvDigitEnable +******************************************************************************** +* +* Summary: +* Enables the digital low voltage monitors to generate interrupt on Vddd +* archives specified threshold and optionally resets device. +* +* Parameters: +* reset: Option to reset device at a specified Vddd threshold: +* 0 - Device is not reset. +* 1 - Device is reset. +* +* threshold: Sets the trip level for the voltage monitor. +* Values from 1.70 V to 5.45 V are accepted with the approximately 250 mV +* interval. +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvDigitEnable(uint8 reset, uint8 threshold) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + + CY_VD_LVI_TRIP_REG = (threshold & CY_VD_LVI_TRIP_LVID_MASK) | + (CY_VD_LVI_TRIP_REG & ((uint8)(~CY_VD_LVI_TRIP_LVID_MASK))); + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVID_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void)CY_VD_PERSISTENT_STATUS_REG; + + if(0u != reset) + { + CY_VD_PRES_CONTROL_REG |= CY_VD_PRESD_EN; + } + else + { + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + } + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdLvAnalogEnable +******************************************************************************** +* +* Summary: +* Enables the analog low voltage monitors to generate interrupt on Vdda +* archives specified threshold and optionally resets device. +* +* Parameters: +* reset: Option to reset device at a specified Vdda threshold: +* 0 - Device is not reset. +* 1 - Device is reset. +* +* threshold: Sets the trip level for the voltage monitor. +* Values from 1.70 V to 5.45 V are accepted with the approximately 250 mV +* interval. +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + + CY_VD_LVI_TRIP_REG = ((uint8)(threshold << 4u)) | (CY_VD_LVI_TRIP_REG & 0x0Fu); + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVIA_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void)CY_VD_PERSISTENT_STATUS_REG; + + if(0u != reset) + { + CY_VD_PRES_CONTROL_REG |= CY_VD_PRESA_EN; + } + else + { + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + } + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdLvDigitDisable +******************************************************************************** +* +* Summary: +* Disables the digital low voltage monitor (interrupt and device reset are +* disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvDigitDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVID_EN)); + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + + while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + { + + } +} + + +/******************************************************************************* +* Function Name: CyVdLvAnalogDisable +******************************************************************************** +* +* Summary: +* Disables the analog low voltage monitor (interrupt and device reset are +* disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvAnalogDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVIA_EN)); + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + + while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + { + + } +} + + +/******************************************************************************* +* Function Name: CyVdHvAnalogEnable +******************************************************************************** +* +* Summary: +* Enables the analog high voltage monitors to generate interrupt on +* Vdda archives 5.75 V threshold and optionally resets device. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdHvAnalogEnable(void) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_HVIA_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void) CY_VD_PERSISTENT_STATUS_REG; + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdHvAnalogDisable +******************************************************************************** +* +* Summary: +* Disables the analog low voltage monitor +* (interrupt and device reset are disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdHvAnalogDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_HVIA_EN)); +} + + +/******************************************************************************* +* Function Name: CyVdStickyStatus +******************************************************************************** +* +* Summary: +* Manages the Reset and Voltage Detection Status Register 0. +* This register has the interrupt status for the HVIA, LVID and LVIA. +* This hardware register clears on read. +* +* Parameters: +* mask: Bits in the shadow register to clear. +* Define Definition +* CY_VD_LVID Persistent status of digital LVI. +* CY_VD_LVIA Persistent status of analog LVI. +* CY_VD_HVIA Persistent status of analog HVI. +* +* Return: +* Status. Same enumerated bit values as used for the mask parameter. +* +*******************************************************************************/ +uint8 CyVdStickyStatus(uint8 mask) +{ + uint8 status; + + status = CY_VD_PERSISTENT_STATUS_REG; + CY_VD_PERSISTENT_STATUS_REG &= ((uint8)(~mask)); + + return(status); +} + + +/******************************************************************************* +* Function Name: CyVdRealTimeStatus +******************************************************************************** +* +* Summary: +* Returns the real time voltage detection status. +* +* Parameters: +* None +* +* Return: +* Status: +* Define Definition +* CY_VD_LVID Persistent status of digital LVI. +* CY_VD_LVIA Persistent status of analog LVI. +* CY_VD_HVIA Persistent status of analog HVI. +* +*******************************************************************************/ +uint8 CyVdRealTimeStatus(void) +{ + uint8 interruptState; + uint8 vdFlagsState; + + interruptState = CyEnterCriticalSection(); + vdFlagsState = CY_VD_RT_STATUS_REG; + CyExitCriticalSection(interruptState); + + return(vdFlagsState); +} + + +/******************************************************************************* +* Function Name: CyDisableInts +******************************************************************************** +* +* Summary: +* Disables the interrupt enable for each interrupt. +* +* Parameters: +* None +* +* Return: +* 32 bit mask of previously enabled interrupts. +* +*******************************************************************************/ +uint32 CyDisableInts(void) +{ + uint32 intState; + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3) + + /* Get the current interrupt state. */ + intState = ((uint32) CY_GET_REG8(CY_INT_CLR_EN0_PTR)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN1_PTR)) << 8u)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN2_PTR)) << 16u)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN3_PTR)) << 24u)); + + + /* Disable all of the interrupts. */ + CY_SET_REG8(CY_INT_CLR_EN0_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN1_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN2_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN3_PTR, 0xFFu); + + #else + + /* Get the current interrupt state. */ + intState = CY_GET_REG32(CY_INT_CLEAR_PTR); + + /* Disable all of the interrupts. */ + CY_SET_REG32(CY_INT_CLEAR_PTR, 0xFFFFFFFFu); + + #endif /* (CY_PSOC3) */ + + CyExitCriticalSection(interruptState); + + return (intState); +} + + +/******************************************************************************* +* Function Name: CyEnableInts +******************************************************************************** +* +* Summary: +* Enables interrupts to a given state. +* +* Parameters: +* uint32 mask: 32 bit mask of interrupts to enable. +* +* Return: +* None +* +*******************************************************************************/ +void CyEnableInts(uint32 mask) +{ + + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3) + + /* Set interrupts as enabled. */ + CY_SET_REG8(CY_INT_SET_EN3_PTR, ((uint8) (mask >> 24u))); + CY_SET_REG8(CY_INT_SET_EN2_PTR, ((uint8) (mask >> 16u))); + CY_SET_REG8(CY_INT_SET_EN1_PTR, ((uint8) (mask >> 8u ))); + CY_SET_REG8(CY_INT_SET_EN0_PTR, ((uint8) (mask ))); + + #else + + CY_SET_REG32(CY_INT_ENABLE_PTR, mask); + + #endif /* (CY_PSOC3) */ + + CyExitCriticalSection(interruptState); + +} + +#if(CY_PSOC5) + + /******************************************************************************* + * Function Name: CyFlushCache + ******************************************************************************** + * Summary: + * Flushes the PSoC 5/5LP cache by invalidating all entries. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyFlushCache(void) + { + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /* Fill instruction prefectch unit to insure data integrity */ + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + + /* All entries in the cache are invalidated on the next clock cycle. */ + CY_CACHE_CONTROL_REG |= CY_CACHE_CONTROL_FLUSH; + + + /*********************************************************************** + * The prefetch unit could/would be filled with the instructions that + * succeed the flush. Since a flush is desired then theoretically those + * instructions might be considered stale/invalid. + ***********************************************************************/ + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + } + + + /******************************************************************************* + * Function Name: CyIntSetSysVector + ******************************************************************************** + * Summary: + * Sets the interrupt vector of the specified system interrupt number. System + * interrupts are present only for the ARM platform. These interrupts are for + * SysTick, PendSV and others. + * + * Parameters: + * number: Interrupt number, valid range [0-15]. + address: Pointer to an interrupt service routine. + * + * Return: + * The old ISR vector at this location. + * + *******************************************************************************/ + cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + + CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + + /* Set new Interrupt service routine. */ + ramVectorTable[number & CY_INT_SYS_NUMBER_MASK] = address; + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetSysVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified system interrupt number. System + * interrupts are present only for the ARM platform. These interrupts are for + * SysTick, PendSV and others. + * + * Parameters: + * number: The interrupt number, valid range [0-15]. + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetSysVector(uint8 number) + { + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); + + return ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + } + + + /******************************************************************************* + * Function Name: CyIntSetVector + ******************************************************************************** + * + * Summary: + * Sets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * address: Pointer to an interrupt service routine + * + * Return: + * Previous interrupt vector value. + * + *******************************************************************************/ + cyisraddress CyIntSetVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]; + + /* Set new Interrupt service routine. */ + ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)] = address; + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetVector(uint8 number) + { + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + CYASSERT(number <= CY_INT_NUMBER_MAX); + + return (ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]); + } + + + /******************************************************************************* + * Function Name: CyIntSetPriority + ******************************************************************************** + * + * Summary: + * Sets the Priority of the Interrupt. + * + * Parameters: + * priority: Priority of the interrupt. 0 - 7, 0 being the highest. + * number: The number of the interrupt, 0 - 31. + * + * Return: + * None + * + *******************************************************************************/ + void CyIntSetPriority(uint8 number, uint8 priority) + { + CYASSERT(priority <= CY_INT_PRIORITY_MAX); + CYASSERT(number <= CY_INT_NUMBER_MAX); + CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = (priority & CY_INT_PRIORITY_MASK)<< 5; + } + + + /******************************************************************************* + * Function Name: CyIntGetPriority + ******************************************************************************** + * + * Summary: + * Gets the Priority of the Interrupt. + * + * Parameters: + * number: The number of the interrupt, 0 - 31. + * + * Return: + * Priority of the interrupt. 0 - 7, 0 being the highest. + * + *******************************************************************************/ + uint8 CyIntGetPriority(uint8 number) + { + uint8 priority; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + + return (priority); + } + + + /******************************************************************************* + * Function Name: CyIntGetState + ******************************************************************************** + * + * Summary: + * Gets the enable state of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * Enable status: 1 if enabled, 0 if disabled + * + *******************************************************************************/ + uint8 CyIntGetState(uint8 number) + { + reg32 * stateReg; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Get a pointer to the Interrupt enable register. */ + stateReg = CY_INT_ENABLE_PTR; + + /* Get the state of the interrupt. */ + return (0u != (*stateReg & (((uint32) 1u) << (0x1Fu & number)))) ? ((uint8)(1u)) : ((uint8)(0u)); + } + + +#else /* PSoC3 */ + + + /******************************************************************************* + * Function Name: CyIntSetVector + ******************************************************************************** + * + * Summary: + * Sets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * address: Pointer to an interrupt service routine + * + * Return: + * Previous interrupt vector value. + * + *******************************************************************************/ + cyisraddress CyIntSetVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = (cyisraddress) \ + CY_GET_REG16(&CY_INT_VECT_TABLE[number & CY_INT_NUMBER_MASK]); + + /* Set new Interrupt service routine. */ + CY_SET_REG16(&CY_INT_VECT_TABLE[number], (uint16) address); + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetVector(uint8 number) + { + CYASSERT(number <= CY_INT_NUMBER_MAX); + + return ((cyisraddress) \ + CY_GET_REG16(&CY_INT_VECT_TABLE[number & CY_INT_NUMBER_MASK])); + } + + + /******************************************************************************* + * Function Name: CyIntSetPriority + ******************************************************************************** + * + * Summary: + * Sets the Priority of the Interrupt. + * + * Parameters: + * priority: Priority of the interrupt. 0 - 7, 0 being the highest. + * number: The number of the interrupt, 0 - 31. + * + * Return: + * None + * + *******************************************************************************/ + void CyIntSetPriority(uint8 number, uint8 priority) + { + CYASSERT(priority <= CY_INT_PRIORITY_MAX); + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = + (priority & CY_INT_PRIORITY_MASK) << 5; + } + + + /******************************************************************************* + * Function Name: CyIntGetPriority + ******************************************************************************** + * + * Summary: + * Gets the Priority of the Interrupt. + * + * Parameters: + * number: The number of the interrupt, 0 - 31. + * + * Return: + * Priority of the interrupt. 0 - 7, 0 being the highest. + * + *******************************************************************************/ + uint8 CyIntGetPriority(uint8 number) + { + uint8 priority; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + + return (priority); + } + + + /******************************************************************************* + * Function Name: CyIntGetState + ******************************************************************************** + * + * Summary: + * Gets the enable state of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * Enable status: 1 if enabled, 0 if disabled + * + *******************************************************************************/ + uint8 CyIntGetState(uint8 number) + { + reg8 * stateReg; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Get a pointer to the Interrupt enable register. */ + stateReg = CY_INT_ENABLE_PTR + ((number & CY_INT_NUMBER_MASK) >> 3u); + + /* Get the state of the interrupt. */ + return ((0u != (*stateReg & ((uint8)(1u << (0x07u & number))))) ? ((uint8)(1u)) : ((uint8)(0u))); + } + + +#endif /* (CY_PSOC5) */ + + +#if(CYDEV_VARIABLE_VDDA == 1) + + /******************************************************************************* + * Function Name: CySetScPumps + ******************************************************************************** + * + * Summary: + * If 1 is passed as a parameter: + * - if any of the SC blocks are used - enable pumps for the SC blocks and + * start boost clock. + * - For the each enabled SC block set boost clock index and enable boost + * clock. + * + * If non-1 value is passed as a parameter: + * - If all SC blocks are not used - disable pumps for the SC blocks and + * stop boost clock. + * - For the each enabled SC block clear boost clock index and disable boost + * clock. + * + * The global variable CyScPumpEnabled is updated to be equal to passed + * parameter. + * + * Parameters: + * uint8 enable: Enable/disable SC pumps and boost clock for enabled SC block. + * 1 - Enable + * 0 - Disable + * + * Return: + * None + * + *******************************************************************************/ + void CySetScPumps(uint8 enable) + { + if(1u == enable) + { + /* The SC pumps should be enabled */ + CyScPumpEnabled = 1u; + /* Enable pumps if any of SC blocks are used */ + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAPS_MASK)) + { + CY_LIB_SC_MISC_REG |= CY_LIB_SC_MISC_PUMP_FORCE; + CyScBoostClk_Start(); + } + /* Set positive pump for each enabled SC block: set clock index and enable it */ + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP0_EN)) + { + CY_LIB_SC0_BST_REG = (CY_LIB_SC0_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC0_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP1_EN)) + { + CY_LIB_SC1_BST_REG = (CY_LIB_SC1_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC1_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP2_EN)) + { + CY_LIB_SC2_BST_REG = (CY_LIB_SC2_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC2_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP3_EN)) + { + CY_LIB_SC3_BST_REG = (CY_LIB_SC3_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC3_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + } + else + { + /* The SC pumps should be disabled */ + CyScPumpEnabled = 0u; + /* Disable pumps for all SC blocks and stop boost clock */ + CY_LIB_SC_MISC_REG &= ((uint8)(~CY_LIB_SC_MISC_PUMP_FORCE)); + CyScBoostClk_Stop(); + /* Disable boost clock and clear clock index for each SC block */ + CY_LIB_SC0_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC0_BST_REG = CY_LIB_SC0_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + CY_LIB_SC1_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC1_BST_REG = CY_LIB_SC1_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + CY_LIB_SC2_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC2_BST_REG = CY_LIB_SC2_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + CY_LIB_SC3_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC3_BST_REG = CY_LIB_SC3_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + } + } + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.h new file mode 100755 index 0000000..8a69921 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CyLib.h @@ -0,0 +1,1281 @@ +/******************************************************************************* +* File Name: CyLib.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the system, clocking, interrupts and +* watchdog timer API. +* +* Note: +* Documentation of the API's in this file is located in the System Reference +* Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYLIB_H) +#define CY_BOOT_CYLIB_H + +#include +#include +#include + +#include "cytypes.h" +#include "cyfitter.h" +#include "cydevice_trm.h" +#include "cyPm.h" + +#if(CY_PSOC3) + #include +#endif /* (CY_PSOC3) */ + + +#if(CYDEV_VARIABLE_VDDA == 1) + + #include "CyScBoostClk.h" + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* Global variable with preserved reset status */ +extern uint8 CYXDATA CyResetStatus; + + +/* Variable Vdda */ +#if(CYDEV_VARIABLE_VDDA == 1) + + extern uint8 CyScPumpEnabled; + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* Do not use these definitions directly in your application */ +extern uint32 cydelay_freq_hz; +extern uint32 cydelay_freq_khz; +extern uint8 cydelay_freq_mhz; +extern uint32 cydelay_32k_ms; + + +/*************************************** +* Function Prototypes +***************************************/ +cystatus CyPLL_OUT_Start(uint8 wait) ; +void CyPLL_OUT_Stop(void) ; +void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) ; +void CyPLL_OUT_SetSource(uint8 source) ; + +void CyIMO_Start(uint8 wait) ; +void CyIMO_Stop(void) ; +void CyIMO_SetFreq(uint8 freq) ; +void CyIMO_SetSource(uint8 source) ; +void CyIMO_EnableDoubler(void) ; +void CyIMO_DisableDoubler(void) ; + +void CyMasterClk_SetSource(uint8 source) ; +void CyMasterClk_SetDivider(uint8 divider) ; +void CyBusClk_SetDivider(uint16 divider) ; + +#if(CY_PSOC3) + void CyCpuClk_SetDivider(uint8 divider) ; +#endif /* (CY_PSOC3) */ + +void CyUsbClk_SetSource(uint8 source) ; + +void CyILO_Start1K(void) ; +void CyILO_Stop1K(void) ; +void CyILO_Start100K(void) ; +void CyILO_Stop100K(void) ; +void CyILO_Enable33K(void) ; +void CyILO_Disable33K(void) ; +void CyILO_SetSource(uint8 source) ; +uint8 CyILO_SetPowerMode(uint8 mode) ; + +uint8 CyXTAL_32KHZ_ReadStatus(void) ; +uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) ; +void CyXTAL_32KHZ_Start(void) ; +void CyXTAL_32KHZ_Stop(void) ; + +cystatus CyXTAL_Start(uint8 wait) ; +void CyXTAL_Stop(void) ; +void CyXTAL_SetStartup(uint8 setting) ; + +void CyXTAL_EnableErrStatus(void) ; +void CyXTAL_DisableErrStatus(void) ; +uint8 CyXTAL_ReadStatus(void) ; +void CyXTAL_EnableFaultRecovery(void) ; +void CyXTAL_DisableFaultRecovery(void) ; + +void CyXTAL_SetFbVoltage(uint8 setting) ; +void CyXTAL_SetWdVoltage(uint8 setting) ; + +void CyWdtStart(uint8 ticks, uint8 lpMode) ; +void CyWdtClear(void) ; + +/* System Function Prototypes */ +void CyDelay(uint32 milliseconds) CYREENTRANT; +void CyDelayUs(uint16 microseconds); +void CyDelayFreq(uint32 freq) CYREENTRANT; +void CyDelayCycles(uint32 cycles); + +void CySoftwareReset(void) ; + +uint8 CyEnterCriticalSection(void); +void CyExitCriticalSection(uint8 savedIntrStatus); +void CyHalt(uint8 reason) CYREENTRANT; + + +/* Interrupt Function Prototypes */ +#if(CY_PSOC5) + cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) ; + cyisraddress CyIntGetSysVector(uint8 number) ; +#endif /* (CY_PSOC5) */ + +cyisraddress CyIntSetVector(uint8 number, cyisraddress address) ; +cyisraddress CyIntGetVector(uint8 number) ; + +void CyIntSetPriority(uint8 number, uint8 priority) ; +uint8 CyIntGetPriority(uint8 number) ; + +uint8 CyIntGetState(uint8 number) ; + +uint32 CyDisableInts(void) ; +void CyEnableInts(uint32 mask) ; + + +#if(CY_PSOC5) + void CyFlushCache(void); +#endif /* (CY_PSOC5) */ + + +/* Voltage Detection Function Prototypes */ +void CyVdLvDigitEnable(uint8 reset, uint8 threshold) ; +void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) ; +void CyVdLvDigitDisable(void) ; +void CyVdLvAnalogDisable(void) ; +void CyVdHvAnalogEnable(void) ; +void CyVdHvAnalogDisable(void) ; +uint8 CyVdStickyStatus(uint8 mask) ; +uint8 CyVdRealTimeStatus(void) ; + +void CySetScPumps(uint8 enable) ; + + +/*************************************** +* API Constants +***************************************/ + + +/******************************************************************************* +* PLL API Constants +*******************************************************************************/ +#define CY_CLK_PLL_ENABLE (0x01u) +#define CY_CLK_PLL_LOCK_STATUS (0x01u) + +#define CY_CLK_PLL_FTW_INTERVAL (24u) + +#define CY_CLK_PLL_MAX_Q_VALUE (16u) +#define CY_CLK_PLL_MIN_Q_VALUE (1u) +#define CY_CLK_PLL_MIN_P_VALUE (8u) +#define CY_CLK_PLL_MIN_CUR_VALUE (1u) +#define CY_CLK_PLL_MAX_CUR_VALUE (7u) + +#define CY_CLK_PLL_CURRENT_POSITION (4u) +#define CY_CLK_PLL_CURRENT_MASK (0x8Fu) + + +/******************************************************************************* +* External 32kHz Crystal Oscillator API Constants +*******************************************************************************/ +#define CY_XTAL32K_ANA_STAT (0x20u) + +#define CY_CLK_XTAL32_CR_LPM (0x02u) +#define CY_CLK_XTAL32_CR_EN (0x01u) +#if(CY_PSOC3) + #define CY_CLK_XTAL32_CR_PDBEN (0x04u) +#endif /* (CY_PSOC3) */ + +#define CY_CLK_XTAL32_TR_MASK (0x07u) +#define CY_CLK_XTAL32_TR_STARTUP (0x03u) +#define CY_CLK_XTAL32_TR_HIGH_POWER (0x06u) +#define CY_CLK_XTAL32_TR_LOW_POWER (0x01u) +#define CY_CLK_XTAL32_TR_POWERDOWN (0x00u) + +#define CY_CLK_XTAL32_TST_DEFAULT (0xF3u) + +#define CY_CLK_XTAL32_CFG_LP_DEFAULT (0x04u) +#define CY_CLK_XTAL32_CFG_LP_LOWPOWER (0x08u) +#define CY_CLK_XTAL32_CFG_LP_MASK (0x0Cu) + +#define CY_CLK_XTAL32_CFG_LP_ALLOW (0x80u) + + +/******************************************************************************* +* External MHz Crystal Oscillator API Constants +*******************************************************************************/ +#define CY_CLK_XMHZ_FTW_INTERVAL (24u) +#define CY_CLK_XMHZ_MIN_TIMEOUT (130u) + +#define CY_CLK_XMHZ_CSR_ENABLE (0x01u) +#define CY_CLK_XMHZ_CSR_XERR (0x80u) +#define CY_CLK_XMHZ_CSR_XFB (0x04u) +#define CY_CLK_XMHZ_CSR_XPROT (0x40u) + +#define CY_CLK_XMHZ_CFG0_XCFG_MASK (0x1Fu) +#define CY_CLK_XMHZ_CFG1_VREF_FB_MASK (0x0Fu) +#define CY_CLK_XMHZ_CFG1_VREF_WD_MASK (0x70u) + + +/******************************************************************************* +* Watchdog Timer API Constants +*******************************************************************************/ +#define CYWDT_2_TICKS (0x0u) /* 4 - 6 ms */ +#define CYWDT_16_TICKS (0x1u) /* 32 - 48 ms */ +#define CYWDT_128_TICKS (0x2u) /* 256 - 384 ms */ +#define CYWDT_1024_TICKS (0x3u) /* 2048 - 3072 ms */ + +#define CYWDT_LPMODE_NOCHANGE (0x00u) +#define CYWDT_LPMODE_MAXINTER (0x01u) +#define CYWDT_LPMODE_DISABLED (0x03u) + +#define CY_WDT_CFG_INTERVAL_MASK (0x03u) +#define CY_WDT_CFG_CTW_RESET (0x80u) +#define CY_WDT_CFG_LPMODE_SHIFT (5u) +#define CY_WDT_CFG_LPMODE_MASK (0x60u) +#define CY_WDT_CFG_WDR_EN (0x10u) +#define CY_WDT_CFG_CLEAR_ALL (0x00u) +#define CY_WDT_CR_FEED (0x01u) + + +/******************************************************************************* +* Voltage Detection API Constants +*******************************************************************************/ + +#define CY_VD_LVID_EN (0x01u) +#define CY_VD_LVIA_EN (0x02u) +#define CY_VD_HVIA_EN (0x04u) + +#define CY_VD_PRESD_EN (0x40u) +#define CY_VD_PRESA_EN (0x80u) + +#define CY_VD_LVID (0x01u) +#define CY_VD_LVIA (0x02u) +#define CY_VD_HVIA (0x04u) + +#define CY_VD_LVI_TRIP_LVID_MASK (0x0Fu) + + +/******************************************************************************* +* Variable VDDA API Constants +*******************************************************************************/ +#if(CYDEV_VARIABLE_VDDA == 1) + + /* Active Power Mode Configuration Register 9 */ + #define CY_LIB_ACT_CFG9_SWCAP0_EN (0x01u) + #define CY_LIB_ACT_CFG9_SWCAP1_EN (0x02u) + #define CY_LIB_ACT_CFG9_SWCAP2_EN (0x04u) + #define CY_LIB_ACT_CFG9_SWCAP3_EN (0x08u) + #define CY_LIB_ACT_CFG9_SWCAPS_MASK (0x0Fu) + + /* Switched Cap Miscellaneous Control Register */ + #define CY_LIB_SC_MISC_PUMP_FORCE (0x20u) + + /* Switched Capacitor 0 Boost Clock Selection Register */ + #define CY_LIB_SC_BST_CLK_EN (0x08u) + #define CY_LIB_SC_BST_CLK_INDEX_MASK (0xF8u) + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/******************************************************************************* +* Clock Distribution API Constants +*******************************************************************************/ +#define CY_LIB_CLKDIST_AMASK_MASK (0xF0u) +#define CY_LIB_CLKDIST_DMASK_MASK (0x00u) +#define CY_LIB_CLKDIST_LD_LOAD (0x01u) +#define CY_LIB_CLKDIST_BCFG2_MASK (0x80u) +#define CY_LIB_CLKDIST_MASTERCLK_DIV (7u) +#define CY_LIB_CLKDIST_BCFG2_SSS (0x40u) +#define CY_LIB_CLKDIST_MSTR1_SRC_MASK (0xFCu) +#define CY_LIB_FASTCLK_IMO_DOUBLER (0x10u) +#define CY_LIB_FASTCLK_IMO_IMO (0x20u) +#define CY_LIB_CLKDIST_CR_IMO2X (0x40u) +#define CY_LIB_FASTCLK_IMO_CR_RANGE_MASK (0xF8u) + +#define CY_LIB_CLKDIST_CR_PLL_SCR_MASK (0xFCu) + + +/* CyILO_SetPowerMode() */ +#define CY_ILO_CONTROL_PD_MODE (0x10u) +#define CY_ILO_CONTROL_PD_POSITION (4u) + +#define CY_ILO_SOURCE_100K (0u) +#define CY_ILO_SOURCE_33K (1u) +#define CY_ILO_SOURCE_1K (2u) + +#define CY_ILO_FAST_START (0u) +#define CY_ILO_SLOW_START (1u) + +#define CY_ILO_SOURCE_BITS_CLEAR (0xF3u) +#define CY_ILO_SOURCE_1K_SET (0x08u) +#define CY_ILO_SOURCE_33K_SET (0x04u) +#define CY_ILO_SOURCE_100K_SET (0x00u) + +#define CY_MASTER_SOURCE_IMO (0u) +#define CY_MASTER_SOURCE_PLL (1u) +#define CY_MASTER_SOURCE_XTAL (2u) +#define CY_MASTER_SOURCE_DSI (3u) + +#define CY_IMO_SOURCE_IMO (0u) +#define CY_IMO_SOURCE_XTAL (1u) +#define CY_IMO_SOURCE_DSI (2u) + + +/* CyIMO_Start() */ +#define CY_LIB_PM_ACT_CFG0_IMO_EN (0x10u) +#define CY_LIB_PM_STBY_CFG0_IMO_EN (0x10u) +#define CY_LIB_CLK_IMO_FTW_TIMEOUT (0x00u) + +#define CY_LIB_IMO_3MHZ_VALUE (0x03u) +#define CY_LIB_IMO_6MHZ_VALUE (0x01u) +#define CY_LIB_IMO_12MHZ_VALUE (0x00u) +#define CY_LIB_IMO_24MHZ_VALUE (0x02u) +#define CY_LIB_IMO_48MHZ_VALUE (0x04u) +#define CY_LIB_IMO_62MHZ_VALUE (0x05u) +#define CY_LIB_IMO_74MHZ_VALUE (0x06u) + + +/* CyIMO_SetFreq() */ +#define CY_IMO_FREQ_3MHZ (0u) +#define CY_IMO_FREQ_6MHZ (1u) +#define CY_IMO_FREQ_12MHZ (2u) +#define CY_IMO_FREQ_24MHZ (3u) +#define CY_IMO_FREQ_48MHZ (4u) +#define CY_IMO_FREQ_62MHZ (5u) +#if(CY_PSOC5) + #define CY_IMO_FREQ_74MHZ (6u) +#endif /* (CY_PSOC5) */ +#define CY_IMO_FREQ_USB (8u) + +#define CY_LIB_IMO_USBCLK_ON_SET (0x40u) + + +/* CyCpuClk_SetDivider() */ +#define CY_LIB_CLKDIST_DIV_POSITION (4u) +#define CY_LIB_CLKDIST_MSTR1_DIV_MASK (0x0Fu) + + +/* CyIMO_SetTrimValue() */ +#define CY_LIB_USB_CLK_EN (0x02u) + + +/* CyPLL_OUT_SetSource() - parameters */ +#define CY_PLL_SOURCE_IMO (0u) +#define CY_PLL_SOURCE_XTAL (1u) +#define CY_PLL_SOURCE_DSI (2u) + + +/* CyILO_[Start|Stop][1|100K](), CyILO_[Enable|Disable]33K() */ +#define CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ (0x02u) +#define CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ (0x20u) +#define CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ (0x04u) + + +/* CyUsbClk_SetSource() */ +#define CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK (0x03u) + + +/* CyUsbClk_SetSource() - parameters */ +#define CY_LIB_USB_CLK_IMO2X (0x00u) +#define CY_LIB_USB_CLK_IMO (0x01u) +#define CY_LIB_USB_CLK_PLL (0x02u) +#define CY_LIB_USB_CLK_DSI (0x03u) + + +/* CyUSB_PowerOnCheck() */ +#define CY_ACT_USB_ENABLED (0x01u) +#define CY_ALT_ACT_USB_ENABLED (0x01u) + + +/*************************************** +* Registers +***************************************/ + + +/******************************************************************************* +* System Registers +*******************************************************************************/ + +/* Software Reset Control Register */ +#define CY_LIB_RESET_CR2_REG (* (reg8 *) CYREG_RESET_CR2) +#define CY_LIB_RESET_CR2_PTR ( (reg8 *) CYREG_RESET_CR2) + +/* Timewheel Configuration Register 0 */ +#define CY_LIB_PM_TW_CFG0_REG (*(reg8 *) CYREG_PM_TW_CFG0) +#define CY_LIB_PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0) + +/* Timewheel Configuration Register 2 */ +#define CY_LIB_PM_TW_CFG2_REG (*(reg8 *) CYREG_PM_TW_CFG2) +#define CY_LIB_PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2) + +/* USB Configuration Register */ +#define CY_LIB_CLKDIST_UCFG_REG (*(reg8 *) CYREG_CLKDIST_UCFG) +#define CY_LIB_CLKDIST_UCFG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) + +/* Internal Main Oscillator Trim Register 1 */ +#define CY_LIB_IMO_TR1_REG (*(reg8 *) CYREG_IMO_TR1) +#define CY_LIB_IMO_TR1_PTR ( (reg8 *) CYREG_IMO_TR1) + +/* USB control 1 Register */ +#define CY_LIB_USB_CR1_REG (*(reg8 *) CYREG_USB_CR1 ) +#define CY_LIB_USB_CR1_PTR ( (reg8 *) CYREG_USB_CR1 ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_LIB_PM_ACT_CFG0_REG (*(reg8 *) CYREG_PM_ACT_CFG0) +#define CY_LIB_PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0) + +/* Standby Power Mode Configuration Register 0 */ +#define CY_LIB_PM_STBY_CFG0_REG (*(reg8 *) CYREG_PM_STBY_CFG0) +#define CY_LIB_PM_STBY_CFG0_PTR ( (reg8 *) CYREG_PM_STBY_CFG0) + +/* Active Power Mode Configuration Register 5 */ +#define CY_LIB_PM_ACT_CFG5_REG (* (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_LIB_PM_ACT_CFG5_PTR ( (reg8 *) CYREG_PM_ACT_CFG5 ) + +/* Standby Power Mode Configuration Register 5 */ +#define CY_LIB_PM_STBY_CFG5_REG (* (reg8 *) CYREG_PM_STBY_CFG5 ) +#define CY_LIB_PM_STBY_CFG5_PTR ( (reg8 *) CYREG_PM_STBY_CFG5 ) + +/* CyIMO_SetTrimValue() */ +#if(CY_PSOC3) + #define CY_LIB_TRIM_IMO_3MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define CY_LIB_TRIM_IMO_6MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define CY_LIB_TRIM_IMO_12MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define CY_LIB_TRIM_IMO_24MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define CY_LIB_TRIM_IMO_67MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define CY_LIB_TRIM_IMO_80MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define CY_LIB_TRIM_IMO_USB_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define CY_LIB_TRIM_IMO_TR1_PTR ((void far *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) + #else + #define CY_LIB_TRIM_IMO_3MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define CY_LIB_TRIM_IMO_6MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define CY_LIB_TRIM_IMO_12MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define CY_LIB_TRIM_IMO_24MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define CY_LIB_TRIM_IMO_67MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define CY_LIB_TRIM_IMO_80MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define CY_LIB_TRIM_IMO_USB_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define CY_LIB_TRIM_IMO_TR1_PTR ((reg8 *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* PLL Registers +*******************************************************************************/ + +/* PLL Configuration Register 0 */ +#define CY_CLK_PLL_CFG0_REG (*(reg8 *) CYREG_FASTCLK_PLL_CFG0) +#define CY_CLK_PLL_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG0) + +/* PLL Configuration Register 1 */ +#define CY_CLK_PLL_CFG1_REG (*(reg8 *) CYREG_FASTCLK_PLL_CFG1) +#define CY_CLK_PLL_CFG1_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG1) + +/* PLL Status Register */ +#define CY_CLK_PLL_SR_REG (*(reg8 *) CYREG_FASTCLK_PLL_SR) +#define CY_CLK_PLL_SR_PTR ( (reg8 *) CYREG_FASTCLK_PLL_SR) + +/* PLL Q-Counter Configuration Register */ +#define CY_CLK_PLL_Q_REG (*(reg8 *) CYREG_FASTCLK_PLL_Q) +#define CY_CLK_PLL_Q_PTR ( (reg8 *) CYREG_FASTCLK_PLL_Q) + +/* PLL P-Counter Configuration Register */ +#define CY_CLK_PLL_P_REG (*(reg8 *) CYREG_FASTCLK_PLL_P) +#define CY_CLK_PLL_P_PTR ( (reg8 *) CYREG_FASTCLK_PLL_P) + + +/******************************************************************************* +* External MHz Crystal Oscillator Registers +*******************************************************************************/ + +/* External MHz Crystal Oscillator Status and Control Register */ +#define CY_CLK_XMHZ_CSR_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CSR) +#define CY_CLK_XMHZ_CSR_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CSR) + +/* External MHz Crystal Oscillator Configuration Register 0 */ +#define CY_CLK_XMHZ_CFG0_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CFG0) +#define CY_CLK_XMHZ_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CFG0) + +/* External MHz Crystal Oscillator Configuration Register 1 */ +#define CY_CLK_XMHZ_CFG1_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CFG1) +#define CY_CLK_XMHZ_CFG1_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CFG1) + + +/******************************************************************************* +* External 32kHz Crystal Oscillator Registers +*******************************************************************************/ + +/* 32 kHz Watch Crystal Oscillator Trim Register */ +#define CY_CLK_XTAL32_TR_REG (*(reg8 *) CYREG_X32_TR) +#define CY_CLK_XTAL32_TR_PTR ( (reg8 *) CYREG_X32_TR) + +/* External 32kHz Crystal Oscillator Test Register */ +#define CY_CLK_XTAL32_TST_REG (*(reg8 *) CYREG_SLOWCLK_X32_TST) +#define CY_CLK_XTAL32_TST_PTR ( (reg8 *) CYREG_SLOWCLK_X32_TST) + +/* External 32kHz Crystal Oscillator Control Register */ +#define CY_CLK_XTAL32_CR_REG (*(reg8 *) CYREG_SLOWCLK_X32_CR) +#define CY_CLK_XTAL32_CR_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CR) + +/* External 32kHz Crystal Oscillator Configuration Register */ +#define CY_CLK_XTAL32_CFG_REG (*(reg8 *) CYREG_SLOWCLK_X32_CFG) +#define CY_CLK_XTAL32_CFG_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CFG) + + +/******************************************************************************* +* Watchdog Timer Registers +*******************************************************************************/ + +/* Watchdog Timer Configuration Register */ +#define CY_WDT_CFG_REG (*(reg8 *) CYREG_PM_WDT_CFG) +#define CY_WDT_CFG_PTR ( (reg8 *) CYREG_PM_WDT_CFG) + +/* Watchdog Timer Control Register */ +#define CY_WDT_CR_REG (*(reg8 *) CYREG_PM_WDT_CR) +#define CY_WDT_CR_PTR ( (reg8 *) CYREG_PM_WDT_CR) + + +/******************************************************************************* +* LVI/HVI Registers +*******************************************************************************/ + +#define CY_VD_LVI_TRIP_REG (* (reg8 *) CYREG_RESET_CR0) +#define CY_VD_LVI_TRIP_PTR ( (reg8 *) CYREG_RESET_CR0) + +#define CY_VD_LVI_HVI_CONTROL_REG (* (reg8 *) CYREG_RESET_CR1) +#define CY_VD_LVI_HVI_CONTROL_PTR ( (reg8 *) CYREG_RESET_CR1) + +#define CY_VD_PRES_CONTROL_REG (* (reg8 *) CYREG_RESET_CR3) +#define CY_VD_PRES_CONTROL_PTR ( (reg8 *) CYREG_RESET_CR3) + +#define CY_VD_PERSISTENT_STATUS_REG (* (reg8 *) CYREG_RESET_SR0) +#define CY_VD_PERSISTENT_STATUS_PTR ( (reg8 *) CYREG_RESET_SR0) + +#define CY_VD_RT_STATUS_REG (* (reg8 *) CYREG_RESET_SR2) +#define CY_VD_RT_STATUS_PTR ( (reg8 *) CYREG_RESET_SR2) + + +/******************************************************************************* +* Variable VDDA +*******************************************************************************/ +#if(CYDEV_VARIABLE_VDDA == 1) + + /* Active Power Mode Configuration Register 9 */ + #define CY_LIB_ACT_CFG9_REG (* (reg8 *) CYREG_PM_ACT_CFG9 ) + #define CY_LIB_ACT_CFG9_PTR ( (reg8 *) CYREG_PM_ACT_CFG9 ) + + /* Switched Capacitor 0 Boost Clock Selection Register */ + #define CY_LIB_SC0_BST_REG (* (reg8 *) CYREG_SC0_BST ) + #define CY_LIB_SC0_BST_PTR ( (reg8 *) CYREG_SC0_BST ) + + /* Switched Capacitor 1 Boost Clock Selection Register */ + #define CY_LIB_SC1_BST_REG (* (reg8 *) CYREG_SC1_BST ) + #define CY_LIB_SC1_BST_PTR ( (reg8 *) CYREG_SC1_BST ) + + /* Switched Capacitor 2 Boost Clock Selection Register */ + #define CY_LIB_SC2_BST_REG (* (reg8 *) CYREG_SC2_BST ) + #define CY_LIB_SC2_BST_PTR ( (reg8 *) CYREG_SC2_BST ) + + /* Switched Capacitor 3 Boost Clock Selection Register */ + #define CY_LIB_SC3_BST_REG (* (reg8 *) CYREG_SC3_BST ) + #define CY_LIB_SC3_BST_PTR ( (reg8 *) CYREG_SC3_BST ) + + /* Switched Cap Miscellaneous Control Register */ + #define CY_LIB_SC_MISC_REG (* (reg8 *) CYREG_SC_MISC ) + #define CY_LIB_SC_MISC_PTR ( (reg8 *) CYREG_SC_MISC ) + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/******************************************************************************* +* Clock Distribution Registers +*******************************************************************************/ + +/* Analog Clock Mask Register */ +#define CY_LIB_CLKDIST_AMASK_REG (* (reg8 *) CYREG_CLKDIST_AMASK ) +#define CY_LIB_CLKDIST_AMASK_PTR ( (reg8 *) CYREG_CLKDIST_AMASK ) + +/* Digital Clock Mask Register */ +#define CY_LIB_CLKDIST_DMASK_REG (*(reg8 *) CYREG_CLKDIST_DMASK) +#define CY_LIB_CLKDIST_DMASK_PTR ( (reg8 *) CYREG_CLKDIST_DMASK) + +/* CLK_BUS Configuration Register */ +#define CY_LIB_CLKDIST_BCFG2_REG (*(reg8 *) CYREG_CLKDIST_BCFG2) +#define CY_LIB_CLKDIST_BCFG2_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2) + +/* LSB Shadow Divider Value Register */ +#define CY_LIB_CLKDIST_WRK_LSB_REG (*(reg8 *) CYREG_CLKDIST_WRK0) +#define CY_LIB_CLKDIST_WRK_LSB_PTR ( (reg8 *) CYREG_CLKDIST_WRK0) + +/* MSB Shadow Divider Value Register */ +#define CY_LIB_CLKDIST_WRK_MSB_REG (*(reg8 *) CYREG_CLKDIST_WRK1) +#define CY_LIB_CLKDIST_WRK_MSB_PTR ( (reg8 *) CYREG_CLKDIST_WRK1) + +/* LOAD Register */ +#define CY_LIB_CLKDIST_LD_REG (*(reg8 *) CYREG_CLKDIST_LD) +#define CY_LIB_CLKDIST_LD_PTR ( (reg8 *) CYREG_CLKDIST_LD) + +/* CLK_BUS LSB Divider Value Register */ +#define CY_LIB_CLKDIST_BCFG_LSB_REG (*(reg8 *) CYREG_CLKDIST_BCFG0) +#define CY_LIB_CLKDIST_BCFG_LSB_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0) + +/* CLK_BUS MSB Divider Value Register */ +#define CY_LIB_CLKDIST_BCFG_MSB_REG (*(reg8 *) CYREG_CLKDIST_BCFG1) +#define CY_LIB_CLKDIST_BCFG_MSB_PTR ( (reg8 *) CYREG_CLKDIST_BCFG1) + +/* Master clock (clk_sync_d) Divider Value Register */ +#define CY_LIB_CLKDIST_MSTR0_REG (*(reg8 *) CYREG_CLKDIST_MSTR0) +#define CY_LIB_CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0) + +/* Master (clk_sync_d) Configuration Register/CPU Divider Value */ +#define CY_LIB_CLKDIST_MSTR1_REG (*(reg8 *) CYREG_CLKDIST_MSTR1) +#define CY_LIB_CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1) + +/* Internal Main Oscillator Control Register */ +#define CY_LIB_FASTCLK_IMO_CR_REG (*(reg8 *) CYREG_FASTCLK_IMO_CR) +#define CY_LIB_FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR) + +/* Configuration Register CR */ +#define CY_LIB_CLKDIST_CR_REG (*(reg8 *) CYREG_CLKDIST_CR) +#define CY_LIB_CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR) + +/* Internal Low-speed Oscillator Control Register 0 */ +#define CY_LIB_SLOWCLK_ILO_CR0_REG (*(reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define CY_LIB_SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0) + + +/******************************************************************************* +* Interrupt Registers +*******************************************************************************/ + +#if(CY_PSOC5) + + /* Interrupt Vector Table Offset */ + #define CY_INT_VECT_TABLE ((cyisraddress **) CYREG_NVIC_VECT_OFFSET) + + /* Interrupt Priority 0-31 */ + #define CY_INT_PRIORITY_REG (* (reg8 *) CYREG_NVIC_PRI_0) + #define CY_INT_PRIORITY_PTR ( (reg8 *) CYREG_NVIC_PRI_0) + + /* Interrupt Enable Set 0-31 */ + #define CY_INT_ENABLE_REG (* (reg32 *) CYREG_NVIC_SETENA0) + #define CY_INT_ENABLE_PTR ( (reg32 *) CYREG_NVIC_SETENA0) + + /* Interrupt Enable Clear 0-31 */ + #define CY_INT_CLEAR_REG (* (reg32 *) CYREG_NVIC_CLRENA0) + #define CY_INT_CLEAR_PTR ( (reg32 *) CYREG_NVIC_CLRENA0) + + /* Interrupt Pending Set 0-31 */ + #define CY_INT_SET_PEND_REG (* (reg32 *) CYREG_NVIC_SETPEND0) + #define CY_INT_SET_PEND_PTR ( (reg32 *) CYREG_NVIC_SETPEND0) + + /* Interrupt Pending Clear 0-31 */ + #define CY_INT_CLR_PEND_REG (* (reg32 *) CYREG_NVIC_CLRPEND0) + #define CY_INT_CLR_PEND_PTR ( (reg32 *) CYREG_NVIC_CLRPEND0) + + /* Cache Control Register */ + #define CY_CACHE_CONTROL_REG (* (reg16 *) CYREG_CACHE_CC_CTL ) + #define CY_CACHE_CONTROL_PTR ( (reg16 *) CYREG_CACHE_CC_CTL ) + +#elif (CY_PSOC3) + + /* Interrupt Address Vector registers */ + #define CY_INT_VECT_TABLE ((cyisraddress CYXDATA *) CYREG_INTC_VECT_MBASE) + + /* Interrrupt Controller Priority Registers */ + #define CY_INT_PRIORITY_REG (* (reg8 *) CYREG_INTC_PRIOR0) + #define CY_INT_PRIORITY_PTR ( (reg8 *) CYREG_INTC_PRIOR0) + + /* Interrrupt Controller Set Enable Registers */ + #define CY_INT_ENABLE_REG (* (reg8 *) CYREG_INTC_SET_EN0) + #define CY_INT_ENABLE_PTR ( (reg8 *) CYREG_INTC_SET_EN0) + + #define CY_INT_SET_EN0_REG (* (reg8 *) CYREG_INTC_SET_EN0) + #define CY_INT_SET_EN0_PTR ( (reg8 *) CYREG_INTC_SET_EN0) + + #define CY_INT_SET_EN1_REG (* (reg8 *) CYREG_INTC_SET_EN1) + #define CY_INT_SET_EN1_PTR ( (reg8 *) CYREG_INTC_SET_EN1) + + #define CY_INT_SET_EN2_REG (* (reg8 *) CYREG_INTC_SET_EN2) + #define CY_INT_SET_EN2_PTR ( (reg8 *) CYREG_INTC_SET_EN2) + + #define CY_INT_SET_EN3_REG (* (reg8 *) CYREG_INTC_SET_EN3) + #define CY_INT_SET_EN3_PTR ( (reg8 *) CYREG_INTC_SET_EN3) + + /* Interrrupt Controller Clear Enable Registers */ + #define CY_INT_CLEAR_REG (* (reg8 *) CYREG_INTC_CLR_EN0) + #define CY_INT_CLEAR_PTR ( (reg8 *) CYREG_INTC_CLR_EN0) + + #define CY_INT_CLR_EN0_REG (* (reg8 *) CYREG_INTC_CLR_EN0) + #define CY_INT_CLR_EN0_PTR ( (reg8 *) CYREG_INTC_CLR_EN0) + + #define CY_INT_CLR_EN1_REG (* (reg8 *) CYREG_INTC_CLR_EN1) + #define CY_INT_CLR_EN1_PTR ( (reg8 *) CYREG_INTC_CLR_EN1) + + #define CY_INT_CLR_EN2_REG (* (reg8 *) CYREG_INTC_CLR_EN2) + #define CY_INT_CLR_EN2_PTR ( (reg8 *) CYREG_INTC_CLR_EN2) + + #define CY_INT_CLR_EN3_REG (* (reg8 *) CYREG_INTC_CLR_EN3) + #define CY_INT_CLR_EN3_PTR ( (reg8 *) CYREG_INTC_CLR_EN3) + + + /* Interrrupt Controller Set Pend Registers */ + #define CY_INT_SET_PEND_REG (* (reg8 *) CYREG_INTC_SET_PD0) + #define CY_INT_SET_PEND_PTR ( (reg8 *) CYREG_INTC_SET_PD0) + + /* Interrrupt Controller Clear Pend Registers */ + #define CY_INT_CLR_PEND_REG (* (reg8 *) CYREG_INTC_CLR_PD0) + #define CY_INT_CLR_PEND_PTR ( (reg8 *) CYREG_INTC_CLR_PD0) + + + /* Access Interrupt Controller Registers based on interrupt number */ + #define CY_INT_SET_EN_INDX_PTR(number) ((reg8 *) (CYREG_INTC_SET_EN0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_CLR_EN_INDX_PTR(number) ((reg8 *) (CYREG_INTC_CLR_EN0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_CLR_PEND_INDX_PTR(number) ((reg8 *) (CYREG_INTC_CLR_PD0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_SET_PEND_INDX_PTR(number) ((reg8 *) (CYREG_INTC_SET_PD0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Macro Name: CyAssert +******************************************************************************** +* Summary: +* Macro that evaluates the expression and if it is false (evaluates to 0) then +* the processor is halted. +* +* This macro is evaluated unless NDEBUG is defined. +* +* If NDEBUG is defined, then no code is generated for this macro. NDEBUG is +* defined by default for a Release build setting and not defined for a Debug +* build setting. +* +* Parameters: +* expr: Logical expression. Asserts if false. +* +* Return: +* None +* +*******************************************************************************/ +#if !defined(NDEBUG) + #define CYASSERT(x) { \ + if(!(x)) \ + { \ + CyHalt((uint8) 0u); \ + } \ + } +#else + #define CYASSERT(x) +#endif /* !defined(NDEBUG) */ + + +/* Reset register fields of RESET_SR0 (CyResetStatus) */ +#define CY_RESET_LVID (0x01u) +#define CY_RESET_LVIA (0x02u) +#define CY_RESET_HVIA (0x04u) +#define CY_RESET_WD (0x08u) +#define CY_RESET_SW (0x20u) +#define CY_RESET_GPIO0 (0x40u) +#define CY_RESET_GPIO1 (0x80u) + + +/* Interrrupt Controller Configuration and Status Register */ +#if(CY_PSOC3) + #define INTERRUPT_CSR ((reg8 *) CYREG_INTC_CSR_EN) + #define DISABLE_IRQ_SET ((uint8)(0x01u << 1u)) /* INTC_CSR_EN */ + #define INTERRUPT_DISABLE_IRQ {*INTERRUPT_CSR |= DISABLE_IRQ_SET;} + #define INTERRUPT_ENABLE_IRQ {*INTERRUPT_CSR = (uint8)(~DISABLE_IRQ_SET);} +#endif /* (CY_PSOC3) */ + + +#if defined(__ARMCC_VERSION) + #define CyGlobalIntEnable {__enable_irq();} + #define CyGlobalIntDisable {__disable_irq();} +#elif defined(__GNUC__) || defined (__ICCARM__) + #define CyGlobalIntEnable {__asm("CPSIE i");} + #define CyGlobalIntDisable {__asm("CPSID i");} +#elif defined(__C51__) + #define CyGlobalIntEnable {\ + EA = 1u; \ + INTERRUPT_ENABLE_IRQ\ + } + + #define CyGlobalIntDisable {\ + INTERRUPT_DISABLE_IRQ; \ + CY_NOP; \ + EA = 0u;\ + } +#else + #error No compiler toolchain defined + #define CyGlobalIntEnable + #define CyGlobalIntDisable +#endif /* (__ARMCC_VERSION) */ + + +#ifdef CYREG_MLOGIC_CPU_SCR_CPU_SCR + #define CYDEV_HALT_CPU CY_SET_REG8(CYREG_MLOGIC_CPU_SCR_CPU_SCR, 0x01u) +#else + #define CYDEV_HALT_CPU CY_SET_REG8(CYREG_MLOGIC_CPU_SCR, 0x01u) +#endif /* (CYREG_MLOGIC_CPU_SCR_CPU_SCR) */ + + +#ifdef CYREG_MLOGIC_REV_ID_REV_ID + #define CYDEV_CHIP_REV_ACTUAL (CY_GET_REG8(CYREG_MLOGIC_REV_ID_REV_ID)) +#else + #define CYDEV_CHIP_REV_ACTUAL (CY_GET_REG8(CYREG_MLOGIC_REV_ID)) +#endif /* (CYREG_MLOGIC_REV_ID_REV_ID) */ + + +/******************************************************************************* +* System API constants +*******************************************************************************/ +#define CY_CACHE_CONTROL_FLUSH (0x0004u) +#define CY_LIB_RESET_CR2_RESET (0x01u) + + +/******************************************************************************* +* Interrupt API constants +*******************************************************************************/ +#if(CY_PSOC5) + + #define CY_INT_IRQ_BASE (16u) + +#elif (CY_PSOC3) + + #define CY_INT_IRQ_BASE (0u) + +#endif /* (CY_PSOC5) */ + +/* Valid range of interrupt 0-31 */ +#define CY_INT_NUMBER_MAX (31u) + +/* Valid range of system interrupt 0-15 */ +#define CY_INT_SYS_NUMBER_MAX (15u) + +/* Valid range of system priority 0-7 */ +#define CY_INT_PRIORITY_MAX (7u) + +/* Mask to get valid range of interrupt 0-31 */ +#define CY_INT_NUMBER_MASK (0x1Fu) + +/* Mask to get valid range of system priority 0-7 */ +#define CY_INT_PRIORITY_MASK (0x7u) + +/* Mask to get valid range of system interrupt 0-15 */ +#define CY_INT_SYS_NUMBER_MASK (0xFu) + + +/******************************************************************************* +* Interrupt Macros +*******************************************************************************/ + +#if(CY_PSOC5) + + /******************************************************************************* + * Macro Name: CyIntEnable + ******************************************************************************** + * + * Summary: + * Enables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntEnable(number) CY_SET_REG32(CY_INT_ENABLE_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + /******************************************************************************* + * Macro Name: CyIntDisable + ******************************************************************************** + * + * Summary: + * Disables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntDisable(number) CY_SET_REG32(CY_INT_CLEAR_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntSetPending + ******************************************************************************** + * + * Summary: + * Forces the specified interrupt number to be pending. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntSetPending(number) CY_SET_REG32(CY_INT_SET_PEND_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntClearPending + ******************************************************************************** + * + * Summary: + * Clears any pending interrupt for the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntClearPending(number) CY_SET_REG32(CY_INT_CLR_PEND_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + +#else /* PSoC3 */ + + + /******************************************************************************* + * Macro Name: CyIntEnable + ******************************************************************************** + * + * Summary: + * Enables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntEnable(number) CY_SET_REG8(CY_INT_SET_EN_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntDisable + ******************************************************************************** + * + * Summary: + * Disables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntDisable(number) CY_SET_REG8(CY_INT_CLR_EN_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntSetPending + ******************************************************************************** + * + * Summary: + * Forces the specified interrupt number to be pending. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntSetPending(number) CY_SET_REG8(CY_INT_SET_PEND_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntClearPending + ******************************************************************************** + * Summary: + * Clears any pending interrupt for the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntClearPending(number) CY_SET_REG8(CY_INT_CLR_PEND_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used. +*******************************************************************************/ +#define CYGlobalIntEnable CyGlobalIntEnable +#define CYGlobalIntDisable CyGlobalIntDisable + +#define cymemset(s,c,n) memset((s),(c),(n)) +#define cymemcpy(d,s,n) memcpy((d),(s),(n)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define MFGCFG_X32_TR_PTR (CY_CLK_XTAL32_TR_PTR) +#define MFGCFG_X32_TR (CY_CLK_XTAL32_TR_REG) +#define SLOWCLK_X32_TST_PTR (CY_CLK_XTAL32_TST_PTR) +#define SLOWCLK_X32_TST (CY_CLK_XTAL32_TST_REG) +#define SLOWCLK_X32_CR_PTR (CY_CLK_XTAL32_CR_PTR) +#define SLOWCLK_X32_CR (CY_CLK_XTAL32_CR_REG) +#define SLOWCLK_X32_CFG_PTR (CY_CLK_XTAL32_CFG_PTR) +#define SLOWCLK_X32_CFG (CY_CLK_XTAL32_CFG_REG) + +#define X32_CONTROL_ANA_STAT (CY_CLK_XTAL32_CR_ANA_STAT) +#define X32_CONTROL_DIG_STAT (0x10u) +#define X32_CONTROL_LPM (CY_CLK_XTAL32_CR_LPM) +#define X32_CONTROL_LPM_POSITION (1u) +#define X32_CONTROL_X32EN (CY_CLK_XTAL32_CR_EN) +#define X32_CONTROL_PDBEN (CY_CLK_XTAL32_CR_PDBEN) +#define X32_TR_DPMODE (CY_CLK_XTAL32_TR_STARTUP) +#define X32_TR_CLEAR (CY_CLK_XTAL32_TR_POWERDOWN) +#define X32_TR_HPMODE (CY_CLK_XTAL32_TR_HIGH_POWER) +#define X32_TR_LPMODE (CY_CLK_XTAL32_TR_LOW_POWER) +#define X32_TST_SETALL (CY_CLK_XTAL32_TST_DEFAULT) +#define X32_CFG_LP_BITS_MASK (CY_CLK_XTAL32_CFG_LP_MASK) +#define X32_CFG_LP_DEFAULT (CY_CLK_XTAL32_CFG_LP_DEFAULT) +#define X32_CFG_LOWPOWERMODE (0x80u) +#define X32_CFG_LP_LOWPOWER (0x8u) +#define CY_X32_HIGHPOWER_MODE (0u) +#define CY_X32_LOWPOWER_MODE (1u) +#define CY_XTAL32K_DIG_STAT (0x10u) +#define CY_XTAL32K_STAT_FIELDS (0x30u) +#define CY_XTAL32K_DIG_STAT_UNSTABLE (0u) +#define CY_XTAL32K_ANA_STAT_UNSTABLE (0x0u) +#define CY_XTAL32K_STATUS (0x20u) + +#define FASTCLK_XMHZ_CSR_PTR (CY_CLK_XMHZ_CSR_PTR) +#define FASTCLK_XMHZ_CSR (CY_CLK_XMHZ_CSR_REG) +#define FASTCLK_XMHZ_CFG0_PTR (CY_CLK_XMHZ_CFG0_PTR) +#define FASTCLK_XMHZ_CFG0 (CY_CLK_XMHZ_CFG0_REG) +#define FASTCLK_XMHZ_CFG1_PTR (CY_CLK_XMHZ_CFG1_PTR) +#define FASTCLK_XMHZ_CFG1 (CY_CLK_XMHZ_CFG1_REG) +#define FASTCLK_XMHZ_GAINMASK (CY_CLK_XMHZ_CFG0_XCFG_MASK) +#define FASTCLK_XMHZ_VREFMASK (CY_CLK_XMHZ_CFG1_VREF_FB_MASK) +#define FASTCLK_XMHZ_VREF_WD_MASK (CY_CLK_XMHZ_CFG1_VREF_WD_MASK) +#define XMHZ_CONTROL_ENABLE (CY_CLK_XMHZ_CSR_ENABLE) +#define X32_CONTROL_XERR_MASK (CY_CLK_XMHZ_CSR_XERR) +#define X32_CONTROL_XERR_DIS (CY_CLK_XMHZ_CSR_XFB) +#define X32_CONTROL_XERR_POSITION (7u) +#define X32_CONTROL_FAULT_RECOVER (CY_CLK_XMHZ_CSR_XPROT) + +#define CYWDT_CFG (CY_WDT_CFG_PTR) +#define CYWDT_CR (CY_WDT_CR_PTR) + +#define CYWDT_TICKS_MASK (CY_WDT_CFG_INTERVAL_MASK) +#define CYWDT_RESET (CY_WDT_CFG_CTW_RESET) +#define CYWDT_LPMODE_SHIFT (CY_WDT_CFG_LPMODE_SHIFT) +#define CYWDT_LPMODE_MASK (CY_WDT_CFG_LPMODE_MASK) +#define CYWDT_ENABLE_BIT (CY_WDT_CFG_WDR_EN) + +#define FASTCLK_PLL_CFG0_PTR (CY_CLK_PLL_CFG0_PTR) +#define FASTCLK_PLL_CFG0 (CY_CLK_PLL_CFG0_REG) +#define FASTCLK_PLL_SR_PTR (CY_CLK_PLL_SR_PTR) +#define FASTCLK_PLL_SR (CY_CLK_PLL_SR_REG) + +#define MAX_FASTCLK_PLL_Q_VALUE (CY_CLK_PLL_MAX_Q_VALUE) +#define MIN_FASTCLK_PLL_Q_VALUE (CY_CLK_PLL_MIN_Q_VALUE) +#define MIN_FASTCLK_PLL_P_VALUE (CY_CLK_PLL_MIN_P_VALUE) +#define MIN_FASTCLK_PLL_CUR_VALUE (CY_CLK_PLL_MIN_CUR_VALUE) +#define MAX_FASTCLK_PLL_CUR_VALUE (CY_CLK_PLL_MAX_CUR_VALUE) + +#define PLL_CONTROL_ENABLE (CY_CLK_PLL_ENABLE) +#define PLL_STATUS_LOCK (CY_CLK_PLL_LOCK_STATUS) +#define PLL_STATUS_ENABLED (CY_CLK_PLL_ENABLE) +#define PLL_CURRENT_POSITION (CY_CLK_PLL_CURRENT_POSITION) +#define PLL_VCO_GAIN_2 (2u) + +#define FASTCLK_PLL_Q_PTR (CY_CLK_PLL_Q_PTR) +#define FASTCLK_PLL_Q (CY_CLK_PLL_Q_REG) +#define FASTCLK_PLL_P_PTR (CY_CLK_PLL_P_PTR) +#define FASTCLK_PLL_P (CY_CLK_PLL_P_REG) +#define FASTCLK_PLL_CFG1_PTR (CY_CLK_PLL_CFG1_REG) +#define FASTCLK_PLL_CFG1 (CY_CLK_PLL_CFG1_REG) + +#define CY_VD_PRESISTENT_STATUS_REG (CY_VD_PERSISTENT_STATUS_REG) +#define CY_VD_PRESISTENT_STATUS_PTR (CY_VD_PERSISTENT_STATUS_PTR) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.20 +*******************************************************************************/ + +#if(CY_PSOC5) + + #define CYINT_IRQ_BASE (CY_INT_IRQ_BASE) + + #define CYINT_VECT_TABLE (CY_INT_VECT_TABLE) + #define CYINT_PRIORITY (CY_INT_PRIORITY_PTR) + #define CYINT_ENABLE (CY_INT_ENABLE_PTR) + #define CYINT_CLEAR (CY_INT_CLEAR_PTR) + #define CYINT_SET_PEND (CY_INT_SET_PEND_PTR) + #define CYINT_CLR_PEND (CY_INT_CLR_PEND_PTR) + #define CACHE_CC_CTL (CY_CACHE_CONTROL_PTR) + +#elif (CY_PSOC3) + + #define CYINT_IRQ_BASE (CY_INT_IRQ_BASE) + + #define CYINT_VECT_TABLE (CY_INT_VECT_TABLE) + #define CYINT_PRIORITY (CY_INT_PRIORITY_PTR) + #define CYINT_ENABLE (CY_INT_ENABLE_PTR) + #define CYINT_CLEAR (CY_INT_CLEAR_PTR) + #define CYINT_SET_PEND (CY_INT_SET_PEND_PTR) + #define CYINT_CLR_PEND (CY_INT_CLR_PEND_PTR) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define BUS_AMASK_CLEAR (0xF0u) +#define BUS_DMASK_CLEAR (0x00u) +#define CLKDIST_LD_LOAD_SET (0x01u) +#define CLKDIST_WRK0_MASK_SET (0x80u) /* Enable shadow loads */ +#define MASTERCLK_DIVIDER_VALUE (7u) +#define CLKDIST_BCFG2_SSS_SET (0x40u) /* Sync source is same frequency */ +#define MASTER_CLK_SRC_CLEAR (0xFCu) +#define IMO_DOUBLER_ENABLE (0x10u) +#define CLOCK_IMO_IMO (0x20u) +#define CLOCK_IMO2X_XTAL (0x40u) +#define CLOCK_IMO_RANGE_CLEAR (0xF8u) +#define CLOCK_CONTROL_DIST_MASK (0xFCu) + + +#define CLKDIST_AMASK (*(reg8 *) CYREG_CLKDIST_AMASK) +#define CLKDIST_AMASK_PTR ( (reg8 *) CYREG_CLKDIST_AMASK) +#define CLKDIST_DMASK_PTR ( (reg8 *) CYREG_CLKDIST_DMASK) +#define CLKDIST_DMASK (*(reg8 *) CYREG_CLKDIST_DMASK) +#define CLKDIST_BCFG2_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2) +#define CLKDIST_BCFG2 (*(reg8 *) CYREG_CLKDIST_BCFG2) +#define CLKDIST_WRK0_PTR ( (reg8 *) CYREG_CLKDIST_WRK0) +#define CLKDIST_WRK0 (*(reg8 *) CYREG_CLKDIST_WRK0) +#define CLKDIST_LD_PTR ( (reg8 *) CYREG_CLKDIST_LD) +#define CLKDIST_LD (*(reg8 *) CYREG_CLKDIST_LD) +#define CLKDIST_BCFG0_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0) +#define CLKDIST_BCFG0 (*(reg8 *) CYREG_CLKDIST_BCFG0) +#define CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0) +#define CLKDIST_MSTR0 (*(reg8 *) CYREG_CLKDIST_MSTR0) +#define FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR) +#define FASTCLK_IMO_CR (*(reg8 *) CYREG_FASTCLK_IMO_CR) +#define CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR) +#define CLKDIST_CR (*(reg8 *) CYREG_CLKDIST_CR) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.50 +*******************************************************************************/ +#define IMO_PM_ENABLE (0x10u) +#define PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0) +#define PM_ACT_CFG0 (*(reg8 *) CYREG_PM_ACT_CFG0) +#define SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define SLOWCLK_ILO_CR0 (*(reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define ILO_CONTROL_PD_MODE (0x10u) +#define ILO_CONTROL_PD_POSITION (4u) +#define ILO_CONTROL_1KHZ_ON (0x02u) +#define ILO_CONTROL_100KHZ_ON (0x04u) +#define ILO_CONTROL_33KHZ_ON (0x20u) +#define PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0) +#define PM_TW_CFG0 (*(reg8 *) CYREG_PM_TW_CFG0) +#define PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2) +#define PM_TW_CFG2 (*(reg8 *) CYREG_PM_TW_CFG2) +#define RESET_CR2 ((reg8 *) CYREG_RESET_CR2) +#define FASTCLK_IMO_USBCLK_ON_SET (0x40u) +#define CLOCK_IMO_3MHZ_VALUE (0x03u) +#define CLOCK_IMO_6MHZ_VALUE (0x01u) +#define CLOCK_IMO_12MHZ_VALUE (0x00u) +#define CLOCK_IMO_24MHZ_VALUE (0x02u) +#define CLOCK_IMO_48MHZ_VALUE (0x04u) +#define CLOCK_IMO_62MHZ_VALUE (0x05u) +#define CLOCK_IMO_74MHZ_VALUE (0x06u) +#define CLKDIST_DIV_POSITION (4u) +#define CLKDIST_MSTR1_DIV_CLEAR (0x0Fu) +#define SFR_USER_CPUCLK_DIV_MASK (0x0Fu) +#define CLOCK_USB_ENABLE (0x02u) +#define CLOCK_IMO_OUT_X2 (0x10u) +#define CLOCK_IMO_OUT_X1 ((uint8)(~CLOCK_IMO_OUT_X2)) +#define CLOCK_IMO2X_ECO ((uint8)(~CLOCK_IMO2X_DSI)) +#define USB_CLKDIST_CONFIG_MASK (0x03u) +#define USB_CLK_IMO2X (0x00u) +#define USB_CLK_IMO (0x01u) +#define USB_CLK_PLL (0x02u) +#define USB_CLK_DSI (0x03u) +#define USB_CLK_DIV2_ON (0x04u) +#define USB_CLK_STOP_FLAG (0x00u) +#define USB_CLK_START_FLAG (0x01u) +#define FTW_CLEAR_ALL_BITS (0x00u) +#define FTW_CLEAR_FTW_BITS (0xFCu) +#define FTW_ENABLE (0x01u) +#define PM_STBY_CFG0_PTR ( (reg8 *) CYREG_PM_STBY_CFG0) +#define PM_STBY_CFG0 (*(reg8 *) CYREG_PM_STBY_CFG0) +#define PM_AVAIL_CR2_PTR ( (reg8 *) CYREG_PM_AVAIL_CR2) +#define PM_AVAIL_CR2 (*(reg8 *) CYREG_PM_AVAIL_CR2) +#define CLKDIST_UCFG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) +#define CLKDIST_UCFG (*(reg8 *) CYREG_CLKDIST_UCFG) +#define CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1) +#define CLKDIST_MSTR1 (*(reg8 *) CYREG_CLKDIST_MSTR1) +#define SFR_USER_CPUCLK_DIV_PTR ((void far *) CYREG_SFR_USER_CPUCLK_DIV) +#define IMO_TR1_PTR ( (reg8 *) CYREG_IMO_TR1) +#define IMO_TR1 (*(reg8 *) CYREG_IMO_TR1) +#define CLOCK_CONTROL ( (reg8 *) CYREG_CLKDIST_CR) +#define CY_USB_CR1_PTR ( (reg8 *) CYREG_USB_CR1 ) +#define CY_USB_CR1 (*(reg8 *) CYREG_USB_CR1 ) +#define USB_CLKDIST_CONFIG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) +#define USB_CLKDIST_CONFIG (*(reg8 *) CYREG_CLKDIST_UCFG) +#define CY_PM_ACT_CFG5_REG (* (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_PM_ACT_CFG5_PTR ( (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_PM_STBY_CFG5_REG (* (reg8 *) CYREG_PM_STBY_CFG5 ) +#define CY_PM_STBY_CFG5_PTR ( (reg8 *) CYREG_PM_STBY_CFG5 ) +#if(CY_PSOC3) + #define FLSHID_CUST_TABLES_IMO_3MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define FLSHID_CUST_TABLES_IMO_6MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define FLSHID_CUST_TABLES_IMO_12MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define FLSHID_CUST_TABLES_IMO_24MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define FLSHID_CUST_TABLES_IMO_67MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define FLSHID_CUST_TABLES_IMO_80MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define FLSHID_CUST_TABLES_IMO_USB_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define FLSHID_MFG_CFG_IMO_TR1_PTR ((void far *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) + #else + #define FLSHID_CUST_TABLES_IMO_3MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define FLSHID_CUST_TABLES_IMO_6MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define FLSHID_CUST_TABLES_IMO_12MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define FLSHID_CUST_TABLES_IMO_24MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define FLSHID_CUST_TABLES_IMO_67MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define FLSHID_CUST_TABLES_IMO_80MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define FLSHID_CUST_TABLES_IMO_USB_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define FLSHID_MFG_CFG_IMO_TR1_PTR ((reg8 *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) +#endif /* (CY_PSOC3) */ + + +#endif /* (CY_BOOT_CYLIB_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.c new file mode 100755 index 0000000..0d2b930 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.c @@ -0,0 +1,554 @@ +/******************************************************************************* +* File Name: CySpc.c +* Version 4.0 +* +* Description: +* Provides an API for the System Performance Component. +* The SPC functions are not meant to be called directly by the user +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CySpc.h" + +#define CY_SPC_KEY_ONE (0xB6u) +#define CY_SPC_KEY_TWO(x) ((uint8) (((uint16) 0xD3u) + ((uint16) (x)))) + +/* Command Codes */ +#define CY_SPC_CMD_LD_BYTE (0x00u) +#define CY_SPC_CMD_LD_MULTI_BYTE (0x01u) +#define CY_SPC_CMD_LD_ROW (0x02u) +#define CY_SPC_CMD_RD_BYTE (0x03u) +#define CY_SPC_CMD_RD_MULTI_BYTE (0x04u) +#define CY_SPC_CMD_WR_ROW (0x05u) +#define CY_SPC_CMD_WR_USER_NVL (0x06u) +#define CY_SPC_CMD_PRG_ROW (0x07u) +#define CY_SPC_CMD_ER_SECTOR (0x08u) +#define CY_SPC_CMD_ER_ALL (0x09u) +#define CY_SPC_CMD_RD_HIDDEN (0x0Au) +#define CY_SPC_CMD_PRG_PROTECT (0x0Bu) +#define CY_SPC_CMD_CHECKSUM (0x0Cu) +#define CY_SPC_CMD_DWNLD_ALGORITHM (0x0Du) +#define CY_SPC_CMD_GET_TEMP (0x0Eu) +#define CY_SPC_CMD_GET_ADC (0x0Fu) +#define CY_SPC_CMD_RD_NVL_VOLATILE (0x10u) +#define CY_SPC_CMD_SETUP_TS (0x11u) +#define CY_SPC_CMD_DISABLE_TS (0x12u) +#define CY_SPC_CMD_ER_ROW (0x13u) + +/* Enable bit in Active and Alternate Active mode templates */ +#define PM_SPC_PM_EN (0x08u) + +/* Gate calls to the SPC. */ +uint8 SpcLockState = CY_SPC_UNLOCKED; + + +#if(CY_PSOC5) + + /*************************************************************************** + * The wait-state pipeline must be enabled prior to accessing the SPC + * register interface regardless of CPU frequency. The CySpcLock() saves + * current wait-state pipeline state and enables it. The CySpcUnlock() + * function, which must be called after SPC transaction, restores original + * state. + ***************************************************************************/ + static uint32 spcWaitPipeBypass = 0u; + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Function Name: CySpcStart +******************************************************************************** +* Summary: +* Starts the SPC. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcStart(void) +{ + /* Save current global interrupt enable and disable it */ + uint8 interruptState = CyEnterCriticalSection(); + + CY_SPC_PM_ACT_REG |= PM_SPC_PM_EN; + CY_SPC_PM_STBY_REG |= PM_SPC_PM_EN; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CySpcStop +******************************************************************************** +* Summary: +* Stops the SPC. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcStop(void) +{ + /* Save current global interrupt enable and disable it */ + uint8 interruptState = CyEnterCriticalSection(); + + CY_SPC_PM_ACT_REG &= ((uint8)(~PM_SPC_PM_EN)); + CY_SPC_PM_STBY_REG &= ((uint8)(~PM_SPC_PM_EN)); + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CySpcReadData +******************************************************************************** +* Summary: +* Reads data from the SPC. +* +* Parameters: +* uint8 buffer: +* Address to store data read. +* +* uint8 size: +* Number of bytes to read from the SPC. +* +* Return: +* uint8: +* The number of bytes read from the SPC. +* +*******************************************************************************/ +uint8 CySpcReadData(uint8 buffer[], uint8 size) +{ + uint8 i; + + for(i = 0u; i < size; i++) + { + while(!CY_SPC_DATA_READY) + { + CyDelayUs(1u); + } + buffer[i] = CY_SPC_CPU_DATA_REG; + } + + return(i); +} + + +/******************************************************************************* +* Function Name: CySpcLoadMultiByte +******************************************************************************** +* Summary: +* Loads 1 to 32 bytes of data into the row latch of a Flash/EEPROM array. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint16 address: +* Flash/eeprom addrress +* +* uint8* buffer: +* Data to load to the row latch +* +* uint16 number: +* Number bytes to load. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* CYRET_BAD_PARAM +* +*******************************************************************************/ +cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size)\ + +{ + cystatus status = CYRET_STARTED; + uint8 i; + + /*************************************************************************** + * Check if number is correct for array. Number must be less than + * 32 for Flash or less than 16 for EEPROM. + ***************************************************************************/ + if(((array < CY_SPC_LAST_FLASH_ARRAYID) && (size < 32u)) || + ((array > CY_SPC_LAST_FLASH_ARRAYID) && (size < 16u))) + { + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_MULTI_BYTE); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_MULTI_BYTE; + + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = 1u & HI8(address); + CY_SPC_CPU_DATA_REG = LO8(address); + CY_SPC_CPU_DATA_REG = ((uint8)(size - 1u)); + + for(i = 0u; i < size; i++) + { + CY_SPC_CPU_DATA_REG = buffer[i]; + } + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + } + else + { + status = CYRET_BAD_PARAM; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcLoadRow +******************************************************************************** +* Summary: +* Loads a row of data into the row latch of a Flash/EEPROM array. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint8* buffer: +* Data to be loaded to the row latch +* +* uint8 size: +* The number of data bytes that the SPC expects to be written. Depends on the +* type of the array and, if the array is Flash, whether ECC is being enabled +* or not. There are following values: flash row latch size with ECC enabled, +* flash row latch size with ECC disabled and EEPROM row latch size. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size) +{ + cystatus status = CYRET_STARTED; + uint16 i; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_ROW); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_ROW; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + + for(i = 0u; i < size; i++) + { + CY_SPC_CPU_DATA_REG = buffer[i]; + } + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcWriteRow +******************************************************************************** +* Summary: +* Erases then programs a row in Flash/EEPROM with data in row latch. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint16 address: +* flash/eeprom addrress +* +* uint8 tempPolarity: +* temperature polarity. +* 1: the Temp Magnitude is interpreted as a positive value +* 0: the Temp Magnitude is interpreted as a negative value +* +* uint8 tempMagnitude: +* temperature magnitude. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude)\ + +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_WR_ROW); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_WR_ROW; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = HI8(address); + CY_SPC_CPU_DATA_REG = LO8(address); + CY_SPC_CPU_DATA_REG = tempPolarity; + CY_SPC_CPU_DATA_REG = tempMagnitude; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcEraseSector +******************************************************************************** +* Summary: +* Erases all data in the addressed sector (block of 64 rows). +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint8 sectorNumber: +* Zero based sector number within Flash/EEPROM array +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber) +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_ER_SECTOR); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_ER_SECTOR; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = sectorNumber; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcGetTemp +******************************************************************************** +* Summary: +* Returns the internal die temperature +* +* Parameters: +* uint8 numSamples: +* Number of samples. Valid values are 1-5, resulting in 2 - 32 samples +* respectively. +* +* uint16 timerPeriod: +* Number of ADC ACLK cycles. A valid 14 bit value is accepted, higher 2 bits +* of 16 bit values are ignored. +* +* uint8 clkDivSelect: +* ADC ACLK clock divide value. Valid values are 2 - 225. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcGetTemp(uint8 numSamples) +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_GET_TEMP); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_GET_TEMP; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = numSamples; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcLock +******************************************************************************** +* Summary: +* Locks the SPC so it can not be used by someone else: +* - Saves wait-pipeline enable state and enable pipeline (PSoC5) +* +* Parameters: +* Note +* +* Return: +* CYRET_SUCCESS - if the resource was free. +* CYRET_LOCKED - if the SPC is in use. +* +*******************************************************************************/ +cystatus CySpcLock(void) +{ + cystatus status = CYRET_LOCKED; + uint8 interruptState; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + if(CY_SPC_UNLOCKED == SpcLockState) + { + SpcLockState = CY_SPC_LOCKED; + status = CYRET_SUCCESS; + + #if(CY_PSOC5) + + if(0u != (CY_SPC_CPU_WAITPIPE_REG & CY_SPC_CPU_WAITPIPE_BYPASS)) + { + /* Enable pipeline registers */ + CY_SPC_CPU_WAITPIPE_REG &= ((uint32)(~CY_SPC_CPU_WAITPIPE_BYPASS)); + + /* At least 2 NOP instructions are recommended */ + CY_NOP; + CY_NOP; + CY_NOP; + + spcWaitPipeBypass = CY_SPC_CPU_WAITPIPE_BYPASS; + } + + #endif /* (CY_PSOC5) */ + } + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcUnlock +******************************************************************************** +* Summary: +* Unlocks the SPC so it can be used by someone else: +* - Restores wait-pipeline enable state (PSoC5) +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcUnlock(void) +{ + uint8 interruptState; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Release the SPC object */ + SpcLockState = CY_SPC_UNLOCKED; + + #if(CY_PSOC5) + + if(CY_SPC_CPU_WAITPIPE_BYPASS == spcWaitPipeBypass) + { + /* Force to bypass pipeline registers */ + CY_SPC_CPU_WAITPIPE_REG |= CY_SPC_CPU_WAITPIPE_BYPASS; + + /* At least 2 NOP instructions are recommended */ + CY_NOP; + CY_NOP; + CY_NOP; + + spcWaitPipeBypass = 0u; + } + + #endif /* (CY_PSOC5) */ + + /* Exit critical section */ + CyExitCriticalSection(interruptState); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.h new file mode 100755 index 0000000..6a5828c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/CySpc.h @@ -0,0 +1,154 @@ +/******************************************************************************* +* File Name: CySpc.c +* Version 4.0 +* +* Description: +* Provides definitions for the System Performance Component API. +* The SPC functions are not meant to be called directly by the user +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYSPC_H) +#define CY_BOOT_CYSPC_H + +#include "cytypes.h" +#include "CyLib.h" +#include "cydevice_trm.h" + + +/*************************************** +* Global Variables +***************************************/ +extern uint8 SpcLockState; + + +/*************************************** +* Function Prototypes +***************************************/ +void CySpcStart(void); +void CySpcStop(void); +uint8 CySpcReadData(uint8 buffer[], uint8 size); +cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size)\ +; +cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size); +cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude)\ +; +cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber); +cystatus CySpcGetTemp(uint8 numSamples); +cystatus CySpcLock(void); +void CySpcUnlock(void); + + +/*************************************** +* API Constants +***************************************/ + +#define CY_SPC_LOCKED (0x01u) +#define CY_SPC_UNLOCKED (0x00u) + +/******************************************************************************* +* The Array ID indicates the unique ID of the SONOS array being accessed: +* - 0x00-0x3E : Flash Arrays +* - 0x3F : Selects all Flash arrays simultaneously +* - 0x40-0x7F : Embedded EEPROM Arrays +*******************************************************************************/ +#define CY_SPC_FIRST_FLASH_ARRAYID (0x00u) +#define CY_SPC_LAST_FLASH_ARRAYID (0x3Fu) +#define CY_SPC_FIRST_EE_ARRAYID (0x40u) +#define CY_SPC_LAST_EE_ARRAYID (0x7Fu) + + +#define CY_SPC_STATUS_DATA_READY_MASK (0x01u) +#define CY_SPC_STATUS_IDLE_MASK (0x02u) +#define CY_SPC_STATUS_CODE_MASK (0xFCu) +#define CY_SPC_STATUS_CODE_SHIFT (0x02u) + +/* Status codes for the SPC. */ +#define CY_SPC_STATUS_SUCCESS (0x00u) /* Operation Successful */ +#define CY_SPC_STATUS_INVALID_ARRAY_ID (0x01u) /* Invalid Array ID for given command */ +#define CY_SPC_STATUS_INVALID_2BYTEKEY (0x02u) /* Invalid 2-byte key */ +#define CY_SPC_STATUS_ARRAY_ASLEEP (0x03u) /* Addressed Array is Asleep */ +#define CY_SPC_STATUS_EXTERN_ACCESS (0x04u) /* External Access Failure (SPC is not in external access mode) */ +#define CY_SPC_STATUS_INVALID_NUMBER (0x05u) /* Invalid 'N' Value for given command */ +#define CY_SPC_STATUS_TEST_MODE (0x06u) /* Test Mode Failure (SPC is not in test mode) */ +#define CY_SPC_STATUS_ALG_CSUM (0x07u) /* Smart Write Algorithm Checksum Failure */ +#define CY_SPC_STATUS_PARAM_CSUM (0x08u) /* Smart Write Parameter Checksum Failure */ +#define CY_SPC_STATUS_PROTECTION (0x09u) /* Protection Check Failure */ +#define CY_SPC_STATUS_ADDRESS_PARAM (0x0Au) /* Invalid Address parameter for the given command */ +#define CY_SPC_STATUS_COMMAND_CODE (0x0Bu) /* Invalid Command Code */ +#define CY_SPC_STATUS_ROW_ID (0x0Cu) /* Invalid Row ID parameter for given command */ +#define CY_SPC_STATUS_TADC_INPUT (0x0Du) /* Invalid input value for Get Temp & Get ADC commands */ +#define CY_SPC_STATUS_BUSY (0xFFu) /* SPC is busy */ + +#if(CY_PSOC5) + + /* Wait-state pipeline */ + #define CY_SPC_CPU_WAITPIPE_BYPASS ((uint32)0x01u) + +#endif /* (CY_PSOC5) */ + + +/*************************************** +* Registers +***************************************/ + +/* SPC CPU Data Register */ +#define CY_SPC_CPU_DATA_REG (* (reg8 *) CYREG_SPC_CPU_DATA ) +#define CY_SPC_CPU_DATA_PTR ( (reg8 *) CYREG_SPC_CPU_DATA ) + +/* SPC Status Register */ +#define CY_SPC_STATUS_REG (* (reg8 *) CYREG_SPC_SR ) +#define CY_SPC_STATUS_PTR ( (reg8 *) CYREG_SPC_SR ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_SPC_PM_ACT_REG (* (reg8 *) CYREG_PM_ACT_CFG0 ) +#define CY_SPC_PM_ACT_PTR ( (reg8 *) CYREG_PM_ACT_CFG0 ) + +/* Standby Power Mode Configuration Register 0 */ +#define CY_SPC_PM_STBY_REG (* (reg8 *) CYREG_PM_STBY_CFG0 ) +#define CY_SPC_PM_STBY_PTR ( (reg8 *) CYREG_PM_STBY_CFG0 ) + +#if(CY_PSOC5) + + /* Wait State Pipeline */ + #define CY_SPC_CPU_WAITPIPE_REG (* (reg32 *) CYREG_PANTHER_WAITPIPE ) + #define CY_SPC_CPU_WAITPIPE_PTR ( (reg32 *) CYREG_PANTHER_WAITPIPE ) + +#endif /* (CY_PSOC5) */ + + +/*************************************** +* Macros +***************************************/ +#define CY_SPC_IDLE (0u != (CY_SPC_STATUS_REG & CY_SPC_STATUS_IDLE_MASK)) +#define CY_SPC_BUSY (0u == (CY_SPC_STATUS_REG & CY_SPC_STATUS_IDLE_MASK)) +#define CY_SPC_DATA_READY (0u != (CY_SPC_STATUS_REG & CY_SPC_STATUS_DATA_READY_MASK)) + +/* SPC must be in idle state in order to obtain correct status */ +#define CY_SPC_READ_STATUS (CY_SPC_IDLE ? \ + ((uint8)(CY_SPC_STATUS_REG >> CY_SPC_STATUS_CODE_SHIFT)) : \ + ((uint8) CY_SPC_STATUS_BUSY)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define FIRST_FLASH_ARRAYID (CY_SPC_FIRST_FLASH_ARRAYID) +#define LAST_FLASH_ARRAYID (CY_SPC_LAST_FLASH_ARRAYID) +#define FIRST_EE_ARRAYID (CY_SPC_FIRST_EE_ARRAYID) +#define LAST_EE_ARRAYID (CY_SPC_LAST_EE_ARRAYID) +#define SIZEOF_ECC_ROW (CYDEV_ECC_ROW_SIZE) +#define SIZEOF_FLASH_ROW (CYDEV_FLS_ROW_SIZE) +#define SIZEOF_EEPROM_ROW (CYDEV_EEPROM_ROW_SIZE) + + +#endif /* (CY_BOOT_CYSPC_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/PSoC5_PSoC5LP_100-TQFP.xml b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/PSoC5_PSoC5LP_100-TQFP.xml new file mode 100755 index 0000000..0b36df4 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/PSoC5_PSoC5LP_100-TQFP.xml @@ -0,0 +1,250 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_DBx_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_DBx_aliases.h new file mode 100755 index 0000000..740ea09 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_DBx_aliases.h @@ -0,0 +1,48 @@ +/******************************************************************************* +* File Name: SCSI_Out_DBx.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_DBx_ALIASES_H) /* Pins SCSI_Out_DBx_ALIASES_H */ +#define CY_PINS_SCSI_Out_DBx_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_Out_DBx_0 SCSI_Out_DBx__0__PC +#define SCSI_Out_DBx_1 SCSI_Out_DBx__1__PC +#define SCSI_Out_DBx_2 SCSI_Out_DBx__2__PC +#define SCSI_Out_DBx_3 SCSI_Out_DBx__3__PC +#define SCSI_Out_DBx_4 SCSI_Out_DBx__4__PC +#define SCSI_Out_DBx_5 SCSI_Out_DBx__5__PC +#define SCSI_Out_DBx_6 SCSI_Out_DBx__6__PC +#define SCSI_Out_DBx_7 SCSI_Out_DBx__7__PC + +#define SCSI_Out_DBx_DB0 SCSI_Out_DBx__DB0__PC +#define SCSI_Out_DBx_DB1 SCSI_Out_DBx__DB1__PC +#define SCSI_Out_DBx_DB2 SCSI_Out_DBx__DB2__PC +#define SCSI_Out_DBx_DB3 SCSI_Out_DBx__DB3__PC +#define SCSI_Out_DBx_DB4 SCSI_Out_DBx__DB4__PC +#define SCSI_Out_DBx_DB5 SCSI_Out_DBx__DB5__PC +#define SCSI_Out_DBx_DB6 SCSI_Out_DBx__DB6__PC +#define SCSI_Out_DBx_DB7 SCSI_Out_DBx__DB7__PC + +#endif /* End Pins SCSI_Out_DBx_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_aliases.h new file mode 100755 index 0000000..e8aa91f --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/SCSI_Out_aliases.h @@ -0,0 +1,52 @@ +/******************************************************************************* +* File Name: SCSI_Out.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_ALIASES_H) /* Pins SCSI_Out_ALIASES_H */ +#define CY_PINS_SCSI_Out_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_Out_0 SCSI_Out__0__PC +#define SCSI_Out_1 SCSI_Out__1__PC +#define SCSI_Out_2 SCSI_Out__2__PC +#define SCSI_Out_3 SCSI_Out__3__PC +#define SCSI_Out_4 SCSI_Out__4__PC +#define SCSI_Out_5 SCSI_Out__5__PC +#define SCSI_Out_6 SCSI_Out__6__PC +#define SCSI_Out_7 SCSI_Out__7__PC +#define SCSI_Out_8 SCSI_Out__8__PC +#define SCSI_Out_9 SCSI_Out__9__PC + +#define SCSI_Out_DBP_raw SCSI_Out__DBP_raw__PC +#define SCSI_Out_ATN SCSI_Out__ATN__PC +#define SCSI_Out_BSY SCSI_Out__BSY__PC +#define SCSI_Out_ACK SCSI_Out__ACK__PC +#define SCSI_Out_RST SCSI_Out__RST__PC +#define SCSI_Out_MSG SCSI_Out__MSG__PC +#define SCSI_Out_SEL SCSI_Out__SEL__PC +#define SCSI_Out_CD SCSI_Out__CD__PC +#define SCSI_Out_REQ SCSI_Out__REQ__PC +#define SCSI_Out_IO_raw SCSI_Out__IO_raw__PC + +#endif /* End Pins SCSI_Out_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.c new file mode 100755 index 0000000..081e687 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.c @@ -0,0 +1,1335 @@ +/******************************************************************************* +* File Name: USBFS.c +* Version 2.60 +* +* Description: +* API for USBFS Component. +* +* Note: +* Many of the functions use endpoint number. RAM arrays are sized with 9 +* elements so they are indexed directly by epNumber. The SIE and ARB +* registers are indexed by variations of epNumber - 1. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "USBFS.h" +#include "USBFS_pvt.h" +#include "USBFS_hid.h" +#if(USBFS_DMA1_REMOVE == 0u) + #include "USBFS_ep1_dma.h" +#endif /* End USBFS_DMA1_REMOVE */ +#if(USBFS_DMA2_REMOVE == 0u) + #include "USBFS_ep2_dma.h" +#endif /* End USBFS_DMA2_REMOVE */ +#if(USBFS_DMA3_REMOVE == 0u) + #include "USBFS_ep3_dma.h" +#endif /* End USBFS_DMA3_REMOVE */ +#if(USBFS_DMA4_REMOVE == 0u) + #include "USBFS_ep4_dma.h" +#endif /* End USBFS_DMA4_REMOVE */ +#if(USBFS_DMA5_REMOVE == 0u) + #include "USBFS_ep5_dma.h" +#endif /* End USBFS_DMA5_REMOVE */ +#if(USBFS_DMA6_REMOVE == 0u) + #include "USBFS_ep6_dma.h" +#endif /* End USBFS_DMA6_REMOVE */ +#if(USBFS_DMA7_REMOVE == 0u) + #include "USBFS_ep7_dma.h" +#endif /* End USBFS_DMA7_REMOVE */ +#if(USBFS_DMA8_REMOVE == 0u) + #include "USBFS_ep8_dma.h" +#endif /* End USBFS_DMA8_REMOVE */ + + +/*************************************** +* Global data allocation +***************************************/ + +uint8 USBFS_initVar = 0u; +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + uint8 USBFS_DmaChan[USBFS_MAX_EP]; + uint8 USBFS_DmaTd[USBFS_MAX_EP]; +#endif /* End USBFS_EP_MM */ + + +/******************************************************************************* +* Function Name: USBFS_Start +******************************************************************************** +* +* Summary: +* This function initialize the USB SIE, arbiter and the +* endpoint APIs, including setting the D+ Pullup +* +* Parameters: +* device: Contains the device number of the desired device descriptor. +* The device number can be found in the Device Descriptor Tab of +* "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* mode: The operating voltage. This determines whether the voltage regulator +* is enabled for 5V operation or if pass through mode is used for 3.3V +* operation. Symbolic names and their associated values are given in the +* following table. +* USBFS_3V_OPERATION - Disable voltage regulator and pass-thru +* Vcc for pull-up +* USBFS_5V_OPERATION - Enable voltage regulator and use +* regulator for pull-up +* USBFS_DWR_VDDD_OPERATION - Enable or Disable voltage +* regulator depend on Vddd Voltage configuration in DWR. +* +* Return: +* None. +* +* Global variables: +* The USBFS_intiVar variable is used to indicate initial +* configuration of this component. The variable is initialized to zero (0u) +* and set to one (1u) the first time USBFS_Start() is called. +* This allows for component Re-Start without unnecessary re-initialization +* in all subsequent calls to the USBFS_Start() routine. +* If re-initialization of the component is required the variable should be set +* to zero before call of UART_Start() routine, or the user may call +* USBFS_Init() and USBFS_InitComponent() as done +* in the USBFS_Start() routine. +* +* Side Effects: +* This function will reset all communication states to default. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Start(uint8 device, uint8 mode) +{ + /* If not Initialized then initialize all required hardware and software */ + if(USBFS_initVar == 0u) + { + USBFS_Init(); + USBFS_initVar = 1u; + } + USBFS_InitComponent(device, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_Init +******************************************************************************** +* +* Summary: +* Initialize component's hardware. Usually called in USBFS_Start(). +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Init(void) +{ + uint8 enableInterrupts; + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + uint16 i; + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + enableInterrupts = CyEnterCriticalSection(); + + /* Enable USB block */ + USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + /* Enable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + + /* Enable core clock */ + USBFS_USB_CLK_EN_REG = USBFS_USB_CLK_ENABLE; + + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + + /* ENABLING USBIO PADS IN USB MODE FROM I/O MODE */ + /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + USBFS_USBIO_CR0_REG &= ((uint8)(~USBFS_USBIO_CR0_TEN)); + CyDelayUs(0u); /*~50ns delay */ + /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) + * high. This will have been set low by the power manger out of reset. + * Also confirm USBIO pull-up disabled + */ + USBFS_PM_USB_CR0_REG &= ((uint8)(~(USBFS_PM_USB_CR0_PD_N | + USBFS_PM_USB_CR0_PD_PULLUP_N))); + + /* Select iomode to USB mode*/ + USBFS_USBIO_CR1_REG &= ((uint8)(~USBFS_USBIO_CR1_IOMODE)); + + /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + /* The reference will be available 1 us after the regulator is enabled */ + CyDelayUs(1u); + /* OR 40us after power restored */ + CyDelayUs(40u); + /* Ensure the single ended disable bits are low (PRT15.INP_DIS[7:6])(input receiver enabled). */ + USBFS_DM_INP_DIS_REG &= ((uint8)(~USBFS_DM_MASK)); + USBFS_DP_INP_DIS_REG &= ((uint8)(~USBFS_DP_MASK)); + + /* Enable USBIO */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + CyDelayUs(2u); + /* Set the USBIO pull-up enable */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + + /* Write WAx */ + CY_SET_REG8(USBFS_ARB_RW1_WA_PTR, 0u); + CY_SET_REG8(USBFS_ARB_RW1_WA_MSB_PTR, 0u); + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + /* Init transfer descriptor. This will be used to detect the DMA state - initialized or not. */ + for (i = 0u; i < USBFS_MAX_EP; i++) + { + USBFS_DmaTd[i] = DMA_INVALID_TD; + } + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + CyExitCriticalSection(enableInterrupts); + + + /* Set the bus reset Interrupt. */ + (void) CyIntSetVector(USBFS_BUS_RESET_VECT_NUM, &USBFS_BUS_RESET_ISR); + CyIntSetPriority(USBFS_BUS_RESET_VECT_NUM, USBFS_BUS_RESET_PRIOR); + + /* Set the SOF Interrupt. */ + #if(USBFS_SOF_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_SOF_VECT_NUM, &USBFS_SOF_ISR); + CyIntSetPriority(USBFS_SOF_VECT_NUM, USBFS_SOF_PRIOR); + #endif /* End USBFS_SOF_ISR_REMOVE */ + + /* Set the Control Endpoint Interrupt. */ + (void) CyIntSetVector(USBFS_EP_0_VECT_NUM, &USBFS_EP_0_ISR); + CyIntSetPriority(USBFS_EP_0_VECT_NUM, USBFS_EP_0_PRIOR); + + /* Set the Data Endpoint 1 Interrupt. */ + #if(USBFS_EP1_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_1_VECT_NUM, &USBFS_EP_1_ISR); + CyIntSetPriority(USBFS_EP_1_VECT_NUM, USBFS_EP_1_PRIOR); + #endif /* End USBFS_EP1_ISR_REMOVE */ + + /* Set the Data Endpoint 2 Interrupt. */ + #if(USBFS_EP2_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_2_VECT_NUM, &USBFS_EP_2_ISR); + CyIntSetPriority(USBFS_EP_2_VECT_NUM, USBFS_EP_2_PRIOR); + #endif /* End USBFS_EP2_ISR_REMOVE */ + + /* Set the Data Endpoint 3 Interrupt. */ + #if(USBFS_EP3_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_3_VECT_NUM, &USBFS_EP_3_ISR); + CyIntSetPriority(USBFS_EP_3_VECT_NUM, USBFS_EP_3_PRIOR); + #endif /* End USBFS_EP3_ISR_REMOVE */ + + /* Set the Data Endpoint 4 Interrupt. */ + #if(USBFS_EP4_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_4_VECT_NUM, &USBFS_EP_4_ISR); + CyIntSetPriority(USBFS_EP_4_VECT_NUM, USBFS_EP_4_PRIOR); + #endif /* End USBFS_EP4_ISR_REMOVE */ + + /* Set the Data Endpoint 5 Interrupt. */ + #if(USBFS_EP5_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_5_VECT_NUM, &USBFS_EP_5_ISR); + CyIntSetPriority(USBFS_EP_5_VECT_NUM, USBFS_EP_5_PRIOR); + #endif /* End USBFS_EP5_ISR_REMOVE */ + + /* Set the Data Endpoint 6 Interrupt. */ + #if(USBFS_EP6_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_6_VECT_NUM, &USBFS_EP_6_ISR); + CyIntSetPriority(USBFS_EP_6_VECT_NUM, USBFS_EP_6_PRIOR); + #endif /* End USBFS_EP6_ISR_REMOVE */ + + /* Set the Data Endpoint 7 Interrupt. */ + #if(USBFS_EP7_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_7_VECT_NUM, &USBFS_EP_7_ISR); + CyIntSetPriority(USBFS_EP_7_VECT_NUM, USBFS_EP_7_PRIOR); + #endif /* End USBFS_EP7_ISR_REMOVE */ + + /* Set the Data Endpoint 8 Interrupt. */ + #if(USBFS_EP8_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_8_VECT_NUM, &USBFS_EP_8_ISR); + CyIntSetPriority(USBFS_EP_8_VECT_NUM, USBFS_EP_8_PRIOR); + #endif /* End USBFS_EP8_ISR_REMOVE */ + + #if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + /* Set the ARB Interrupt. */ + (void) CyIntSetVector(USBFS_ARB_VECT_NUM, &USBFS_ARB_ISR); + CyIntSetPriority(USBFS_ARB_VECT_NUM, USBFS_ARB_PRIOR); + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + +} + + +/******************************************************************************* +* Function Name: USBFS_InitComponent +******************************************************************************** +* +* Summary: +* Initialize the component, except for the HW which is done one time in +* the Start function. This function pulls up D+. +* +* Parameters: +* device: Contains the device number of the desired device descriptor. +* The device number can be found in the Device Descriptor Tab of +* "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* mode: The operating voltage. This determines whether the voltage regulator +* is enabled for 5V operation or if pass through mode is used for 3.3V +* operation. Symbolic names and their associated values are given in the +* following table. +* USBFS_3V_OPERATION - Disable voltage regulator and pass-thru +* Vcc for pull-up +* USBFS_5V_OPERATION - Enable voltage regulator and use +* regulator for pull-up +* USBFS_DWR_VDDD_OPERATION - Enable or Disable voltage +* regulator depend on Vddd Voltage configuration in DWR. +* +* Return: +* None. +* +* Global variables: +* USBFS_device: Contains the device number of the desired device +* descriptor. The device number can be found in the Device Descriptor Tab +* of "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* USBFS_transferState: This variable used by the communication +* functions to handle current transfer state. Initialized to +* TRANS_STATE_IDLE in this API. +* USBFS_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_lastPacketSize initialized to 0; +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_InitComponent(uint8 device, uint8 mode) +{ + /* Initialize _hidProtocol variable to comply with + * HID 7.2.6 Set_Protocol Request: + * "When initialized, all devices default to report protocol." + */ + #if defined(USBFS_ENABLE_HID_CLASS) + uint8 i; + + for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + { + USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + } + #endif /* USBFS_ENABLE_HID_CLASS */ + + /* Enable Interrupts. */ + CyIntEnable(USBFS_BUS_RESET_VECT_NUM); + CyIntEnable(USBFS_EP_0_VECT_NUM); + #if(USBFS_EP1_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_1_VECT_NUM); + #endif /* End USBFS_EP1_ISR_REMOVE */ + #if(USBFS_EP2_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_2_VECT_NUM); + #endif /* End USBFS_EP2_ISR_REMOVE */ + #if(USBFS_EP3_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_3_VECT_NUM); + #endif /* End USBFS_EP3_ISR_REMOVE */ + #if(USBFS_EP4_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_4_VECT_NUM); + #endif /* End USBFS_EP4_ISR_REMOVE */ + #if(USBFS_EP5_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_5_VECT_NUM); + #endif /* End USBFS_EP5_ISR_REMOVE */ + #if(USBFS_EP6_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_6_VECT_NUM); + #endif /* End USBFS_EP6_ISR_REMOVE */ + #if(USBFS_EP7_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_7_VECT_NUM); + #endif /* End USBFS_EP7_ISR_REMOVE */ + #if(USBFS_EP8_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_8_VECT_NUM); + #endif /* End USBFS_EP8_ISR_REMOVE */ + #if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + /* usb arb interrupt enable */ + USBFS_ARB_INT_EN_REG = USBFS_ARB_INT_MASK; + CyIntEnable(USBFS_ARB_VECT_NUM); + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* Arbiter configuration for DMA transfers */ + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_MANUAL_DMA; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /*Set cfg cmplt this rises DMA request when the full configuration is done */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + + /* USB Locking: Enabled, VRegulator: depend on mode or DWR Voltage configuration*/ + switch(mode) + { + case USBFS_3V_OPERATION: + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + break; + case USBFS_5V_OPERATION: + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + break; + default: /*USBFS_DWR_VDDD_OPERATION */ + #if(USBFS_VDDD_MV < USBFS_3500MV) + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + #else + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + #endif /* End USBFS_VDDD_MV < USBFS_3500MV */ + break; + } + + /* Record the descriptor selection */ + USBFS_device = device; + + /* Clear all of the component data */ + USBFS_configuration = 0u; + USBFS_interfaceNumber = 0u; + USBFS_configurationChanged = 0u; + USBFS_deviceAddress = 0u; + USBFS_deviceStatus = 0u; + + USBFS_lastPacketSize = 0u; + + /* ACK Setup, Stall IN/OUT */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + + /* Enable the SIE with an address 0 */ + CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + + /* Workaround for PSOC5LP */ + CyDelayCycles(1u); + + /* Finally, Enable d+ pullup and select iomode to USB mode*/ + CY_SET_REG8(USBFS_USBIO_CR1_PTR, USBFS_USBIO_CR1_USBPUEN); +} + + +/******************************************************************************* +* Function Name: USBFS_ReInitComponent +******************************************************************************** +* +* Summary: +* This function reinitialize the component configuration and is +* intend to be called from the Reset interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_device: Contains the device number of the desired device +* descriptor. The device number can be found in the Device Descriptor Tab +* of "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* USBFS_transferState: This variable used by the communication +* functions to handle current transfer state. Initialized to +* TRANS_STATE_IDLE in this API. +* USBFS_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_lastPacketSize initialized to 0; +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ReInitComponent(void) +{ + /* Initialize _hidProtocol variable to comply with HID 7.2.6 Set_Protocol + * Request: "When initialized, all devices default to report protocol." + */ + #if defined(USBFS_ENABLE_HID_CLASS) + uint8 i; + + for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + { + USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + } + #endif /* USBFS_ENABLE_HID_CLASS */ + + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + + /* Clear all of the component data */ + USBFS_configuration = 0u; + USBFS_interfaceNumber = 0u; + USBFS_configurationChanged = 0u; + USBFS_deviceAddress = 0u; + USBFS_deviceStatus = 0u; + + USBFS_lastPacketSize = 0u; + + + /* ACK Setup, Stall IN/OUT */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + + /* Enable the SIE with an address 0 */ + CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + +} + + +/******************************************************************************* +* Function Name: USBFS_Stop +******************************************************************************** +* +* Summary: +* This function shuts down the USB function including to release +* the D+ Pullup and disabling the SIE. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_configurationChanged: This variable is set to one after +* SET_CONFIGURATION request and cleared in this function. +* USBFS_intiVar variable is set to zero +* +*******************************************************************************/ +void USBFS_Stop(void) +{ + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + USBFS_Stop_DMA(USBFS_MAX_EP); /* Stop all DMAs */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* Disable the SIE */ + USBFS_CR0_REG &= (uint8)(~USBFS_CR0_ENABLE); + /* Disable the d+ pullup */ + USBFS_USBIO_CR1_REG &= (uint8)(~USBFS_USBIO_CR1_USBPUEN); + /* Disable USB in ACT PM */ + USBFS_PM_ACT_CFG_REG &= (uint8)(~USBFS_PM_ACT_EN_FSUSB); + /* Disable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG &= (uint8)(~USBFS_PM_STBY_EN_FSUSB); + + /* Disable the reset and EP interrupts */ + CyIntDisable(USBFS_BUS_RESET_VECT_NUM); + CyIntDisable(USBFS_EP_0_VECT_NUM); + #if(USBFS_EP1_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_1_VECT_NUM); + #endif /* End USBFS_EP1_ISR_REMOVE */ + #if(USBFS_EP2_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_2_VECT_NUM); + #endif /* End USBFS_EP2_ISR_REMOVE */ + #if(USBFS_EP3_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_3_VECT_NUM); + #endif /* End USBFS_EP3_ISR_REMOVE */ + #if(USBFS_EP4_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_4_VECT_NUM); + #endif /* End USBFS_EP4_ISR_REMOVE */ + #if(USBFS_EP5_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_5_VECT_NUM); + #endif /* End USBFS_EP5_ISR_REMOVE */ + #if(USBFS_EP6_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_6_VECT_NUM); + #endif /* End USBFS_EP6_ISR_REMOVE */ + #if(USBFS_EP7_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_7_VECT_NUM); + #endif /* End USBFS_EP7_ISR_REMOVE */ + #if(USBFS_EP8_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_8_VECT_NUM); + #endif /* End USBFS_EP8_ISR_REMOVE */ + + /* Clear all of the component data */ + USBFS_configuration = 0u; + USBFS_interfaceNumber = 0u; + USBFS_configurationChanged = 0u; + USBFS_deviceAddress = 0u; + USBFS_deviceStatus = 0u; + USBFS_initVar = 0u; + +} + + +/******************************************************************************* +* Function Name: USBFS_CheckActivity +******************************************************************************** +* +* Summary: +* Returns the activity status of the bus. Clears the status hardware to +* provide fresh activity status on the next call of this routine. +* +* Parameters: +* None. +* +* Return: +* 1 - If bus activity was detected since the last call to this function +* 0 - If bus activity not was detected since the last call to this function +* +*******************************************************************************/ +uint8 USBFS_CheckActivity(void) +{ + uint8 r; + + r = CY_GET_REG8(USBFS_CR1_PTR); + CY_SET_REG8(USBFS_CR1_PTR, (r & ((uint8)(~USBFS_CR1_BUS_ACTIVITY)))); + + return((r & USBFS_CR1_BUS_ACTIVITY) >> USBFS_CR1_BUS_ACTIVITY_SHIFT); +} + + +/******************************************************************************* +* Function Name: USBFS_GetConfiguration +******************************************************************************** +* +* Summary: +* Returns the current configuration setting +* +* Parameters: +* None. +* +* Return: +* configuration. +* +*******************************************************************************/ +uint8 USBFS_GetConfiguration(void) +{ + return(USBFS_configuration); +} + + +/******************************************************************************* +* Function Name: USBFS_IsConfigurationChanged +******************************************************************************** +* +* Summary: +* Returns the clear on read configuration state. It is usefull when PC send +* double SET_CONFIGURATION request with same configuration number. +* +* Parameters: +* None. +* +* Return: +* Not zero value when new configuration has been changed, otherwise zero is +* returned. +* +* Global variables: +* USBFS_configurationChanged: This variable is set to one after +* SET_CONFIGURATION request and cleared in this function. +* +*******************************************************************************/ +uint8 USBFS_IsConfigurationChanged(void) +{ + uint8 res = 0u; + + if(USBFS_configurationChanged != 0u) + { + res = USBFS_configurationChanged; + USBFS_configurationChanged = 0u; + } + + return(res); +} + + +/******************************************************************************* +* Function Name: USBFS_GetInterfaceSetting +******************************************************************************** +* +* Summary: +* Returns the alternate setting from current interface +* +* Parameters: +* uint8 interfaceNumber, interface number +* +* Return: +* Alternate setting. +* +*******************************************************************************/ +uint8 USBFS_GetInterfaceSetting(uint8 interfaceNumber) + +{ + return(USBFS_interfaceSetting[interfaceNumber]); +} + + +/******************************************************************************* +* Function Name: USBFS_GetEPState +******************************************************************************** +* +* Summary: +* Returned the state of the requested endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* +* Return: +* State of the requested endpoint. +* +*******************************************************************************/ +uint8 USBFS_GetEPState(uint8 epNumber) +{ + return(USBFS_EP[epNumber].apiEpState); +} + + +/******************************************************************************* +* Function Name: USBFS_GetEPCount +******************************************************************************** +* +* Summary: +* This function supports Data Endpoints only(EP1-EP8). +* Returns the transfer count for the requested endpoint. The value from +* the count registers includes 2 counts for the two byte checksum of the +* packet. This function subtracts the two counts. +* +* Parameters: +* epNumber: Data Endpoint Number. +* Valid values are between 1 and 8. +* +* Return: +* Returns the current byte count from the specified endpoint or 0 for an +* invalid endpoint. +* +*******************************************************************************/ +uint16 USBFS_GetEPCount(uint8 epNumber) +{ + uint8 ri; + uint16 result = 0u; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + result = (uint8)(CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri)) & + USBFS_EPX_CNT0_MASK); + result = (result << 8u) | CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri)); + result -= USBFS_EPX_CNTX_CRC_COUNT; + } + return(result); +} + + +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + + + /******************************************************************************* + * Function Name: USBFS_InitEP_DMA + ******************************************************************************** + * + * Summary: + * This function allocates and initializes a DMA channel to be used by the + * USBFS_LoadInEP() or USBFS_ReadOutEP() APIs for data + * transfer. + * + * Parameters: + * epNumber: Contains the data endpoint number. + * Valid values are between 1 and 8. + * *pData: Pointer to a data array that is related to the EP transfers. + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_InitEP_DMA(uint8 epNumber, const uint8 *pData) + + { + uint16 src; + uint16 dst; + #if (CY_PSOC3) /* PSoC 3 */ + src = HI16(CYDEV_SRAM_BASE); + dst = HI16(CYDEV_PERIPH_BASE); + pData = pData; + #else /* PSoC 5 */ + if((USBFS_EP[epNumber].addr & USBFS_DIR_IN) != 0u ) + { /* for the IN EP source is the SRAM memory buffer */ + src = HI16(pData); + dst = HI16(CYDEV_PERIPH_BASE); + } + else + { /* for the OUT EP source is the SIE register */ + src = HI16(CYDEV_PERIPH_BASE); + dst = HI16(pData); + } + #endif /* End C51 */ + switch(epNumber) + { + case USBFS_EP1: + #if(USBFS_DMA1_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep1_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA1_REMOVE */ + break; + case USBFS_EP2: + #if(USBFS_DMA2_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep2_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA2_REMOVE */ + break; + case USBFS_EP3: + #if(USBFS_DMA3_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep3_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA3_REMOVE */ + break; + case USBFS_EP4: + #if(USBFS_DMA4_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep4_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA4_REMOVE */ + break; + case USBFS_EP5: + #if(USBFS_DMA5_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep5_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA5_REMOVE */ + break; + case USBFS_EP6: + #if(USBFS_DMA6_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep6_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA6_REMOVE */ + break; + case USBFS_EP7: + #if(USBFS_DMA7_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep7_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA7_REMOVE */ + break; + case USBFS_EP8: + #if(USBFS_DMA8_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep8_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA8_REMOVE */ + break; + default: + /* Do not support EP0 DMA transfers */ + break; + } + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + USBFS_DmaTd[epNumber] = CyDmaTdAllocate(); + } + } + + + /******************************************************************************* + * Function Name: USBFS_Stop_DMA + ******************************************************************************** + * + * Summary: Stops and free DMA + * + * Parameters: + * epNumber: Contains the data endpoint number or + * USBFS_MAX_EP to stop all DMAs + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_Stop_DMA(uint8 epNumber) + { + uint8 i; + i = (epNumber < USBFS_MAX_EP) ? epNumber : USBFS_EP1; + do + { + if(USBFS_DmaTd[i] != DMA_INVALID_TD) + { + (void) CyDmaChDisable(USBFS_DmaChan[i]); + CyDmaTdFree(USBFS_DmaTd[i]); + USBFS_DmaTd[i] = DMA_INVALID_TD; + } + i++; + }while((i < USBFS_MAX_EP) && (epNumber == USBFS_MAX_EP)); + } + +#endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + +/******************************************************************************* +* Function Name: USBFS_LoadInEP +******************************************************************************** +* +* Summary: +* Loads and enables the specified USB data endpoint for an IN interrupt or bulk +* transfer. +* +* Parameters: +* epNumber: Contains the data endpoint number. +* Valid values are between 1 and 8. +* *pData: A pointer to a data array from which the data for the endpoint space +* is loaded. +* length: The number of bytes to transfer from the array and then send as a +* result of an IN request. Valid values are between 0 and 512. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + +{ + uint8 ri; + reg8 *p; + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + uint16 i; + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + /* Limits length to available buffer space, auto MM could send packets up to 1024 bytes */ + if(length > (USBFS_EPX_DATA_BUF_MAX - USBFS_EP[epNumber].buffOffset)) + { + length = USBFS_EPX_DATA_BUF_MAX - USBFS_EP[epNumber].buffOffset; + } + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + /* Set the count and data toggle */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + (length >> 8u) | (USBFS_EP[epNumber].epToggle)); + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), length & 0xFFu); + + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + if(pData != NULL) + { + /* Copy the data using the arbiter data register */ + for (i = 0u; i < length; i++) + { + CY_SET_REG8(p, pData[i]); + } + } + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + #else + /* Init DMA if it was not initialized */ + if(USBFS_DmaTd[epNumber] == DMA_INVALID_TD) + { + USBFS_InitEP_DMA(epNumber, pData); + } + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + if((pData != NULL) && (length > 0u)) + { + /* Enable DMA in mode2 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + TD_TERMIN_EN | TD_INC_SRC_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32)p)); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + /* Generate DMA request */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_DMA_REQ; + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_ARB_EPX_CFG_DMA_REQ)); + /* Mode register will be written in arb ISR after DMA transfer complete */ + } + else + { + /* When zero-length packet - write the Mode register directly */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + } + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + if(pData != NULL) + { + /* Enable DMA in mode3 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, + USBFS_DmaTd[epNumber], TD_TERMIN_EN | TD_INC_SRC_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32)p)); + /* Clear Any potential pending DMA requests before starting the DMA channel to transfer data */ + (void) CyDmaClearPendingDrq(USBFS_DmaChan[epNumber]); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + } + else + { + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + if(length > 0u) + { + /* Set Data ready status, This will generate DMA request */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_IN_DATA_RDY; + /* Mode register will be written in arb ISR(In Buffer Full) after first DMA transfer complete */ + } + else + { + /* When zero-length packet - write the Mode register directly */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + } + } + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + } +} + + +/******************************************************************************* +* Function Name: USBFS_ReadOutEP +******************************************************************************** +* +* Summary: +* Read data from an endpoint. The application must call +* USBFS_GetEPState to see if an event is pending. +* +* Parameters: +* epNumber: Contains the data endpoint number. +* Valid values are between 1 and 8. +* pData: A pointer to a data array from which the data for the endpoint space +* is loaded. +* length: The number of bytes to transfer from the USB Out endpoint and loads +* it into data array. Valid values are between 0 and 1023. The function +* moves fewer than the requested number of bytes if the host sends +* fewer bytes than requested. +* +* Returns: +* Number of bytes received, 0 for an invalid endpoint. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint16 USBFS_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) + +{ + uint8 ri; + reg8 *p; + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + uint16 i; + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + uint16 xferCount; + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + /* Determine which is smaller the requested data or the available data */ + xferCount = USBFS_GetEPCount(epNumber); + if (length > xferCount) + { + length = xferCount; + } + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + /* Copy the data using the arbiter data register */ + for (i = 0u; i < length; i++) + { + pData[i] = CY_GET_REG8(p); + } + + /* (re)arming of OUT endpoint */ + USBFS_EnableOutEP(epNumber); + #else + /*Init DMA if it was not initialized */ + if(USBFS_DmaTd[epNumber] == DMA_INVALID_TD) + { + USBFS_InitEP_DMA(epNumber, pData); + } + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + /* Enable DMA in mode2 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + TD_TERMIN_EN | TD_INC_DST_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + + /* Generate DMA request */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_DMA_REQ; + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_ARB_EPX_CFG_DMA_REQ)); + /* Out EP will be (re)armed in arb ISR after transfer complete */ + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* Enable DMA in mode3 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, USBFS_DmaTd[epNumber], + TD_TERMIN_EN | TD_INC_DST_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); + + /* Clear Any potential pending DMA requests before starting the DMA channel to transfer data */ + (void) CyDmaClearPendingDrq(USBFS_DmaChan[epNumber]); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + /* Out EP will be (re)armed in arb ISR after transfer complete */ + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + } + else + { + length = 0u; + } + + return(length); +} + + +/******************************************************************************* +* Function Name: USBFS_EnableOutEP +******************************************************************************** +* +* Summary: +* This function enables an OUT endpoint. It should not be +* called for an IN endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Return: +* None. +* +* Global variables: +* USBFS_EP[epNumber].apiEpState - set to NO_EVENT_PENDING +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_EnableOutEP(uint8 epNumber) +{ + uint8 ri; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + } +} + + +/******************************************************************************* +* Function Name: USBFS_DisableOutEP +******************************************************************************** +* +* Summary: +* This function disables an OUT endpoint. It should not be +* called for an IN endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_DisableOutEP(uint8 epNumber) +{ + uint8 ri ; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + } +} + + +/******************************************************************************* +* Function Name: USBFS_Force +******************************************************************************** +* +* Summary: +* Forces the bus state +* +* Parameters: +* bState +* USBFS_FORCE_J +* USBFS_FORCE_K +* USBFS_FORCE_SE0 +* USBFS_FORCE_NONE +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_Force(uint8 bState) +{ + CY_SET_REG8(USBFS_USBIO_CR0_PTR, bState); +} + + +/******************************************************************************* +* Function Name: USBFS_GetEPAckState +******************************************************************************** +* +* Summary: +* Returns the ACK of the CR0 Register (ACKD) +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Returns +* 0 if nothing has been ACKD, non-=zero something has been ACKD +* +*******************************************************************************/ +uint8 USBFS_GetEPAckState(uint8 epNumber) +{ + uint8 ri; + uint8 cr = 0u; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + cr = CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri)) & USBFS_MODE_ACKD; + } + + return(cr); +} + + +/******************************************************************************* +* Function Name: USBFS_SetPowerStatus +******************************************************************************** +* +* Summary: +* Sets the device power status for reporting in the Get Device Status +* request +* +* Parameters: +* powerStatus: USBFS_DEVICE_STATUS_BUS_POWERED(0) - Bus Powered, +* USBFS_DEVICE_STATUS_SELF_POWERED(1) - Self Powered +* +* Return: +* None. +* +* Global variables: +* USBFS_deviceStatus - set power status +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_SetPowerStatus(uint8 powerStatus) +{ + if (powerStatus != USBFS_DEVICE_STATUS_BUS_POWERED) + { + USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + } + else + { + USBFS_deviceStatus &= ((uint8)(~USBFS_DEVICE_STATUS_SELF_POWERED)); + } +} + + +#if (USBFS_MON_VBUS == 1u) + + /******************************************************************************* + * Function Name: USBFS_VBusPresent + ******************************************************************************** + * + * Summary: + * Determines VBUS presence for Self Powered Devices. + * + * Parameters: + * None. + * + * Return: + * 1 if VBUS is present, otherwise 0. + * + *******************************************************************************/ + uint8 USBFS_VBusPresent(void) + { + return((0u != (CY_GET_REG8(USBFS_VBUS_PS_PTR) & USBFS_VBUS_MASK)) ? 1u : 0u); + } + +#endif /* USBFS_MON_VBUS */ + + +/******************************************************************************* +* Function Name: USBFS_RWUEnabled +******************************************************************************** +* +* Summary: +* Returns TRUE if Remote Wake Up is enabled, otherwise FALSE +* +* Parameters: +* None. +* +* Return: +* TRUE - Remote Wake Up Enabled +* FALSE - Remote Wake Up Disabled +* +* Global variables: +* USBFS_deviceStatus - checked to determine remote status +* +*******************************************************************************/ +uint8 USBFS_RWUEnabled(void) +{ + uint8 result = USBFS_FALSE; + if((USBFS_deviceStatus & USBFS_DEVICE_STATUS_REMOTE_WAKEUP) != 0u) + { + result = USBFS_TRUE; + } + + return(result); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.h new file mode 100755 index 0000000..e7fd899 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS.h @@ -0,0 +1,1189 @@ +/******************************************************************************* +* File Name: USBFS.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_H) +#define CY_USBFS_USBFS_H + +#include "cytypes.h" +#include "cydevice_trm.h" +#include "cyfitter.h" +#include "CyLib.h" + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component USBFS_v2_60 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Memory Type Definitions +***************************************/ + +/* Renamed Type Definitions for backward compatibility. +* Should not be used in new designs. +*/ +#define USBFS_CODE CYCODE +#define USBFS_FAR CYFAR +#if defined(__C51__) || defined(__CX51__) + #define USBFS_DATA data + #define USBFS_XDATA xdata +#else + #define USBFS_DATA + #define USBFS_XDATA +#endif /* End __C51__ */ +#define USBFS_NULL NULL + + +/*************************************** +* Enumerated Types and Parameters +***************************************/ + +#define USBFS__EP_MANUAL 0 +#define USBFS__EP_DMAMANUAL 1 +#define USBFS__EP_DMAAUTO 2 + +#define USBFS__MA_STATIC 0 +#define USBFS__MA_DYNAMIC 1 + + + +/*************************************** +* Initial Parameter Constants +***************************************/ + +#define USBFS_NUM_DEVICES (1u) +#define USBFS_ENABLE_DESCRIPTOR_STRINGS +#define USBFS_ENABLE_SN_STRING +#define USBFS_ENABLE_STRINGS +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE (65u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_NUM_IN_RPTS (1u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE (65u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_NUM_OUT_RPTS (1u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_COUNT (1u) +#define USBFS_ENABLE_HID_CLASS +#define USBFS_HID_RPT_1_SIZE_LSB (0x24u) +#define USBFS_HID_RPT_1_SIZE_MSB (0x00u) +#define USBFS_MAX_REPORTID_NUMBER (0u) + +#define USBFS_MON_VBUS (0u) +#define USBFS_EXTERN_VBUS (0u) +#define USBFS_EXTERN_VND (0u) +#define USBFS_EXTERN_CLS (0u) +#define USBFS_MAX_INTERFACES_NUMBER (1u) +#define USBFS_EP0_ISR_REMOVE (0u) +#define USBFS_EP1_ISR_REMOVE (0u) +#define USBFS_EP2_ISR_REMOVE (0u) +#define USBFS_EP3_ISR_REMOVE (1u) +#define USBFS_EP4_ISR_REMOVE (1u) +#define USBFS_EP5_ISR_REMOVE (1u) +#define USBFS_EP6_ISR_REMOVE (1u) +#define USBFS_EP7_ISR_REMOVE (1u) +#define USBFS_EP8_ISR_REMOVE (1u) +#define USBFS_EP_MM (0u) +#define USBFS_EP_MA (0u) +#define USBFS_DMA1_REMOVE (1u) +#define USBFS_DMA2_REMOVE (1u) +#define USBFS_DMA3_REMOVE (1u) +#define USBFS_DMA4_REMOVE (1u) +#define USBFS_DMA5_REMOVE (1u) +#define USBFS_DMA6_REMOVE (1u) +#define USBFS_DMA7_REMOVE (1u) +#define USBFS_DMA8_REMOVE (1u) +#define USBFS_SOF_ISR_REMOVE (0u) +#define USBFS_ARB_ISR_REMOVE (0u) +#define USBFS_DP_ISR_REMOVE (0u) +#define USBFS_ENABLE_CDC_CLASS_API (1u) +#define USBFS_ENABLE_MIDI_API (1u) +#define USBFS_MIDI_EXT_MODE (0u) + + +/*************************************** +* Data Struct Definition +***************************************/ + +typedef struct +{ + uint8 attrib; + uint8 apiEpState; + uint8 hwEpState; + uint8 epToggle; + uint8 addr; + uint8 epMode; + uint16 buffOffset; + uint16 bufferSize; + uint8 interface; +} T_USBFS_EP_CTL_BLOCK; + +typedef struct +{ + uint8 interface; + uint8 altSetting; + uint8 addr; + uint8 attributes; + uint16 bufferSize; + uint8 bMisc; +} T_USBFS_EP_SETTINGS_BLOCK; + +typedef struct +{ + uint8 status; + uint16 length; +} T_USBFS_XFER_STATUS_BLOCK; + +typedef struct +{ + uint16 count; + volatile uint8 *pData; + T_USBFS_XFER_STATUS_BLOCK *pStatusBlock; +} T_USBFS_TD; + + +typedef struct +{ + uint8 c; + const void *p_list; +} T_USBFS_LUT; + +/* Resume/Suspend API Support */ +typedef struct +{ + uint8 enableState; + uint8 mode; +} USBFS_BACKUP_STRUCT; + + +/* Renamed structure fields for backward compatibility. +* Should not be used in new designs. +*/ +#define wBuffOffset buffOffset +#define wBufferSize bufferSize +#define bStatus status +#define wLength length +#define wCount count + +/* Renamed global variable for backward compatibility. +* Should not be used in new designs. +*/ +#define CurrentTD USBFS_currentTD + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_Start(uint8 device, uint8 mode) ; +void USBFS_Init(void) ; +void USBFS_InitComponent(uint8 device, uint8 mode) ; +void USBFS_Stop(void) ; +uint8 USBFS_CheckActivity(void) ; +uint8 USBFS_GetConfiguration(void) ; +uint8 USBFS_IsConfigurationChanged(void) ; +uint8 USBFS_GetInterfaceSetting(uint8 interfaceNumber) + ; +uint8 USBFS_GetEPState(uint8 epNumber) ; +uint16 USBFS_GetEPCount(uint8 epNumber) ; +void USBFS_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + ; +uint16 USBFS_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) + ; +void USBFS_EnableOutEP(uint8 epNumber) ; +void USBFS_DisableOutEP(uint8 epNumber) ; +void USBFS_Force(uint8 bState) ; +uint8 USBFS_GetEPAckState(uint8 epNumber) ; +void USBFS_SetPowerStatus(uint8 powerStatus) ; +uint8 USBFS_RWUEnabled(void) ; +void USBFS_TerminateEP(uint8 ep) ; + +void USBFS_Suspend(void) ; +void USBFS_Resume(void) ; + +#if defined(USBFS_ENABLE_FWSN_STRING) + void USBFS_SerialNumString(uint8 snString[]) ; +#endif /* USBFS_ENABLE_FWSN_STRING */ +#if (USBFS_MON_VBUS == 1u) + uint8 USBFS_VBusPresent(void) ; +#endif /* End USBFS_MON_VBUS */ + +#if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) || \ + (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + + void USBFS_CyBtldrCommStart(void) ; + void USBFS_CyBtldrCommStop(void) ; + void USBFS_CyBtldrCommReset(void) ; + cystatus USBFS_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + ; + cystatus USBFS_CyBtldrCommRead( uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + ; + + #define USBFS_BTLDR_SIZEOF_WRITE_BUFFER (64u) /* EP 1 OUT */ + #define USBFS_BTLDR_SIZEOF_READ_BUFFER (64u) /* EP 2 IN */ + #define USBFS_BTLDR_MAX_PACKET_SIZE USBFS_BTLDR_SIZEOF_WRITE_BUFFER + + /* These defines active if used USBFS interface as an + * IO Component for bootloading. When Custom_Interface selected + * in Bootloder configuration as the IO Component, user must + * provide these functions + */ + #if (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) + #define CyBtldrCommStart USBFS_CyBtldrCommStart + #define CyBtldrCommStop USBFS_CyBtldrCommStop + #define CyBtldrCommReset USBFS_CyBtldrCommReset + #define CyBtldrCommWrite USBFS_CyBtldrCommWrite + #define CyBtldrCommRead USBFS_CyBtldrCommRead + #endif /*End CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS */ + +#endif /* End CYDEV_BOOTLOADER_IO_COMP */ + +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + void USBFS_InitEP_DMA(uint8 epNumber, const uint8 *pData) + ; + void USBFS_Stop_DMA(uint8 epNumber) ; +#endif /* End USBFS_EP_MM != USBFS__EP_MANUAL) */ + +#if defined(USBFS_ENABLE_MIDI_STREAMING) && (USBFS_ENABLE_MIDI_API != 0u) + void USBFS_MIDI_EP_Init(void) ; + + #if (USBFS_MIDI_IN_BUFF_SIZE > 0) + void USBFS_MIDI_IN_Service(void) ; + uint8 USBFS_PutUsbMidiIn(uint8 ic, const uint8 midiMsg[], uint8 cable) + ; + #endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + + #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + void USBFS_MIDI_OUT_EP_Service(void) ; + #endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + +#endif /* End USBFS_ENABLE_MIDI_API != 0u */ + +/* Renamed Functions for backward compatibility. +* Should not be used in new designs. +*/ + +#define USBFS_bCheckActivity USBFS_CheckActivity +#define USBFS_bGetConfiguration USBFS_GetConfiguration +#define USBFS_bGetInterfaceSetting USBFS_GetInterfaceSetting +#define USBFS_bGetEPState USBFS_GetEPState +#define USBFS_wGetEPCount USBFS_GetEPCount +#define USBFS_bGetEPAckState USBFS_GetEPAckState +#define USBFS_bRWUEnabled USBFS_RWUEnabled +#define USBFS_bVBusPresent USBFS_VBusPresent + +#define USBFS_bConfiguration USBFS_configuration +#define USBFS_bInterfaceSetting USBFS_interfaceSetting +#define USBFS_bDeviceAddress USBFS_deviceAddress +#define USBFS_bDeviceStatus USBFS_deviceStatus +#define USBFS_bDevice USBFS_device +#define USBFS_bTransferState USBFS_transferState +#define USBFS_bLastPacketSize USBFS_lastPacketSize + +#define USBFS_LoadEP USBFS_LoadInEP +#define USBFS_LoadInISOCEP USBFS_LoadInEP +#define USBFS_EnableOutISOCEP USBFS_EnableOutEP + +#define USBFS_SetVector CyIntSetVector +#define USBFS_SetPriority CyIntSetPriority +#define USBFS_EnableInt CyIntEnable + + +/*************************************** +* API Constants +***************************************/ + +#define USBFS_EP0 (0u) +#define USBFS_EP1 (1u) +#define USBFS_EP2 (2u) +#define USBFS_EP3 (3u) +#define USBFS_EP4 (4u) +#define USBFS_EP5 (5u) +#define USBFS_EP6 (6u) +#define USBFS_EP7 (7u) +#define USBFS_EP8 (8u) +#define USBFS_MAX_EP (9u) + +#define USBFS_TRUE (1u) +#define USBFS_FALSE (0u) + +#define USBFS_NO_EVENT_ALLOWED (2u) +#define USBFS_EVENT_PENDING (1u) +#define USBFS_NO_EVENT_PENDING (0u) + +#define USBFS_IN_BUFFER_FULL USBFS_NO_EVENT_PENDING +#define USBFS_IN_BUFFER_EMPTY USBFS_EVENT_PENDING +#define USBFS_OUT_BUFFER_FULL USBFS_EVENT_PENDING +#define USBFS_OUT_BUFFER_EMPTY USBFS_NO_EVENT_PENDING + +#define USBFS_FORCE_J (0xA0u) +#define USBFS_FORCE_K (0x80u) +#define USBFS_FORCE_SE0 (0xC0u) +#define USBFS_FORCE_NONE (0x00u) + +#define USBFS_IDLE_TIMER_RUNNING (0x02u) +#define USBFS_IDLE_TIMER_EXPIRED (0x01u) +#define USBFS_IDLE_TIMER_INDEFINITE (0x00u) + +#define USBFS_DEVICE_STATUS_BUS_POWERED (0x00u) +#define USBFS_DEVICE_STATUS_SELF_POWERED (0x01u) + +#define USBFS_3V_OPERATION (0x00u) +#define USBFS_5V_OPERATION (0x01u) +#define USBFS_DWR_VDDD_OPERATION (0x02u) + +#define USBFS_MODE_DISABLE (0x00u) +#define USBFS_MODE_NAK_IN_OUT (0x01u) +#define USBFS_MODE_STATUS_OUT_ONLY (0x02u) +#define USBFS_MODE_STALL_IN_OUT (0x03u) +#define USBFS_MODE_RESERVED_0100 (0x04u) +#define USBFS_MODE_ISO_OUT (0x05u) +#define USBFS_MODE_STATUS_IN_ONLY (0x06u) +#define USBFS_MODE_ISO_IN (0x07u) +#define USBFS_MODE_NAK_OUT (0x08u) +#define USBFS_MODE_ACK_OUT (0x09u) +#define USBFS_MODE_RESERVED_1010 (0x0Au) +#define USBFS_MODE_ACK_OUT_STATUS_IN (0x0Bu) +#define USBFS_MODE_NAK_IN (0x0Cu) +#define USBFS_MODE_ACK_IN (0x0Du) +#define USBFS_MODE_RESERVED_1110 (0x0Eu) +#define USBFS_MODE_ACK_IN_STATUS_OUT (0x0Fu) +#define USBFS_MODE_MASK (0x0Fu) +#define USBFS_MODE_STALL_DATA_EP (0x80u) + +#define USBFS_MODE_ACKD (0x10u) +#define USBFS_MODE_OUT_RCVD (0x20u) +#define USBFS_MODE_IN_RCVD (0x40u) +#define USBFS_MODE_SETUP_RCVD (0x80u) + +#define USBFS_RQST_TYPE_MASK (0x60u) +#define USBFS_RQST_TYPE_STD (0x00u) +#define USBFS_RQST_TYPE_CLS (0x20u) +#define USBFS_RQST_TYPE_VND (0x40u) +#define USBFS_RQST_DIR_MASK (0x80u) +#define USBFS_RQST_DIR_D2H (0x80u) +#define USBFS_RQST_DIR_H2D (0x00u) +#define USBFS_RQST_RCPT_MASK (0x03u) +#define USBFS_RQST_RCPT_DEV (0x00u) +#define USBFS_RQST_RCPT_IFC (0x01u) +#define USBFS_RQST_RCPT_EP (0x02u) +#define USBFS_RQST_RCPT_OTHER (0x03u) + +/* USB Class Codes */ +#define USBFS_CLASS_DEVICE (0x00u) /* Use class code info from Interface Descriptors */ +#define USBFS_CLASS_AUDIO (0x01u) /* Audio device */ +#define USBFS_CLASS_CDC (0x02u) /* Communication device class */ +#define USBFS_CLASS_HID (0x03u) /* Human Interface Device */ +#define USBFS_CLASS_PDC (0x05u) /* Physical device class */ +#define USBFS_CLASS_IMAGE (0x06u) /* Still Imaging device */ +#define USBFS_CLASS_PRINTER (0x07u) /* Printer device */ +#define USBFS_CLASS_MSD (0x08u) /* Mass Storage device */ +#define USBFS_CLASS_HUB (0x09u) /* Full/Hi speed Hub */ +#define USBFS_CLASS_CDC_DATA (0x0Au) /* CDC data device */ +#define USBFS_CLASS_SMART_CARD (0x0Bu) /* Smart Card device */ +#define USBFS_CLASS_CSD (0x0Du) /* Content Security device */ +#define USBFS_CLASS_VIDEO (0x0Eu) /* Video device */ +#define USBFS_CLASS_PHD (0x0Fu) /* Personal Healthcare device */ +#define USBFS_CLASS_WIRELESSD (0xDCu) /* Wireless Controller */ +#define USBFS_CLASS_MIS (0xE0u) /* Miscellaneous */ +#define USBFS_CLASS_APP (0xEFu) /* Application Specific */ +#define USBFS_CLASS_VENDOR (0xFFu) /* Vendor specific */ + + +/* Standard Request Types (Table 9-4) */ +#define USBFS_GET_STATUS (0x00u) +#define USBFS_CLEAR_FEATURE (0x01u) +#define USBFS_SET_FEATURE (0x03u) +#define USBFS_SET_ADDRESS (0x05u) +#define USBFS_GET_DESCRIPTOR (0x06u) +#define USBFS_SET_DESCRIPTOR (0x07u) +#define USBFS_GET_CONFIGURATION (0x08u) +#define USBFS_SET_CONFIGURATION (0x09u) +#define USBFS_GET_INTERFACE (0x0Au) +#define USBFS_SET_INTERFACE (0x0Bu) +#define USBFS_SYNCH_FRAME (0x0Cu) + +/* Vendor Specific Request Types */ +/* Request for Microsoft OS String Descriptor */ +#define USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR (0x01u) + +/* Descriptor Types (Table 9-5) */ +#define USBFS_DESCR_DEVICE (1u) +#define USBFS_DESCR_CONFIG (2u) +#define USBFS_DESCR_STRING (3u) +#define USBFS_DESCR_INTERFACE (4u) +#define USBFS_DESCR_ENDPOINT (5u) +#define USBFS_DESCR_DEVICE_QUALIFIER (6u) +#define USBFS_DESCR_OTHER_SPEED (7u) +#define USBFS_DESCR_INTERFACE_POWER (8u) + +/* Device Descriptor Defines */ +#define USBFS_DEVICE_DESCR_LENGTH (18u) +#define USBFS_DEVICE_DESCR_SN_SHIFT (16u) + +/* Config Descriptor Shifts and Masks */ +#define USBFS_CONFIG_DESCR_LENGTH (0u) +#define USBFS_CONFIG_DESCR_TYPE (1u) +#define USBFS_CONFIG_DESCR_TOTAL_LENGTH_LOW (2u) +#define USBFS_CONFIG_DESCR_TOTAL_LENGTH_HI (3u) +#define USBFS_CONFIG_DESCR_NUM_INTERFACES (4u) +#define USBFS_CONFIG_DESCR_CONFIG_VALUE (5u) +#define USBFS_CONFIG_DESCR_CONFIGURATION (6u) +#define USBFS_CONFIG_DESCR_ATTRIB (7u) +#define USBFS_CONFIG_DESCR_ATTRIB_SELF_POWERED (0x40u) +#define USBFS_CONFIG_DESCR_ATTRIB_RWU_EN (0x20u) + +/* Feature Selectors (Table 9-6) */ +#define USBFS_DEVICE_REMOTE_WAKEUP (0x01u) +#define USBFS_ENDPOINT_HALT (0x00u) +#define USBFS_TEST_MODE (0x02u) + +/* USB Device Status (Figure 9-4) */ +#define USBFS_DEVICE_STATUS_BUS_POWERED (0x00u) +#define USBFS_DEVICE_STATUS_SELF_POWERED (0x01u) +#define USBFS_DEVICE_STATUS_REMOTE_WAKEUP (0x02u) + +/* USB Endpoint Status (Figure 9-4) */ +#define USBFS_ENDPOINT_STATUS_HALT (0x01u) + +/* USB Endpoint Directions */ +#define USBFS_DIR_IN (0x80u) +#define USBFS_DIR_OUT (0x00u) +#define USBFS_DIR_UNUSED (0x7Fu) + +/* USB Endpoint Attributes */ +#define USBFS_EP_TYPE_CTRL (0x00u) +#define USBFS_EP_TYPE_ISOC (0x01u) +#define USBFS_EP_TYPE_BULK (0x02u) +#define USBFS_EP_TYPE_INT (0x03u) +#define USBFS_EP_TYPE_MASK (0x03u) + +#define USBFS_EP_SYNC_TYPE_NO_SYNC (0x00u) +#define USBFS_EP_SYNC_TYPE_ASYNC (0x04u) +#define USBFS_EP_SYNC_TYPE_ADAPTIVE (0x08u) +#define USBFS_EP_SYNC_TYPE_SYNCHRONOUS (0x0Cu) +#define USBFS_EP_SYNC_TYPE_MASK (0x0Cu) + +#define USBFS_EP_USAGE_TYPE_DATA (0x00u) +#define USBFS_EP_USAGE_TYPE_FEEDBACK (0x10u) +#define USBFS_EP_USAGE_TYPE_IMPLICIT (0x20u) +#define USBFS_EP_USAGE_TYPE_RESERVED (0x30u) +#define USBFS_EP_USAGE_TYPE_MASK (0x30u) + +/* Endpoint Status defines */ +#define USBFS_EP_STATUS_LENGTH (0x02u) + +/* Endpoint Device defines */ +#define USBFS_DEVICE_STATUS_LENGTH (0x02u) + +#define USBFS_STATUS_LENGTH_MAX \ + ( (USBFS_EP_STATUS_LENGTH > USBFS_DEVICE_STATUS_LENGTH) ? \ + USBFS_EP_STATUS_LENGTH : USBFS_DEVICE_STATUS_LENGTH ) +/* Transfer Completion Notification */ +#define USBFS_XFER_IDLE (0x00u) +#define USBFS_XFER_STATUS_ACK (0x01u) +#define USBFS_XFER_PREMATURE (0x02u) +#define USBFS_XFER_ERROR (0x03u) + +/* Driver State defines */ +#define USBFS_TRANS_STATE_IDLE (0x00u) +#define USBFS_TRANS_STATE_CONTROL_READ (0x02u) +#define USBFS_TRANS_STATE_CONTROL_WRITE (0x04u) +#define USBFS_TRANS_STATE_NO_DATA_CONTROL (0x06u) + +/* String Descriptor defines */ +#define USBFS_STRING_MSOS (0xEEu) +#define USBFS_MSOS_DESCRIPTOR_LENGTH (18u) +#define USBFS_MSOS_CONF_DESCR_LENGTH (40u) + +#if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + /* DMA manual mode defines */ + #define USBFS_DMA_BYTES_PER_BURST (0u) + #define USBFS_DMA_REQUEST_PER_BURST (0u) +#endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ +#if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* DMA automatic mode defines */ + #define USBFS_DMA_BYTES_PER_BURST (32u) + /* BUF_SIZE-BYTES_PER_BURST examples: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11-2 bytes */ + #define USBFS_DMA_BUF_SIZE (0x55u) + #define USBFS_DMA_REQUEST_PER_BURST (1u) +#endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + +/* DIE ID string descriptor defines */ +#if defined(USBFS_ENABLE_IDSN_STRING) + #define USBFS_IDSN_DESCR_LENGTH (0x22u) +#endif /* USBFS_ENABLE_IDSN_STRING */ + + +/*************************************** +* External data references +***************************************/ + +extern uint8 USBFS_initVar; +extern volatile uint8 USBFS_device; +extern volatile uint8 USBFS_transferState; +extern volatile uint8 USBFS_configuration; +extern volatile uint8 USBFS_configurationChanged; +extern volatile uint8 USBFS_deviceStatus; + +/* HID Variables */ +#if defined(USBFS_ENABLE_HID_CLASS) + extern volatile uint8 USBFS_hidProtocol[USBFS_MAX_INTERFACES_NUMBER]; + extern volatile uint8 USBFS_hidIdleRate[USBFS_MAX_INTERFACES_NUMBER]; + extern volatile uint8 USBFS_hidIdleTimer[USBFS_MAX_INTERFACES_NUMBER]; +#endif /* USBFS_ENABLE_HID_CLASS */ + + +/*************************************** +* Registers +***************************************/ + +#define USBFS_ARB_CFG_PTR ( (reg8 *) USBFS_USB__ARB_CFG) +#define USBFS_ARB_CFG_REG (* (reg8 *) USBFS_USB__ARB_CFG) + +#define USBFS_ARB_EP1_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP1_CFG) +#define USBFS_ARB_EP1_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP1_CFG) +#define USBFS_ARB_EP1_CFG_IND USBFS_USB__ARB_EP1_CFG +#define USBFS_ARB_EP1_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP1_INT_EN) +#define USBFS_ARB_EP1_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP1_INT_EN) +#define USBFS_ARB_EP1_INT_EN_IND USBFS_USB__ARB_EP1_INT_EN +#define USBFS_ARB_EP1_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP1_SR) +#define USBFS_ARB_EP1_SR_REG (* (reg8 *) USBFS_USB__ARB_EP1_SR) +#define USBFS_ARB_EP1_SR_IND USBFS_USB__ARB_EP1_SR + +#define USBFS_ARB_EP2_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP2_CFG) +#define USBFS_ARB_EP2_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP2_CFG) +#define USBFS_ARB_EP2_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP2_INT_EN) +#define USBFS_ARB_EP2_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP2_INT_EN) +#define USBFS_ARB_EP2_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP2_SR) +#define USBFS_ARB_EP2_SR_REG (* (reg8 *) USBFS_USB__ARB_EP2_SR) + +#define USBFS_ARB_EP3_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP3_CFG) +#define USBFS_ARB_EP3_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP3_CFG) +#define USBFS_ARB_EP3_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP3_INT_EN) +#define USBFS_ARB_EP3_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP3_INT_EN) +#define USBFS_ARB_EP3_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP3_SR) +#define USBFS_ARB_EP3_SR_REG (* (reg8 *) USBFS_USB__ARB_EP3_SR) + +#define USBFS_ARB_EP4_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP4_CFG) +#define USBFS_ARB_EP4_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP4_CFG) +#define USBFS_ARB_EP4_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP4_INT_EN) +#define USBFS_ARB_EP4_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP4_INT_EN) +#define USBFS_ARB_EP4_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP4_SR) +#define USBFS_ARB_EP4_SR_REG (* (reg8 *) USBFS_USB__ARB_EP4_SR) + +#define USBFS_ARB_EP5_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP5_CFG) +#define USBFS_ARB_EP5_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP5_CFG) +#define USBFS_ARB_EP5_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP5_INT_EN) +#define USBFS_ARB_EP5_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP5_INT_EN) +#define USBFS_ARB_EP5_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP5_SR) +#define USBFS_ARB_EP5_SR_REG (* (reg8 *) USBFS_USB__ARB_EP5_SR) + +#define USBFS_ARB_EP6_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP6_CFG) +#define USBFS_ARB_EP6_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP6_CFG) +#define USBFS_ARB_EP6_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP6_INT_EN) +#define USBFS_ARB_EP6_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP6_INT_EN) +#define USBFS_ARB_EP6_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP6_SR) +#define USBFS_ARB_EP6_SR_REG (* (reg8 *) USBFS_USB__ARB_EP6_SR) + +#define USBFS_ARB_EP7_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP7_CFG) +#define USBFS_ARB_EP7_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP7_CFG) +#define USBFS_ARB_EP7_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP7_INT_EN) +#define USBFS_ARB_EP7_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP7_INT_EN) +#define USBFS_ARB_EP7_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP7_SR) +#define USBFS_ARB_EP7_SR_REG (* (reg8 *) USBFS_USB__ARB_EP7_SR) + +#define USBFS_ARB_EP8_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP8_CFG) +#define USBFS_ARB_EP8_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP8_CFG) +#define USBFS_ARB_EP8_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP8_INT_EN) +#define USBFS_ARB_EP8_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP8_INT_EN) +#define USBFS_ARB_EP8_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP8_SR) +#define USBFS_ARB_EP8_SR_REG (* (reg8 *) USBFS_USB__ARB_EP8_SR) + +#define USBFS_ARB_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_INT_EN) +#define USBFS_ARB_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_INT_EN) +#define USBFS_ARB_INT_SR_PTR ( (reg8 *) USBFS_USB__ARB_INT_SR) +#define USBFS_ARB_INT_SR_REG (* (reg8 *) USBFS_USB__ARB_INT_SR) + +#define USBFS_ARB_RW1_DR_PTR ((reg8 *) USBFS_USB__ARB_RW1_DR) +#define USBFS_ARB_RW1_DR_IND USBFS_USB__ARB_RW1_DR +#define USBFS_ARB_RW1_RA_PTR ((reg8 *) USBFS_USB__ARB_RW1_RA) +#define USBFS_ARB_RW1_RA_IND USBFS_USB__ARB_RW1_RA +#define USBFS_ARB_RW1_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW1_RA_MSB) +#define USBFS_ARB_RW1_RA_MSB_IND USBFS_USB__ARB_RW1_RA_MSB +#define USBFS_ARB_RW1_WA_PTR ((reg8 *) USBFS_USB__ARB_RW1_WA) +#define USBFS_ARB_RW1_WA_IND USBFS_USB__ARB_RW1_WA +#define USBFS_ARB_RW1_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW1_WA_MSB) +#define USBFS_ARB_RW1_WA_MSB_IND USBFS_USB__ARB_RW1_WA_MSB + +#define USBFS_ARB_RW2_DR_PTR ((reg8 *) USBFS_USB__ARB_RW2_DR) +#define USBFS_ARB_RW2_RA_PTR ((reg8 *) USBFS_USB__ARB_RW2_RA) +#define USBFS_ARB_RW2_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW2_RA_MSB) +#define USBFS_ARB_RW2_WA_PTR ((reg8 *) USBFS_USB__ARB_RW2_WA) +#define USBFS_ARB_RW2_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW2_WA_MSB) + +#define USBFS_ARB_RW3_DR_PTR ((reg8 *) USBFS_USB__ARB_RW3_DR) +#define USBFS_ARB_RW3_RA_PTR ((reg8 *) USBFS_USB__ARB_RW3_RA) +#define USBFS_ARB_RW3_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW3_RA_MSB) +#define USBFS_ARB_RW3_WA_PTR ((reg8 *) USBFS_USB__ARB_RW3_WA) +#define USBFS_ARB_RW3_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW3_WA_MSB) + +#define USBFS_ARB_RW4_DR_PTR ((reg8 *) USBFS_USB__ARB_RW4_DR) +#define USBFS_ARB_RW4_RA_PTR ((reg8 *) USBFS_USB__ARB_RW4_RA) +#define USBFS_ARB_RW4_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW4_RA_MSB) +#define USBFS_ARB_RW4_WA_PTR ((reg8 *) USBFS_USB__ARB_RW4_WA) +#define USBFS_ARB_RW4_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW4_WA_MSB) + +#define USBFS_ARB_RW5_DR_PTR ((reg8 *) USBFS_USB__ARB_RW5_DR) +#define USBFS_ARB_RW5_RA_PTR ((reg8 *) USBFS_USB__ARB_RW5_RA) +#define USBFS_ARB_RW5_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW5_RA_MSB) +#define USBFS_ARB_RW5_WA_PTR ((reg8 *) USBFS_USB__ARB_RW5_WA) +#define USBFS_ARB_RW5_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW5_WA_MSB) + +#define USBFS_ARB_RW6_DR_PTR ((reg8 *) USBFS_USB__ARB_RW6_DR) +#define USBFS_ARB_RW6_RA_PTR ((reg8 *) USBFS_USB__ARB_RW6_RA) +#define USBFS_ARB_RW6_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW6_RA_MSB) +#define USBFS_ARB_RW6_WA_PTR ((reg8 *) USBFS_USB__ARB_RW6_WA) +#define USBFS_ARB_RW6_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW6_WA_MSB) + +#define USBFS_ARB_RW7_DR_PTR ((reg8 *) USBFS_USB__ARB_RW7_DR) +#define USBFS_ARB_RW7_RA_PTR ((reg8 *) USBFS_USB__ARB_RW7_RA) +#define USBFS_ARB_RW7_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW7_RA_MSB) +#define USBFS_ARB_RW7_WA_PTR ((reg8 *) USBFS_USB__ARB_RW7_WA) +#define USBFS_ARB_RW7_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW7_WA_MSB) + +#define USBFS_ARB_RW8_DR_PTR ((reg8 *) USBFS_USB__ARB_RW8_DR) +#define USBFS_ARB_RW8_RA_PTR ((reg8 *) USBFS_USB__ARB_RW8_RA) +#define USBFS_ARB_RW8_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW8_RA_MSB) +#define USBFS_ARB_RW8_WA_PTR ((reg8 *) USBFS_USB__ARB_RW8_WA) +#define USBFS_ARB_RW8_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW8_WA_MSB) + +#define USBFS_BUF_SIZE_PTR ( (reg8 *) USBFS_USB__BUF_SIZE) +#define USBFS_BUF_SIZE_REG (* (reg8 *) USBFS_USB__BUF_SIZE) +#define USBFS_BUS_RST_CNT_PTR ( (reg8 *) USBFS_USB__BUS_RST_CNT) +#define USBFS_BUS_RST_CNT_REG (* (reg8 *) USBFS_USB__BUS_RST_CNT) +#define USBFS_CWA_PTR ( (reg8 *) USBFS_USB__CWA) +#define USBFS_CWA_REG (* (reg8 *) USBFS_USB__CWA) +#define USBFS_CWA_MSB_PTR ( (reg8 *) USBFS_USB__CWA_MSB) +#define USBFS_CWA_MSB_REG (* (reg8 *) USBFS_USB__CWA_MSB) +#define USBFS_CR0_PTR ( (reg8 *) USBFS_USB__CR0) +#define USBFS_CR0_REG (* (reg8 *) USBFS_USB__CR0) +#define USBFS_CR1_PTR ( (reg8 *) USBFS_USB__CR1) +#define USBFS_CR1_REG (* (reg8 *) USBFS_USB__CR1) + +#define USBFS_DMA_THRES_PTR ( (reg8 *) USBFS_USB__DMA_THRES) +#define USBFS_DMA_THRES_REG (* (reg8 *) USBFS_USB__DMA_THRES) +#define USBFS_DMA_THRES_MSB_PTR ( (reg8 *) USBFS_USB__DMA_THRES_MSB) +#define USBFS_DMA_THRES_MSB_REG (* (reg8 *) USBFS_USB__DMA_THRES_MSB) + +#define USBFS_EP_ACTIVE_PTR ( (reg8 *) USBFS_USB__EP_ACTIVE) +#define USBFS_EP_ACTIVE_REG (* (reg8 *) USBFS_USB__EP_ACTIVE) +#define USBFS_EP_TYPE_PTR ( (reg8 *) USBFS_USB__EP_TYPE) +#define USBFS_EP_TYPE_REG (* (reg8 *) USBFS_USB__EP_TYPE) + +#define USBFS_EP0_CNT_PTR ( (reg8 *) USBFS_USB__EP0_CNT) +#define USBFS_EP0_CNT_REG (* (reg8 *) USBFS_USB__EP0_CNT) +#define USBFS_EP0_CR_PTR ( (reg8 *) USBFS_USB__EP0_CR) +#define USBFS_EP0_CR_REG (* (reg8 *) USBFS_USB__EP0_CR) +#define USBFS_EP0_DR0_PTR ( (reg8 *) USBFS_USB__EP0_DR0) +#define USBFS_EP0_DR0_REG (* (reg8 *) USBFS_USB__EP0_DR0) +#define USBFS_EP0_DR0_IND USBFS_USB__EP0_DR0 +#define USBFS_EP0_DR1_PTR ( (reg8 *) USBFS_USB__EP0_DR1) +#define USBFS_EP0_DR1_REG (* (reg8 *) USBFS_USB__EP0_DR1) +#define USBFS_EP0_DR2_PTR ( (reg8 *) USBFS_USB__EP0_DR2) +#define USBFS_EP0_DR2_REG (* (reg8 *) USBFS_USB__EP0_DR2) +#define USBFS_EP0_DR3_PTR ( (reg8 *) USBFS_USB__EP0_DR3) +#define USBFS_EP0_DR3_REG (* (reg8 *) USBFS_USB__EP0_DR3) +#define USBFS_EP0_DR4_PTR ( (reg8 *) USBFS_USB__EP0_DR4) +#define USBFS_EP0_DR4_REG (* (reg8 *) USBFS_USB__EP0_DR4) +#define USBFS_EP0_DR5_PTR ( (reg8 *) USBFS_USB__EP0_DR5) +#define USBFS_EP0_DR5_REG (* (reg8 *) USBFS_USB__EP0_DR5) +#define USBFS_EP0_DR6_PTR ( (reg8 *) USBFS_USB__EP0_DR6) +#define USBFS_EP0_DR6_REG (* (reg8 *) USBFS_USB__EP0_DR6) +#define USBFS_EP0_DR7_PTR ( (reg8 *) USBFS_USB__EP0_DR7) +#define USBFS_EP0_DR7_REG (* (reg8 *) USBFS_USB__EP0_DR7) + +#define USBFS_OSCLK_DR0_PTR ( (reg8 *) USBFS_USB__OSCLK_DR0) +#define USBFS_OSCLK_DR0_REG (* (reg8 *) USBFS_USB__OSCLK_DR0) +#define USBFS_OSCLK_DR1_PTR ( (reg8 *) USBFS_USB__OSCLK_DR1) +#define USBFS_OSCLK_DR1_REG (* (reg8 *) USBFS_USB__OSCLK_DR1) + +#define USBFS_PM_ACT_CFG_PTR ( (reg8 *) USBFS_USB__PM_ACT_CFG) +#define USBFS_PM_ACT_CFG_REG (* (reg8 *) USBFS_USB__PM_ACT_CFG) +#define USBFS_PM_STBY_CFG_PTR ( (reg8 *) USBFS_USB__PM_STBY_CFG) +#define USBFS_PM_STBY_CFG_REG (* (reg8 *) USBFS_USB__PM_STBY_CFG) + +#define USBFS_SIE_EP_INT_EN_PTR ( (reg8 *) USBFS_USB__SIE_EP_INT_EN) +#define USBFS_SIE_EP_INT_EN_REG (* (reg8 *) USBFS_USB__SIE_EP_INT_EN) +#define USBFS_SIE_EP_INT_SR_PTR ( (reg8 *) USBFS_USB__SIE_EP_INT_SR) +#define USBFS_SIE_EP_INT_SR_REG (* (reg8 *) USBFS_USB__SIE_EP_INT_SR) + +#define USBFS_SIE_EP1_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP1_CNT0) +#define USBFS_SIE_EP1_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP1_CNT0) +#define USBFS_SIE_EP1_CNT0_IND USBFS_USB__SIE_EP1_CNT0 +#define USBFS_SIE_EP1_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP1_CNT1) +#define USBFS_SIE_EP1_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP1_CNT1) +#define USBFS_SIE_EP1_CNT1_IND USBFS_USB__SIE_EP1_CNT1 +#define USBFS_SIE_EP1_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP1_CR0) +#define USBFS_SIE_EP1_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP1_CR0) +#define USBFS_SIE_EP1_CR0_IND USBFS_USB__SIE_EP1_CR0 + +#define USBFS_SIE_EP2_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP2_CNT0) +#define USBFS_SIE_EP2_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP2_CNT0) +#define USBFS_SIE_EP2_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP2_CNT1) +#define USBFS_SIE_EP2_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP2_CNT1) +#define USBFS_SIE_EP2_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP2_CR0) +#define USBFS_SIE_EP2_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP2_CR0) + +#define USBFS_SIE_EP3_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP3_CNT0) +#define USBFS_SIE_EP3_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP3_CNT0) +#define USBFS_SIE_EP3_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP3_CNT1) +#define USBFS_SIE_EP3_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP3_CNT1) +#define USBFS_SIE_EP3_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP3_CR0) +#define USBFS_SIE_EP3_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP3_CR0) + +#define USBFS_SIE_EP4_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP4_CNT0) +#define USBFS_SIE_EP4_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP4_CNT0) +#define USBFS_SIE_EP4_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP4_CNT1) +#define USBFS_SIE_EP4_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP4_CNT1) +#define USBFS_SIE_EP4_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP4_CR0) +#define USBFS_SIE_EP4_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP4_CR0) + +#define USBFS_SIE_EP5_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP5_CNT0) +#define USBFS_SIE_EP5_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP5_CNT0) +#define USBFS_SIE_EP5_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP5_CNT1) +#define USBFS_SIE_EP5_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP5_CNT1) +#define USBFS_SIE_EP5_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP5_CR0) +#define USBFS_SIE_EP5_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP5_CR0) + +#define USBFS_SIE_EP6_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP6_CNT0) +#define USBFS_SIE_EP6_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP6_CNT0) +#define USBFS_SIE_EP6_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP6_CNT1) +#define USBFS_SIE_EP6_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP6_CNT1) +#define USBFS_SIE_EP6_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP6_CR0) +#define USBFS_SIE_EP6_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP6_CR0) + +#define USBFS_SIE_EP7_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP7_CNT0) +#define USBFS_SIE_EP7_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP7_CNT0) +#define USBFS_SIE_EP7_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP7_CNT1) +#define USBFS_SIE_EP7_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP7_CNT1) +#define USBFS_SIE_EP7_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP7_CR0) +#define USBFS_SIE_EP7_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP7_CR0) + +#define USBFS_SIE_EP8_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP8_CNT0) +#define USBFS_SIE_EP8_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP8_CNT0) +#define USBFS_SIE_EP8_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP8_CNT1) +#define USBFS_SIE_EP8_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP8_CNT1) +#define USBFS_SIE_EP8_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP8_CR0) +#define USBFS_SIE_EP8_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP8_CR0) + +#define USBFS_SOF0_PTR ( (reg8 *) USBFS_USB__SOF0) +#define USBFS_SOF0_REG (* (reg8 *) USBFS_USB__SOF0) +#define USBFS_SOF1_PTR ( (reg8 *) USBFS_USB__SOF1) +#define USBFS_SOF1_REG (* (reg8 *) USBFS_USB__SOF1) + +#define USBFS_USB_CLK_EN_PTR ( (reg8 *) USBFS_USB__USB_CLK_EN) +#define USBFS_USB_CLK_EN_REG (* (reg8 *) USBFS_USB__USB_CLK_EN) + +#define USBFS_USBIO_CR0_PTR ( (reg8 *) USBFS_USB__USBIO_CR0) +#define USBFS_USBIO_CR0_REG (* (reg8 *) USBFS_USB__USBIO_CR0) +#define USBFS_USBIO_CR1_PTR ( (reg8 *) USBFS_USB__USBIO_CR1) +#define USBFS_USBIO_CR1_REG (* (reg8 *) USBFS_USB__USBIO_CR1) +#if(!CY_PSOC5LP) + #define USBFS_USBIO_CR2_PTR ( (reg8 *) USBFS_USB__USBIO_CR2) + #define USBFS_USBIO_CR2_REG (* (reg8 *) USBFS_USB__USBIO_CR2) +#endif /* End CY_PSOC5LP */ + +#define USBFS_DIE_ID CYDEV_FLSHID_CUST_TABLES_BASE + +#define USBFS_PM_USB_CR0_PTR ( (reg8 *) CYREG_PM_USB_CR0) +#define USBFS_PM_USB_CR0_REG (* (reg8 *) CYREG_PM_USB_CR0) +#define USBFS_DYN_RECONFIG_PTR ( (reg8 *) USBFS_USB__DYN_RECONFIG) +#define USBFS_DYN_RECONFIG_REG (* (reg8 *) USBFS_USB__DYN_RECONFIG) + +#define USBFS_DM_INP_DIS_PTR ( (reg8 *) USBFS_Dm__INP_DIS) +#define USBFS_DM_INP_DIS_REG (* (reg8 *) USBFS_Dm__INP_DIS) +#define USBFS_DP_INP_DIS_PTR ( (reg8 *) USBFS_Dp__INP_DIS) +#define USBFS_DP_INP_DIS_REG (* (reg8 *) USBFS_Dp__INP_DIS) +#define USBFS_DP_INTSTAT_PTR ( (reg8 *) USBFS_Dp__INTSTAT) +#define USBFS_DP_INTSTAT_REG (* (reg8 *) USBFS_Dp__INTSTAT) + +#if (USBFS_MON_VBUS == 1u) + #if (USBFS_EXTERN_VBUS == 0u) + #define USBFS_VBUS_DR_PTR ( (reg8 *) USBFS_VBUS__DR) + #define USBFS_VBUS_DR_REG (* (reg8 *) USBFS_VBUS__DR) + #define USBFS_VBUS_PS_PTR ( (reg8 *) USBFS_VBUS__PS) + #define USBFS_VBUS_PS_REG (* (reg8 *) USBFS_VBUS__PS) + #define USBFS_VBUS_MASK USBFS_VBUS__MASK + #else + #define USBFS_VBUS_PS_PTR ( (reg8 *) USBFS_Vbus_ps_sts_sts_reg__STATUS_REG ) + #define USBFS_VBUS_MASK (0x01u) + #endif /* End USBFS_EXTERN_VBUS == 0u */ +#endif /* End USBFS_MON_VBUS */ + +/* Renamed Registers for backward compatibility. +* Should not be used in new designs. +*/ +#define USBFS_ARB_CFG USBFS_ARB_CFG_PTR + +#define USBFS_ARB_EP1_CFG USBFS_ARB_EP1_CFG_PTR +#define USBFS_ARB_EP1_INT_EN USBFS_ARB_EP1_INT_EN_PTR +#define USBFS_ARB_EP1_SR USBFS_ARB_EP1_SR_PTR + +#define USBFS_ARB_EP2_CFG USBFS_ARB_EP2_CFG_PTR +#define USBFS_ARB_EP2_INT_EN USBFS_ARB_EP2_INT_EN_PTR +#define USBFS_ARB_EP2_SR USBFS_ARB_EP2_SR_PTR + +#define USBFS_ARB_EP3_CFG USBFS_ARB_EP3_CFG_PTR +#define USBFS_ARB_EP3_INT_EN USBFS_ARB_EP3_INT_EN_PTR +#define USBFS_ARB_EP3_SR USBFS_ARB_EP3_SR_PTR + +#define USBFS_ARB_EP4_CFG USBFS_ARB_EP4_CFG_PTR +#define USBFS_ARB_EP4_INT_EN USBFS_ARB_EP4_INT_EN_PTR +#define USBFS_ARB_EP4_SR USBFS_ARB_EP4_SR_PTR + +#define USBFS_ARB_EP5_CFG USBFS_ARB_EP5_CFG_PTR +#define USBFS_ARB_EP5_INT_EN USBFS_ARB_EP5_INT_EN_PTR +#define USBFS_ARB_EP5_SR USBFS_ARB_EP5_SR_PTR + +#define USBFS_ARB_EP6_CFG USBFS_ARB_EP6_CFG_PTR +#define USBFS_ARB_EP6_INT_EN USBFS_ARB_EP6_INT_EN_PTR +#define USBFS_ARB_EP6_SR USBFS_ARB_EP6_SR_PTR + +#define USBFS_ARB_EP7_CFG USBFS_ARB_EP7_CFG_PTR +#define USBFS_ARB_EP7_INT_EN USBFS_ARB_EP7_INT_EN_PTR +#define USBFS_ARB_EP7_SR USBFS_ARB_EP7_SR_PTR + +#define USBFS_ARB_EP8_CFG USBFS_ARB_EP8_CFG_PTR +#define USBFS_ARB_EP8_INT_EN USBFS_ARB_EP8_INT_EN_PTR +#define USBFS_ARB_EP8_SR USBFS_ARB_EP8_SR_PTR + +#define USBFS_ARB_INT_EN USBFS_ARB_INT_EN_PTR +#define USBFS_ARB_INT_SR USBFS_ARB_INT_SR_PTR + +#define USBFS_ARB_RW1_DR USBFS_ARB_RW1_DR_PTR +#define USBFS_ARB_RW1_RA USBFS_ARB_RW1_RA_PTR +#define USBFS_ARB_RW1_RA_MSB USBFS_ARB_RW1_RA_MSB_PTR +#define USBFS_ARB_RW1_WA USBFS_ARB_RW1_WA_PTR +#define USBFS_ARB_RW1_WA_MSB USBFS_ARB_RW1_WA_MSB_PTR + +#define USBFS_ARB_RW2_DR USBFS_ARB_RW2_DR_PTR +#define USBFS_ARB_RW2_RA USBFS_ARB_RW2_RA_PTR +#define USBFS_ARB_RW2_RA_MSB USBFS_ARB_RW2_RA_MSB_PTR +#define USBFS_ARB_RW2_WA USBFS_ARB_RW2_WA_PTR +#define USBFS_ARB_RW2_WA_MSB USBFS_ARB_RW2_WA_MSB_PTR + +#define USBFS_ARB_RW3_DR USBFS_ARB_RW3_DR_PTR +#define USBFS_ARB_RW3_RA USBFS_ARB_RW3_RA_PTR +#define USBFS_ARB_RW3_RA_MSB USBFS_ARB_RW3_RA_MSB_PTR +#define USBFS_ARB_RW3_WA USBFS_ARB_RW3_WA_PTR +#define USBFS_ARB_RW3_WA_MSB USBFS_ARB_RW3_WA_MSB_PTR + +#define USBFS_ARB_RW4_DR USBFS_ARB_RW4_DR_PTR +#define USBFS_ARB_RW4_RA USBFS_ARB_RW4_RA_PTR +#define USBFS_ARB_RW4_RA_MSB USBFS_ARB_RW4_RA_MSB_PTR +#define USBFS_ARB_RW4_WA USBFS_ARB_RW4_WA_PTR +#define USBFS_ARB_RW4_WA_MSB USBFS_ARB_RW4_WA_MSB_PTR + +#define USBFS_ARB_RW5_DR USBFS_ARB_RW5_DR_PTR +#define USBFS_ARB_RW5_RA USBFS_ARB_RW5_RA_PTR +#define USBFS_ARB_RW5_RA_MSB USBFS_ARB_RW5_RA_MSB_PTR +#define USBFS_ARB_RW5_WA USBFS_ARB_RW5_WA_PTR +#define USBFS_ARB_RW5_WA_MSB USBFS_ARB_RW5_WA_MSB_PTR + +#define USBFS_ARB_RW6_DR USBFS_ARB_RW6_DR_PTR +#define USBFS_ARB_RW6_RA USBFS_ARB_RW6_RA_PTR +#define USBFS_ARB_RW6_RA_MSB USBFS_ARB_RW6_RA_MSB_PTR +#define USBFS_ARB_RW6_WA USBFS_ARB_RW6_WA_PTR +#define USBFS_ARB_RW6_WA_MSB USBFS_ARB_RW6_WA_MSB_PTR + +#define USBFS_ARB_RW7_DR USBFS_ARB_RW7_DR_PTR +#define USBFS_ARB_RW7_RA USBFS_ARB_RW7_RA_PTR +#define USBFS_ARB_RW7_RA_MSB USBFS_ARB_RW7_RA_MSB_PTR +#define USBFS_ARB_RW7_WA USBFS_ARB_RW7_WA_PTR +#define USBFS_ARB_RW7_WA_MSB USBFS_ARB_RW7_WA_MSB_PTR + +#define USBFS_ARB_RW8_DR USBFS_ARB_RW8_DR_PTR +#define USBFS_ARB_RW8_RA USBFS_ARB_RW8_RA_PTR +#define USBFS_ARB_RW8_RA_MSB USBFS_ARB_RW8_RA_MSB_PTR +#define USBFS_ARB_RW8_WA USBFS_ARB_RW8_WA_PTR +#define USBFS_ARB_RW8_WA_MSB USBFS_ARB_RW8_WA_MSB_PTR + +#define USBFS_BUF_SIZE USBFS_BUF_SIZE_PTR +#define USBFS_BUS_RST_CNT USBFS_BUS_RST_CNT_PTR +#define USBFS_CR0 USBFS_CR0_PTR +#define USBFS_CR1 USBFS_CR1_PTR +#define USBFS_CWA USBFS_CWA_PTR +#define USBFS_CWA_MSB USBFS_CWA_MSB_PTR + +#define USBFS_DMA_THRES USBFS_DMA_THRES_PTR +#define USBFS_DMA_THRES_MSB USBFS_DMA_THRES_MSB_PTR + +#define USBFS_EP_ACTIVE USBFS_EP_ACTIVE_PTR +#define USBFS_EP_TYPE USBFS_EP_TYPE_PTR + +#define USBFS_EP0_CNT USBFS_EP0_CNT_PTR +#define USBFS_EP0_CR USBFS_EP0_CR_PTR +#define USBFS_EP0_DR0 USBFS_EP0_DR0_PTR +#define USBFS_EP0_DR1 USBFS_EP0_DR1_PTR +#define USBFS_EP0_DR2 USBFS_EP0_DR2_PTR +#define USBFS_EP0_DR3 USBFS_EP0_DR3_PTR +#define USBFS_EP0_DR4 USBFS_EP0_DR4_PTR +#define USBFS_EP0_DR5 USBFS_EP0_DR5_PTR +#define USBFS_EP0_DR6 USBFS_EP0_DR6_PTR +#define USBFS_EP0_DR7 USBFS_EP0_DR7_PTR + +#define USBFS_OSCLK_DR0 USBFS_OSCLK_DR0_PTR +#define USBFS_OSCLK_DR1 USBFS_OSCLK_DR1_PTR + +#define USBFS_PM_ACT_CFG USBFS_PM_ACT_CFG_PTR +#define USBFS_PM_STBY_CFG USBFS_PM_STBY_CFG_PTR + +#define USBFS_SIE_EP_INT_EN USBFS_SIE_EP_INT_EN_PTR +#define USBFS_SIE_EP_INT_SR USBFS_SIE_EP_INT_SR_PTR + +#define USBFS_SIE_EP1_CNT0 USBFS_SIE_EP1_CNT0_PTR +#define USBFS_SIE_EP1_CNT1 USBFS_SIE_EP1_CNT1_PTR +#define USBFS_SIE_EP1_CR0 USBFS_SIE_EP1_CR0_PTR + +#define USBFS_SIE_EP2_CNT0 USBFS_SIE_EP2_CNT0_PTR +#define USBFS_SIE_EP2_CNT1 USBFS_SIE_EP2_CNT1_PTR +#define USBFS_SIE_EP2_CR0 USBFS_SIE_EP2_CR0_PTR + +#define USBFS_SIE_EP3_CNT0 USBFS_SIE_EP3_CNT0_PTR +#define USBFS_SIE_EP3_CNT1 USBFS_SIE_EP3_CNT1_PTR +#define USBFS_SIE_EP3_CR0 USBFS_SIE_EP3_CR0_PTR + +#define USBFS_SIE_EP4_CNT0 USBFS_SIE_EP4_CNT0_PTR +#define USBFS_SIE_EP4_CNT1 USBFS_SIE_EP4_CNT1_PTR +#define USBFS_SIE_EP4_CR0 USBFS_SIE_EP4_CR0_PTR + +#define USBFS_SIE_EP5_CNT0 USBFS_SIE_EP5_CNT0_PTR +#define USBFS_SIE_EP5_CNT1 USBFS_SIE_EP5_CNT1_PTR +#define USBFS_SIE_EP5_CR0 USBFS_SIE_EP5_CR0_PTR + +#define USBFS_SIE_EP6_CNT0 USBFS_SIE_EP6_CNT0_PTR +#define USBFS_SIE_EP6_CNT1 USBFS_SIE_EP6_CNT1_PTR +#define USBFS_SIE_EP6_CR0 USBFS_SIE_EP6_CR0_PTR + +#define USBFS_SIE_EP7_CNT0 USBFS_SIE_EP7_CNT0_PTR +#define USBFS_SIE_EP7_CNT1 USBFS_SIE_EP7_CNT1_PTR +#define USBFS_SIE_EP7_CR0 USBFS_SIE_EP7_CR0_PTR + +#define USBFS_SIE_EP8_CNT0 USBFS_SIE_EP8_CNT0_PTR +#define USBFS_SIE_EP8_CNT1 USBFS_SIE_EP8_CNT1_PTR +#define USBFS_SIE_EP8_CR0 USBFS_SIE_EP8_CR0_PTR + +#define USBFS_SOF0 USBFS_SOF0_PTR +#define USBFS_SOF1 USBFS_SOF1_PTR + +#define USBFS_USB_CLK_EN USBFS_USB_CLK_EN_PTR + +#define USBFS_USBIO_CR0 USBFS_USBIO_CR0_PTR +#define USBFS_USBIO_CR1 USBFS_USBIO_CR1_PTR +#define USBFS_USBIO_CR2 USBFS_USBIO_CR2_PTR + +#define USBFS_USB_MEM ((reg8 *) CYDEV_USB_MEM_BASE) + +#if(CYDEV_CHIP_DIE_EXPECT == CYDEV_CHIP_DIE_LEOPARD) + /* PSoC3 interrupt registers*/ + #define USBFS_USB_ISR_PRIOR ((reg8 *) CYDEV_INTC_PRIOR0) + #define USBFS_USB_ISR_SET_EN ((reg8 *) CYDEV_INTC_SET_EN0) + #define USBFS_USB_ISR_CLR_EN ((reg8 *) CYDEV_INTC_CLR_EN0) + #define USBFS_USB_ISR_VECT ((cyisraddress *) CYDEV_INTC_VECT_MBASE) +#elif(CYDEV_CHIP_DIE_EXPECT == CYDEV_CHIP_DIE_PANTHER) + /* PSoC5 interrupt registers*/ + #define USBFS_USB_ISR_PRIOR ((reg8 *) CYDEV_NVIC_PRI_0) + #define USBFS_USB_ISR_SET_EN ((reg8 *) CYDEV_NVIC_SETENA0) + #define USBFS_USB_ISR_CLR_EN ((reg8 *) CYDEV_NVIC_CLRENA0) + #define USBFS_USB_ISR_VECT ((cyisraddress *) CYDEV_NVIC_VECT_OFFSET) +#endif /* End CYDEV_CHIP_DIE_EXPECT */ + + +/*************************************** +* Interrupt vectors, masks and priorities +***************************************/ + +#define USBFS_BUS_RESET_PRIOR USBFS_bus_reset__INTC_PRIOR_NUM +#define USBFS_BUS_RESET_MASK USBFS_bus_reset__INTC_MASK +#define USBFS_BUS_RESET_VECT_NUM USBFS_bus_reset__INTC_NUMBER + +#define USBFS_SOF_PRIOR USBFS_sof_int__INTC_PRIOR_NUM +#define USBFS_SOF_MASK USBFS_sof_int__INTC_MASK +#define USBFS_SOF_VECT_NUM USBFS_sof_int__INTC_NUMBER + +#define USBFS_EP_0_PRIOR USBFS_ep_0__INTC_PRIOR_NUM +#define USBFS_EP_0_MASK USBFS_ep_0__INTC_MASK +#define USBFS_EP_0_VECT_NUM USBFS_ep_0__INTC_NUMBER + +#define USBFS_EP_1_PRIOR USBFS_ep_1__INTC_PRIOR_NUM +#define USBFS_EP_1_MASK USBFS_ep_1__INTC_MASK +#define USBFS_EP_1_VECT_NUM USBFS_ep_1__INTC_NUMBER + +#define USBFS_EP_2_PRIOR USBFS_ep_2__INTC_PRIOR_NUM +#define USBFS_EP_2_MASK USBFS_ep_2__INTC_MASK +#define USBFS_EP_2_VECT_NUM USBFS_ep_2__INTC_NUMBER + +#define USBFS_EP_3_PRIOR USBFS_ep_3__INTC_PRIOR_NUM +#define USBFS_EP_3_MASK USBFS_ep_3__INTC_MASK +#define USBFS_EP_3_VECT_NUM USBFS_ep_3__INTC_NUMBER + +#define USBFS_EP_4_PRIOR USBFS_ep_4__INTC_PRIOR_NUM +#define USBFS_EP_4_MASK USBFS_ep_4__INTC_MASK +#define USBFS_EP_4_VECT_NUM USBFS_ep_4__INTC_NUMBER + +#define USBFS_EP_5_PRIOR USBFS_ep_5__INTC_PRIOR_NUM +#define USBFS_EP_5_MASK USBFS_ep_5__INTC_MASK +#define USBFS_EP_5_VECT_NUM USBFS_ep_5__INTC_NUMBER + +#define USBFS_EP_6_PRIOR USBFS_ep_6__INTC_PRIOR_NUM +#define USBFS_EP_6_MASK USBFS_ep_6__INTC_MASK +#define USBFS_EP_6_VECT_NUM USBFS_ep_6__INTC_NUMBER + +#define USBFS_EP_7_PRIOR USBFS_ep_7__INTC_PRIOR_NUM +#define USBFS_EP_7_MASK USBFS_ep_7__INTC_MASK +#define USBFS_EP_7_VECT_NUM USBFS_ep_7__INTC_NUMBER + +#define USBFS_EP_8_PRIOR USBFS_ep_8__INTC_PRIOR_NUM +#define USBFS_EP_8_MASK USBFS_ep_8__INTC_MASK +#define USBFS_EP_8_VECT_NUM USBFS_ep_8__INTC_NUMBER + +#define USBFS_DP_INTC_PRIOR USBFS_dp_int__INTC_PRIOR_NUM +#define USBFS_DP_INTC_MASK USBFS_dp_int__INTC_MASK +#define USBFS_DP_INTC_VECT_NUM USBFS_dp_int__INTC_NUMBER + +/* ARB ISR should have higher priority from EP_X ISR, therefore it is defined to highest (0) */ +#define USBFS_ARB_PRIOR (0u) +#define USBFS_ARB_MASK USBFS_arb_int__INTC_MASK +#define USBFS_ARB_VECT_NUM USBFS_arb_int__INTC_NUMBER + +/*************************************** + * Endpoint 0 offsets (Table 9-2) + **************************************/ + +#define USBFS_bmRequestType USBFS_EP0_DR0_PTR +#define USBFS_bRequest USBFS_EP0_DR1_PTR +#define USBFS_wValue USBFS_EP0_DR2_PTR +#define USBFS_wValueHi USBFS_EP0_DR3_PTR +#define USBFS_wValueLo USBFS_EP0_DR2_PTR +#define USBFS_wIndex USBFS_EP0_DR4_PTR +#define USBFS_wIndexHi USBFS_EP0_DR5_PTR +#define USBFS_wIndexLo USBFS_EP0_DR4_PTR +#define USBFS_length USBFS_EP0_DR6_PTR +#define USBFS_lengthHi USBFS_EP0_DR7_PTR +#define USBFS_lengthLo USBFS_EP0_DR6_PTR + + +/*************************************** +* Register Constants +***************************************/ +#define USBFS_VDDD_MV CYDEV_VDDD_MV +#define USBFS_3500MV (3500u) + +#define USBFS_CR1_REG_ENABLE (0x01u) +#define USBFS_CR1_ENABLE_LOCK (0x02u) +#define USBFS_CR1_BUS_ACTIVITY_SHIFT (0x02u) +#define USBFS_CR1_BUS_ACTIVITY ((uint8)(0x01u << USBFS_CR1_BUS_ACTIVITY_SHIFT)) +#define USBFS_CR1_TRIM_MSB_EN (0x08u) + +#define USBFS_EP0_CNT_DATA_TOGGLE (0x80u) +#define USBFS_EPX_CNT_DATA_TOGGLE (0x80u) +#define USBFS_EPX_CNT0_MASK (0x0Fu) +#define USBFS_EPX_CNTX_MSB_MASK (0x07u) +#define USBFS_EPX_CNTX_ADDR_SHIFT (0x04u) +#define USBFS_EPX_CNTX_ADDR_OFFSET (0x10u) +#define USBFS_EPX_CNTX_CRC_COUNT (0x02u) +#define USBFS_EPX_DATA_BUF_MAX (512u) + +#define USBFS_CR0_ENABLE (0x80u) + +/* A 100 KHz clock is used for BUS reset count. Recommended is to count 10 pulses */ +#define USBFS_BUS_RST_COUNT (0x0au) + +#define USBFS_USBIO_CR1_IOMODE (0x20u) +#define USBFS_USBIO_CR1_USBPUEN (0x04u) +#define USBFS_USBIO_CR1_DP0 (0x02u) +#define USBFS_USBIO_CR1_DM0 (0x01u) + +#define USBFS_USBIO_CR0_TEN (0x80u) +#define USBFS_USBIO_CR0_TSE0 (0x40u) +#define USBFS_USBIO_CR0_TD (0x20u) +#define USBFS_USBIO_CR0_RD (0x01u) + +#define USBFS_FASTCLK_IMO_CR_USBCLK_ON (0x40u) +#define USBFS_FASTCLK_IMO_CR_XCLKEN (0x20u) +#define USBFS_FASTCLK_IMO_CR_FX2ON (0x10u) + +#define USBFS_ARB_EPX_CFG_RESET (0x08u) +#define USBFS_ARB_EPX_CFG_CRC_BYPASS (0x04u) +#define USBFS_ARB_EPX_CFG_DMA_REQ (0x02u) +#define USBFS_ARB_EPX_CFG_IN_DATA_RDY (0x01u) + +#define USBFS_ARB_EPX_SR_IN_BUF_FULL (0x01u) +#define USBFS_ARB_EPX_SR_DMA_GNT (0x02u) +#define USBFS_ARB_EPX_SR_BUF_OVER (0x04u) +#define USBFS_ARB_EPX_SR_BUF_UNDER (0x08u) + +#define USBFS_ARB_CFG_AUTO_MEM (0x10u) +#define USBFS_ARB_CFG_MANUAL_DMA (0x20u) +#define USBFS_ARB_CFG_AUTO_DMA (0x40u) +#define USBFS_ARB_CFG_CFG_CPM (0x80u) + +#if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + #define USBFS_ARB_EPX_INT_MASK (0x1Du) +#else + #define USBFS_ARB_EPX_INT_MASK (0x1Fu) +#endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ +#define USBFS_ARB_INT_MASK (uint8)((USBFS_DMA1_REMOVE ^ 1u) | \ + (uint8)((USBFS_DMA2_REMOVE ^ 1u) << 1u) | \ + (uint8)((USBFS_DMA3_REMOVE ^ 1u) << 2u) | \ + (uint8)((USBFS_DMA4_REMOVE ^ 1u) << 3u) | \ + (uint8)((USBFS_DMA5_REMOVE ^ 1u) << 4u) | \ + (uint8)((USBFS_DMA6_REMOVE ^ 1u) << 5u) | \ + (uint8)((USBFS_DMA7_REMOVE ^ 1u) << 6u) | \ + (uint8)((USBFS_DMA8_REMOVE ^ 1u) << 7u) ) + +#define USBFS_SIE_EP_INT_EP1_MASK (0x01u) +#define USBFS_SIE_EP_INT_EP2_MASK (0x02u) +#define USBFS_SIE_EP_INT_EP3_MASK (0x04u) +#define USBFS_SIE_EP_INT_EP4_MASK (0x08u) +#define USBFS_SIE_EP_INT_EP5_MASK (0x10u) +#define USBFS_SIE_EP_INT_EP6_MASK (0x20u) +#define USBFS_SIE_EP_INT_EP7_MASK (0x40u) +#define USBFS_SIE_EP_INT_EP8_MASK (0x80u) + +#define USBFS_PM_ACT_EN_FSUSB USBFS_USB__PM_ACT_MSK +#define USBFS_PM_STBY_EN_FSUSB USBFS_USB__PM_STBY_MSK +#define USBFS_PM_AVAIL_EN_FSUSBIO (0x10u) + +#define USBFS_PM_USB_CR0_REF_EN (0x01u) +#define USBFS_PM_USB_CR0_PD_N (0x02u) +#define USBFS_PM_USB_CR0_PD_PULLUP_N (0x04u) + +#define USBFS_USB_CLK_ENABLE (0x01u) + +#define USBFS_DM_MASK USBFS_Dm__0__MASK +#define USBFS_DP_MASK USBFS_Dp__0__MASK + +#define USBFS_DYN_RECONFIG_ENABLE (0x01u) +#define USBFS_DYN_RECONFIG_EP_SHIFT (0x01u) +#define USBFS_DYN_RECONFIG_RDY_STS (0x10u) + + +#endif /* End CY_USBFS_USBFS_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.c new file mode 100755 index 0000000..afae8fa --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: USBFS_Dm.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "USBFS_Dm.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + USBFS_Dm__PORT == 15 && ((USBFS_Dm__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: USBFS_Dm_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dm_Write(uint8 value) +{ + uint8 staticBits = (USBFS_Dm_DR & (uint8)(~USBFS_Dm_MASK)); + USBFS_Dm_DR = staticBits | ((uint8)(value << USBFS_Dm_SHIFT) & USBFS_Dm_MASK); +} + + +/******************************************************************************* +* Function Name: USBFS_Dm_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dm_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(USBFS_Dm_0, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_Dm_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro USBFS_Dm_ReadPS calls this function. +* +*******************************************************************************/ +uint8 USBFS_Dm_Read(void) +{ + return (USBFS_Dm_PS & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; +} + + +/******************************************************************************* +* Function Name: USBFS_Dm_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 USBFS_Dm_ReadDataReg(void) +{ + return (USBFS_Dm_DR & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(USBFS_Dm_INTSTAT) + + /******************************************************************************* + * Function Name: USBFS_Dm_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 USBFS_Dm_ClearInterrupt(void) + { + return (USBFS_Dm_INTSTAT & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.h new file mode 100755 index 0000000..c1aa9b9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: USBFS_Dm.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dm_H) /* Pins USBFS_Dm_H */ +#define CY_PINS_USBFS_Dm_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "USBFS_Dm_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + USBFS_Dm__PORT == 15 && ((USBFS_Dm__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_Dm_Write(uint8 value) ; +void USBFS_Dm_SetDriveMode(uint8 mode) ; +uint8 USBFS_Dm_ReadDataReg(void) ; +uint8 USBFS_Dm_Read(void) ; +uint8 USBFS_Dm_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define USBFS_Dm_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define USBFS_Dm_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define USBFS_Dm_DM_RES_UP PIN_DM_RES_UP +#define USBFS_Dm_DM_RES_DWN PIN_DM_RES_DWN +#define USBFS_Dm_DM_OD_LO PIN_DM_OD_LO +#define USBFS_Dm_DM_OD_HI PIN_DM_OD_HI +#define USBFS_Dm_DM_STRONG PIN_DM_STRONG +#define USBFS_Dm_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define USBFS_Dm_MASK USBFS_Dm__MASK +#define USBFS_Dm_SHIFT USBFS_Dm__SHIFT +#define USBFS_Dm_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define USBFS_Dm_PS (* (reg8 *) USBFS_Dm__PS) +/* Data Register */ +#define USBFS_Dm_DR (* (reg8 *) USBFS_Dm__DR) +/* Port Number */ +#define USBFS_Dm_PRT_NUM (* (reg8 *) USBFS_Dm__PRT) +/* Connect to Analog Globals */ +#define USBFS_Dm_AG (* (reg8 *) USBFS_Dm__AG) +/* Analog MUX bux enable */ +#define USBFS_Dm_AMUX (* (reg8 *) USBFS_Dm__AMUX) +/* Bidirectional Enable */ +#define USBFS_Dm_BIE (* (reg8 *) USBFS_Dm__BIE) +/* Bit-mask for Aliased Register Access */ +#define USBFS_Dm_BIT_MASK (* (reg8 *) USBFS_Dm__BIT_MASK) +/* Bypass Enable */ +#define USBFS_Dm_BYP (* (reg8 *) USBFS_Dm__BYP) +/* Port wide control signals */ +#define USBFS_Dm_CTL (* (reg8 *) USBFS_Dm__CTL) +/* Drive Modes */ +#define USBFS_Dm_DM0 (* (reg8 *) USBFS_Dm__DM0) +#define USBFS_Dm_DM1 (* (reg8 *) USBFS_Dm__DM1) +#define USBFS_Dm_DM2 (* (reg8 *) USBFS_Dm__DM2) +/* Input Buffer Disable Override */ +#define USBFS_Dm_INP_DIS (* (reg8 *) USBFS_Dm__INP_DIS) +/* LCD Common or Segment Drive */ +#define USBFS_Dm_LCD_COM_SEG (* (reg8 *) USBFS_Dm__LCD_COM_SEG) +/* Enable Segment LCD */ +#define USBFS_Dm_LCD_EN (* (reg8 *) USBFS_Dm__LCD_EN) +/* Slew Rate Control */ +#define USBFS_Dm_SLW (* (reg8 *) USBFS_Dm__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define USBFS_Dm_PRTDSI__CAPS_SEL (* (reg8 *) USBFS_Dm__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define USBFS_Dm_PRTDSI__DBL_SYNC_IN (* (reg8 *) USBFS_Dm__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define USBFS_Dm_PRTDSI__OE_SEL0 (* (reg8 *) USBFS_Dm__PRTDSI__OE_SEL0) +#define USBFS_Dm_PRTDSI__OE_SEL1 (* (reg8 *) USBFS_Dm__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define USBFS_Dm_PRTDSI__OUT_SEL0 (* (reg8 *) USBFS_Dm__PRTDSI__OUT_SEL0) +#define USBFS_Dm_PRTDSI__OUT_SEL1 (* (reg8 *) USBFS_Dm__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define USBFS_Dm_PRTDSI__SYNC_OUT (* (reg8 *) USBFS_Dm__PRTDSI__SYNC_OUT) + + +#if defined(USBFS_Dm__INTSTAT) /* Interrupt Registers */ + + #define USBFS_Dm_INTSTAT (* (reg8 *) USBFS_Dm__INTSTAT) + #define USBFS_Dm_SNAP (* (reg8 *) USBFS_Dm__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_USBFS_Dm_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm_aliases.h new file mode 100755 index 0000000..bc4f686 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dm_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: USBFS_Dm.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dm_ALIASES_H) /* Pins USBFS_Dm_ALIASES_H */ +#define CY_PINS_USBFS_Dm_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define USBFS_Dm_0 USBFS_Dm__0__PC + +#endif /* End Pins USBFS_Dm_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.c new file mode 100755 index 0000000..304d5d6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: USBFS_Dp.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "USBFS_Dp.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + USBFS_Dp__PORT == 15 && ((USBFS_Dp__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: USBFS_Dp_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dp_Write(uint8 value) +{ + uint8 staticBits = (USBFS_Dp_DR & (uint8)(~USBFS_Dp_MASK)); + USBFS_Dp_DR = staticBits | ((uint8)(value << USBFS_Dp_SHIFT) & USBFS_Dp_MASK); +} + + +/******************************************************************************* +* Function Name: USBFS_Dp_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dp_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(USBFS_Dp_0, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_Dp_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro USBFS_Dp_ReadPS calls this function. +* +*******************************************************************************/ +uint8 USBFS_Dp_Read(void) +{ + return (USBFS_Dp_PS & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; +} + + +/******************************************************************************* +* Function Name: USBFS_Dp_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 USBFS_Dp_ReadDataReg(void) +{ + return (USBFS_Dp_DR & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(USBFS_Dp_INTSTAT) + + /******************************************************************************* + * Function Name: USBFS_Dp_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 USBFS_Dp_ClearInterrupt(void) + { + return (USBFS_Dp_INTSTAT & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.h new file mode 100755 index 0000000..2d03ad9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: USBFS_Dp.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dp_H) /* Pins USBFS_Dp_H */ +#define CY_PINS_USBFS_Dp_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "USBFS_Dp_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + USBFS_Dp__PORT == 15 && ((USBFS_Dp__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_Dp_Write(uint8 value) ; +void USBFS_Dp_SetDriveMode(uint8 mode) ; +uint8 USBFS_Dp_ReadDataReg(void) ; +uint8 USBFS_Dp_Read(void) ; +uint8 USBFS_Dp_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define USBFS_Dp_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define USBFS_Dp_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define USBFS_Dp_DM_RES_UP PIN_DM_RES_UP +#define USBFS_Dp_DM_RES_DWN PIN_DM_RES_DWN +#define USBFS_Dp_DM_OD_LO PIN_DM_OD_LO +#define USBFS_Dp_DM_OD_HI PIN_DM_OD_HI +#define USBFS_Dp_DM_STRONG PIN_DM_STRONG +#define USBFS_Dp_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define USBFS_Dp_MASK USBFS_Dp__MASK +#define USBFS_Dp_SHIFT USBFS_Dp__SHIFT +#define USBFS_Dp_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define USBFS_Dp_PS (* (reg8 *) USBFS_Dp__PS) +/* Data Register */ +#define USBFS_Dp_DR (* (reg8 *) USBFS_Dp__DR) +/* Port Number */ +#define USBFS_Dp_PRT_NUM (* (reg8 *) USBFS_Dp__PRT) +/* Connect to Analog Globals */ +#define USBFS_Dp_AG (* (reg8 *) USBFS_Dp__AG) +/* Analog MUX bux enable */ +#define USBFS_Dp_AMUX (* (reg8 *) USBFS_Dp__AMUX) +/* Bidirectional Enable */ +#define USBFS_Dp_BIE (* (reg8 *) USBFS_Dp__BIE) +/* Bit-mask for Aliased Register Access */ +#define USBFS_Dp_BIT_MASK (* (reg8 *) USBFS_Dp__BIT_MASK) +/* Bypass Enable */ +#define USBFS_Dp_BYP (* (reg8 *) USBFS_Dp__BYP) +/* Port wide control signals */ +#define USBFS_Dp_CTL (* (reg8 *) USBFS_Dp__CTL) +/* Drive Modes */ +#define USBFS_Dp_DM0 (* (reg8 *) USBFS_Dp__DM0) +#define USBFS_Dp_DM1 (* (reg8 *) USBFS_Dp__DM1) +#define USBFS_Dp_DM2 (* (reg8 *) USBFS_Dp__DM2) +/* Input Buffer Disable Override */ +#define USBFS_Dp_INP_DIS (* (reg8 *) USBFS_Dp__INP_DIS) +/* LCD Common or Segment Drive */ +#define USBFS_Dp_LCD_COM_SEG (* (reg8 *) USBFS_Dp__LCD_COM_SEG) +/* Enable Segment LCD */ +#define USBFS_Dp_LCD_EN (* (reg8 *) USBFS_Dp__LCD_EN) +/* Slew Rate Control */ +#define USBFS_Dp_SLW (* (reg8 *) USBFS_Dp__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define USBFS_Dp_PRTDSI__CAPS_SEL (* (reg8 *) USBFS_Dp__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define USBFS_Dp_PRTDSI__DBL_SYNC_IN (* (reg8 *) USBFS_Dp__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define USBFS_Dp_PRTDSI__OE_SEL0 (* (reg8 *) USBFS_Dp__PRTDSI__OE_SEL0) +#define USBFS_Dp_PRTDSI__OE_SEL1 (* (reg8 *) USBFS_Dp__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define USBFS_Dp_PRTDSI__OUT_SEL0 (* (reg8 *) USBFS_Dp__PRTDSI__OUT_SEL0) +#define USBFS_Dp_PRTDSI__OUT_SEL1 (* (reg8 *) USBFS_Dp__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define USBFS_Dp_PRTDSI__SYNC_OUT (* (reg8 *) USBFS_Dp__PRTDSI__SYNC_OUT) + + +#if defined(USBFS_Dp__INTSTAT) /* Interrupt Registers */ + + #define USBFS_Dp_INTSTAT (* (reg8 *) USBFS_Dp__INTSTAT) + #define USBFS_Dp_SNAP (* (reg8 *) USBFS_Dp__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_USBFS_Dp_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp_aliases.h new file mode 100755 index 0000000..b77c3b9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_Dp_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: USBFS_Dp.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dp_ALIASES_H) /* Pins USBFS_Dp_ALIASES_H */ +#define CY_PINS_USBFS_Dp_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define USBFS_Dp_0 USBFS_Dp__0__PC + +#endif /* End Pins USBFS_Dp_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.c new file mode 100755 index 0000000..cec388b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.c @@ -0,0 +1,318 @@ +/******************************************************************************* +* File Name: USBFS_audio.c +* Version 2.60 +* +* Description: +* USB AUDIO Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_AUDIO_CLASS) + +#include "USBFS_audio.h" +#include "USBFS_pvt.h" +#if defined(USBFS_ENABLE_MIDI_STREAMING) + #include "USBFS_midi.h" +#endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +#if !defined(USER_SUPPLIED_AUDIO_HANDLER) + + +/*************************************** +* AUDIO Variables +***************************************/ + +#if defined(USBFS_ENABLE_AUDIO_STREAMING) + volatile uint8 USBFS_currentSampleFrequency[USBFS_MAX_EP][USBFS_SAMPLE_FREQ_LEN]; + volatile uint8 USBFS_frequencyChanged; + volatile uint8 USBFS_currentMute; + volatile uint8 USBFS_currentVolume[USBFS_VOLUME_LEN]; + volatile uint8 USBFS_minimumVolume[USBFS_VOLUME_LEN] = {USBFS_VOL_MIN_LSB, + USBFS_VOL_MIN_MSB}; + volatile uint8 USBFS_maximumVolume[USBFS_VOLUME_LEN] = {USBFS_VOL_MAX_LSB, + USBFS_VOL_MAX_MSB}; + volatile uint8 USBFS_resolutionVolume[USBFS_VOLUME_LEN] = {USBFS_VOL_RES_LSB, + USBFS_VOL_RES_MSB}; +#endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + +/******************************************************************************* +* Function Name: USBFS_DispatchAUDIOClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches class requests +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Global variables: +* USBFS_currentSampleFrequency: Contains the current audio Sample +* Frequency. It is set by the Host using SET_CUR request to the endpoint. +* USBFS_frequencyChanged: This variable is used as a flag for the +* user code, to be aware that Host has been sent request for changing +* Sample Frequency. Sample frequency will be sent on the next OUT +* transaction. It is contains endpoint address when set. The following +* code is recommended for detecting new Sample Frequency in main code: +* if((USBFS_frequencyChanged != 0) && +* (USBFS_transferState == USBFS_TRANS_STATE_IDLE)) +* { +* USBFS_frequencyChanged = 0; +* } +* USBFS_transferState variable is checked to be sure that +* transfer completes. +* USBFS_currentMute: Contains mute configuration set by Host. +* USBFS_currentVolume: Contains volume level set by Host. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchAUDIOClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + uint8 epNumber; + epNumber = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { + /* Control Read */ + if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_EP) + { + /* Endpoint */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_SAMPLING_FREQ_CONTROL) + { + /* Endpoint Control Selector is Sampling Frequency */ + USBFS_currentTD.wCount = USBFS_SAMPLE_FREQ_LEN; + USBFS_currentTD.pData = USBFS_currentSampleFrequency[epNumber]; + requestHandled = USBFS_InitControlRead(); + } + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_READ_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_IFC) + { + /* Interface or Entity ID */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_MUTE_CONTROL) + { + /* `#START MUTE_CONTROL_GET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is MUTE */ + USBFS_currentTD.wCount = 1u; + USBFS_currentTD.pData = &USBFS_currentMute; + requestHandled = USBFS_InitControlRead(); + } + else if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* `#START VOLUME_CONTROL_GET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = USBFS_currentVolume; + requestHandled = USBFS_InitControlRead(); + } + else + { + /* `#START OTHER_GET_CUR_REQUESTS` Place other request handler here */ + + /* `#END` */ + } + break; + case USBFS_GET_MIN: /* GET_MIN */ + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = &USBFS_minimumVolume[0]; + requestHandled = USBFS_InitControlRead(); + } + break; + case USBFS_GET_MAX: /* GET_MAX */ + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = &USBFS_maximumVolume[0]; + requestHandled = USBFS_InitControlRead(); + } + break; + case USBFS_GET_RES: /* GET_RES */ + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = &USBFS_resolutionVolume[0]; + requestHandled = USBFS_InitControlRead(); + } + break; + /* The contents of the status message is reserved for future use. + * For the time being, a null packet should be returned in the data stage of the + * control transfer, and the received null packet should be ACKed. + */ + case USBFS_GET_STAT: + USBFS_currentTD.wCount = 0u; + requestHandled = USBFS_InitControlWrite(); + + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_WRITE_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else + { /* USBFS_RQST_RCPT_OTHER */ + } + } + else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == \ + USBFS_RQST_DIR_H2D) + { + /* Control Write */ + if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_EP) + { + /* Endpoint */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_SET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_SAMPLING_FREQ_CONTROL) + { + /* Endpoint Control Selector is Sampling Frequency */ + USBFS_currentTD.wCount = USBFS_SAMPLE_FREQ_LEN; + USBFS_currentTD.pData = USBFS_currentSampleFrequency[epNumber]; + requestHandled = USBFS_InitControlWrite(); + USBFS_frequencyChanged = epNumber; + } + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_SAMPLING_FREQ_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_IFC) + { + /* Interface or Entity ID */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_SET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_MUTE_CONTROL) + { + /* `#START MUTE_SET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is MUTE */ + USBFS_currentTD.wCount = 1u; + USBFS_currentTD.pData = &USBFS_currentMute; + requestHandled = USBFS_InitControlWrite(); + } + else if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* `#START VOLUME_CONTROL_SET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is VOLUME */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = USBFS_currentVolume; + requestHandled = USBFS_InitControlWrite(); + } + else + { + /* `#START OTHER_SET_CUR_REQUESTS` Place other request handler here */ + + /* `#END` */ + } + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_CONTROL_SEL_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else + { /* USBFS_RQST_RCPT_OTHER */ + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +#endif /* USER_SUPPLIED_AUDIO_HANDLER */ + + +/******************************************************************************* +* Additional user functions supporting AUDIO Requests +********************************************************************************/ + +/* `#START AUDIO_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_ENABLE_AUDIO_CLASS*/ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.h new file mode 100755 index 0000000..1e6186b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_audio.h @@ -0,0 +1,95 @@ +/******************************************************************************* +* File Name: USBFS_audio.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_audio_H) +#define CY_USBFS_USBFS_audio_H + +#include "cytypes.h" + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CUSTOM_CONSTANTS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Constants for USBFS_audio API. +***************************************/ + +/* Audio Class-Specific Request Codes (AUDIO Table A-9) */ +#define USBFS_REQUEST_CODE_UNDEFINED (0x00u) +#define USBFS_SET_CUR (0x01u) +#define USBFS_GET_CUR (0x81u) +#define USBFS_SET_MIN (0x02u) +#define USBFS_GET_MIN (0x82u) +#define USBFS_SET_MAX (0x03u) +#define USBFS_GET_MAX (0x83u) +#define USBFS_SET_RES (0x04u) +#define USBFS_GET_RES (0x84u) +#define USBFS_SET_MEM (0x05u) +#define USBFS_GET_MEM (0x85u) +#define USBFS_GET_STAT (0xFFu) + +/* Endpoint Control Selectors (AUDIO Table A-19) */ +#define USBFS_EP_CONTROL_UNDEFINED (0x00u) +#define USBFS_SAMPLING_FREQ_CONTROL (0x01u) +#define USBFS_PITCH_CONTROL (0x02u) + +/* Feature Unit Control Selectors (AUDIO Table A-11) */ +#define USBFS_FU_CONTROL_UNDEFINED (0x00u) +#define USBFS_MUTE_CONTROL (0x01u) +#define USBFS_VOLUME_CONTROL (0x02u) +#define USBFS_BASS_CONTROL (0x03u) +#define USBFS_MID_CONTROL (0x04u) +#define USBFS_TREBLE_CONTROL (0x05u) +#define USBFS_GRAPHIC_EQUALIZER_CONTROL (0x06u) +#define USBFS_AUTOMATIC_GAIN_CONTROL (0x07u) +#define USBFS_DELAY_CONTROL (0x08u) +#define USBFS_BASS_BOOST_CONTROL (0x09u) +#define USBFS_LOUDNESS_CONTROL (0x0Au) + +#define USBFS_SAMPLE_FREQ_LEN (3u) +#define USBFS_VOLUME_LEN (2u) + +#if !defined(USER_SUPPLIED_DEFAULT_VOLUME_VALUE) + #define USBFS_VOL_MIN_MSB (0x80u) + #define USBFS_VOL_MIN_LSB (0x01u) + #define USBFS_VOL_MAX_MSB (0x7Fu) + #define USBFS_VOL_MAX_LSB (0xFFu) + #define USBFS_VOL_RES_MSB (0x00u) + #define USBFS_VOL_RES_LSB (0x01u) +#endif /* USER_SUPPLIED_DEFAULT_VOLUME_VALUE */ + + +/*************************************** +* External data references +***************************************/ + +extern volatile uint8 USBFS_currentSampleFrequency[USBFS_MAX_EP] + [USBFS_SAMPLE_FREQ_LEN]; +extern volatile uint8 USBFS_frequencyChanged; +extern volatile uint8 USBFS_currentMute; +extern volatile uint8 USBFS_currentVolume[USBFS_VOLUME_LEN]; +extern volatile uint8 USBFS_minimumVolume[USBFS_VOLUME_LEN]; +extern volatile uint8 USBFS_maximumVolume[USBFS_VOLUME_LEN]; +extern volatile uint8 USBFS_resolutionVolume[USBFS_VOLUME_LEN]; + +#endif /* End CY_USBFS_USBFS_audio_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_boot.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_boot.c new file mode 100755 index 0000000..2843057 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_boot.c @@ -0,0 +1,262 @@ +/******************************************************************************* +* File Name: USBFS_boot.c +* Version 2.60 +* +* Description: +* Boot loader API for USBFS Component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) || \ + (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + + +/*************************************** +* Bootloader defines +***************************************/ + +#define USBFS_CyBtLdrStarttimer(X, T) {USBFS_universalTime = T * 10; X = 0u;} +#define USBFS_CyBtLdrChecktimer(X) ((X++ < USBFS_universalTime) ? 1u : 0u) + +#define USBFS_BTLDR_OUT_EP (0x01u) +#define USBFS_BTLDR_IN_EP (0x02u) + + +/*************************************** +* Bootloader Variables +***************************************/ + +static uint16 USBFS_universalTime; +static uint8 USBFS_started = 0u; + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommStart +******************************************************************************** +* +* Summary: +* Starts the component and enables the interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* This function starts the USB with 3V or 5V operation. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_CyBtldrCommStart(void) +{ + CyGlobalIntEnable; /* Enable Global Interrupts */ + + /*Start USBFS Operation/device 0 and with 5V or 3V operation depend on Voltage Configuration in DWR */ + USBFS_Start(0u, USBFS_DWR_VDDD_OPERATION); + + /* USB component started, the correct enumeration will be checked in first Read operation */ + USBFS_started = 1u; + +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommStop. +******************************************************************************** +* +* Summary: +* Disable the component and disable the interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_CyBtldrCommStop(void) +{ + USBFS_Stop(); +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommReset. +******************************************************************************** +* +* Summary: +* Resets the receive and transmit communication Buffers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_CyBtldrCommReset(void) +{ + USBFS_EnableOutEP(USBFS_BTLDR_OUT_EP); /* Enable the OUT endpoint */ +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommWrite. +******************************************************************************** +* +* Summary: +* Allows the caller to write data to the boot loader host. The function will +* handle polling to allow a block of data to be completely sent to the host +* device. +* +* Parameters: +* pData: A pointer to the block of data to send to the device +* size: The number of bytes to write. +* count: Pointer to an unsigned short variable to write the number of +* bytes actually written. +* timeOut: Number of units to wait before returning because of a timeout. +* +* Return: +* Returns the value that best describes the problem. +* +* Reentrant: +* No. +* +*******************************************************************************/ +cystatus USBFS_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + +{ + uint16 time; + cystatus status; + + /* Enable IN transfer */ + USBFS_LoadInEP(USBFS_BTLDR_IN_EP, pData, USBFS_BTLDR_SIZEOF_READ_BUFFER); + + /* Start a timer to wait on. */ + USBFS_CyBtLdrStarttimer(time, timeOut); + + /* Wait for the master to read it. */ + while((USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) && \ + USBFS_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + + if (USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) + { + status = CYRET_TIMEOUT; + } + else + { + *count = size; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommRead. +******************************************************************************** +* +* Summary: +* Allows the caller to read data from the boot loader host. The function will +* handle polling to allow a block of data to be completely received from the +* host device. +* +* Parameters: +* pData: A pointer to the area to store the block of data received +* from the device. +* size: The number of bytes to read. +* count: Pointer to an unsigned short variable to write the number +* of bytes actually read. +* timeOut: Number of units to wait before returning because of a timeOut. +* Timeout is measured in 10s of ms. +* +* Return: +* Returns the value that best describes the problem. +* +* Reentrant: +* No. +* +*******************************************************************************/ +cystatus USBFS_CyBtldrCommRead(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + +{ + cystatus status; + uint16 time; + + if(size > USBFS_BTLDR_SIZEOF_WRITE_BUFFER) + { + size = USBFS_BTLDR_SIZEOF_WRITE_BUFFER; + } + /* Start a timer to wait on. */ + USBFS_CyBtLdrStarttimer(time, timeOut); + + /* Wait on enumeration in first time */ + if(USBFS_started) + { + /* Wait for Device to enumerate */ + while(!USBFS_GetConfiguration() && USBFS_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + /* Enable first OUT, if enumeration complete */ + if(USBFS_GetConfiguration()) + { + USBFS_IsConfigurationChanged(); /* Clear configuration changes state status */ + USBFS_CyBtldrCommReset(); + USBFS_started = 0u; + } + } + else /* Check for configuration changes, has been done by Host */ + { + if(USBFS_IsConfigurationChanged() != 0u) /* Host could send double SET_INTERFACE request or RESET */ + { + if(USBFS_GetConfiguration() != 0u) /* Init OUT endpoints when device reconfigured */ + { + USBFS_CyBtldrCommReset(); + } + } + } + /* Wait on next packet */ + while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + USBFS_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + + /* OUT EP has completed */ + if (USBFS_GetEPState(USBFS_BTLDR_OUT_EP) == USBFS_OUT_BUFFER_FULL) + { + *count = USBFS_ReadOutEP(USBFS_BTLDR_OUT_EP, pData, size); + status = CYRET_SUCCESS; + } + else + { + *count = 0u; + status = CYRET_TIMEOUT; + } + return(status); +} + +#endif /* End CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.c new file mode 100755 index 0000000..82951c8 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.c @@ -0,0 +1,706 @@ +/******************************************************************************* +* File Name: USBFS_cdc.c +* Version 2.60 +* +* Description: +* USB HID Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2012-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_CDC_CLASS) + +#include "USBFS_cdc.h" +#include "USBFS_pvt.h" + + +/*************************************** +* CDC Variables +***************************************/ + +volatile uint8 USBFS_lineCoding[USBFS_LINE_CODING_SIZE]; +volatile uint8 USBFS_lineChanged; +volatile uint16 USBFS_lineControlBitmap; +volatile uint8 USBFS_cdc_data_in_ep; +volatile uint8 USBFS_cdc_data_out_ep; + + +/*************************************** +* Static Function Prototypes +***************************************/ +static uint16 USBFS_StrLen(const char8 string[]) ; + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CDC_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_DispatchCDCClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches CDC class requests. +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Global variables: +* USBFS_lineCoding: Contains the current line coding structure. +* It is set by the Host using SET_LINE_CODING request and returned to the +* user code by the USBFS_GetDTERate(), USBFS_GetCharFormat(), +* USBFS_GetParityType(), USBFS_GetDataBits() APIs. +* USBFS_lineControlBitmap: Contains the current control signal +* bitmap. It is set by the Host using SET_CONTROL_LINE request and returned +* to the user code by the USBFS_GetLineControl() API. +* USBFS_lineChanged: This variable is used as a flag for the +* USBFS_IsLineChanged() API, to be aware that Host has been sent request +* for changing Line Coding or Control Bitmap. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchCDCClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_CDC_GET_LINE_CODING: + USBFS_currentTD.count = USBFS_LINE_CODING_SIZE; + USBFS_currentTD.pData = USBFS_lineCoding; + requestHandled = USBFS_InitControlRead(); + break; + + /* `#START CDC_READ_REQUESTS` Place other request handler here */ + + /* `#END` */ + + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == \ + USBFS_RQST_DIR_H2D) + { /* Control Write */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_CDC_SET_LINE_CODING: + USBFS_currentTD.count = USBFS_LINE_CODING_SIZE; + USBFS_currentTD.pData = USBFS_lineCoding; + USBFS_lineChanged |= USBFS_LINE_CODING_CHANGED; + requestHandled = USBFS_InitControlWrite(); + break; + + case USBFS_CDC_SET_CONTROL_LINE_STATE: + USBFS_lineControlBitmap = CY_GET_REG8(USBFS_wValueLo); + USBFS_lineChanged |= USBFS_LINE_CONTROL_CHANGED; + requestHandled = USBFS_InitNoDataControlTransfer(); + break; + + /* `#START CDC_WRITE_REQUESTS` Place other request handler here */ + + /* `#END` */ + + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +/*************************************** +* Optional CDC APIs +***************************************/ +#if (USBFS_ENABLE_CDC_CLASS_API != 0u) + + + /******************************************************************************* + * Function Name: USBFS_CDC_Init + ******************************************************************************** + * + * Summary: + * This function initialize the CDC interface to be ready for the receive data + * from the PC. + * + * Parameters: + * None. + * + * Return: + * None. + * + * Global variables: + * USBFS_lineChanged: Initialized to zero. + * USBFS_cdc_data_out_ep: Used as an OUT endpoint number. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_CDC_Init(void) + { + USBFS_lineChanged = 0u; + USBFS_EnableOutEP(USBFS_cdc_data_out_ep); + } + + + /******************************************************************************* + * Function Name: USBFS_PutData + ******************************************************************************** + * + * Summary: + * Sends a specified number of bytes from the location specified by a + * pointer to the PC. + * + * Parameters: + * pData: pointer to the buffer containing data to be sent. + * length: Specifies the number of bytes to send from the pData + * buffer. Maximum length will be limited by the maximum packet + * size for the endpoint. + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_PutData(const uint8* pData, uint16 length) + { + /* Limits length to maximum packet size for the EP */ + if(length > USBFS_EP[USBFS_cdc_data_in_ep].bufferSize) + { + /* Caution: Data will be lost if length is greater than Max Packet Length */ + length = USBFS_EP[USBFS_cdc_data_in_ep].bufferSize; + /* Halt CPU in debug mode */ + CYASSERT(0u != 0u); + } + USBFS_LoadInEP(USBFS_cdc_data_in_ep, pData, length); + } + + + /******************************************************************************* + * Function Name: USBFS_StrLen + ******************************************************************************** + * + * Summary: + * Calculates length of a null terminated string. + * + * Parameters: + * string: pointer to the string. + * + * Return: + * Length of the string + * + *******************************************************************************/ + static uint16 USBFS_StrLen(const char8 string[]) + { + uint16 len = 0u; + + while (string[len] != (char8)0) + { + len++; + } + + return (len); + } + + + /******************************************************************************* + * Function Name: USBFS_PutString + ******************************************************************************** + * + * Summary: + * Sends a null terminated string to the PC. + * + * Parameters: + * string: pointer to the string to be sent to the PC + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + * Theory: + * This function will block if there is not enough memory to place the whole + * string, it will block until the entire string has been written to the + * transmit buffer. + * + *******************************************************************************/ + void USBFS_PutString(const char8 string[]) + { + uint16 str_length; + uint16 send_length; + uint16 buf_index = 0u; + + /* Get length of the null terminated string */ + str_length = USBFS_StrLen(string); + do + { + /* Limits length to maximum packet size for the EP */ + send_length = (str_length > USBFS_EP[USBFS_cdc_data_in_ep].bufferSize) ? + USBFS_EP[USBFS_cdc_data_in_ep].bufferSize : str_length; + /* Enable IN transfer */ + USBFS_LoadInEP(USBFS_cdc_data_in_ep, (const uint8 *)&string[buf_index], send_length); + str_length -= send_length; + + /* If more data are present to send */ + if(str_length > 0u) + { + buf_index += send_length; + /* Wait for the Host to read it. */ + while(USBFS_EP[USBFS_cdc_data_in_ep].apiEpState == + USBFS_IN_BUFFER_FULL) + { + ; + } + } + }while(str_length > 0u); + } + + + /******************************************************************************* + * Function Name: USBFS_PutChar + ******************************************************************************** + * + * Summary: + * Writes a single character to the PC. + * + * Parameters: + * txDataByte: Character to be sent to the PC. + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_PutChar(char8 txDataByte) + { + uint8 dataByte; + dataByte = (uint8)txDataByte; + + USBFS_LoadInEP(USBFS_cdc_data_in_ep, &dataByte, 1u); + } + + + /******************************************************************************* + * Function Name: USBFS_PutCRLF + ******************************************************************************** + * + * Summary: + * Sends a carriage return (0x0D) and line feed (0x0A) to the PC + * + * Parameters: + * None. + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_PutCRLF(void) + { + const uint8 CYCODE txData[] = {0x0Du, 0x0Au}; + + USBFS_LoadInEP(USBFS_cdc_data_in_ep, (const uint8 *)txData, 2u); + } + + + /******************************************************************************* + * Function Name: USBFS_GetCount + ******************************************************************************** + * + * Summary: + * This function returns the number of bytes that were received from the PC. + * + * Parameters: + * None. + * + * Return: + * Returns the number of received bytes. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + *******************************************************************************/ + uint16 USBFS_GetCount(void) + { + uint16 bytesCount = 0u; + + if (USBFS_EP[USBFS_cdc_data_out_ep].apiEpState == USBFS_OUT_BUFFER_FULL) + { + bytesCount = USBFS_GetEPCount(USBFS_cdc_data_out_ep); + } + + return(bytesCount); + } + + + /******************************************************************************* + * Function Name: USBFS_DataIsReady + ******************************************************************************** + * + * Summary: + * Returns a nonzero value if the component received data or received + * zero-length packet. The GetAll() or GetData() API should be called to read + * data from the buffer and re-init OUT endpoint even when zero-length packet + * received. + * + * Parameters: + * None. + * + * Return: + * If the OUT packet received this function returns a nonzero value. + * Otherwise zero is returned. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + *******************************************************************************/ + uint8 USBFS_DataIsReady(void) + { + return(USBFS_EP[USBFS_cdc_data_out_ep].apiEpState); + } + + + /******************************************************************************* + * Function Name: USBFS_CDCIsReady + ******************************************************************************** + * + * Summary: + * Returns a nonzero value if the component is ready to send more data to the + * PC. Otherwise returns zero. Should be called before sending new data to + * ensure the previous data has finished sending.This function returns the + * number of bytes that were received from the PC. + * + * Parameters: + * None. + * + * Return: + * If the buffer can accept new data then this function returns a nonzero value. + * Otherwise zero is returned. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used. + * + *******************************************************************************/ + uint8 USBFS_CDCIsReady(void) + { + return(USBFS_EP[USBFS_cdc_data_in_ep].apiEpState); + } + + + /******************************************************************************* + * Function Name: USBFS_GetData + ******************************************************************************** + * + * Summary: + * Gets a specified number of bytes from the input buffer and places it in a + * data array specified by the passed pointer. + * USBFS_DataIsReady() API should be called before, to be sure + * that data is received from the Host. + * + * Parameters: + * pData: Pointer to the data array where data will be placed. + * Length: Number of bytes to read into the data array from the RX buffer. + * Maximum length is limited by the the number of received bytes. + * + * Return: + * Number of bytes received. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint16 USBFS_GetData(uint8* pData, uint16 length) + { + return(USBFS_ReadOutEP(USBFS_cdc_data_out_ep, pData, length)); + } + + + /******************************************************************************* + * Function Name: USBFS_GetAll + ******************************************************************************** + * + * Summary: + * Gets all bytes of received data from the input buffer and places it into a + * specified data array. USBFS_DataIsReady() API should be called + * before, to be sure that data is received from the Host. + * + * Parameters: + * pData: Pointer to the data array where data will be placed. + * + * Return: + * Number of bytes received. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * USBFS_EP[].bufferSize: EP max packet size is used as a length + * to read all data from the EP buffer. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint16 USBFS_GetAll(uint8* pData) + { + return (USBFS_ReadOutEP(USBFS_cdc_data_out_ep, pData, + USBFS_EP[USBFS_cdc_data_out_ep].bufferSize)); + } + + + /******************************************************************************* + * Function Name: USBFS_GetChar + ******************************************************************************** + * + * Summary: + * Reads one byte of received data from the buffer. + * + * Parameters: + * None. + * + * Return: + * Received one character. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint8 USBFS_GetChar(void) + { + uint8 rxData; + + (void) USBFS_ReadOutEP(USBFS_cdc_data_out_ep, &rxData, 1u); + + return(rxData); + } + + /******************************************************************************* + * Function Name: USBFS_IsLineChanged + ******************************************************************************** + * + * Summary: + * This function returns clear on read status of the line. + * + * Parameters: + * None. + * + * Return: + * If SET_LINE_CODING or CDC_SET_CONTROL_LINE_STATE request received then not + * zero value returned. Otherwise zero is returned. + * + * Global variables: + * USBFS_transferState - it is checked to be sure then OUT data + * phase has been complete, and data written to the lineCoding or Control + * Bitmap buffer. + * USBFS_lineChanged: used as a flag to be aware that Host has been + * sent request for changing Line Coding or Control Bitmap. + * + *******************************************************************************/ + uint8 USBFS_IsLineChanged(void) + { + uint8 state = 0u; + + /* transferState is checked to be sure then OUT data phase has been complete */ + if(USBFS_transferState == USBFS_TRANS_STATE_IDLE) + { + if(USBFS_lineChanged != 0u) + { + state = USBFS_lineChanged; + USBFS_lineChanged = 0u; + } + } + + return(state); + } + + + /******************************************************************************* + * Function Name: USBFS_GetDTERate + ******************************************************************************** + * + * Summary: + * Returns the data terminal rate set for this port in bits per second. + * + * Parameters: + * None. + * + * Return: + * Returns a uint32 value of the data rate in bits per second. + * + * Global variables: + * USBFS_lineCoding: First four bytes converted to uint32 + * depend on compiler, and returned as a data rate. + * + *******************************************************************************/ + uint32 USBFS_GetDTERate(void) + { + uint32 rate; + + rate = USBFS_lineCoding[USBFS_LINE_CODING_RATE + 3u]; + rate = (rate << 8u) | USBFS_lineCoding[USBFS_LINE_CODING_RATE + 2u]; + rate = (rate << 8u) | USBFS_lineCoding[USBFS_LINE_CODING_RATE + 1u]; + rate = (rate << 8u) | USBFS_lineCoding[USBFS_LINE_CODING_RATE]; + + return(rate); + } + + + /******************************************************************************* + * Function Name: USBFS_GetCharFormat + ******************************************************************************** + * + * Summary: + * Returns the number of stop bits. + * + * Parameters: + * None. + * + * Return: + * Returns the number of stop bits. + * + * Global variables: + * USBFS_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_GetCharFormat(void) + { + return(USBFS_lineCoding[USBFS_LINE_CODING_STOP_BITS]); + } + + + /******************************************************************************* + * Function Name: USBFS_GetParityType + ******************************************************************************** + * + * Summary: + * Returns the parity type for the CDC port. + * + * Parameters: + * None. + * + * Return: + * Returns the parity type. + * + * Global variables: + * USBFS_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_GetParityType(void) + { + return(USBFS_lineCoding[USBFS_LINE_CODING_PARITY]); + } + + + /******************************************************************************* + * Function Name: USBFS_GetDataBits + ******************************************************************************** + * + * Summary: + * Returns the number of data bits for the CDC port. + * + * Parameters: + * None. + * + * Return: + * Returns the number of data bits. + * The number of data bits can be 5, 6, 7, 8 or 16. + * + * Global variables: + * USBFS_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_GetDataBits(void) + { + return(USBFS_lineCoding[USBFS_LINE_CODING_DATA_BITS]); + } + + + /******************************************************************************* + * Function Name: USBFS_GetLineControl + ******************************************************************************** + * + * Summary: + * Returns Line control bitmap. + * + * Parameters: + * None. + * + * Return: + * Returns Line control bitmap. + * + * Global variables: + * USBFS_lineControlBitmap: used to get a parameter. + * + *******************************************************************************/ + uint16 USBFS_GetLineControl(void) + { + return(USBFS_lineControlBitmap); + } + +#endif /* End USBFS_ENABLE_CDC_CLASS_API*/ + + +/******************************************************************************* +* Additional user functions supporting CDC Requests +********************************************************************************/ + +/* `#START CDC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_ENABLE_CDC_CLASS*/ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.h new file mode 100755 index 0000000..334bc58 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.h @@ -0,0 +1,92 @@ +/******************************************************************************* +* File Name: USBFS_cdc.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. +* Contains CDC class prototypes and constant values. +* +******************************************************************************** +* Copyright 2012-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_cdc_H) +#define CY_USBFS_USBFS_cdc_H + +#include "cytypes.h" + + +/*************************************** +* Prototypes of the USBFS_cdc API. +***************************************/ + +#if (USBFS_ENABLE_CDC_CLASS_API != 0u) + void USBFS_CDC_Init(void) ; + void USBFS_PutData(const uint8* pData, uint16 length) ; + void USBFS_PutString(const char8 string[]) ; + void USBFS_PutChar(char8 txDataByte) ; + void USBFS_PutCRLF(void) ; + uint16 USBFS_GetCount(void) ; + uint8 USBFS_CDCIsReady(void) ; + uint8 USBFS_DataIsReady(void) ; + uint16 USBFS_GetData(uint8* pData, uint16 length) ; + uint16 USBFS_GetAll(uint8* pData) ; + uint8 USBFS_GetChar(void) ; + uint8 USBFS_IsLineChanged(void) ; + uint32 USBFS_GetDTERate(void) ; + uint8 USBFS_GetCharFormat(void) ; + uint8 USBFS_GetParityType(void) ; + uint8 USBFS_GetDataBits(void) ; + uint16 USBFS_GetLineControl(void) ; +#endif /* End USBFS_ENABLE_CDC_CLASS_API*/ + + +/*************************************** +* Constants for USBFS_cdc API. +***************************************/ + +/* CDC Class-Specific Request Codes (CDC ver 1.2 Table 19) */ +#define USBFS_CDC_SET_LINE_CODING (0x20u) +#define USBFS_CDC_GET_LINE_CODING (0x21u) +#define USBFS_CDC_SET_CONTROL_LINE_STATE (0x22u) + +#define USBFS_LINE_CODING_CHANGED (0x01u) +#define USBFS_LINE_CONTROL_CHANGED (0x02u) + +#define USBFS_1_STOPBIT (0x00u) +#define USBFS_1_5_STOPBITS (0x01u) +#define USBFS_2_STOPBITS (0x02u) + +#define USBFS_PARITY_NONE (0x00u) +#define USBFS_PARITY_ODD (0x01u) +#define USBFS_PARITY_EVEN (0x02u) +#define USBFS_PARITY_MARK (0x03u) +#define USBFS_PARITY_SPACE (0x04u) + +#define USBFS_LINE_CODING_SIZE (0x07u) +#define USBFS_LINE_CODING_RATE (0x00u) +#define USBFS_LINE_CODING_STOP_BITS (0x04u) +#define USBFS_LINE_CODING_PARITY (0x05u) +#define USBFS_LINE_CODING_DATA_BITS (0x06u) + +#define USBFS_LINE_CONTROL_DTR (0x01u) +#define USBFS_LINE_CONTROL_RTS (0x02u) + + +/*************************************** +* External data references +***************************************/ + +extern volatile uint8 USBFS_lineCoding[USBFS_LINE_CODING_SIZE]; +extern volatile uint8 USBFS_lineChanged; +extern volatile uint16 USBFS_lineControlBitmap; +extern volatile uint8 USBFS_cdc_data_in_ep; +extern volatile uint8 USBFS_cdc_data_out_ep; + +#endif /* End CY_USBFS_USBFS_cdc_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.inf b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.inf new file mode 100755 index 0000000..c3477c2 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cdc.inf @@ -0,0 +1,122 @@ +;****************************************************************************** +; File Name: USBFS_cdc.inf +; Version 2.60 +; +; Description: +; Windows USB CDC setup file for USBUART Device. +; +;****************************************************************************** +; Copyright 2007-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;****************************************************************************** + +[Version] +Signature="$Windows NT$" +Class=Ports +ClassGuid={4D36E978-E325-11CE-BFC1-08002BE10318} +Provider=%PROVIDER% +LayoutFile=layout.inf +DriverVer=03/05/2007,2.0.0000.0 + +[Manufacturer] +%MFGNAME%=DeviceList, NTx86, NTia64, NTamd64 + +[DestinationDirs] +DefaultDestDir=12 + +[SourceDisksFiles] + +[SourceDisksNames] + +[DeviceList.NTx86] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + +[DeviceList.NTia64] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + +[DeviceList.NTamd64] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + + +;------------------------------------------------------------------------------ +; 32 bit section for Windows 2000/2003/XP/Vista +;------------------------------------------------------------------------------ + +[DriverInstall.NTx86] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTx86.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTx86.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTx86.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; 64 bit section for Intel Itanium based systems +;------------------------------------------------------------------------------ + +[DriverInstall.NTia64] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTia64.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTia64.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTia64.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; 64 bit section for AMD64 and Intel EM64T based systems +;------------------------------------------------------------------------------ + +[DriverInstall.NTamd64] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTamd64.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTamd64.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTamd64.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; +;------------------------------------------------------------------------------ + +[DriverService] +DisplayName=%SERVICE% +ServiceType=1 +StartType=3 +ErrorControl=1 +ServiceBinary=%12%\usbser.sys + +;------------------------------------------------------------------------------ +; String Definitions +;------------------------------------------------------------------------------ + +[Strings] +PROVIDER="Cypress" +MFGNAME="Cypress Semiconductor Corporation" +DESCRIPTION="Cypress USB UART" +SERVICE="USB UART" diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cls.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cls.c new file mode 100755 index 0000000..7bbd8d1 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_cls.c @@ -0,0 +1,107 @@ +/******************************************************************************* +* File Name: USBFS_cls.c +* Version 2.60 +* +* Description: +* USB Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if(USBFS_EXTERN_CLS == USBFS_FALSE) + +#include "USBFS_pvt.h" + + +/*************************************** +* User Implemented Class Driver Declarations. +***************************************/ +/* `#START USER_DEFINED_CLASS_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_DispatchClassRqst +******************************************************************************** +* Summary: +* This routine dispatches class specific requests depend on interface class. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + uint8 interfaceNumber = 0u; + + switch(CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + { + case USBFS_RQST_RCPT_IFC: /* Class-specific request directed to an interface */ + interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); /* wIndexLo contain Interface number */ + break; + case USBFS_RQST_RCPT_EP: /* Class-specific request directed to the endpoint */ + /* Find related interface to the endpoint, wIndexLo contain EP number */ + interfaceNumber = + USBFS_EP[CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].interface; + break; + default: /* RequestHandled is initialized as FALSE by default */ + break; + } + /* Handle Class request depend on interface type */ + switch(USBFS_interfaceClass[interfaceNumber]) + { + case USBFS_CLASS_HID: + #if defined(USBFS_ENABLE_HID_CLASS) + requestHandled = USBFS_DispatchHIDClassRqst(); + #endif /* USBFS_ENABLE_HID_CLASS */ + break; + case USBFS_CLASS_AUDIO: + #if defined(USBFS_ENABLE_AUDIO_CLASS) + requestHandled = USBFS_DispatchAUDIOClassRqst(); + #endif /* USBFS_ENABLE_HID_CLASS */ + break; + case USBFS_CLASS_CDC: + #if defined(USBFS_ENABLE_CDC_CLASS) + requestHandled = USBFS_DispatchCDCClassRqst(); + #endif /* USBFS_ENABLE_CDC_CLASS */ + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + + /* `#START USER_DEFINED_CLASS_CODE` Place your Class request here */ + + /* `#END` */ + + return(requestHandled); +} + + +/******************************************************************************* +* Additional user functions supporting Class Specific Requests +********************************************************************************/ + +/* `#START CLASS_SPECIFIC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* USBFS_EXTERN_CLS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_descr.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_descr.c new file mode 100755 index 0000000..da14446 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_descr.c @@ -0,0 +1,323 @@ +/******************************************************************************* +* File Name: USBFS_descr.c +* Version 2.60 +* +* Description: +* USB descriptors and storage. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" + + +/***************************************************************************** +* User supplied descriptors. If you want to specify your own descriptors, +* remove the comments around the define USER_SUPPLIED_DESCRIPTORS below and +* add your descriptors. +*****************************************************************************/ +/* `#START USER_DESCRIPTORS_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* USB Customizer Generated Descriptors +***************************************/ + +#if !defined(USER_SUPPLIED_DESCRIPTORS) +/********************************************************************* +* Device Descriptors +*********************************************************************/ +const uint8 CYCODE USBFS_DEVICE0_DESCR[18u] = { +/* Descriptor Length */ 0x12u, +/* DescriptorType: DEVICE */ 0x01u, +/* bcdUSB (ver 2.0) */ 0x00u, 0x02u, +/* bDeviceClass */ 0x00u, +/* bDeviceSubClass */ 0x00u, +/* bDeviceProtocol */ 0x00u, +/* bMaxPacketSize0 */ 0x08u, +/* idVendor */ 0xB4u, 0x04u, +/* idProduct */ 0x1Du, 0xB7u, +/* bcdDevice */ 0x01u, 0x30u, +/* iManufacturer */ 0x01u, +/* iProduct */ 0x02u, +/* iSerialNumber */ 0x80u, +/* bNumConfigurations */ 0x01u +}; +/********************************************************************* +* Config Descriptor +*********************************************************************/ +const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_DESCR[41u] = { +/* Config Descriptor Length */ 0x09u, +/* DescriptorType: CONFIG */ 0x02u, +/* wTotalLength */ 0x29u, 0x00u, +/* bNumInterfaces */ 0x01u, +/* bConfigurationValue */ 0x01u, +/* iConfiguration */ 0x00u, +/* bmAttributes */ 0x80u, +/* bMaxPower */ 0x00u, +/********************************************************************* +* Interface Descriptor +*********************************************************************/ +/* Interface Descriptor Length */ 0x09u, +/* DescriptorType: INTERFACE */ 0x04u, +/* bInterfaceNumber */ 0x00u, +/* bAlternateSetting */ 0x00u, +/* bNumEndpoints */ 0x02u, +/* bInterfaceClass */ 0x03u, +/* bInterfaceSubClass */ 0x00u, +/* bInterfaceProtocol */ 0x00u, +/* iInterface */ 0x02u, +/********************************************************************* +* HID Class Descriptor +*********************************************************************/ +/* HID Class Descriptor Length */ 0x09u, +/* DescriptorType: HID_CLASS */ 0x21u, +/* bcdHID */ 0x11u, 0x01u, +/* bCountryCode */ 0x00u, +/* bNumDescriptors */ 0x01u, +/* bDescriptorType */ 0x22u, +/* wDescriptorLength (LSB) */ USBFS_HID_RPT_1_SIZE_LSB, +/* wDescriptorLength (MSB) */ USBFS_HID_RPT_1_SIZE_MSB, +/********************************************************************* +* Endpoint Descriptor +*********************************************************************/ +/* Endpoint Descriptor Length */ 0x07u, +/* DescriptorType: ENDPOINT */ 0x05u, +/* bEndpointAddress */ 0x01u, +/* bmAttributes */ 0x03u, +/* wMaxPacketSize */ 0x40u, 0x00u, +/* bInterval */ 0x01u, +/********************************************************************* +* Endpoint Descriptor +*********************************************************************/ +/* Endpoint Descriptor Length */ 0x07u, +/* DescriptorType: ENDPOINT */ 0x05u, +/* bEndpointAddress */ 0x82u, +/* bmAttributes */ 0x03u, +/* wMaxPacketSize */ 0x40u, 0x00u, +/* bInterval */ 0x01u +}; + +/********************************************************************* +* String Descriptor Table +*********************************************************************/ +const uint8 CYCODE USBFS_STRING_DESCRIPTORS[83u] = { +/********************************************************************* +* Language ID Descriptor +*********************************************************************/ +/* Descriptor Length */ 0x04u, +/* DescriptorType: STRING */ 0x03u, +/* Language Id */ 0x09u, 0x04u, +/********************************************************************* +* String Descriptor: "Cypress Semiconductor" +*********************************************************************/ +/* Descriptor Length */ 0x2Cu, +/* DescriptorType: STRING */ 0x03u, + (uint8)'C', 0u,(uint8)'y', 0u,(uint8)'p', 0u,(uint8)'r', 0u,(uint8)'e', 0u, + (uint8)'s', 0u,(uint8)'s', 0u,(uint8)' ', 0u,(uint8)'S', 0u,(uint8)'e', 0u, + (uint8)'m', 0u,(uint8)'i', 0u,(uint8)'c', 0u,(uint8)'o', 0u,(uint8)'n', 0u, + (uint8)'d', 0u,(uint8)'u', 0u,(uint8)'c', 0u,(uint8)'t', 0u,(uint8)'o', 0u, + (uint8)'r', 0u, +/********************************************************************* +* String Descriptor: "PSoC3 Bootloader" +*********************************************************************/ +/* Descriptor Length */ 0x22u, +/* DescriptorType: STRING */ 0x03u, + (uint8)'P', 0u,(uint8)'S', 0u,(uint8)'o', 0u,(uint8)'C', 0u,(uint8)'3', 0u, + (uint8)' ', 0u,(uint8)'B', 0u,(uint8)'o', 0u,(uint8)'o', 0u,(uint8)'t', 0u, + (uint8)'l', 0u,(uint8)'o', 0u,(uint8)'a', 0u,(uint8)'d', 0u,(uint8)'e', 0u, + (uint8)'r', 0u, +/*********************************************************************/ +/* Marks the end of the list. */ 0x00u}; +/*********************************************************************/ + +/********************************************************************* +* Serial Number String Descriptor +*********************************************************************/ +const uint8 CYCODE USBFS_SN_STRING_DESCRIPTOR[10] = { +/* Descriptor Length */ 0x0Au, +/* DescriptorType: STRING */ 0x03u, +(uint8)'0', 0u,(uint8)'0', 0u,(uint8)'0', 0u,(uint8)'1', 0u +}; + +/********************************************************************* +* HID Report Descriptor: Generic HID +*********************************************************************/ +const uint8 CYCODE USBFS_HIDREPORT_DESCRIPTOR1[40u] = { +/* Descriptor Size (Not part of descriptor)*/ USBFS_HID_RPT_1_SIZE_LSB, +USBFS_HID_RPT_1_SIZE_MSB, +/* USAGE_PAGE */ 0x05u, 0x01u, +/* USAGE */ 0x09u, 0x00u, +/* COLLECTION */ 0xA1u, 0x00u, +/* USAGE */ 0x09u, 0x00u, +/* COLLECTION */ 0xA1u, 0x00u, +/* USAGE */ 0x09u, 0x00u, +/* LOGICAL_MINIMUM */ 0x15u, 0x00u, +/* LOGICAL_MAXIMUM */ 0x25u, 0xFFu, +/* REPORT_SIZE */ 0x75u, 0x08u, +/* REPORT_COUNT */ 0x95u, 0x40u, +/* OUTPUT */ 0x91u, 0x02u, +/* USAGE */ 0x09u, 0x00u, +/* LOGICAL_MINIMUM */ 0x15u, 0x00u, +/* LOGICAL_MAXIMUM */ 0x25u, 0xFFu, +/* REPORT_SIZE */ 0x75u, 0x08u, +/* REPORT_COUNT */ 0x95u, 0x40u, +/* INPUT */ 0x81u, 0x02u, +/* END_COLLECTION */ 0xC0u, +/* END_COLLECTION */ 0xC0u, +/*********************************************************************/ +/* End of the HID Report Descriptor */ 0x00u, 0x00u}; +/*********************************************************************/ + +#if !defined(USER_DEFINE_USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_HID_RPT_STORAGE) +/********************************************************************* +* HID Input Report Storage +*********************************************************************/ +T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB; +uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE]; + +/********************************************************************* +* HID Input Report TD Table +*********************************************************************/ +const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE[1u] = { + {USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE, + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF[0u], + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB}, +}; +/********************************************************************* +* HID Output Report Storage +*********************************************************************/ +T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB; +uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE]; + +/********************************************************************* +* HID Output Report TD Table +*********************************************************************/ +const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE[1u] = { + {USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE, + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF[0u], + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB}, +}; +/********************************************************************* +* HID Report Look Up Table This table has four entries: +* IN Report Table +* OUT Report Table +* Feature Report Table +* HID Report Descriptor +* HID Class Descriptor +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE[5u] = { + {0x00u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE}, + {0x00u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE}, + {0x00u, NULL}, + {0x01u, (const void *)&USBFS_HIDREPORT_DESCRIPTOR1[0]}, + {0x01u, (const void *)&USBFS_DEVICE0_CONFIGURATION0_DESCR[18]} +}; +#endif /* USER_DEFINE_USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_HID_RPT_STORAGE */ + +/********************************************************************* +* Interface Dispatch Table -- Points to the Class Dispatch Tables +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE[1u] = { + {USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_COUNT, + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE} +}; +/********************************************************************* +* Endpoint Setting Table -- This table contain the endpoint setting +* for each endpoint in the configuration. It +* contains the necessary information to +* configure the endpoint hardware for each +* interface and alternate setting. +*********************************************************************/ +const T_USBFS_EP_SETTINGS_BLOCK CYCODE USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE[2u] = { +/* IFC ALT EPAddr bmAttr MaxPktSize Class ********************/ +{0x00u, 0x00u, 0x01u, 0x03u, 0x0040u, 0x03u}, +{0x00u, 0x00u, 0x82u, 0x03u, 0x0040u, 0x03u} +}; +const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS[1u] = { +0x03u +}; +/********************************************************************* +* Config Dispatch Table -- Points to the Config Descriptor and each of +* and endpoint setup table and to each +* interface table if it specifies a USB Class +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_TABLE[4u] = { + {0x01u, &USBFS_DEVICE0_CONFIGURATION0_DESCR}, + {0x02u, &USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE}, + {0x01u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE}, + {0x00u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS} +}; +/********************************************************************* +* Device Dispatch Table -- Points to the Device Descriptor and each of +* and Configuration Tables for this Device +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_TABLE[2u] = { + {0x01u, &USBFS_DEVICE0_DESCR}, + {0x01u, &USBFS_DEVICE0_CONFIGURATION0_TABLE} +}; +/********************************************************************* +* Device Table -- Indexed by the device number. +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_TABLE[1u] = { + {0x01u, &USBFS_DEVICE0_TABLE} +}; + +#endif /* USER_SUPPLIED_DESCRIPTORS */ + +#if defined(USBFS_ENABLE_MSOS_STRING) + + /****************************************************************************** + * USB Microsoft OS String Descriptor + * "MSFT" identifies a Microsoft host + * "100" specifies version 1.00 + * USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR becomes the bRequest value + * in a host vendor device/class request + ******************************************************************************/ + + const uint8 CYCODE USBFS_MSOS_DESCRIPTOR[USBFS_MSOS_DESCRIPTOR_LENGTH] = { + /* Descriptor Length */ 0x12u, + /* DescriptorType: STRING */ 0x03u, + /* qwSignature - "MSFT100" */ (uint8)'M', 0u, (uint8)'S', 0u, (uint8)'F', 0u, (uint8)'T', 0u, + (uint8)'1', 0u, (uint8)'0', 0u, (uint8)'0', 0u, + /* bMS_VendorCode: */ USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR, + /* bPad */ 0x00u + }; + + /* Extended Configuration Descriptor */ + + const uint8 CYCODE USBFS_MSOS_CONFIGURATION_DESCR[USBFS_MSOS_CONF_DESCR_LENGTH] = { + /* Length of the descriptor 4 bytes */ 0x28u, 0x00u, 0x00u, 0x00u, + /* Version of the descriptor 2 bytes */ 0x00u, 0x01u, + /* wIndex - Fixed:INDEX_CONFIG_DESCRIPTOR */ 0x04u, 0x00u, + /* bCount - Count of device functions. */ 0x01u, + /* Reserved : 7 bytes */ 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + /* bFirstInterfaceNumber */ 0x00u, + /* Reserved */ 0x01u, + /* compatibleID - "CYUSB\0\0" */ (uint8)'C', (uint8)'Y', (uint8)'U', (uint8)'S', (uint8)'B', + 0x00u, 0x00u, 0x00u, + /* subcompatibleID - "00001\0\0" */ (uint8)'0', (uint8)'0', (uint8)'0', (uint8)'0', (uint8)'1', + 0x00u, 0x00u, 0x00u, + /* Reserved : 6 bytes */ 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u + }; + +#endif /* USBFS_ENABLE_MSOS_STRING */ + +/* DIE ID string descriptor for 8 bytes ID */ +#if defined(USBFS_ENABLE_IDSN_STRING) + uint8 USBFS_idSerialNumberStringDescriptor[USBFS_IDSN_DESCR_LENGTH]; +#endif /* USBFS_ENABLE_IDSN_STRING */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_drv.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_drv.c new file mode 100755 index 0000000..e78a41b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_drv.c @@ -0,0 +1,781 @@ +/******************************************************************************* +* File Name: USBFS_drv.c +* Version 2.60 +* +* Description: +* Endpoint 0 Driver for the USBFS Component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" + + +/*************************************** +* Global data allocation +***************************************/ + +volatile T_USBFS_EP_CTL_BLOCK USBFS_EP[USBFS_MAX_EP]; +volatile uint8 USBFS_configuration; +volatile uint8 USBFS_interfaceNumber; +volatile uint8 USBFS_configurationChanged; +volatile uint8 USBFS_deviceAddress; +volatile uint8 USBFS_deviceStatus; +volatile uint8 USBFS_interfaceSetting[USBFS_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_interfaceSetting_last[USBFS_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_interfaceStatus[USBFS_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_device; +const uint8 CYCODE *USBFS_interfaceClass; + + +/*************************************** +* Local data allocation +***************************************/ + +volatile uint8 USBFS_ep0Toggle; +volatile uint8 USBFS_lastPacketSize; +volatile uint8 USBFS_transferState; +volatile T_USBFS_TD USBFS_currentTD; +volatile uint8 USBFS_ep0Mode; +volatile uint8 USBFS_ep0Count; +volatile uint16 USBFS_transferByteCount; + + +/******************************************************************************* +* Function Name: USBFS_ep_0_Interrupt +******************************************************************************** +* +* Summary: +* This Interrupt Service Routine handles Endpoint 0 (Control Pipe) traffic. +* It dispatches setup requests and handles the data and status stages. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_EP_0_ISR) +{ + uint8 bRegTemp; + uint8 modifyReg; + + + bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); + if ((bRegTemp & USBFS_MODE_ACKD) != 0u) + { + modifyReg = 1u; + if ((bRegTemp & USBFS_MODE_SETUP_RCVD) != 0u) + { + if((bRegTemp & USBFS_MODE_MASK) != USBFS_MODE_NAK_IN_OUT) + { + modifyReg = 0u; /* When mode not NAK_IN_OUT => invalid setup */ + } + else + { + USBFS_HandleSetup(); + if((USBFS_ep0Mode & USBFS_MODE_SETUP_RCVD) != 0u) + { + modifyReg = 0u; /* if SETUP bit set -> exit without modifying the mode */ + } + + } + } + else if ((bRegTemp & USBFS_MODE_IN_RCVD) != 0u) + { + USBFS_HandleIN(); + } + else if ((bRegTemp & USBFS_MODE_OUT_RCVD) != 0u) + { + USBFS_HandleOUT(); + } + else + { + modifyReg = 0u; + } + if(modifyReg != 0u) + { + bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + if((bRegTemp & USBFS_MODE_SETUP_RCVD) == 0u) /* Check if SETUP bit is not set, otherwise exit */ + { + /* Update the count register */ + bRegTemp = USBFS_ep0Toggle | USBFS_ep0Count; + CY_SET_REG8(USBFS_EP0_CNT_PTR, bRegTemp); + if(bRegTemp == CY_GET_REG8(USBFS_EP0_CNT_PTR)) /* continue if writing was successful */ + { + do + { + modifyReg = USBFS_ep0Mode; /* Init temporary variable */ + /* Unlock registers */ + bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_SETUP_RCVD; + if(bRegTemp == 0u) /* Check if SETUP bit is not set */ + { + /* Set the Mode Register */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_ep0Mode); + /* Writing check */ + modifyReg = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_MASK; + } + }while(modifyReg != USBFS_ep0Mode); /* Repeat if writing was not successful */ + } + } + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_HandleSetup +******************************************************************************** +* +* Summary: +* This Routine dispatches requests for the four USB request types +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_HandleSetup(void) +{ + uint8 requestHandled; + + requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + CY_SET_REG8(USBFS_EP0_CR_PTR, requestHandled); /* clear setup bit */ + requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* reread register */ + if((requestHandled & USBFS_MODE_SETUP_RCVD) != 0u) + { + USBFS_ep0Mode = requestHandled; /* if SETUP bit set -> exit without modifying the mode */ + } + else + { + /* In case the previous transfer did not complete, close it out */ + USBFS_UpdateStatusBlock(USBFS_XFER_PREMATURE); + + switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_TYPE_MASK) + { + case USBFS_RQST_TYPE_STD: + requestHandled = USBFS_HandleStandardRqst(); + break; + case USBFS_RQST_TYPE_CLS: + requestHandled = USBFS_DispatchClassRqst(); + break; + case USBFS_RQST_TYPE_VND: + requestHandled = USBFS_HandleVendorRqst(); + break; + default: + requestHandled = USBFS_FALSE; + break; + } + if (requestHandled == USBFS_FALSE) + { + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_HandleIN +******************************************************************************** +* +* Summary: +* This routine handles EP0 IN transfers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_HandleIN(void) +{ + switch (USBFS_transferState) + { + case USBFS_TRANS_STATE_IDLE: + break; + case USBFS_TRANS_STATE_CONTROL_READ: + USBFS_ControlReadDataStage(); + break; + case USBFS_TRANS_STATE_CONTROL_WRITE: + USBFS_ControlWriteStatusStage(); + break; + case USBFS_TRANS_STATE_NO_DATA_CONTROL: + USBFS_NoDataControlStatusStage(); + break; + default: /* there are no more states */ + break; + } +} + + +/******************************************************************************* +* Function Name: USBFS_HandleOUT +******************************************************************************** +* +* Summary: +* This routine handles EP0 OUT transfers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_HandleOUT(void) +{ + switch (USBFS_transferState) + { + case USBFS_TRANS_STATE_IDLE: + break; + case USBFS_TRANS_STATE_CONTROL_READ: + USBFS_ControlReadStatusStage(); + break; + case USBFS_TRANS_STATE_CONTROL_WRITE: + USBFS_ControlWriteDataStage(); + break; + case USBFS_TRANS_STATE_NO_DATA_CONTROL: + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_ERROR); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + break; + default: /* There are no more states */ + break; + } +} + + +/******************************************************************************* +* Function Name: USBFS_LoadEP0 +******************************************************************************** +* +* Summary: +* This routine loads the EP0 data registers for OUT transfers. It uses the +* currentTD (previously initialized by the _InitControlWrite function and +* updated for each OUT transfer, and the bLastPacketSize) to determine how +* many uint8s to transfer on the current OUT. +* +* If the number of uint8s remaining is zero and the last transfer was full, +* we need to send a zero length packet. Otherwise we send the minimum +* of the control endpoint size (8) or remaining number of uint8s for the +* transaction. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferByteCount - Update the transfer byte count from the +* last transaction. +* USBFS_ep0Count - counts the data loaded to the SIE memory in +* current packet. +* USBFS_lastPacketSize - remembers the USBFS_ep0Count value for the +* next packet. +* USBFS_transferByteCount - sum of the previous bytes transferred +* on previous packets(sum of USBFS_lastPacketSize) +* USBFS_ep0Toggle - inverted +* USBFS_ep0Mode - prepare for mode register content. +* USBFS_transferState - set to TRANS_STATE_CONTROL_READ +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_LoadEP0(void) +{ + uint8 ep0Count = 0u; + + /* Update the transfer byte count from the last transaction */ + USBFS_transferByteCount += USBFS_lastPacketSize; + /* Now load the next transaction */ + while ((USBFS_currentTD.count > 0u) && (ep0Count < 8u)) + { + CY_SET_REG8((reg8 *)(USBFS_EP0_DR0_IND + ep0Count), *USBFS_currentTD.pData); + USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + ep0Count++; + USBFS_currentTD.count--; + } + /* Support zero-length packet*/ + if( (USBFS_lastPacketSize == 8u) || (ep0Count > 0u) ) + { + /* Update the data toggle */ + USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + /* Set the Mode Register */ + USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + /* Update the state (or stay the same) */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + } + else + { + /* Expect Status Stage Out */ + USBFS_ep0Mode = USBFS_MODE_STATUS_OUT_ONLY; + /* Update the state (or stay the same) */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + } + + /* Save the packet size for next time */ + USBFS_lastPacketSize = ep0Count; + USBFS_ep0Count = ep0Count; +} + + +/******************************************************************************* +* Function Name: USBFS_InitControlRead +******************************************************************************** +* +* Summary: +* Initialize a control read transaction, usable to send data to the host. +* The following global variables should be initialized before this function +* called. To send zero length packet use InitZeroLengthControlTransfer +* function. +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_currentTD.count - counts of data to be sent. +* USBFS_currentTD.pData - data pointer. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitControlRead(void) +{ + uint16 xferCount; + if(USBFS_currentTD.count == 0u) + { + (void) USBFS_InitZeroLengthControlTransfer(); + } + else + { + /* Set up the state machine */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + /* Set the toggle, it gets updated in LoadEP */ + USBFS_ep0Toggle = 0u; + /* Initialize the Status Block */ + USBFS_InitializeStatusBlock(); + xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + + if (USBFS_currentTD.count > xferCount) + { + USBFS_currentTD.count = xferCount; + } + USBFS_LoadEP0(); + } + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_InitZeroLengthControlTransfer +******************************************************************************** +* +* Summary: +* Initialize a zero length data IN transfer. +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_ep0Mode - prepare for mode register content. +* USBFS_transferState - set to TRANS_STATE_CONTROL_READ +* USBFS_ep0Count - cleared, means the zero-length packet. +* USBFS_lastPacketSize - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitZeroLengthControlTransfer(void) + +{ + /* Update the state */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + /* Set the data toggle */ + USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + /* Set the Mode Register */ + USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + /* Save the packet size for next time */ + USBFS_lastPacketSize = 0u; + USBFS_ep0Count = 0u; + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_ControlReadDataStage +******************************************************************************** +* +* Summary: +* Handle the Data Stage of a control read transfer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlReadDataStage(void) + +{ + USBFS_LoadEP0(); +} + + +/******************************************************************************* +* Function Name: USBFS_ControlReadStatusStage +******************************************************************************** +* +* Summary: +* Handle the Status Stage of a control read transfer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_USBFS_transferByteCount - updated with last packet size. +* USBFS_transferState - set to TRANS_STATE_IDLE. +* USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlReadStatusStage(void) +{ + /* Update the transfer byte count */ + USBFS_transferByteCount += USBFS_lastPacketSize; + /* Go Idle */ + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_InitControlWrite +******************************************************************************** +* +* Summary: +* Initialize a control write transaction +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_USBFS_transferState - set to TRANS_STATE_CONTROL_WRITE +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_ep0Mode - set to MODE_ACK_OUT_STATUS_IN +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitControlWrite(void) +{ + uint16 xferCount; + + /* Set up the state machine */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_WRITE; + /* This might not be necessary */ + USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + /* Initialize the Status Block */ + USBFS_InitializeStatusBlock(); + + xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + + if (USBFS_currentTD.count > xferCount) + { + USBFS_currentTD.count = xferCount; + } + + /* Expect Data or Status Stage */ + USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_ControlWriteDataStage +******************************************************************************** +* +* Summary: +* Handle the Data Stage of a control write transfer +* 1. Get the data (We assume the destination was validated previously) +* 2. Update the count and data toggle +* 3. Update the mode register for the next transaction +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferByteCount - Update the transfer byte count from the +* last transaction. +* USBFS_ep0Count - counts the data loaded from the SIE memory +* in current packet. +* USBFS_transferByteCount - sum of the previous bytes transferred +* on previous packets(sum of USBFS_lastPacketSize) +* USBFS_ep0Toggle - inverted +* USBFS_ep0Mode - set to MODE_ACK_OUT_STATUS_IN. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlWriteDataStage(void) +{ + uint8 ep0Count; + uint8 regIndex = 0u; + + ep0Count = (CY_GET_REG8(USBFS_EP0_CNT_PTR) & USBFS_EPX_CNT0_MASK) - + USBFS_EPX_CNTX_CRC_COUNT; + + USBFS_transferByteCount += ep0Count; + + while ((USBFS_currentTD.count > 0u) && (ep0Count > 0u)) + { + *USBFS_currentTD.pData = CY_GET_REG8((reg8 *)(USBFS_EP0_DR0_IND + regIndex)); + USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + regIndex++; + ep0Count--; + USBFS_currentTD.count--; + } + USBFS_ep0Count = ep0Count; + /* Update the data toggle */ + USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + /* Expect Data or Status Stage */ + USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; +} + + +/******************************************************************************* +* Function Name: USBFS_ControlWriteStatusStage +******************************************************************************** +* +* Summary: +* Handle the Status Stage of a control write transfer +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferState - set to TRANS_STATE_IDLE. +* USBFS_USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlWriteStatusStage(void) +{ + /* Go Idle */ + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_InitNoDataControlTransfer +******************************************************************************** +* +* Summary: +* Initialize a no data control transfer +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_transferState - set to TRANS_STATE_NO_DATA_CONTROL. +* USBFS_ep0Mode - set to MODE_STATUS_IN_ONLY. +* USBFS_ep0Count - cleared. +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitNoDataControlTransfer(void) +{ + USBFS_transferState = USBFS_TRANS_STATE_NO_DATA_CONTROL; + USBFS_ep0Mode = USBFS_MODE_STATUS_IN_ONLY; + USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + USBFS_ep0Count = 0u; + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_NoDataControlStatusStage +******************************************************************************** +* Summary: +* Handle the Status Stage of a no data control transfer. +* +* SET_ADDRESS is special, since we need to receive the status stage with +* the old address. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferState - set to TRANS_STATE_IDLE. +* USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_deviceAddress - used to set new address and cleared +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_NoDataControlStatusStage(void) +{ + /* Change the USB address register if we got a SET_ADDRESS. */ + if (USBFS_deviceAddress != 0u) + { + CY_SET_REG8(USBFS_CR0_PTR, USBFS_deviceAddress | USBFS_CR0_ENABLE); + USBFS_deviceAddress = 0u; + } + /* Go Idle */ + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_UpdateStatusBlock +******************************************************************************** +* +* Summary: +* Update the Completion Status Block for a Request. The block is updated +* with the completion code the USBFS_transferByteCount. The +* StatusBlock Pointer is set to NULL. +* +* Parameters: +* completionCode - status. +* +* Return: +* None. +* +* Global variables: +* USBFS_currentTD.pStatusBlock->status - updated by the +* completionCode parameter. +* USBFS_currentTD.pStatusBlock->length - updated. +* USBFS_currentTD.pStatusBlock - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_UpdateStatusBlock(uint8 completionCode) +{ + if (USBFS_currentTD.pStatusBlock != NULL) + { + USBFS_currentTD.pStatusBlock->status = completionCode; + USBFS_currentTD.pStatusBlock->length = USBFS_transferByteCount; + USBFS_currentTD.pStatusBlock = NULL; + } +} + + +/******************************************************************************* +* Function Name: USBFS_InitializeStatusBlock +******************************************************************************** +* +* Summary: +* Initialize the Completion Status Block for a Request. The completion +* code is set to USB_XFER_IDLE. +* +* Also, initializes USBFS_transferByteCount. Save some space, +* this is the only consumer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_currentTD.pStatusBlock->status - set to XFER_IDLE. +* USBFS_currentTD.pStatusBlock->length - cleared. +* USBFS_transferByteCount - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_InitializeStatusBlock(void) +{ + USBFS_transferByteCount = 0u; + if(USBFS_currentTD.pStatusBlock != NULL) + { + USBFS_currentTD.pStatusBlock->status = USBFS_XFER_IDLE; + USBFS_currentTD.pStatusBlock->length = 0u; + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_episr.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_episr.c new file mode 100755 index 0000000..cd88e92 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_episr.c @@ -0,0 +1,658 @@ +/******************************************************************************* +* File Name: USBFS_episr.c +* Version 2.60 +* +* Description: +* Data endpoint Interrupt Service Routines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" +#if defined(USBFS_ENABLE_MIDI_STREAMING) && (USBFS_ENABLE_MIDI_API != 0u) + #include "USBFS_midi.h" +#endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Custom Declarations +***************************************/ +/* `#START CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +#if(USBFS_EP1_ISR_REMOVE == 0u) + + + /****************************************************************************** + * Function Name: USBFS_EP_1_ISR + ******************************************************************************* + * + * Summary: + * Endpoint 1 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + ******************************************************************************/ + CY_ISR(USBFS_EP_1_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP1_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + CY_GET_REG8(USBFS_SIE_EP1_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP1].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP1].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP1].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) & + (uint8)~USBFS_SIE_EP_INT_EP1_MASK); + + #if( defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT ) + if(USBFS_midi_out_ep == USBFS_EP1) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP1_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 ) + EA = int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + } + +#endif /* End USBFS_EP1_ISR_REMOVE */ + + +#if(USBFS_EP2_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_2_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 2 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_2_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP2_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 ) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + CY_GET_REG8(USBFS_SIE_EP2_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP2].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP2].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP2].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP2_MASK); + + #if( defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT ) + if(USBFS_midi_out_ep == USBFS_EP2) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP2_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + } + +#endif /* End USBFS_EP2_ISR_REMOVE */ + + +#if(USBFS_EP3_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_3_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 3 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_3_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP3_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP3_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP3].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP3].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP3].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP3_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP3) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP3_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP3_ISR_REMOVE */ + + +#if(USBFS_EP4_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_4_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 4 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_4_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP4_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP4_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP4].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP4].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP4].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP4_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP4) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP4_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP4_ISR_REMOVE */ + + +#if(USBFS_EP5_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_5_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 5 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_5_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP5_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP5_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP5].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP5].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP5].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP5_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP5) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP5_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } +#endif /* End USBFS_EP5_ISR_REMOVE */ + + +#if(USBFS_EP6_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_6_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 6 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_6_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP6_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP6_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP6].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP6].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP6].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP6_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP6) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP6_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP6_ISR_REMOVE */ + + +#if(USBFS_EP7_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_7_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 7 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_7_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP7_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP7_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP7].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP7].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP7].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP7_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP7) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP7_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP7_ISR_REMOVE */ + + +#if(USBFS_EP8_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_8_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 8 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_8_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP8_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP8_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP8].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP8].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP8].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP8_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP8) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP8_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP8_ISR_REMOVE */ + + +/******************************************************************************* +* Function Name: USBFS_SOF_ISR +******************************************************************************** +* +* Summary: +* Start of Frame Interrupt Service Routine +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_SOF_ISR) +{ + /* `#START SOF_USER_CODE` Place your code here */ + + /* `#END` */ +} + + +/******************************************************************************* +* Function Name: USBFS_BUS_RESET_ISR +******************************************************************************** +* +* Summary: +* USB Bus Reset Interrupt Service Routine. Calls _Start with the same +* parameters as the last USER call to _Start +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_BUS_RESET_ISR) +{ + /* `#START BUS_RESET_USER_CODE` Place your code here */ + + /* `#END` */ + + USBFS_ReInitComponent(); +} + + +#if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + + + /******************************************************************************* + * Function Name: USBFS_ARB_ISR + ******************************************************************************** + * + * Summary: + * Arbiter Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + * Side effect: + * Search for EP8 int_status will be much slower than search for EP1 int_status. + * + *******************************************************************************/ + CY_ISR(USBFS_ARB_ISR) + { + uint8 int_status; + uint8 ep_status; + uint8 ep = USBFS_EP1; + uint8 ptr = 0u; + + /* `#START ARB_BEGIN_USER_CODE` Place your code here */ + + /* `#END` */ + + int_status = USBFS_ARB_INT_SR_REG; /* read Arbiter Status Register */ + USBFS_ARB_INT_SR_REG = int_status; /* Clear Serviced Interrupts */ + + while(int_status != 0u) + { + if((int_status & 1u) != 0u) /* If EpX interrupt present */ + { /* read Endpoint Status Register */ + ep_status = CY_GET_REG8((reg8 *)(USBFS_ARB_EP1_SR_IND + ptr)); + /* If In Buffer Full */ + if((ep_status & USBFS_ARB_EPX_SR_IN_BUF_FULL) != 0u) + { + if((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* Clear Data ready status */ + *(reg8 *)(USBFS_ARB_EP1_CFG_IND + ptr) &= + (uint8)~USBFS_ARB_EPX_CFG_IN_DATA_RDY; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ptr), USBFS_EP[ep].epMode); + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_IN) + if(ep == USBFS_midi_in_ep) + { /* Clear MIDI input pointer */ + USBFS_midiInPointer = 0u; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + } + /* (re)arm Out EP only for mode2 */ + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + /* If DMA Grant */ + if((ep_status & USBFS_ARB_EPX_SR_DMA_GNT) != 0u) + { + if((USBFS_EP[ep].addr & USBFS_DIR_IN) == 0u) + { + USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ptr), + USBFS_EP[ep].epMode); + } + } + #endif /* End USBFS_EP_MM */ + + /* `#START ARB_USER_CODE` Place your code here for handle Buffer Underflow/Overflow */ + + /* `#END` */ + + CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_SR_IND + ptr), ep_status); /* Clear Serviced events */ + } + ptr += USBFS_EPX_CNTX_ADDR_OFFSET; /* prepare pointer for next EP */ + ep++; + int_status >>= 1u; + } + + /* `#START ARB_END_USER_CODE` Place your code here */ + + /* `#END` */ + } + +#endif /* End USBFS_EP_MM */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.c new file mode 100755 index 0000000..ba9fdf5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.c @@ -0,0 +1,422 @@ +/******************************************************************************* +* File Name: USBFS_hid.c +* Version 2.60 +* +* Description: +* USB HID Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_HID_CLASS) + +#include "USBFS_pvt.h" +#include "USBFS_hid.h" + + +/*************************************** +* HID Variables +***************************************/ + +volatile uint8 USBFS_hidProtocol[USBFS_MAX_INTERFACES_NUMBER]; /* HID device protocol status */ +volatile uint8 USBFS_hidIdleRate[USBFS_MAX_INTERFACES_NUMBER]; /* HID device idle reload value */ +volatile uint8 USBFS_hidIdleTimer[USBFS_MAX_INTERFACES_NUMBER]; /* HID device idle rate value */ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START HID_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_UpdateHIDTimer +******************************************************************************** +* +* Summary: +* Updates the HID report timer and reloads it if expired +* +* Parameters: +* interface: Interface Number. +* +* Return: +* status. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_UpdateHIDTimer(uint8 interface) +{ + uint8 stat = USBFS_IDLE_TIMER_INDEFINITE; + + if(USBFS_hidIdleRate[interface] != 0u) + { + if(USBFS_hidIdleTimer[interface] > 0u) + { + USBFS_hidIdleTimer[interface]--; + stat = USBFS_IDLE_TIMER_RUNNING; + } + else + { + USBFS_hidIdleTimer[interface] = USBFS_hidIdleRate[interface]; + stat = USBFS_IDLE_TIMER_EXPIRED; + } + } + + return(stat); +} + + +/******************************************************************************* +* Function Name: USBFS_GetProtocol +******************************************************************************** +* +* Summary: +* Returns the selected protocol value to the application +* +* Parameters: +* interface: Interface Number. +* +* Return: +* Interface protocol. +* +*******************************************************************************/ +uint8 USBFS_GetProtocol(uint8 interface) +{ + return(USBFS_hidProtocol[interface]); +} + + +/******************************************************************************* +* Function Name: USBFS_DispatchHIDClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches class requests +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchHIDClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + uint8 interfaceNumber; + + interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_DESCRIPTOR: + if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_CLASS) + { + USBFS_FindHidClassDecriptor(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlRead(); + } + } + else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_REPORT) + { + USBFS_FindReportDescriptor(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlRead(); + } + } + else + { /* requestHandled is initialezed as FALSE by default */ + } + break; + case USBFS_HID_GET_REPORT: + USBFS_FindReport(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlRead(); + } + break; + + case USBFS_HID_GET_IDLE: + /* This function does not support multiple reports per interface*/ + /* Validate interfaceNumber and Report ID (should be 0) */ + if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + { + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = &USBFS_hidIdleRate[interfaceNumber]; + requestHandled = USBFS_InitControlRead(); + } + break; + case USBFS_HID_GET_PROTOCOL: + /* Validate interfaceNumber */ + if( interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) + { + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = &USBFS_hidProtocol[interfaceNumber]; + requestHandled = USBFS_InitControlRead(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == + USBFS_RQST_DIR_H2D) + { /* Control Write */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_HID_SET_REPORT: + USBFS_FindReport(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlWrite(); + } + break; + case USBFS_HID_SET_IDLE: + /* This function does not support multiple reports per interface */ + /* Validate interfaceNumber and Report ID (should be 0) */ + if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + { + USBFS_hidIdleRate[interfaceNumber] = CY_GET_REG8(USBFS_wValueHi); + /* With regards to HID spec: "7.2.4 Set_Idle Request" + * Latency. If the current period has gone past the + * newly proscribed time duration, then a report + * will be generated immediately. + */ + if(USBFS_hidIdleRate[interfaceNumber] < + USBFS_hidIdleTimer[interfaceNumber]) + { + /* Set the timer to zero and let the UpdateHIDTimer() API return IDLE_TIMER_EXPIRED status*/ + USBFS_hidIdleTimer[interfaceNumber] = 0u; + } + /* If the new request is received within 4 milliseconds + * (1 count) of the end of the current period, then the + * new request will have no effect until after the report. + */ + else if(USBFS_hidIdleTimer[interfaceNumber] <= 1u) + { + /* Do nothing. + * Let the UpdateHIDTimer() API continue to work and + * return IDLE_TIMER_EXPIRED status + */ + } + else + { /* Reload the timer*/ + USBFS_hidIdleTimer[interfaceNumber] = + USBFS_hidIdleRate[interfaceNumber]; + } + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + + case USBFS_HID_SET_PROTOCOL: + /* Validate interfaceNumber and protocol (must be 0 or 1) */ + if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_wValueLo) <= 1u) ) + { + USBFS_hidProtocol[interfaceNumber] = CY_GET_REG8(USBFS_wValueLo); + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USB_FindHidClassDescriptor +******************************************************************************** +* +* Summary: +* This routine find Hid Class Descriptor pointer based on the Interface number +* and Alternate setting then loads the currentTD structure with the address of +* the buffer and the size. +* The HID Class Descriptor resides inside the config descriptor. +* +* Parameters: +* None. +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_FindHidClassDecriptor(void) +{ + const T_USBFS_LUT CYCODE *pTmp; + volatile uint8 *pDescr; + uint8 interfaceN; + + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + interfaceN = CY_GET_REG8(USBFS_wIndexLo); + /* Third entry in the LUT starts the Interface Table pointers */ + /* Now use the request interface number*/ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Fifth entry in the LUT points to Hid Class Descriptor in Configuration Descriptor */ + pTmp = &pTmp[4u]; + pDescr = (volatile uint8 *)pTmp->p_list; + /* The first byte contains the descriptor length */ + USBFS_currentTD.count = *pDescr; + USBFS_currentTD.pData = pDescr; +} + + +/******************************************************************************* +* Function Name: USB_FindReportDescriptor +******************************************************************************** +* +* Summary: +* This routine find Hid Report Descriptor pointer based on the Interface +* number, then loads the currentTD structure with the address of the buffer +* and the size. +* Hid Report Descriptor is located after IN/OUT/FEATURE reports. +* +* Parameters: +* void +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_FindReportDescriptor(void) +{ + const T_USBFS_LUT CYCODE *pTmp; + volatile uint8 *pDescr; + uint8 interfaceN; + + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + interfaceN = CY_GET_REG8(USBFS_wIndexLo); + /* Third entry in the LUT starts the Interface Table pointers */ + /* Now use the request interface number */ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Fourth entry in the LUT starts the Hid Report Descriptor */ + pTmp = &pTmp[3u]; + pDescr = (volatile uint8 *)pTmp->p_list; + /* The 1st and 2nd bytes of descriptor contain its length. LSB is 1st. */ + USBFS_currentTD.count = (((uint16)pDescr[1u] << 8u) | pDescr[0u]); + USBFS_currentTD.pData = &pDescr[2u]; +} + + +/******************************************************************************* +* Function Name: USBFS_FindReport +******************************************************************************** +* +* Summary: +* This routine sets up a transfer based on the Interface number, Report Type +* and Report ID, then loads the currentTD structure with the address of the +* buffer and the size. The caller has to decide if it is a control read or +* control write. +* +* Parameters: +* None. +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_FindReport(void) +{ + const T_USBFS_LUT CYCODE *pTmp; + T_USBFS_TD *pTD; + uint8 interfaceN; + uint8 reportType; + + /* `#START HID_FINDREPORT` Place custom handling here */ + + /* `#END` */ + USBFS_currentTD.count = 0u; /* Init not supported condition */ + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + reportType = CY_GET_REG8(USBFS_wValueHi); + interfaceN = CY_GET_REG8(USBFS_wIndexLo); + /* Third entry in the LUT COnfiguration Table starts the Interface Table pointers */ + /* Now use the request interface number */ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE*/ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + if(interfaceN < USBFS_MAX_INTERFACES_NUMBER) + { + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Validate reportType to comply with "7.2.1 Get_Report Request" */ + if((reportType >= USBFS_HID_GET_REPORT_INPUT) && + (reportType <= USBFS_HID_GET_REPORT_FEATURE)) + { + /* Get the entry proper TD (IN, OUT or Feature Report Table)*/ + pTmp = &pTmp[reportType - 1u]; + reportType = CY_GET_REG8(USBFS_wValueLo); /* Get reportID */ + /* Validate table support by the HID descriptor, compare table count with reportID */ + if(pTmp->c >= reportType) + { + pTD = (T_USBFS_TD *) pTmp->p_list; + pTD = &pTD[reportType]; /* select entry depend on report ID*/ + USBFS_currentTD.pData = pTD->pData; /* Buffer pointer */ + USBFS_currentTD.count = pTD->count; /* Buffer Size */ + USBFS_currentTD.pStatusBlock = pTD->pStatusBlock; + } + } + } +} + + +/******************************************************************************* +* Additional user functions supporting HID Requests +********************************************************************************/ + +/* `#START HID_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_ENABLE_HID_CLASS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.h new file mode 100755 index 0000000..9a6201c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_hid.h @@ -0,0 +1,64 @@ +/******************************************************************************* +* File Name: USBFS_hid.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_hid_H) +#define CY_USBFS_USBFS_hid_H + +#include "cytypes.h" + + +/*************************************** +* Prototypes of the USBFS_hid API. +***************************************/ + +uint8 USBFS_UpdateHIDTimer(uint8 interface) ; +uint8 USBFS_GetProtocol(uint8 interface) ; + + +/*************************************** +*Renamed Functions for backward compatible +***************************************/ + +#define USBFS_bGetProtocol USBFS_GetProtocol + + +/*************************************** +* Constants for USBFS_hid API. +***************************************/ + +#define USBFS_PROTOCOL_BOOT (0x00u) +#define USBFS_PROTOCOL_REPORT (0x01u) + +/* Request Types (HID Chapter 7.2) */ +#define USBFS_HID_GET_REPORT (0x01u) +#define USBFS_HID_GET_IDLE (0x02u) +#define USBFS_HID_GET_PROTOCOL (0x03u) +#define USBFS_HID_SET_REPORT (0x09u) +#define USBFS_HID_SET_IDLE (0x0Au) +#define USBFS_HID_SET_PROTOCOL (0x0Bu) + +/* Descriptor Types (HID Chapter 7.1) */ +#define USBFS_DESCR_HID_CLASS (0x21u) +#define USBFS_DESCR_HID_REPORT (0x22u) +#define USBFS_DESCR_HID_PHYSICAL (0x23u) + +/* Report Request Types (HID Chapter 7.2.1) */ +#define USBFS_HID_GET_REPORT_INPUT (0x01u) +#define USBFS_HID_GET_REPORT_OUTPUT (0x02u) +#define USBFS_HID_GET_REPORT_FEATURE (0x03u) + +#endif /* End CY_USBFS_USBFS_hid_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.c new file mode 100755 index 0000000..1f0ce51 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.c @@ -0,0 +1,1341 @@ +/******************************************************************************* +* File Name: USBFS_midi.c +* Version 2.60 +* +* Description: +* MIDI Streaming request handler. +* This file contains routines for sending and receiving MIDI +* messages, and handles running status in both directions. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_MIDI_STREAMING) + +#include "USBFS_midi.h" +#include "USBFS_pvt.h" + + +/*************************************** +* MIDI Constants +***************************************/ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + /* The Size of the MIDI messages (MIDI Table 4-1) */ + static const uint8 CYCODE USBFS_MIDI_SIZE[] = { + /* Miscellaneous function codes(Reserved) */ 0x03u, + /* Cable events (Reserved) */ 0x03u, + /* Two-byte System Common messages */ 0x02u, + /* Three-byte System Common messages */ 0x03u, + /* SysEx starts or continues */ 0x03u, + /* Single-byte System Common Message or + SysEx ends with following single byte */ 0x01u, + /* SysEx ends with following two bytes */ 0x02u, + /* SysEx ends with following three bytes */ 0x03u, + /* Note-off */ 0x03u, + /* Note-on */ 0x03u, + /* Poly-KeyPress */ 0x03u, + /* Control Change */ 0x03u, + /* Program Change */ 0x02u, + /* Channel Pressure */ 0x02u, + /* PitchBend Change */ 0x03u, + /* Single Byte */ 0x01u + }; +#endif /* USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + + +/*************************************** +* Global variables +***************************************/ + +#if (USBFS_MIDI_IN_BUFF_SIZE > 0) + #if (USBFS_MIDI_IN_BUFF_SIZE >= 256) + volatile uint16 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #else + volatile uint8 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #endif /* End USBFS_MIDI_IN_BUFF_SIZE >=256 */ + volatile uint8 USBFS_midi_in_ep; /* Input endpoint number */ + uint8 USBFS_midiInBuffer[USBFS_MIDI_IN_BUFF_SIZE]; /* Input endpoint buffer */ +#endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + volatile uint8 USBFS_midi_out_ep; /* Output endpoint number */ + uint8 USBFS_midiOutBuffer[USBFS_MIDI_OUT_BUFF_SIZE]; /* Output endpoint buffer */ +#endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + static USBFS_MIDI_RX_STATUS USBFS_MIDI1_Event; /* MIDI RX status structure */ + static volatile uint8 USBFS_MIDI1_TxRunStat; /* MIDI Output running status */ + volatile uint8 USBFS_MIDI1_InqFlags; /* Device inquiry flag */ + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + static USBFS_MIDI_RX_STATUS USBFS_MIDI2_Event; /* MIDI RX status structure */ + static volatile uint8 USBFS_MIDI2_TxRunStat; /* MIDI Output running status */ + volatile uint8 USBFS_MIDI2_InqFlags; /* Device inquiry flag */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START MIDI_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Optional MIDI APIs +***************************************/ +#if (USBFS_ENABLE_MIDI_API != 0u) + + +/******************************************************************************* +* Function Name: USBFS_MIDI_EP_Init +******************************************************************************** +* +* Summary: +* This function initializes the MIDI interface and UART(s) to be ready to +* receive data from the PC and MIDI ports. +* +* Parameters: +* None +* +* Return: +* None +* +* Global variables: +* USBFS_midiInBuffer: This buffer is used for saving and combining +* the received data from UART(s) and(or) generated internally by +* PutUsbMidiIn() function messages. USBFS_MIDI_IN_EP_Service() +* function transfers the data from this buffer to the PC. +* USBFS_midiOutBuffer: This buffer is used by the +* USBFS_MIDI_OUT_EP_Service() function for saving the received +* from the PC data, then the data are parsed and transferred to UART(s) +* buffer and to the internal processing by the +* USBFS_callbackLocalMidiEvent function. +* USBFS_midi_out_ep: Used as an OUT endpoint number. +* USBFS_midi_in_ep: Used as an IN endpoint number. +* USBFS_midiInPointer: Initialized to zero. +* +* Reentrant: +* No +* +*******************************************************************************/ +void USBFS_MIDI_EP_Init(void) +{ + #if (USBFS_MIDI_IN_BUFF_SIZE > 0) + USBFS_midiInPointer = 0u; + #endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + #if (USBFS_MIDI_IN_BUFF_SIZE > 0) + /* Init DMA configurations for IN EP*/ + USBFS_LoadInEP(USBFS_midi_in_ep, USBFS_midiInBuffer, + USBFS_MIDI_IN_BUFF_SIZE); + + #endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + /* Init DMA configurations for OUT EP*/ + (void)USBFS_ReadOutEP(USBFS_midi_out_ep, USBFS_midiOutBuffer, + USBFS_MIDI_OUT_BUFF_SIZE); + #endif /*USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + #endif /* End USBFS__EP_DMAAUTO */ + + #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + USBFS_EnableOutEP(USBFS_midi_out_ep); + #endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + + /* Initialize the MIDI port(s) */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + USBFS_MIDI_Init(); + #endif /* USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ +} + +#if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + + + /******************************************************************************* + * Function Name: USBFS_MIDI_OUT_EP_Service + ******************************************************************************** + * + * Summary: + * Services the USB MIDI OUT endpoints. + * This function is called from OUT EP ISR. It transfers the received from PC + * data to the external MIDI port(UART TX buffer) and calls the + * USBFS_callbackLocalMidiEvent() function to internal process + * of the MIDI data. + * This function is blocked by UART, if not enough space is available in UART + * TX buffer. Therefore it is recommended to use large UART TX buffer size. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_midiOutBuffer: Used as temporary buffer between USB internal + * memory and UART TX buffer. + * USBFS_midi_out_ep: Used as an OUT endpoint number. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_MIDI_OUT_EP_Service(void) + { + #if USBFS_MIDI_OUT_BUFF_SIZE >= 256 + uint16 outLength; + uint16 outPointer; + #else + uint8 outLength; + uint8 outPointer; + #endif /* End USBFS_MIDI_OUT_BUFF_SIZE >=256 */ + + uint8 dmaState = 0u; + + /* Service the USB MIDI output endpoint */ + if (USBFS_GetEPState(USBFS_midi_out_ep) == USBFS_OUT_BUFFER_FULL) + { + #if USBFS_MIDI_OUT_BUFF_SIZE >= 256 + outLength = USBFS_GetEPCount(USBFS_midi_out_ep); + #else + outLength = (uint8)USBFS_GetEPCount(USBFS_midi_out_ep); + #endif /* End USBFS_MIDI_OUT_BUFF_SIZE >= 256 */ + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + #if USBFS_MIDI_OUT_BUFF_SIZE >= 256 + outLength = USBFS_ReadOutEP(USBFS_midi_out_ep, + USBFS_midiOutBuffer, outLength); + #else + outLength = (uint8)USBFS_ReadOutEP(USBFS_midi_out_ep, + USBFS_midiOutBuffer, (uint16)outLength); + #endif /* End USBFS_MIDI_OUT_BUFF_SIZE >= 256 */ + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + do /* wait for DMA transfer complete */ + { + (void)CyDmaChStatus(USBFS_DmaChan[USBFS_midi_out_ep], NULL, &dmaState); + }while((dmaState & (STATUS_TD_ACTIVE | STATUS_CHAIN_ACTIVE)) != 0u); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + if(dmaState != 0u) + { + /* Suppress compiler warning */ + } + if (outLength >= USBFS_EVENT_LENGTH) + { + outPointer = 0u; + while (outPointer < outLength) + { + /* In some OS OUT packet could be appended by nulls which could be skipped */ + if (USBFS_midiOutBuffer[outPointer] == 0u) + { + break; + } + /* Route USB MIDI to the External connection */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + if ((USBFS_midiOutBuffer[outPointer] & USBFS_CABLE_MASK) == + USBFS_MIDI_CABLE_00) + { + USBFS_MIDI1_ProcessUsbOut(&USBFS_midiOutBuffer[outPointer]); + } + else if ((USBFS_midiOutBuffer[outPointer] & USBFS_CABLE_MASK) == + USBFS_MIDI_CABLE_01) + { + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + USBFS_MIDI2_ProcessUsbOut(&USBFS_midiOutBuffer[outPointer]); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + } + else + { + /* `#START CUSTOM_MIDI_OUT_EP_SERV` Place your code here */ + + /* `#END` */ + } + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + /* Process any local MIDI output functions */ + USBFS_callbackLocalMidiEvent( + USBFS_midiOutBuffer[outPointer] & USBFS_CABLE_MASK, + &USBFS_midiOutBuffer[outPointer + USBFS_EVENT_BYTE1]); + outPointer += USBFS_EVENT_LENGTH; + } + } + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* Enable Out EP*/ + USBFS_EnableOutEP(USBFS_midi_out_ep); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + } + +#endif /* #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) */ + +#if (USBFS_MIDI_IN_BUFF_SIZE > 0) + + + /******************************************************************************* + * Function Name: USBFS_MIDI_IN_EP_Service + ******************************************************************************** + * + * Summary: + * Services the USB MIDI IN endpoint. Non-blocking. + * Checks that previous packet was processed by HOST, otherwise service the + * input endpoint on the subsequent call. It is called from the + * USBFS_MIDI_IN_Service() and from the + * USBFS_PutUsbMidiIn() function. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_midi_in_ep: Used as an IN endpoint number. + * USBFS_midiInBuffer: Function loads the data from this buffer to + * the USB IN endpoint. + * USBFS_midiInPointer: Cleared to zero when data are sent. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_MIDI_IN_EP_Service(void) + { + /* Service the USB MIDI input endpoint */ + /* Check that previous packet was processed by HOST, otherwise service the USB later */ + if (USBFS_midiInPointer != 0u) + { + if(USBFS_GetEPState(USBFS_midi_in_ep) == USBFS_EVENT_PENDING) + { + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + USBFS_LoadInEP(USBFS_midi_in_ep, USBFS_midiInBuffer, + (uint16)USBFS_midiInPointer); + #else /* USBFS_EP_MM != USBFS__EP_DMAAUTO */ + /* rearm IN EP */ + USBFS_LoadInEP(USBFS_midi_in_ep, NULL, (uint16)USBFS_midiInPointer); + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO*/ + + /* Clear the midiInPointer. For DMA mode, clear this pointer in the ARB ISR when data are moved by DMA */ + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + USBFS_midiInPointer = 0u; + #endif /* USBFS_EP_MM == USBFS__EP_MANUAL */ + } + } + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI_IN_Service + ******************************************************************************** + * + * Summary: + * Services the traffic from the MIDI input ports (RX UART) and prepare data + * in USB MIDI IN endpoint buffer. + * Calls the USBFS_MIDI_IN_EP_Service() function to sent the + * data from buffer to PC. Non-blocking. Should be called from main foreground + * task. + * This function is not protected from the reentrant calls. When it is required + * to use this function in UART RX ISR to guaranty low latency, care should be + * taken to protect from reentrant calls. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_midiInPointer: Cleared to zero when data are sent. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_MIDI_IN_Service(void) + { + /* Service the MIDI UART inputs until either both receivers have no more + * events or until the input endpoint buffer fills up. + */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + uint8 m1 = 0u; + uint8 m2 = 0u; + do + { + if (USBFS_midiInPointer <= (USBFS_MIDI_IN_BUFF_SIZE - USBFS_EVENT_LENGTH)) + { + /* Check MIDI1 input port for a complete event */ + m1 = USBFS_MIDI1_GetEvent(); + if (m1 != 0u) + { + USBFS_PrepareInBuffer(m1, (uint8 *)&USBFS_MIDI1_Event.msgBuff[0], + USBFS_MIDI1_Event.size, USBFS_MIDI_CABLE_00); + } + } + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + if (USBFS_midiInPointer <= (USBFS_MIDI_IN_BUFF_SIZE - USBFS_EVENT_LENGTH)) + { + /* Check MIDI2 input port for a complete event */ + m2 = USBFS_MIDI2_GetEvent(); + if (m2 != 0u) + { + USBFS_PrepareInBuffer(m2, (uint8 *)&USBFS_MIDI2_Event.msgBuff[0], + USBFS_MIDI2_Event.size, USBFS_MIDI_CABLE_01); + } + } + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + + }while( (USBFS_midiInPointer <= (USBFS_MIDI_IN_BUFF_SIZE - USBFS_EVENT_LENGTH)) + && ((m1 != 0u) || (m2 != 0u)) ); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + /* Service the USB MIDI input endpoint */ + USBFS_MIDI_IN_EP_Service(); + } + + + /******************************************************************************* + * Function Name: USBFS_PutUsbMidiIn + ******************************************************************************** + * + * Summary: + * Puts one MIDI messages into the USB MIDI In endpoint buffer. These are + * MIDI input messages to the host. This function is only used if the device + * has internal MIDI input functionality. USBMIDI_MIDI_IN_Service() function + * should additionally be called to send the message from local buffer to + * IN endpoint. + * + * Parameters: + * ic: 0 = No message (should never happen) + * 1 - 3 = Complete MIDI message in midiMsg + * 3 - IN EP LENGTH = Complete SySEx message(without EOSEX byte) in + * midiMsg. The length is limited by the max BULK EP size(64) + * MIDI_SYSEX = Start or continuation of SysEx message + * (put event bytes in midiMsg buffer) + * MIDI_EOSEX = End of SysEx message + * (put event bytes in midiMsg buffer) + * MIDI_TUNEREQ = Tune Request message (single byte system common msg) + * 0xf8 - 0xff = Single byte real-time message + * midiMsg: pointer to MIDI message. + * cable: cable number. + * + * Return: + * USBFS_TRUE if error. + * USBFS_FALSE if success. + * + * Global variables: + * USBFS_midi_in_ep: MIDI IN endpoint number used for sending data. + * USBFS_midiInPointer: Checked this variable to see if there is + * enough free space in the IN endpoint buffer. If buffer is full, initiate + * sending to PC. + * + * Reentrant: + * No + * + *******************************************************************************/ + uint8 USBFS_PutUsbMidiIn(uint8 ic, const uint8 midiMsg[], uint8 cable) + + { + uint8 retError = USBFS_FALSE; + uint8 msgIndex; + + /* Protect PrepareInBuffer() function from concurrent calls */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + MIDI1_UART_DisableRxInt(); + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + MIDI2_UART_DisableRxInt(); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + if (USBFS_midiInPointer > + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + USBFS_MIDI_IN_EP_Service(); + } + if (USBFS_midiInPointer <= + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + if((ic < USBFS_EVENT_LENGTH) || (ic >= USBFS_MIDI_STATUS_MASK)) + { + USBFS_PrepareInBuffer(ic, midiMsg, ic, cable); + } + else + { /* Only SysEx message is greater than 4 bytes */ + msgIndex = 0u; + do + { + USBFS_PrepareInBuffer(USBFS_MIDI_SYSEX, &midiMsg[msgIndex], + USBFS_EVENT_BYTE3, cable); + ic -= USBFS_EVENT_BYTE3; + msgIndex += USBFS_EVENT_BYTE3; + if (USBFS_midiInPointer > + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + USBFS_MIDI_IN_EP_Service(); + if (USBFS_midiInPointer > + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + /* Error condition. HOST is not ready to receive this packet. */ + retError = USBFS_TRUE; + break; + } + } + }while(ic > USBFS_EVENT_BYTE3); + + if(retError == USBFS_FALSE) + { + USBFS_PrepareInBuffer(USBFS_MIDI_EOSEX, midiMsg, ic, cable); + } + } + } + else + { + /* Error condition. HOST is not ready to receive this packet. */ + retError = USBFS_TRUE; + } + + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + MIDI1_UART_EnableRxInt(); + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + MIDI2_UART_EnableRxInt(); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + return (retError); + } + + + /******************************************************************************* + * Function Name: USBFS_PrepareInBuffer + ******************************************************************************** + * + * Summary: + * Builds a USB MIDI event in the input endpoint buffer at the current pointer. + * Puts one MIDI message into the USB MIDI In endpoint buffer. + * + * Parameters: + * ic: 0 = No message (should never happen) + * 1 - 3 = Complete MIDI message at pMdat[0] + * MIDI_SYSEX = Start or continuation of SysEx message + * (put eventLen bytes in buffer) + * MIDI_EOSEX = End of SysEx message + * (put eventLen bytes in buffer, + * and append MIDI_EOSEX) + * MIDI_TUNEREQ = Tune Request message (single byte system common msg) + * 0xf8 - 0xff = Single byte real-time message + * + * srcBuff: pointer to MIDI data + * eventLen: number of bytes in MIDI event + * cable: MIDI source port number + * + * Return: + * None + * + * Global variables: + * USBFS_midiInBuffer: This buffer is used for saving and combine the + * received from UART(s) and(or) generated internally by + * USBFS_PutUsbMidiIn() function messages. + * USBFS_midiInPointer: Used as an index for midiInBuffer to + * write data. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_PrepareInBuffer(uint8 ic, const uint8 srcBuff[], uint8 eventLen, uint8 cable) + + { + uint8 srcBuffZero; + uint8 srcBuffOne; + + srcBuffZero = srcBuff[0u]; + srcBuffOne = srcBuff[1u]; + + if (ic >= (USBFS_MIDI_STATUS_MASK | USBFS_MIDI_SINGLE_BYTE_MASK)) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_SINGLE_BYTE | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = ic; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + } + else if((ic < USBFS_EVENT_LENGTH) || (ic == USBFS_MIDI_SYSEX)) + { + if(ic == USBFS_MIDI_SYSEX) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_SYSEX | cable; + USBFS_midiInPointer++; + } + else if (srcBuffZero < USBFS_MIDI_SYSEX) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = (srcBuffZero >> 4u) | cable; + USBFS_midiInPointer++; + } + else if (srcBuffZero == USBFS_MIDI_TUNEREQ) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_1BYTE_COMMON | cable; + USBFS_midiInPointer++; + } + else if ((srcBuffZero == USBFS_MIDI_QFM) || (srcBuffZero == USBFS_MIDI_SONGSEL)) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_2BYTE_COMMON | cable; + USBFS_midiInPointer++; + } + else if (srcBuffZero == USBFS_MIDI_SPP) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_3BYTE_COMMON | cable; + USBFS_midiInPointer++; + } + else + { + } + + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffZero; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffOne; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuff[2u]; + USBFS_midiInPointer++; + } + else if (ic == USBFS_MIDI_EOSEX) + { + switch (eventLen) + { + case 0u: + USBFS_midiInBuffer[USBFS_midiInPointer] = + USBFS_SYSEX_ENDS_WITH1 | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_MIDI_EOSEX; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + break; + case 1u: + USBFS_midiInBuffer[USBFS_midiInPointer] = + USBFS_SYSEX_ENDS_WITH2 | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffZero; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_MIDI_EOSEX; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + break; + case 2u: + USBFS_midiInBuffer[USBFS_midiInPointer] = + USBFS_SYSEX_ENDS_WITH3 | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffZero; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffOne; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_MIDI_EOSEX; + USBFS_midiInPointer++; + break; + default: + break; + } + } + else + { + } + } + +#endif /* #if (USBFS_MIDI_IN_BUFF_SIZE > 0) */ + + +/* The implementation for external serial input and output connections +* to route USB MIDI data to and from those connections. +*/ +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + + + /******************************************************************************* + * Function Name: USBFS_MIDI_Init + ******************************************************************************** + * + * Summary: + * Initializes MIDI variables and starts the UART(s) hardware block(s). + * + * Parameters: + * None + * + * Return: + * None + * + * Side Effects: + * Change the priority of the UART(s) TX interrupts to be higher than the + * default EP ISR priority. + * + * Global variables: + * USBFS_MIDI_Event: initialized to zero. + * USBFS_MIDI_TxRunStat: initialized to zero. + * + *******************************************************************************/ + void USBFS_MIDI_Init(void) + { + USBFS_MIDI1_Event.length = 0u; + USBFS_MIDI1_Event.count = 0u; + USBFS_MIDI1_Event.size = 0u; + USBFS_MIDI1_Event.runstat = 0u; + USBFS_MIDI1_TxRunStat = 0u; + USBFS_MIDI1_InqFlags = 0u; + /* Start UART block */ + MIDI1_UART_Start(); + /* Change the priority of the UART TX and RX interrupt */ + CyIntSetPriority(MIDI1_UART_TX_VECT_NUM, USBFS_CUSTOM_UART_TX_PRIOR_NUM); + CyIntSetPriority(MIDI1_UART_RX_VECT_NUM, USBFS_CUSTOM_UART_RX_PRIOR_NUM); + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + USBFS_MIDI2_Event.length = 0u; + USBFS_MIDI2_Event.count = 0u; + USBFS_MIDI2_Event.size = 0u; + USBFS_MIDI2_Event.runstat = 0u; + USBFS_MIDI2_TxRunStat = 0u; + USBFS_MIDI2_InqFlags = 0u; + /* Start second UART block */ + MIDI2_UART_Start(); + /* Change the priority of the UART TX interrupt */ + CyIntSetPriority(MIDI2_UART_TX_VECT_NUM, USBFS_CUSTOM_UART_TX_PRIOR_NUM); + CyIntSetPriority(MIDI2_UART_RX_VECT_NUM, USBFS_CUSTOM_UART_RX_PRIOR_NUM); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF*/ + + /* `#START MIDI_INIT_CUSTOM` Init other extended UARTs here */ + + /* `#END` */ + + } + + + /******************************************************************************* + * Function Name: USBFS_ProcessMidiIn + ******************************************************************************** + * + * Summary: + * Processes one byte of incoming MIDI data. + * + * Parameters: + * mData = current MIDI input data byte + * *rxStat = pointer to a MIDI_RX_STATUS structure + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + *******************************************************************************/ + uint8 USBFS_ProcessMidiIn(uint8 mData, USBFS_MIDI_RX_STATUS *rxStat) + + { + uint8 midiReturn = 0u; + + /* Check for a MIDI status byte. All status bytes, except real time messages, + * which are a single byte, force the start of a new buffer cycle. + */ + if ((mData & USBFS_MIDI_STATUS_BYTE_MASK) != 0u) + { + if ((mData & USBFS_MIDI_STATUS_MASK) == USBFS_MIDI_STATUS_MASK) + { + if ((mData & USBFS_MIDI_SINGLE_BYTE_MASK) != 0u) /* System Real-Time Messages(single byte) */ + { + midiReturn = mData; + } + else /* System Common Messages */ + { + switch (mData) + { + case USBFS_MIDI_SYSEX: + rxStat->msgBuff[0u] = USBFS_MIDI_SYSEX; + rxStat->runstat = USBFS_MIDI_SYSEX; + rxStat->count = 1u; + rxStat->length = 3u; + break; + case USBFS_MIDI_EOSEX: + rxStat->runstat = 0u; + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = USBFS_MIDI_EOSEX; + break; + case USBFS_MIDI_SPP: + rxStat->msgBuff[0u] = USBFS_MIDI_SPP; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 3u; + break; + case USBFS_MIDI_SONGSEL: + rxStat->msgBuff[0u] = USBFS_MIDI_SONGSEL; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 2u; + break; + case USBFS_MIDI_QFM: + rxStat->msgBuff[0u] = USBFS_MIDI_QFM; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 2u; + break; + case USBFS_MIDI_TUNEREQ: + rxStat->msgBuff[0u] = USBFS_MIDI_TUNEREQ; + rxStat->runstat = 0u; + rxStat->size = 1u; + rxStat->count = 0u; + midiReturn = rxStat->size; + break; + default: + break; + } + } + } + else /* Channel Messages */ + { + rxStat->msgBuff[0u] = mData; + rxStat->runstat = mData; + rxStat->count = 1u; + switch (mData & USBFS_MIDI_STATUS_MASK) + { + case USBFS_MIDI_NOTE_OFF: + case USBFS_MIDI_NOTE_ON: + case USBFS_MIDI_POLY_KEY_PRESSURE: + case USBFS_MIDI_CONTROL_CHANGE: + case USBFS_MIDI_PITCH_BEND_CHANGE: + rxStat->length = 3u; + break; + case USBFS_MIDI_PROGRAM_CHANGE: + case USBFS_MIDI_CHANNEL_PRESSURE: + rxStat->length = 2u; + break; + default: + rxStat->runstat = 0u; + rxStat->count = 0u; + break; + } + } + } + + /* Otherwise, it's a data byte */ + else + { + if (rxStat->runstat == USBFS_MIDI_SYSEX) + { + rxStat->msgBuff[rxStat->count] = mData; + rxStat->count++; + if (rxStat->count >= rxStat->length) + { + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = USBFS_MIDI_SYSEX; + } + } + else if (rxStat->count > 0u) + { + rxStat->msgBuff[rxStat->count] = mData; + rxStat->count++; + if (rxStat->count >= rxStat->length) + { + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = rxStat->size; + } + } + else if (rxStat->runstat != 0u) + { + rxStat->msgBuff[0u] = rxStat->runstat; + rxStat->msgBuff[1u] = mData; + rxStat->count = 2u; + switch (rxStat->runstat & USBFS_MIDI_STATUS_MASK) + { + case USBFS_MIDI_NOTE_OFF: + case USBFS_MIDI_NOTE_ON: + case USBFS_MIDI_POLY_KEY_PRESSURE: + case USBFS_MIDI_CONTROL_CHANGE: + case USBFS_MIDI_PITCH_BEND_CHANGE: + rxStat->length = 3u; + break; + case USBFS_MIDI_PROGRAM_CHANGE: + case USBFS_MIDI_CHANNEL_PRESSURE: + rxStat->size =rxStat->count; + rxStat->count = 0u; + midiReturn = rxStat->size; + break; + default: + rxStat->count = 0u; + break; + } + } + else + { + } + } + return (midiReturn); + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI1_GetEvent + ******************************************************************************** + * + * Summary: + * Checks for incoming MIDI data, calls the MIDI event builder if so. + * Returns either empty or with a complete event. + * + * Parameters: + * None + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + * Global variables: + * USBFS_MIDI1_Event: RX status structure used to parse received + * data. + * + *******************************************************************************/ + uint8 USBFS_MIDI1_GetEvent(void) + { + uint8 msgRtn = 0u; + uint8 rxData; + #if (MIDI1_UART_RXBUFFERSIZE >= 256u) + uint16 rxBufferRead; + #if CY_PSOC3 /* This local variable is required only for PSOC3 and large buffer */ + uint16 rxBufferWrite; + #endif /* end CY_PSOC3 */ + #else + uint8 rxBufferRead; + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + uint8 rxBufferLoopDetect; + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI1_UART_rxBufferLoopDetect; + + if ( (MIDI1_UART_rxBufferRead != MIDI1_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u) ) + { + /* Protect variables that could change on interrupt by disabling Rx interrupt.*/ + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + rxBufferRead = MIDI1_UART_rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + rxBufferWrite = MIDI1_UART_rxBufferWrite; + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + + /* Stay here until either the buffer is empty or we have a complete message + * in the message buffer. Note that we must use a temporary buffer pointer + * since it takes two instructions to increment with a wrap, and we can't + * risk doing that with the real pointer and getting an interrupt in between + * instructions. + */ + + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + while ( ((rxBufferRead != rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #else + while ( ((rxBufferRead != MIDI1_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 && CY_PSOC3 */ + { + rxData = MIDI1_UART_rxBuffer[rxBufferRead]; + /* Increment pointer with a wrap */ + rxBufferRead++; + if(rxBufferRead >= MIDI1_UART_RXBUFFERSIZE) + { + rxBufferRead = 0u; + } + /* If loop condition was set - update real read buffer pointer + * to avoid overflow status + */ + if(rxBufferLoopDetect != 0u ) + { + MIDI1_UART_rxBufferLoopDetect = 0u; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + MIDI1_UART_rxBufferRead = rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + } + + msgRtn = USBFS_ProcessMidiIn(rxData, + (USBFS_MIDI_RX_STATUS *)&USBFS_MIDI1_Event); + + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI1_UART_rxBufferLoopDetect; + } + + /* Finally, update the real output pointer, then return with + * an indication as to whether there's a complete message in the buffer. + */ + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + MIDI1_UART_rxBufferRead = rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + } + + return (msgRtn); + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI1_ProcessUsbOut + ******************************************************************************** + * + * Summary: + * Process a USB MIDI output event. + * Puts data into the MIDI TX output buffer. + * + * Parameters: + * *epBuf: pointer on MIDI event. + * + * Return: + * None + * + * Global variables: + * USBFS_MIDI1_TxRunStat: This variable used to save the MIDI + * status byte and skip to send the repeated status byte in subsequent event. + * USBFS_MIDI1_InqFlags: The following flags are set when SysEx + * message comes. + * USBFS_INQ_SYSEX_FLAG: Non-Real Time SySEx message received. + * USBFS_INQ_IDENTITY_REQ_FLAG: Identity Request received. + * This bit should be cleared by user when Identity Reply message generated. + * + *******************************************************************************/ + void USBFS_MIDI1_ProcessUsbOut(const uint8 epBuf[]) + + { + uint8 cmd; + uint8 len; + uint8 i; + + /* User code is required at the beginning of the procedure */ + /* `#START MIDI1_PROCESS_OUT_BEGIN` */ + + /* `#END` */ + + cmd = epBuf[USBFS_EVENT_BYTE0] & USBFS_CIN_MASK; + if((cmd != USBFS_RESERVED0) && (cmd != USBFS_RESERVED1)) + { + len = USBFS_MIDI_SIZE[cmd]; + i = USBFS_EVENT_BYTE1; + /* Universal System Exclusive message parsing */ + if(cmd == USBFS_SYSEX) + { + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_NON_REAL_TIME)) + { /* Non-Real Time SySEx starts */ + USBFS_MIDI1_InqFlags |= USBFS_INQ_SYSEX_FLAG; + } + else + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + } + else if(cmd == USBFS_SYSEX_ENDS_WITH1) + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH2) + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH3) + { + /* Identify Request support */ + if((USBFS_MIDI1_InqFlags & USBFS_INQ_SYSEX_FLAG) != 0u) + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX_GEN_INFORMATION) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_IDENTITY_REQ)) + { /* Set the flag about received the Identity Request. + * The Identity Reply message may be send by user code. + */ + USBFS_MIDI1_InqFlags |= USBFS_INQ_IDENTITY_REQ_FLAG; + } + } + } + else /* Do nothing for other command */ + { + } + /* Running Status for Voice and Mode messages only. */ + if((cmd >= USBFS_NOTE_OFF) && ( cmd <= USBFS_PITCH_BEND_CHANGE)) + { + if(USBFS_MIDI1_TxRunStat == epBuf[USBFS_EVENT_BYTE1]) + { /* Skip the repeated Status byte */ + i++; + } + else + { /* Save Status byte for next event */ + USBFS_MIDI1_TxRunStat = epBuf[USBFS_EVENT_BYTE1]; + } + } + else + { /* Clear Running Status */ + USBFS_MIDI1_TxRunStat = 0u; + } + /* Puts data into the MIDI TX output buffer.*/ + do + { + MIDI1_UART_PutChar(epBuf[i]); + i++; + } while (i <= len); + } + + /* User code is required at the end of the procedure */ + /* `#START MIDI1_PROCESS_OUT_END` */ + + /* `#END` */ + } + +#if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + + + /******************************************************************************* + * Function Name: USBFS_MIDI2_GetEvent + ******************************************************************************** + * + * Summary: + * Checks for incoming MIDI data, calls the MIDI event builder if so. + * Returns either empty or with a complete event. + * + * Parameters: + * None + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + * Global variables: + * USBFS_MIDI2_Event: RX status structure used to parse received + * data. + * + *******************************************************************************/ + uint8 USBFS_MIDI2_GetEvent(void) + { + uint8 msgRtn = 0u; + uint8 rxData; + #if (MIDI2_UART_RXBUFFERSIZE >= 256u) + uint16 rxBufferRead; + #if CY_PSOC3 /* This local variable required only for PSOC3 and large buffer */ + uint16 rxBufferWrite; + #endif /* end CY_PSOC3 */ + #else + uint8 rxBufferRead; + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + uint8 rxBufferLoopDetect; + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI2_UART_rxBufferLoopDetect; + + if ( (MIDI2_UART_rxBufferRead != MIDI2_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u) ) + { + /* Protect variables that could change on interrupt by disabling Rx interrupt.*/ + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + rxBufferRead = MIDI2_UART_rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + rxBufferWrite = MIDI2_UART_rxBufferWrite; + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + + /* Stay here until either the buffer is empty or we have a complete message + * in the message buffer. Note that we must use a temporary output pointer to + * since it takes two instructions to increment with a wrap, and we can't + * risk doing that with the real pointer and getting an interrupt in between + * instructions. + */ + + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + while ( ((rxBufferRead != rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #else + while ( ((rxBufferRead != MIDI2_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 && CY_PSOC3 */ + { + rxData = MIDI2_UART_rxBuffer[rxBufferRead]; + rxBufferRead++; + if(rxBufferRead >= MIDI2_UART_RXBUFFERSIZE) + { + rxBufferRead = 0u; + } + /* If loop condition was set - update real read buffer pointer + * to avoid overflow status + */ + if(rxBufferLoopDetect != 0u ) + { + MIDI2_UART_rxBufferLoopDetect = 0u; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + MIDI2_UART_rxBufferRead = rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + } + + msgRtn = USBFS_ProcessMidiIn(rxData, + (USBFS_MIDI_RX_STATUS *)&USBFS_MIDI2_Event); + + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI2_UART_rxBufferLoopDetect; + } + + /* Finally, update the real output pointer, then return with + * an indication as to whether there's a complete message in the buffer. + */ + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + MIDI2_UART_rxBufferRead = rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + } + + return (msgRtn); + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI2_ProcessUsbOut + ******************************************************************************** + * + * Summary: + * Process a USB MIDI output event. + * Puts data into the MIDI TX output buffer. + * + * Parameters: + * *epBuf: pointer on MIDI event. + * + * Return: + * None + * + * Global variables: + * USBFS_MIDI2_TxRunStat: This variable used to save the MIDI + * status byte and skip to send the repeated status byte in subsequent event. + * USBFS_MIDI2_InqFlags: The following flags are set when SysEx + * message comes. + * USBFS_INQ_SYSEX_FLAG: Non-Real Time SySEx message received. + * USBFS_INQ_IDENTITY_REQ_FLAG: Identity Request received. + * This bit should be cleared by user when Identity Reply message generated. + * + *******************************************************************************/ + void USBFS_MIDI2_ProcessUsbOut(const uint8 epBuf[]) + + { + uint8 cmd; + uint8 len; + uint8 i; + + /* User code is required at the beginning of the procedure */ + /* `#START MIDI2_PROCESS_OUT_START` */ + + /* `#END` */ + + cmd = epBuf[USBFS_EVENT_BYTE0] & USBFS_CIN_MASK; + if((cmd != USBFS_RESERVED0) && (cmd != USBFS_RESERVED1)) + { + len = USBFS_MIDI_SIZE[cmd]; + i = USBFS_EVENT_BYTE1; + /* Universal System Exclusive message parsing */ + if(cmd == USBFS_SYSEX) + { + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_NON_REAL_TIME)) + { /* SySEx starts */ + USBFS_MIDI2_InqFlags |= USBFS_INQ_SYSEX_FLAG; + } + else + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + } + else if(cmd == USBFS_SYSEX_ENDS_WITH1) + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH2) + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH3) + { + /* Identify Request support */ + if((USBFS_MIDI2_InqFlags & USBFS_INQ_SYSEX_FLAG) != 0u) + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX_GEN_INFORMATION) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_IDENTITY_REQ)) + { /* Set the flag about received the Identity Request. + * The Identity Reply message may be send by user code. + */ + USBFS_MIDI2_InqFlags |= USBFS_INQ_IDENTITY_REQ_FLAG; + } + } + } + else /* Do nothing for other command */ + { + } + /* Running Status for Voice and Mode messages only. */ + if((cmd >= USBFS_NOTE_OFF) && ( cmd <= USBFS_PITCH_BEND_CHANGE)) + { + if(USBFS_MIDI2_TxRunStat == epBuf[USBFS_EVENT_BYTE1]) + { /* Skip the repeated Status byte */ + i++; + } + else + { /* Save Status byte for next event */ + USBFS_MIDI2_TxRunStat = epBuf[USBFS_EVENT_BYTE1]; + } + } + else + { /* Clear Running Status */ + USBFS_MIDI2_TxRunStat = 0u; + } + /* Puts data into the MIDI TX output buffer.*/ + do + { + MIDI2_UART_PutChar(epBuf[i]); + i++; + } while (i <= len); + } + + /* User code is required at the end of the procedure */ + /* `#START MIDI2_PROCESS_OUT_END` */ + + /* `#END` */ + } +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + +#endif /* End (USBFS_ENABLE_MIDI_API != 0u) */ + + +/* `#START MIDI_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End defined(USBFS_ENABLE_MIDI_STREAMING) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.h new file mode 100755 index 0000000..5a72034 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_midi.h @@ -0,0 +1,200 @@ +/******************************************************************************* +* File Name: USBFS_midi.h +* Version 2.60 +* +* Description: +* Header File for the USBFS MIDI module. +* Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_midi_H) +#define CY_USBFS_USBFS_midi_H + +#include "cytypes.h" +#include "USBFS.h" + + +/*************************************** +* Data Struct Definition +***************************************/ + +/* The following structure is used to hold status information for + building and parsing incoming MIDI messages. */ +typedef struct +{ + uint8 length; /* expected length */ + uint8 count; /* current byte count */ + uint8 size; /* complete size */ + uint8 runstat; /* running status */ + uint8 msgBuff[4]; /* message buffer */ +} USBFS_MIDI_RX_STATUS; + + +/*************************************** +* MIDI Constants. +***************************************/ + +#define USBFS_ONE_EXT_INTRF (0x01u) +#define USBFS_TWO_EXT_INTRF (0x02u) + +/* Flag definitions for use with MIDI device inquiry */ +#define USBFS_INQ_SYSEX_FLAG (0x01u) +#define USBFS_INQ_IDENTITY_REQ_FLAG (0x02u) + +/* USB-MIDI Code Index Number Classifications (MIDI Table 4-1) */ +#define USBFS_CIN_MASK (0x0Fu) +#define USBFS_RESERVED0 (0x00u) +#define USBFS_RESERVED1 (0x01u) +#define USBFS_2BYTE_COMMON (0x02u) +#define USBFS_3BYTE_COMMON (0x03u) +#define USBFS_SYSEX (0x04u) +#define USBFS_1BYTE_COMMON (0x05u) +#define USBFS_SYSEX_ENDS_WITH1 (0x05u) +#define USBFS_SYSEX_ENDS_WITH2 (0x06u) +#define USBFS_SYSEX_ENDS_WITH3 (0x07u) +#define USBFS_NOTE_OFF (0x08u) +#define USBFS_NOTE_ON (0x09u) +#define USBFS_POLY_KEY_PRESSURE (0x0Au) +#define USBFS_CONTROL_CHANGE (0x0Bu) +#define USBFS_PROGRAM_CHANGE (0x0Cu) +#define USBFS_CHANNEL_PRESSURE (0x0Du) +#define USBFS_PITCH_BEND_CHANGE (0x0Eu) +#define USBFS_SINGLE_BYTE (0x0Fu) + +#define USBFS_CABLE_MASK (0xF0u) +#define USBFS_MIDI_CABLE_00 (0x00u) +#define USBFS_MIDI_CABLE_01 (0x10u) + +#define USBFS_EVENT_BYTE0 (0x00u) +#define USBFS_EVENT_BYTE1 (0x01u) +#define USBFS_EVENT_BYTE2 (0x02u) +#define USBFS_EVENT_BYTE3 (0x03u) +#define USBFS_EVENT_LENGTH (0x04u) + +#define USBFS_MIDI_STATUS_BYTE_MASK (0x80u) +#define USBFS_MIDI_STATUS_MASK (0xF0u) +#define USBFS_MIDI_SINGLE_BYTE_MASK (0x08u) +#define USBFS_MIDI_NOTE_OFF (0x80u) +#define USBFS_MIDI_NOTE_ON (0x90u) +#define USBFS_MIDI_POLY_KEY_PRESSURE (0xA0u) +#define USBFS_MIDI_CONTROL_CHANGE (0xB0u) +#define USBFS_MIDI_PROGRAM_CHANGE (0xC0u) +#define USBFS_MIDI_CHANNEL_PRESSURE (0xD0u) +#define USBFS_MIDI_PITCH_BEND_CHANGE (0xE0u) +#define USBFS_MIDI_SYSEX (0xF0u) +#define USBFS_MIDI_EOSEX (0xF7u) +#define USBFS_MIDI_QFM (0xF1u) +#define USBFS_MIDI_SPP (0xF2u) +#define USBFS_MIDI_SONGSEL (0xF3u) +#define USBFS_MIDI_TUNEREQ (0xF6u) +#define USBFS_MIDI_ACTIVESENSE (0xFEu) + +/* MIDI Universal System Exclusive defines */ +#define USBFS_MIDI_SYSEX_NON_REAL_TIME (0x7Eu) +#define USBFS_MIDI_SYSEX_REALTIME (0x7Fu) +/* ID of target device */ +#define USBFS_MIDI_SYSEX_ID_ALL (0x7Fu) +/* Sub-ID#1*/ +#define USBFS_MIDI_SYSEX_GEN_INFORMATION (0x06u) +#define USBFS_MIDI_SYSEX_GEN_MESSAGE (0x09u) +/* Sub-ID#2*/ +#define USBFS_MIDI_SYSEX_IDENTITY_REQ (0x01u) +#define USBFS_MIDI_SYSEX_IDENTITY_REPLY (0x02u) +#define USBFS_MIDI_SYSEX_SYSTEM_ON (0x01u) +#define USBFS_MIDI_SYSEX_SYSTEM_OFF (0x02u) + +#define USBFS_CUSTOM_UART_TX_PRIOR_NUM (0x04u) +#define USBFS_CUSTOM_UART_RX_PRIOR_NUM (0x02u) + +#define USBFS_ISR_SERVICE_MIDI_OUT \ + ( (USBFS_ENABLE_MIDI_API != 0u) && \ + (USBFS_MIDI_OUT_BUFF_SIZE > 0) && (USBFS_EP_MM == USBFS__EP_DMAAUTO) ) +#define USBFS_ISR_SERVICE_MIDI_IN \ + ( (USBFS_ENABLE_MIDI_API != 0u) && (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + +/*************************************** +* External function references +***************************************/ + +void USBFS_callbackLocalMidiEvent(uint8 cable, uint8 *midiMsg) + ; + + +/*************************************** +* External references +***************************************/ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + #include "MIDI1_UART.h" +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ +#if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + #include "MIDI2_UART.h" +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + #include +#endif /* End USBFS_EP_MM */ + + +/*************************************** +* Private function prototypes +***************************************/ + +void USBFS_PrepareInBuffer(uint8 ic, const uint8 srcBuff[], uint8 eventLen, uint8 cable) + ; +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + void USBFS_MIDI_Init(void) ; + uint8 USBFS_ProcessMidiIn(uint8 mData, USBFS_MIDI_RX_STATUS *rxStat) + ; + uint8 USBFS_MIDI1_GetEvent(void) ; + void USBFS_MIDI1_ProcessUsbOut(const uint8 epBuf[]) + ; + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + uint8 USBFS_MIDI2_GetEvent(void) ; + void USBFS_MIDI2_ProcessUsbOut(const uint8 epBuf[]) + ; + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + +/*************************************** +* External data references +***************************************/ + +#if defined(USBFS_ENABLE_MIDI_STREAMING) + +#if (USBFS_MIDI_IN_BUFF_SIZE > 0) + #if (USBFS_MIDI_IN_BUFF_SIZE >= 256) + extern volatile uint16 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #else + extern volatile uint8 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #endif /* End USBFS_MIDI_IN_BUFF_SIZE >=256 */ + extern volatile uint8 USBFS_midi_in_ep; /* Input endpoint number */ + extern uint8 USBFS_midiInBuffer[USBFS_MIDI_IN_BUFF_SIZE]; /* Input endpoint buffer */ +#endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + extern volatile uint8 USBFS_midi_out_ep; /* Output endpoint number */ + extern uint8 USBFS_midiOutBuffer[USBFS_MIDI_OUT_BUFF_SIZE]; /* Output endpoint buffer */ +#endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + extern volatile uint8 USBFS_MIDI1_InqFlags; /* Device inquiry flag */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + extern volatile uint8 USBFS_MIDI2_InqFlags; /* Device inquiry flag */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + +#endif /* USBFS_ENABLE_MIDI_STREAMING */ + + +#endif /* End CY_USBFS_USBFS_midi_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pm.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pm.c new file mode 100755 index 0000000..00c88f6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pm.c @@ -0,0 +1,277 @@ +/******************************************************************************* +* File Name: USBFS_pm.c +* Version 2.60 +* +* Description: +* This file provides Suspend/Resume APIs functionality. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "project.h" +#include "USBFS.h" +#include "USBFS_pvt.h" + + +/*************************************** +* Custom Declarations +***************************************/ +/* `#START PM_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Local data allocation +***************************************/ + +static USBFS_BACKUP_STRUCT USBFS_backup; + + +#if(USBFS_DP_ISR_REMOVE == 0u) + + + /******************************************************************************* + * Function Name: USBFS_DP_Interrupt + ******************************************************************************** + * + * Summary: + * This Interrupt Service Routine handles DP pin changes for wake-up from + * the sleep mode. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_DP_ISR) + { + /* `#START DP_USER_CODE` Place your code here */ + + /* `#END` */ + + /* Clears active interrupt */ + CY_GET_REG8(USBFS_DP_INTSTAT_PTR); + } + +#endif /* (USBFS_DP_ISR_REMOVE == 0u) */ + + +/******************************************************************************* +* Function Name: USBFS_SaveConfig +******************************************************************************** +* +* Summary: +* Saves the current user configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_SaveConfig(void) +{ + +} + + +/******************************************************************************* +* Function Name: USBFS_RestoreConfig +******************************************************************************** +* +* Summary: +* Restores the current user configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_RestoreConfig(void) +{ + if(USBFS_configuration != 0u) + { + USBFS_ConfigReg(); + } +} + + +/******************************************************************************* +* Function Name: USBFS_Suspend +******************************************************************************** +* +* Summary: +* This function disables the USBFS block and prepares for power donwn mode. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_backup.enable: modified. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Suspend(void) +{ + uint8 enableInterrupts; + enableInterrupts = CyEnterCriticalSection(); + + if((CY_GET_REG8(USBFS_CR0_PTR) & USBFS_CR0_ENABLE) != 0u) + { /* USB block is enabled */ + USBFS_backup.enableState = 1u; + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + USBFS_Stop_DMA(USBFS_MAX_EP); /* Stop all DMAs */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + USBFS_USBIO_CR0_REG &= (uint8)~USBFS_USBIO_CR0_TEN; + CyDelayUs(0u); /*~50ns delay */ + + /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) and pd_pullup_hv(Inverted) high. */ + USBFS_PM_USB_CR0_REG &= + (uint8)~(USBFS_PM_USB_CR0_PD_N | USBFS_PM_USB_CR0_PD_PULLUP_N); + + /* Disable the SIE */ + USBFS_CR0_REG &= (uint8)~USBFS_CR0_ENABLE; + + CyDelayUs(0u); /*~50ns delay */ + /* Store mode and Disable VRegulator*/ + USBFS_backup.mode = USBFS_CR1_REG & USBFS_CR1_REG_ENABLE; + USBFS_CR1_REG &= (uint8)~USBFS_CR1_REG_ENABLE; + + CyDelayUs(1u); /* 0.5 us min delay */ + /* Disable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_PM_USB_CR0_REG &= (uint8)~USBFS_PM_USB_CR0_REF_EN; + + /* Switch DP and DM terminals to GPIO mode and disconnect 1.5k pullup*/ + USBFS_USBIO_CR1_REG |= USBFS_USBIO_CR1_IOMODE; + + /* Disable USB in ACT PM */ + USBFS_PM_ACT_CFG_REG &= (uint8)~USBFS_PM_ACT_EN_FSUSB; + /* Disable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG &= (uint8)~USBFS_PM_STBY_EN_FSUSB; + CyDelayUs(1u); /* min 0.5us delay required */ + + } + else + { + USBFS_backup.enableState = 0u; + } + CyExitCriticalSection(enableInterrupts); + + /* Set the DP Interrupt for wake-up from sleep mode. */ + #if(USBFS_DP_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_DP_INTC_VECT_NUM, &USBFS_DP_ISR); + CyIntSetPriority(USBFS_DP_INTC_VECT_NUM, USBFS_DP_INTC_PRIOR); + CyIntClearPending(USBFS_DP_INTC_VECT_NUM); + CyIntEnable(USBFS_DP_INTC_VECT_NUM); + #endif /* (USBFS_DP_ISR_REMOVE == 0u) */ + +} + + +/******************************************************************************* +* Function Name: USBFS_Resume +******************************************************************************** +* +* Summary: +* This function enables the USBFS block after power down mode. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_backup - checked. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Resume(void) +{ + uint8 enableInterrupts; + enableInterrupts = CyEnterCriticalSection(); + + if(USBFS_backup.enableState != 0u) + { + #if(USBFS_DP_ISR_REMOVE == 0u) + CyIntDisable(USBFS_DP_INTC_VECT_NUM); + #endif /* End USBFS_DP_ISR_REMOVE */ + + /* Enable USB block */ + USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + /* Enable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + /* Enable core clock */ + USBFS_USB_CLK_EN_REG |= USBFS_USB_CLK_ENABLE; + + /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + /* The reference will be available ~40us after power restored */ + CyDelayUs(40u); + /* Return VRegulator*/ + USBFS_CR1_REG |= USBFS_backup.mode; + CyDelayUs(0u); /*~50ns delay */ + /* Enable USBIO */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + CyDelayUs(2u); + /* Set the USBIO pull-up enable */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + + /* Reinit Arbiter configuration for DMA transfers */ + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + /* usb arb interrupt enable */ + USBFS_ARB_INT_EN_REG = USBFS_ARB_INT_MASK; + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_MANUAL_DMA; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /*Set cfg cmplt this rises DMA request when the full configuration is done */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* STALL_IN_OUT */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + /* Enable the SIE with a last address */ + USBFS_CR0_REG |= USBFS_CR0_ENABLE; + CyDelayCycles(1u); + /* Finally, Enable d+ pullup and select iomode to USB mode*/ + CY_SET_REG8(USBFS_USBIO_CR1_PTR, USBFS_USBIO_CR1_USBPUEN); + + /* Restore USB register settings */ + USBFS_RestoreConfig(); + + } + CyExitCriticalSection(enableInterrupts); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pvt.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pvt.h new file mode 100755 index 0000000..499fe26 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_pvt.h @@ -0,0 +1,203 @@ +/******************************************************************************* +* File Name: .h +* Version 2.60 +* +* Description: +* This private file provides constants and parameter values for the +* USBFS Component. +* Please do not use this file or its content in your project. +* +* Note: +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_pvt_H) +#define CY_USBFS_USBFS_pvt_H + + +/*************************************** +* Private Variables +***************************************/ + +/* Generated external references for descriptors*/ +extern const uint8 CYCODE USBFS_DEVICE0_DESCR[18u]; +extern const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_DESCR[41u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE[1u]; +extern const T_USBFS_EP_SETTINGS_BLOCK CYCODE USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE[2u]; +extern const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS[1u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_TABLE[4u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_TABLE[2u]; +extern const T_USBFS_LUT CYCODE USBFS_TABLE[1u]; +extern const uint8 CYCODE USBFS_SN_STRING_DESCRIPTOR[10]; +extern const uint8 CYCODE USBFS_STRING_DESCRIPTORS[83u]; +extern T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB; +extern uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE]; +extern T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB; +extern uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE]; +extern const uint8 CYCODE USBFS_HIDREPORT_DESCRIPTOR1[40u]; +extern const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE[1u]; +extern const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE[1u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE[5u]; + + +extern const uint8 CYCODE USBFS_MSOS_DESCRIPTOR[USBFS_MSOS_DESCRIPTOR_LENGTH]; +extern const uint8 CYCODE USBFS_MSOS_CONFIGURATION_DESCR[USBFS_MSOS_CONF_DESCR_LENGTH]; +#if defined(USBFS_ENABLE_IDSN_STRING) + extern uint8 USBFS_idSerialNumberStringDescriptor[USBFS_IDSN_DESCR_LENGTH]; +#endif /* USBFS_ENABLE_IDSN_STRING */ + +extern volatile uint8 USBFS_interfaceNumber; +extern volatile uint8 USBFS_interfaceSetting[USBFS_MAX_INTERFACES_NUMBER]; +extern volatile uint8 USBFS_interfaceSetting_last[USBFS_MAX_INTERFACES_NUMBER]; +extern volatile uint8 USBFS_deviceAddress; +extern volatile uint8 USBFS_interfaceStatus[USBFS_MAX_INTERFACES_NUMBER]; +extern const uint8 CYCODE *USBFS_interfaceClass; + +extern volatile T_USBFS_EP_CTL_BLOCK USBFS_EP[USBFS_MAX_EP]; +extern volatile T_USBFS_TD USBFS_currentTD; + +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + extern uint8 USBFS_DmaChan[USBFS_MAX_EP]; + extern uint8 USBFS_DmaTd[USBFS_MAX_EP]; +#endif /* End USBFS_EP_MM */ + +extern volatile uint8 USBFS_ep0Toggle; +extern volatile uint8 USBFS_lastPacketSize; +extern volatile uint8 USBFS_ep0Mode; +extern volatile uint8 USBFS_ep0Count; +extern volatile uint16 USBFS_transferByteCount; + + +/*************************************** +* Private Function Prototypes +***************************************/ +void USBFS_ReInitComponent(void) ; +void USBFS_HandleSetup(void) ; +void USBFS_HandleIN(void) ; +void USBFS_HandleOUT(void) ; +void USBFS_LoadEP0(void) ; +uint8 USBFS_InitControlRead(void) ; +uint8 USBFS_InitControlWrite(void) ; +void USBFS_ControlReadDataStage(void) ; +void USBFS_ControlReadStatusStage(void) ; +void USBFS_ControlReadPrematureStatus(void) + ; +uint8 USBFS_InitControlWrite(void) ; +uint8 USBFS_InitZeroLengthControlTransfer(void) + ; +void USBFS_ControlWriteDataStage(void) ; +void USBFS_ControlWriteStatusStage(void) ; +void USBFS_ControlWritePrematureStatus(void) + ; +uint8 USBFS_InitNoDataControlTransfer(void) ; +void USBFS_NoDataControlStatusStage(void) ; +void USBFS_InitializeStatusBlock(void) ; +void USBFS_UpdateStatusBlock(uint8 completionCode) ; +uint8 USBFS_DispatchClassRqst(void) ; + +void USBFS_Config(uint8 clearAltSetting) ; +void USBFS_ConfigAltChanged(void) ; +void USBFS_ConfigReg(void) ; + +const T_USBFS_LUT CYCODE *USBFS_GetConfigTablePtr(uint8 c) + ; +const T_USBFS_LUT CYCODE *USBFS_GetDeviceTablePtr(void) + ; +const uint8 CYCODE *USBFS_GetInterfaceClassTablePtr(void) + ; +uint8 USBFS_ClearEndpointHalt(void) ; +uint8 USBFS_SetEndpointHalt(void) ; +uint8 USBFS_ValidateAlternateSetting(void) ; + +void USBFS_SaveConfig(void) ; +void USBFS_RestoreConfig(void) ; + +#if defined(USBFS_ENABLE_IDSN_STRING) + void USBFS_ReadDieID(uint8 descr[]) ; +#endif /* USBFS_ENABLE_IDSN_STRING */ + +#if defined(USBFS_ENABLE_HID_CLASS) + uint8 USBFS_DispatchHIDClassRqst(void); +#endif /* End USBFS_ENABLE_HID_CLASS */ +#if defined(USBFS_ENABLE_AUDIO_CLASS) + uint8 USBFS_DispatchAUDIOClassRqst(void); +#endif /* End USBFS_ENABLE_HID_CLASS */ +#if defined(USBFS_ENABLE_CDC_CLASS) + uint8 USBFS_DispatchCDCClassRqst(void); +#endif /* End USBFS_ENABLE_CDC_CLASS */ + +CY_ISR_PROTO(USBFS_EP_0_ISR); +#if(USBFS_EP1_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_1_ISR); +#endif /* End USBFS_EP1_ISR_REMOVE */ +#if(USBFS_EP2_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_2_ISR); +#endif /* End USBFS_EP2_ISR_REMOVE */ +#if(USBFS_EP3_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_3_ISR); +#endif /* End USBFS_EP3_ISR_REMOVE */ +#if(USBFS_EP4_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_4_ISR); +#endif /* End USBFS_EP4_ISR_REMOVE */ +#if(USBFS_EP5_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_5_ISR); +#endif /* End USBFS_EP5_ISR_REMOVE */ +#if(USBFS_EP6_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_6_ISR); +#endif /* End USBFS_EP6_ISR_REMOVE */ +#if(USBFS_EP7_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_7_ISR); +#endif /* End USBFS_EP7_ISR_REMOVE */ +#if(USBFS_EP8_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_8_ISR); +#endif /* End USBFS_EP8_ISR_REMOVE */ +CY_ISR_PROTO(USBFS_BUS_RESET_ISR); +#if(USBFS_SOF_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_SOF_ISR); +#endif /* End USBFS_SOF_ISR_REMOVE */ +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + CY_ISR_PROTO(USBFS_ARB_ISR); +#endif /* End USBFS_EP_MM */ +#if(USBFS_DP_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_DP_ISR); +#endif /* End USBFS_DP_ISR_REMOVE */ + + +/*************************************** +* Request Handlers +***************************************/ + +uint8 USBFS_HandleStandardRqst(void) ; +uint8 USBFS_DispatchClassRqst(void) ; +uint8 USBFS_HandleVendorRqst(void) ; + + +/*************************************** +* HID Internal references +***************************************/ +#if defined(USBFS_ENABLE_HID_CLASS) + void USBFS_FindReport(void) ; + void USBFS_FindReportDescriptor(void) ; + void USBFS_FindHidClassDecriptor(void) ; +#endif /* USBFS_ENABLE_HID_CLASS */ + + +/*************************************** +* MIDI Internal references +***************************************/ +#if defined(USBFS_ENABLE_MIDI_STREAMING) + void USBFS_MIDI_IN_EP_Service(void) ; +#endif /* USBFS_ENABLE_MIDI_STREAMING */ + + +#endif /* CY_USBFS_USBFS_pvt_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_std.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_std.c new file mode 100755 index 0000000..18f0364 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_std.c @@ -0,0 +1,1134 @@ +/******************************************************************************* +* File Name: USBFS_std.c +* Version 2.60 +* +* Description: +* USB Standard request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_cdc.h" +#include "USBFS_pvt.h" +#if defined(USBFS_ENABLE_MIDI_STREAMING) + #include "USBFS_midi.h" +#endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Static data allocation +***************************************/ + +#if defined(USBFS_ENABLE_FWSN_STRING) + static volatile uint8 *USBFS_fwSerialNumberStringDescriptor; + static volatile uint8 USBFS_snStringConfirm = USBFS_FALSE; +#endif /* USBFS_ENABLE_FWSN_STRING */ + +#if defined(USBFS_ENABLE_FWSN_STRING) + + + /******************************************************************************* + * Function Name: USBFS_SerialNumString + ******************************************************************************** + * + * Summary: + * Application firmware may supply the source of the USB device descriptors + * serial number string during runtime. + * + * Parameters: + * snString: pointer to string. + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_SerialNumString(uint8 snString[]) + { + USBFS_snStringConfirm = USBFS_FALSE; + if(snString != NULL) + { + USBFS_fwSerialNumberStringDescriptor = snString; + /* Check descriptor validation */ + if( (snString[0u] > 1u ) && (snString[1u] == USBFS_DESCR_STRING) ) + { + USBFS_snStringConfirm = USBFS_TRUE; + } + } + } + +#endif /* USBFS_ENABLE_FWSN_STRING */ + + +/******************************************************************************* +* Function Name: USBFS_HandleStandardRqst +******************************************************************************** +* +* Summary: +* This Routine dispatches standard requests +* +* Parameters: +* None. +* +* Return: +* TRUE if request handled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_HandleStandardRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + uint8 interfaceNumber; + #if defined(USBFS_ENABLE_STRINGS) + volatile uint8 *pStr = 0u; + #if defined(USBFS_ENABLE_DESCRIPTOR_STRINGS) + uint8 nStr; + uint8 descrLength; + #endif /* USBFS_ENABLE_DESCRIPTOR_STRINGS */ + #endif /* USBFS_ENABLE_STRINGS */ + static volatile uint8 USBFS_tBuffer[USBFS_STATUS_LENGTH_MAX]; + const T_USBFS_LUT CYCODE *pTmp; + USBFS_currentTD.count = 0u; + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { + /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_DESCRIPTOR: + if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_DEVICE) + { + pTmp = USBFS_GetDeviceTablePtr(); + USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + USBFS_currentTD.count = USBFS_DEVICE_DESCR_LENGTH; + requestHandled = USBFS_InitControlRead(); + } + else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_CONFIG) + { + pTmp = USBFS_GetConfigTablePtr(CY_GET_REG8(USBFS_wValueLo)); + USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + USBFS_currentTD.count = ((uint16)(USBFS_currentTD.pData)[ \ + USBFS_CONFIG_DESCR_TOTAL_LENGTH_HI] << 8u) | \ + (USBFS_currentTD.pData)[USBFS_CONFIG_DESCR_TOTAL_LENGTH_LOW]; + requestHandled = USBFS_InitControlRead(); + } + #if defined(USBFS_ENABLE_STRINGS) + else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_STRING) + { + /* Descriptor Strings*/ + #if defined(USBFS_ENABLE_DESCRIPTOR_STRINGS) + nStr = 0u; + pStr = (volatile uint8 *)&USBFS_STRING_DESCRIPTORS[0u]; + while ( (CY_GET_REG8(USBFS_wValueLo) > nStr) && (*pStr != 0u) ) + { + /* Read descriptor length from 1st byte */ + descrLength = *pStr; + /* Move to next string descriptor */ + pStr = &pStr[descrLength]; + nStr++; + } + #endif /* End USBFS_ENABLE_DESCRIPTOR_STRINGS */ + /* Microsoft OS String*/ + #if defined(USBFS_ENABLE_MSOS_STRING) + if( CY_GET_REG8(USBFS_wValueLo) == USBFS_STRING_MSOS ) + { + pStr = (volatile uint8 *)&USBFS_MSOS_DESCRIPTOR[0u]; + } + #endif /* End USBFS_ENABLE_MSOS_STRING*/ + /* SN string */ + #if defined(USBFS_ENABLE_SN_STRING) + if( (CY_GET_REG8(USBFS_wValueLo) != 0u) && + (CY_GET_REG8(USBFS_wValueLo) == + USBFS_DEVICE0_DESCR[USBFS_DEVICE_DESCR_SN_SHIFT]) ) + { + pStr = (volatile uint8 *)&USBFS_SN_STRING_DESCRIPTOR[0u]; + #if defined(USBFS_ENABLE_FWSN_STRING) + if(USBFS_snStringConfirm != USBFS_FALSE) + { + pStr = USBFS_fwSerialNumberStringDescriptor; + } + #endif /* USBFS_ENABLE_FWSN_STRING */ + #if defined(USBFS_ENABLE_IDSN_STRING) + /* Read DIE ID and generate string descriptor in RAM */ + USBFS_ReadDieID(USBFS_idSerialNumberStringDescriptor); + pStr = USBFS_idSerialNumberStringDescriptor; + #endif /* End USBFS_ENABLE_IDSN_STRING */ + } + #endif /* End USBFS_ENABLE_SN_STRING */ + if (*pStr != 0u) + { + USBFS_currentTD.count = *pStr; + USBFS_currentTD.pData = pStr; + requestHandled = USBFS_InitControlRead(); + } + } + #endif /* End USBFS_ENABLE_STRINGS */ + else + { + requestHandled = USBFS_DispatchClassRqst(); + } + break; + case USBFS_GET_STATUS: + switch ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK)) + { + case USBFS_RQST_RCPT_EP: + USBFS_currentTD.count = USBFS_EP_STATUS_LENGTH; + USBFS_tBuffer[0u] = USBFS_EP[ \ + CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].hwEpState; + USBFS_tBuffer[1u] = 0u; + USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + requestHandled = USBFS_InitControlRead(); + break; + case USBFS_RQST_RCPT_DEV: + USBFS_currentTD.count = USBFS_DEVICE_STATUS_LENGTH; + USBFS_tBuffer[0u] = USBFS_deviceStatus; + USBFS_tBuffer[1u] = 0u; + USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + requestHandled = USBFS_InitControlRead(); + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + case USBFS_GET_CONFIGURATION: + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = (volatile uint8 *)&USBFS_configuration; + requestHandled = USBFS_InitControlRead(); + break; + case USBFS_GET_INTERFACE: + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = (volatile uint8 *)&USBFS_interfaceSetting[ \ + CY_GET_REG8(USBFS_wIndexLo)]; + requestHandled = USBFS_InitControlRead(); + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else { + /* Control Write */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_SET_ADDRESS: + USBFS_deviceAddress = CY_GET_REG8(USBFS_wValueLo); + requestHandled = USBFS_InitNoDataControlTransfer(); + break; + case USBFS_SET_CONFIGURATION: + USBFS_configuration = CY_GET_REG8(USBFS_wValueLo); + USBFS_configurationChanged = USBFS_TRUE; + USBFS_Config(USBFS_TRUE); + requestHandled = USBFS_InitNoDataControlTransfer(); + break; + case USBFS_SET_INTERFACE: + if (USBFS_ValidateAlternateSetting() != 0u) + { + interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + USBFS_interfaceNumber = interfaceNumber; + USBFS_configurationChanged = USBFS_TRUE; + #if ((USBFS_EP_MA == USBFS__MA_DYNAMIC) && \ + (USBFS_EP_MM == USBFS__EP_MANUAL) ) + USBFS_Config(USBFS_FALSE); + #else + USBFS_ConfigAltChanged(); + #endif /* End (USBFS_EP_MA == USBFS__MA_DYNAMIC) */ + /* Update handled Alt setting changes status */ + USBFS_interfaceSetting_last[interfaceNumber] = + USBFS_interfaceSetting[interfaceNumber]; + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + case USBFS_CLEAR_FEATURE: + switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + { + case USBFS_RQST_RCPT_EP: + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + { + requestHandled = USBFS_ClearEndpointHalt(); + } + break; + case USBFS_RQST_RCPT_DEV: + /* Clear device REMOTE_WAKEUP */ + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + { + USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + case USBFS_RQST_RCPT_IFC: + /* Validate interfaceNumber */ + if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + { + USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + (uint8)~(CY_GET_REG8(USBFS_wValueLo)); + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + case USBFS_SET_FEATURE: + switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + { + case USBFS_RQST_RCPT_EP: + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + { + requestHandled = USBFS_SetEndpointHalt(); + } + break; + case USBFS_RQST_RCPT_DEV: + /* Set device REMOTE_WAKEUP */ + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + { + USBFS_deviceStatus |= USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + case USBFS_RQST_RCPT_IFC: + /* Validate interfaceNumber */ + if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + { + USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + (uint8)~(CY_GET_REG8(USBFS_wValueLo)); + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + return(requestHandled); +} + + +#if defined(USBFS_ENABLE_IDSN_STRING) + + /*************************************************************************** + * Function Name: USBFS_ReadDieID + **************************************************************************** + * + * Summary: + * This routine read Die ID and generate Serial Number string descriptor. + * + * Parameters: + * descr: pointer on string descriptor. + * + * Return: + * None. + * + * Reentrant: + * No. + * + ***************************************************************************/ + void USBFS_ReadDieID(uint8 descr[]) + { + uint8 i; + uint8 j = 0u; + uint8 value; + const char8 CYCODE hex[16u] = "0123456789ABCDEF"; + + + /* Check descriptor validation */ + if( descr != NULL) + { + descr[0u] = USBFS_IDSN_DESCR_LENGTH; + descr[1u] = USBFS_DESCR_STRING; + + /* fill descriptor */ + for(i = 2u; i < USBFS_IDSN_DESCR_LENGTH; i += 4u) + { + value = CY_GET_XTND_REG8((void CYFAR *)(USBFS_DIE_ID + j)); + j++; + descr[i] = (uint8)hex[value >> 4u]; + descr[i + 2u] = (uint8)hex[value & 0x0Fu]; + } + } + } + +#endif /* End USBFS_ENABLE_IDSN_STRING */ + + +/******************************************************************************* +* Function Name: USBFS_ConfigReg +******************************************************************************** +* +* Summary: +* This routine configures hardware registers from the variables. +* It is called from USBFS_Config() function and from RestoreConfig +* after Wakeup. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_ConfigReg(void) +{ + uint8 ep; + uint8 i; + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + uint8 ep_type = 0u; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + /* Set the endpoint buffer addresses */ + ep = USBFS_EP1; + for (i = 0u; i < 0x80u; i+= 0x10u) + { + CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_CFG_IND + i), USBFS_ARB_EPX_CFG_CRC_BYPASS | + USBFS_ARB_EPX_CFG_RESET); + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + /* Enable all Arbiter EP Interrupts : err, buf under, buf over, dma gnt(mode2 only), in buf full */ + CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_INT_EN_IND + i), USBFS_ARB_EPX_INT_MASK); + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + if(USBFS_EP[ep].epMode != USBFS_MODE_DISABLE) + { + if((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u ) + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_NAK_IN); + } + else + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_NAK_OUT); + /* Prepare EP type mask for automatic memory allocation */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + ep_type |= (uint8)(0x01u << (ep - USBFS_EP1)); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + } + else + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_STALL_DATA_EP); + } + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + i), USBFS_EP[ep].bufferSize >> 8u); + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + i), USBFS_EP[ep].bufferSize & 0xFFu); + + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + ep++; + } + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* BUF_SIZE depend on DMA_THRESS value: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11-2 bytes */ + USBFS_BUF_SIZE_REG = USBFS_DMA_BUF_SIZE; + USBFS_DMA_THRES_REG = USBFS_DMA_BYTES_PER_BURST; /* DMA burst threshold */ + USBFS_DMA_THRES_MSB_REG = 0u; + USBFS_EP_ACTIVE_REG = USBFS_ARB_INT_MASK; + USBFS_EP_TYPE_REG = ep_type; + /* Cfg_cmp bit set to 1 once configuration is complete. */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM | + USBFS_ARB_CFG_CFG_CPM; + /* Cfg_cmp bit set to 0 during configuration of PFSUSB Registers. */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + CY_SET_REG8(USBFS_SIE_EP_INT_EN_PTR, 0xFFu); +} + + +/******************************************************************************* +* Function Name: USBFS_Config +******************************************************************************** +* +* Summary: +* This routine configures endpoints for the entire configuration by scanning +* the configuration descriptor. +* +* Parameters: +* clearAltSetting: It configures the bAlternateSetting 0 for each interface. +* +* Return: +* None. +* +* USBFS_interfaceClass - Initialized class array for each interface. +* It is used for handling Class specific requests depend on interface class. +* Different classes in multiple Alternate settings does not supported. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Config(uint8 clearAltSetting) +{ + uint8 ep; + uint8 cur_ep; + uint8 i; + uint8 ep_type; + const uint8 *pDescr; + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + uint16 buffCount = 0u; + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + const T_USBFS_LUT CYCODE *pTmp; + const T_USBFS_EP_SETTINGS_BLOCK CYCODE *pEP; + + /* Clear all of the endpoints */ + for (ep = 0u; ep < USBFS_MAX_EP; ep++) + { + USBFS_EP[ep].attrib = 0u; + USBFS_EP[ep].hwEpState = 0u; + USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[ep].epToggle = 0u; + USBFS_EP[ep].epMode = USBFS_MODE_DISABLE; + USBFS_EP[ep].bufferSize = 0u; + USBFS_EP[ep].interface = 0u; + + } + + /* Clear Alternate settings for all interfaces */ + if(clearAltSetting != 0u) + { + for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + { + USBFS_interfaceSetting[i] = 0x00u; + USBFS_interfaceSetting_last[i] = 0x00u; + } + } + + /* Init Endpoints and Device Status if configured */ + if(USBFS_configuration > 0u) + { + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + /* Set Power status for current configuration */ + pDescr = (const uint8 *)pTmp->p_list; + if((pDescr[USBFS_CONFIG_DESCR_ATTRIB] & USBFS_CONFIG_DESCR_ATTRIB_SELF_POWERED) != 0u) + { + USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + } + else + { + USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_SELF_POWERED; + } + /* Move to next element */ + pTmp = &pTmp[1u]; + ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + + #if ((USBFS_EP_MA == USBFS__MA_DYNAMIC) && \ + (USBFS_EP_MM == USBFS__EP_MANUAL) ) + /* Configure for dynamic EP memory allocation */ + /* p_list points the endpoint setting table. */ + pEP = (T_USBFS_EP_SETTINGS_BLOCK *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /* Compare current Alternate setting with EP Alt*/ + if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + { + cur_ep = pEP->addr & USBFS_DIR_UNUSED; + ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + if (pEP->addr & USBFS_DIR_IN) + { + /* IN Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_in_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_in_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + else + { + /* OUT Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_out_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_OUT_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_out_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + USBFS_EP[cur_ep].addr = pEP->addr; + USBFS_EP[cur_ep].attrib = pEP->attributes; + } + pEP = &pEP[1u]; + } + #else /* Config for static EP memory allocation */ + for (i = USBFS_EP1; i < USBFS_MAX_EP; i++) + { + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + /* Find max length for each EP and select it (length could be different in different Alt settings) */ + /* but other settings should be correct with regards to Interface alt Setting */ + for (cur_ep = 0u; cur_ep < ep; cur_ep++) + { + /* EP count is equal to EP # in table and we found larger EP length than have before*/ + if(i == (pEP->addr & USBFS_DIR_UNUSED)) + { + if(USBFS_EP[i].bufferSize < pEP->bufferSize) + { + USBFS_EP[i].bufferSize = pEP->bufferSize; + } + /* Compare current Alternate setting with EP Alt*/ + if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + { + ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + if ((pEP->addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + USBFS_EP[i].apiEpState = USBFS_EVENT_PENDING; + USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + /* Find and init CDC IN endpoint number */ + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_in_ep = i; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_in_ep = i; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + else + { + /* OUT Endpoint */ + USBFS_EP[i].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + /* Find and init CDC IN endpoint number */ + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_out_ep = i; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_OUT_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_out_ep = i; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + USBFS_EP[i].addr = pEP->addr; + USBFS_EP[i].attrib = pEP->attributes; + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + break; /* use first EP setting in Auto memory managment */ + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + } + pEP = &pEP[1u]; + } + } + #endif /* End (USBFS_EP_MA == USBFS__MA_DYNAMIC) */ + + /* Init class array for each interface and interface number for each EP. + * It is used for handling Class specific requests directed to either an + * interface or the endpoint. + */ + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /* Configure interface number for each EP*/ + USBFS_EP[pEP->addr & USBFS_DIR_UNUSED].interface = pEP->interface; + pEP = &pEP[1u]; + } + /* Init pointer on interface class table*/ + USBFS_interfaceClass = USBFS_GetInterfaceClassTablePtr(); + /* Set the endpoint buffer addresses */ + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + for (ep = USBFS_EP1; ep < USBFS_MAX_EP; ep++) + { + USBFS_EP[ep].buffOffset = buffCount; + buffCount += USBFS_EP[ep].bufferSize; + } + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + /* Configure hardware registers */ + USBFS_ConfigReg(); + } /* USBFS_configuration > 0 */ +} + + +/******************************************************************************* +* Function Name: USBFS_ConfigAltChanged +******************************************************************************** +* +* Summary: +* This routine update configuration for the required endpoints only. +* It is called after SET_INTERFACE request when Static memory allocation used. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ConfigAltChanged(void) +{ + uint8 ep; + uint8 cur_ep; + uint8 i; + uint8 ep_type; + uint8 ri; + + const T_USBFS_LUT CYCODE *pTmp; + const T_USBFS_EP_SETTINGS_BLOCK CYCODE *pEP; + + + /* Init Endpoints and Device Status if configured */ + if(USBFS_configuration > 0u) + { + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + pTmp = &pTmp[1u]; + ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + + /* Do not touch EP which doesn't need reconfiguration */ + /* When Alt setting changed, the only required endpoints need to be reconfigured */ + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /*If Alt setting changed and new is same with EP Alt */ + if((USBFS_interfaceSetting[pEP->interface] != + USBFS_interfaceSetting_last[pEP->interface] ) && + (USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) && + (pEP->interface == CY_GET_REG8(USBFS_wIndexLo))) + { + cur_ep = pEP->addr & USBFS_DIR_UNUSED; + ri = ((cur_ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + if ((pEP->addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + } + else + { + /* OUT Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + } + /* Change the SIE mode for the selected EP to NAK ALL */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN_OUT); + USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + USBFS_EP[cur_ep].addr = pEP->addr; + USBFS_EP[cur_ep].attrib = pEP->attributes; + + /* Clear the data toggle */ + USBFS_EP[cur_ep].epToggle = 0u; + + /* Dynamic reconfiguration for mode 3 transfer */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* In_data_rdy for selected EP should be set to 0 */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= (uint8)~USBFS_ARB_EPX_CFG_IN_DATA_RDY; + + /* write the EP number for which reconfiguration is required */ + USBFS_DYN_RECONFIG_REG = (cur_ep - USBFS_EP1) << + USBFS_DYN_RECONFIG_EP_SHIFT; + /* Set the dyn_config_en bit in dynamic reconfiguration register */ + USBFS_DYN_RECONFIG_REG |= USBFS_DYN_RECONFIG_ENABLE; + /* wait for the dyn_config_rdy bit to set by the block, + * this bit will be set to 1 when block is ready for reconfiguration. + */ + while((USBFS_DYN_RECONFIG_REG & USBFS_DYN_RECONFIG_RDY_STS) == 0u) + { + ; + } + /* Once dyn_config_rdy bit is set, FW can change the EP configuration. */ + /* Change EP Type with new direction */ + if((pEP->addr & USBFS_DIR_IN) == 0u) + { + USBFS_EP_TYPE_REG |= (uint8)(0x01u << (cur_ep - USBFS_EP1)); + } + else + { + USBFS_EP_TYPE_REG &= (uint8)~(uint8)(0x01u << (cur_ep - USBFS_EP1)); + } + /* dynamic reconfiguration enable bit cleared, pointers and control/status + * signals for the selected EP is cleared/re-initialized on negative edge + * of dynamic reconfiguration enable bit). + */ + USBFS_DYN_RECONFIG_REG &= (uint8)~USBFS_DYN_RECONFIG_ENABLE; + /* The main loop has to re-enable DMA and OUT endpoint*/ + #else + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + USBFS_EP[cur_ep].bufferSize >> 8u); + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), + USBFS_EP[cur_ep].bufferSize & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + ri), + USBFS_EP[cur_ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + ri), + USBFS_EP[cur_ep].buffOffset >> 8u); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + ri), + USBFS_EP[cur_ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + ri), + USBFS_EP[cur_ep].buffOffset >> 8u); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + /* Get next EP element */ + pEP = &pEP[1u]; + } + } /* USBFS_configuration > 0 */ +} + + +/******************************************************************************* +* Function Name: USBFS_GetConfigTablePtr +******************************************************************************** +* +* Summary: +* This routine returns a pointer a configuration table entry +* +* Parameters: +* c: Configuration Index +* +* Return: +* Device Descriptor pointer. +* +*******************************************************************************/ +const T_USBFS_LUT CYCODE *USBFS_GetConfigTablePtr(uint8 c) + +{ + /* Device Table */ + const T_USBFS_LUT CYCODE *pTmp; + + pTmp = (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list; + + /* The first entry points to the Device Descriptor, + * the rest configuration entries. + */ + return( (const T_USBFS_LUT CYCODE *) pTmp[c + 1u].p_list ); +} + + +/******************************************************************************* +* Function Name: USBFS_GetDeviceTablePtr +******************************************************************************** +* +* Summary: +* This routine returns a pointer to the Device table +* +* Parameters: +* None. +* +* Return: +* Device Table pointer +* +*******************************************************************************/ +const T_USBFS_LUT CYCODE *USBFS_GetDeviceTablePtr(void) + +{ + /* Device Table */ + return( (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list ); +} + + +/******************************************************************************* +* Function Name: USB_GetInterfaceClassTablePtr +******************************************************************************** +* +* Summary: +* This routine returns Interface Class table pointer, which contains +* the relation between interface number and interface class. +* +* Parameters: +* None. +* +* Return: +* Interface Class table pointer. +* +*******************************************************************************/ +const uint8 CYCODE *USBFS_GetInterfaceClassTablePtr(void) + +{ + const T_USBFS_LUT CYCODE *pTmp; + uint8 currentInterfacesNum; + + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_CONFIG_DESCR_NUM_INTERFACES]; + /* Third entry in the LUT starts the Interface Table pointers */ + /* The INTERFACE_CLASS table is located after all interfaces */ + pTmp = &pTmp[currentInterfacesNum + 2u]; + return( (const uint8 CYCODE *) pTmp->p_list ); +} + + +/******************************************************************************* +* Function Name: USBFS_TerminateEP +******************************************************************************** +* +* Summary: +* This function terminates the specified USBFS endpoint. +* This function should be used before endpoint reconfiguration. +* +* Parameters: +* Endpoint number. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_TerminateEP(uint8 ep) +{ + uint8 ri; + + ep &= USBFS_DIR_UNUSED; + ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + { + /* Set the endpoint Halt */ + USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_EP[ep].epToggle = 0u; + USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_ALLOWED; + + if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN); + } + else + { + /* OUT Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_SetEndpointHalt +******************************************************************************** +* +* Summary: +* This routine handles set endpoint halt. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_SetEndpointHalt(void) +{ + uint8 ep; + uint8 ri; + uint8 requestHandled = USBFS_FALSE; + + /* Set endpoint halt */ + ep = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + { + /* Set the endpoint Halt */ + USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_EP[ep].epToggle = 0u; + USBFS_EP[ep].apiEpState |= USBFS_NO_EVENT_ALLOWED; + + if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_STALL_DATA_EP | + USBFS_MODE_ACK_IN); + } + else + { + /* OUT Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_STALL_DATA_EP | + USBFS_MODE_ACK_OUT); + } + requestHandled = USBFS_InitNoDataControlTransfer(); + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USBFS_ClearEndpointHalt +******************************************************************************** +* +* Summary: +* This routine handles clear endpoint halt. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_ClearEndpointHalt(void) +{ + uint8 ep; + uint8 ri; + uint8 requestHandled = USBFS_FALSE; + + /* Clear endpoint halt */ + ep = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + { + /* Clear the endpoint Halt */ + USBFS_EP[ep].hwEpState &= (uint8)~(USBFS_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_EP[ep].epToggle = 0u; + /* Clear toggle bit for already armed packet */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), CY_GET_REG8( + (reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri)) & (uint8)~USBFS_EPX_CNT_DATA_TOGGLE); + /* Return API State as it was defined before */ + USBFS_EP[ep].apiEpState &= (uint8)~USBFS_NO_EVENT_ALLOWED; + + if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + if(USBFS_EP[ep].apiEpState == USBFS_IN_BUFFER_EMPTY) + { /* Wait for next packet from application */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN); + } + else /* Continue armed transfer */ + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_ACK_IN); + } + } + else + { + /* OUT Endpoint */ + if(USBFS_EP[ep].apiEpState == USBFS_OUT_BUFFER_FULL) + { /* Allow application to read full buffer */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + } + else /* Mark endpoint as empty, so it will be reloaded */ + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_ACK_OUT); + } + } + requestHandled = USBFS_InitNoDataControlTransfer(); + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USBFS_ValidateAlternateSetting +******************************************************************************** +* +* Summary: +* Validates (and records) a SET INTERFACE request. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_ValidateAlternateSetting(void) +{ + uint8 requestHandled = USBFS_TRUE; + uint8 interfaceNum; + const T_USBFS_LUT CYCODE *pTmp; + uint8 currentInterfacesNum; + + interfaceNum = CY_GET_REG8(USBFS_wIndexLo); + /* Validate interface setting, stall if invalid. */ + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_CONFIG_DESCR_NUM_INTERFACES]; + + if((interfaceNum >= currentInterfacesNum) || (interfaceNum >= USBFS_MAX_INTERFACES_NUMBER)) + { /* Wrong interface number */ + requestHandled = USBFS_FALSE; + } + else + { + /* Save current Alt setting to find out the difference in Config() function */ + USBFS_interfaceSetting_last[interfaceNum] = USBFS_interfaceSetting[interfaceNum]; + USBFS_interfaceSetting[interfaceNum] = CY_GET_REG8(USBFS_wValueLo); + } + + return (requestHandled); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_vnd.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_vnd.c new file mode 100755 index 0000000..15b68a5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USBFS_vnd.c @@ -0,0 +1,96 @@ +/******************************************************************************* +* File Name: USBFS_vnd.c +* Version 2.60 +* +* Description: +* USB vendor request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" + +#if(USBFS_EXTERN_VND == USBFS_FALSE) + + +/*************************************** +* Vendor Specific Declarations +***************************************/ + +/* `#START VENDOR_SPECIFIC_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_HandleVendorRqst +******************************************************************************** +* +* Summary: +* This routine provide users with a method to implement vendor specifc +* requests. +* +* To implement vendor specific requests, add your code in this function to +* decode and disposition the request. If the request is handled, your code +* must set the variable "requestHandled" to TRUE, indicating that the +* request has been handled. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_HandleVendorRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { + /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR: + #if defined(USBFS_ENABLE_MSOS_STRING) + USBFS_currentTD.pData = (volatile uint8 *)&USBFS_MSOS_CONFIGURATION_DESCR[0u]; + USBFS_currentTD.count = USBFS_MSOS_CONFIGURATION_DESCR[0u]; + requestHandled = USBFS_InitControlRead(); + #endif /* End USBFS_ENABLE_MSOS_STRING */ + break; + default: + break; + } + } + + /* `#START VENDOR_SPECIFIC_CODE` Place your vendor specific request here */ + + /* `#END` */ + + return(requestHandled); +} + + +/******************************************************************************* +* Additional user functions supporting Vendor Specific Requests +********************************************************************************/ + +/* `#START VENDOR_SPECIFIC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + + +#endif /* USBFS_EXTERN_VND */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.bvf b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.bvf new file mode 100755 index 0000000..9acffcd --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.bvf @@ -0,0 +1,25 @@ + +---------------------------------------------------------------------- + +Verifying bitstream. + +----------------------------------------------------------------------- + + +---------Mapping jacks.--------- + + +---------Processing bitstream.--------- + +Utilized "dsi_hv_a@[DSI=(0,1)][side=top]" +Utilized "dsi_hv_b@[DSI=(1,1)][side=bottom]" +Utilized "dsi_hc@[DSI=(0,2)][side=top]" +Utilized "dsi_hc@[DSI=(1,5)][side=bottom]" + + +---------------------------------------------------------------------- + +Bitstream verification passed. + +----------------------------------------------------------------------- + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.ctl b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.ctl new file mode 100755 index 0000000..0c13429 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.ctl @@ -0,0 +1,9 @@ +-- ====================================================================== +-- USB_Bootloader.ctl generated from USB_Bootloader +-- 10/26/2013 at 18:55 +-- This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! +-- ====================================================================== + +-- PSoC3/5 Clock Editor +-- Directives Editor +-- Analog Device Editor diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cycdx b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cycdx new file mode 100755 index 0000000..3b663c7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cycdx @@ -0,0 +1,84 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cyfit b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.cyfit new file mode 100755 index 0000000000000000000000000000000000000000..f632ee63ab0ee58dec7c9f7af44b806218ae5006 GIT binary patch literal 157426 zcmbTdbyOW+vn`5ykl^kR+}+)sjk~+MySuv++}+)RI|OG3_uvrVlHd28^WHi4+;_)# ze|7I#wW?;#S*v%CUfqf^;1FmaARsUxM`>Cj{g=vnS9%~INd90T;Gb4eb>)j5{}jAc z*PV56*eH{zG-0YJTFV2BGD$@YP(-KxaWMm)x z)K2^3nl&}RX=>>ef#xXS@fyDqnRSa}&axw#eWMgwP12KKWn#u3l>B9`R|TvQTouhr zKY^>2DldTU)*|0AG(veEm#!}0?X>6hva4^~_i^iGjYn?_=0lI*xBAt~%u0H@$Ngj5 zar-^_C8edG-@yuI_;#7LO6+9p$*8h%i({u_qocezO)P$=cdw(`$C0bKgmEKN*-rZA z+hnYStG!Ym-hz3HV}ql{0X^#sYuGA|2tySti1I=B9ox^fQi-wq%tg{J+>QJ7)r?jA z8H_v4g?0Y7tN9N%b*Juz&9x1zMaMR2`pUSiSnb|2#O@!bzGb~L>}HUcm0A4h!7Ri? zAi&0g_Jg!5*q}Bj@_3jvF}|ki*`%4IyYRaznuoM%EMI@UH?B%Ef$~aB!2C)LL(dtH zROQz}(zWJWGT#~==xnQFLtoe6G3>pE?o)me*?fQuPslTBtTDFUMb3^|ziE=4t8Mqd zPmo+=VN#3r++5q$n``JCD zI40m%5x)on($U4)5%$|=-L{;$T)Ea6va!I|Q2(SpcIKJoQkboTX zJ`)wr?WC#vLzmhVU%7O;nuf;90MOn`rbBxolb>5VZDU)oXd-Odma}0FW6VI`8-Vo119)5 zN)iun1-@WwXh^xB7E23ulyoO^Ip1;+W8r){C;HD_B|_%%gwHoi{fLB=lFaXfXbVh* z5B)TjbCK%zAQ-& zGQZyl`n4UE9CFX>#+uMT>YG>#1LeZuL*3`@N_bF@_I_-!4<8p+ItpbXtYG!nB3UT)lN7j*GcA(QcU^22B;;J^W{lUS4x9 zz_ZI;UMB=f!%f(!39Zs|)YX?dn*vH>ItV_zY$nBo*ua z=8Kr&AX<)!LX%tUIB(W!i=SeY2eL?{M~qB|Bear2Q|07L3-V>b^W!Ok%oLV%k8KNW zFsriCad%YU4x+=!q@6N0y5lF;# zSe+izRp_k9kVC)}C0H{Zw=C(u&qMS20(Hx(-+r6T)fFN9p~~*SQQ2X$jQFitn{wcL z`o~mfy=A686Jb*(1{CrwBLWF);BQ&wZst;HyXnGx=Q&Ha8v1qJ zJVT)v`B&fRu#j&nEU4d$8?ziG5hWiEDc5xz$WQd-N}AG2nIz83f$FSyMQ(s0qRG!AwO~ zqk>A-pyH$|Gx5TRFzh&vwS}#gi^v&|U8veKOA4A>oSiQyq}+|}UTYN&v|b|=m1O#c zt^W2Bcd@R#3Gs3j>~vE*lE6jcK(yl81FccTvz8s-YD!aZqi90)A1_?rKytTYxUjh{=@{8BSYW=c#1 z4M_nb#6^o7SLHmmG)?8Zcn|A~2wTD&a7T#?(My2xY!r6HwxeCa1>vB^m*sFF3#TBV z%VT)tyCvmC0`YJe2jHikBb-*`I}@jbMsCbQhPoLMpo9Qo3J~YiMwB`QXePob38hoA zhBQ^)Iso7HGU}I@ivScp9HcL^XBKLwAgKjc1M)4qc67_i_}XX7)gWc@i*fwlx90F1 z>+xs9ih^o+X!-@GRBr;_v5%ltN1C~h2{C!FKXT@5y?lk6^WAIU(3+>mg>)cULW(tH z9NYl`+=bF+kWz4k2a9!ZC<1A6&Y|r!idmru?$^v$DU?oW$aPg;uru$l~*T zNAxCUtuibe*uP1-4yX~1YZx3C(->p~Sk=9Ok6-5zU|rmU*1;t;Lns3lyaVqNyqF4W z36X_mvobIQ%a5MS!2}LMW=N_1$Y?T(-J65*UpnR5Nl4?WDoMpIB;Tb#1D`S%E@a05 zVs5@Qdi~5HcYF1sm#ADb(nA`ikuPuxFiAs3;PGLko#I%}jx|&DGX8>4e0+bvs zvqGEXXwSYbT&gp=Zz9G=_vl}{NUW=jLx%Gc@ve2QT6}bSq+YVYSfH)g+U?Q1_nvG=cCCGxc>>!6?QjA z7hz;DsSSGyLpQ^d@9Kx*SKyzoHfh{ z_0`piJ3TT)gb1cxGm?{56CqVfvzTke0vno#jtOzDSd4ntqOfjT38{sJLi8LtY52Zf3reEe z_<~OBd);zcHUosyfX$fE$uh8_7{a1bjXzH>`Of$9w1wNuXy$eLCabPfYR+h7nar1y z0q>`Ylvnlin?&$y=%Dlh<h_Q1OFZ(N5<-~ES?EX1;Md84H9_Pkgo| zv88BiFZHdQKc3ki1-@<~@jS8&ywO~4COpowKl;Oj`LLJ%E4jD?{-o_6*#mF0Egc^lwwM0=?H`Z9UcFeGA4xOddSf}%%`WOW zdc~P`Ds)I(%g~N0J1m3Vm|Av{NWZB{M%A~_m5YnppW&(d#{|kj3FpZj{UPpYt=iSu z=HOo_rqJX`VJy@nHtmO(9BqlzzHiv8h{2zV6#gj0CTveXL%6=K4soKd0sRTSOY=^; zH3?62Yx!J6O{s(7@dK$GHxiByJp=s_3aFBSu7KV`Qk$;BHw>}hzT+X}U6~%LCi;cs zSkrg5(i5I^+*@?aQOB?!$Z7E7PX<4`=Z`L-V4_q;sVb&AP+Z3AqB%q90G_Y_Lrphx z!XJS-^G`>BRXztPU!L6fR4=goNR!F@!LWzo>3&0hP%Xq2Vst?yc`1pa%*Rs%xyZ0) ztOT(w5pN^YxT>MGFb{Y{N&lr4B)Y z4JKClb4&SaeMzHbkDrKA6chM#^ssdZxd`E;g2hL{qYp&kkjHIe1>L;nbK}WNr2RgkH=0f?i?ZPB`JXqpMZA9m0Smz zFY`w!srwR^yz8dnO!Ukq3W=@nSA~W30zzWB@W<6M%jeS|uNBIDW+t>d8jLTemc)8G z2;QQV-gqd+WakSBU!9O{_SFJB9#07j?>EHS|BRxdzL@=vznKg3K$&jW)dVp2xnu&3 z6P!4;h^fLK;g#hV{P7GZ`S0H&t-qkG)7)=Ym@D3D;Fy7=n0g8gZNtELZ+_YGxH|#IHOa{IwR)tOk9nhqgq`B6%2jrHk+zfd>z6>Dmt=5Ql`G6}tUuIxvP;b3p>H zps2pD?JUQh)+Q)VQ5^<68?$&A<|umwI&nZ5&Dktupfjms^t4w2dr5y~;1E}0s>U~3 z-ZMCX^1-WF_{V(L5WH%I-JgYtra64im}>EGhAmBDc0T%8{HAf(4oQaJfMngm$#Kz) zFnc&tBHEN8$r(cih(WG16nN@|SQ-^ZM|XNR^LB;4y7Ha6z@agCFQIzvbV$nO8->hZ zEgiF|G_zqd4`r;!G;R@S5`ON!)qy{3oN_nVgKgVU)WbQ{8%khv-EIDuu{^#$HnAjh+!DFRq_jk9y(oVp#C18P1a)kB^9}Z z5wqT87gBy7bZQP=qi7bye3}_l$h$0P2&4G!U5Qev* zWkV(f?d2~I7je3ZaN-?wr%$)9vK|cycfIyH~ zG;ATh`OgyRxGw8k{rdD`nzqm97ZH~ImN#E=j1b1rdp$)fj!@>QM-dUlNdzNFuq!mg z&t@9|k)C(u!>9_99d$}R9esq#b_4l^K$eGQWFKL?3(u>r5bL{Gw9FERsfXIvt& zGBmAu2RACnk@`o!`>@njO5?L4ODD+HbXF2&XeoY@3{)>lO9WA6j3!mu9*nBN(^Vm| z3s%9d;cJGG;v|`R^nI9Qmh2#|72-xA_>vNfg+2N^d~0lecos()iBWN)9RzaU{+gR- zp;L1^%h!vE?2ZT+nPTPPq@+r{6IKq@(C0tS8ZzOprLd(ApTD5g?qQF~e#pS_lYgkO z-E17?Stb2C$g#__`$m7pY#}#0uqSdFB^M%$%nTb9xtqAFPUvm-O-kS>28=*(&*Xlr6$Ow@YuaeD|zX=(;GCJ>%y3p?=K1cD%P`}I)L9uqBddG)aVv;m)rNp z+A+SW)CvuM!xpN%9iv47i<<4)>UZkf)}sotw{VoONx^$gZ2zO}g;5Svb17^n&I0TU@_M&im25Hr?MPqk$ZV zeGNUdP~F3o!xf+BC`LHY+nj$!gBvyB+%NG?>jGz9wD8b|=dEml;=t|k)Ntd6t#oUH-L6n3swsaTjPx^L z175Z2*mFdUVAIN8;jH3*a#o8cIDe+8$FamP?(d@fT9sHugxLL=hI5Vc`a!eG)Mr3% zh4XrJk3;!z>@U(pE()mbbLVIkdptidlwH^HfpBDf zDKPn*^*ZbF*OdEdiur3g`!r4YWT9zHUrXz+bC+{rASIfot2j@6REwW=?+9^^eJF(^|31%BS7{wu?!au`| zMhG)y7!MadWf`9gU^RRr_?$4lp|faQhh^5`pkUpT_SCVuJN@S>jA1GVE@`6rT`sx0Lzf(F9a-7myw~*VCzb_ z(dN&V!AQ%psgF{ehuO`iyE40epQoStpG8;!@0um}O3xmd?flpHMD(^qER5szI>D89!-rb@X22cI*|xQ z<|kD&f>3|6Yk)HMe^T`67FFlm_i_sRbacMSKGuCbUt?f{Y^#ls=t4%lD5%nf( zFpez*uXQ*Yh>wj{{#`#&$;8qW{~SU0|6jp5|5fw8NkPZ|WDWS&)W1FO7thK62;?6P z9RCBQ!PxMpB>(yIzk&X@@4xiQ`ImhEC*Obc`VSWV6X<_~#Gw3NNcpc=Kt3rSrol0{ z4ax#u6S0j&CKn!Z@_ur@@^1lh?*C1^xo^VXbzRMgtw!;;7yeJ_{!xSzd*%9nb85t8 z5dQ=9nP2FEV>swvycxFe{EPBW{>E|a@qQW{P=5;Kfy`k1_wq-?GRVnjeDse%BohCu zzE7DI?f<8W6vh81>woQxV1(Gu{@pkEZxsC-^FPhKZ&LqHum4}_JOBNE?}jjJoBvNp zpVX70jxk98tHYnf{?+n1EwKM;_5Tz_GrQp5?Nw*-d3`p{k-ZH)gdei@mye`PIXJz4 z;V$^d9Bmk#A5FAd5okR|;UBX0q!Xx~JQ>vi7V#sor{SbGc`XSRuUp{Z{Xt@6?C%Ew z2S-5o|9>JEAIK}eTN8!KxyiZ8S%MXKv|O_o%fby$3YFv{ZW;s%8LW1P9%=7u)6>(dykt-x|>pNaH)=EQ$NkTj#NjZ2;`@t??9P!(6%< z+q!`ho`5K&ta_J3hw|sec~y4i6n!(+w?Ygr_dl1JMo1iU{#e6gij=-#dyMz3;6mg2 zXH-o7V=X-kh3ny?_KK`RvLUeqg4WLW#Pu>qW0Va2@g(AqKyMKiBS@FxMBn9Tjb7@7 zl-sT=3)3UJ>)FkHI0+`tH@xe4=a&FERds5Kdj~N7)Z;8#>c!68H9PLPSa~QO<~x;` zlKdpMR_cwj^5JY!OvLB5uip1zP5jvtD`oMKO20k+QUwkS6Q>-vzL|*biV~79xkSRw9S`WC2HSEmWzq*g|mz9 zm7j1?9Tqdg%#4#^OWp@c-MJXLQJ#e7a5c`(Ymb8JU3daE(6Kl z9MzLENdT2*JE_wYNq0fkk2dS<`;Bq9tI$vcxO&B)j#=+Hb&i#e(iBsn7?+&@Na{^j zv9?o6LY6GaoK<_CVV*M}mOk0B~43;Z0#WUN5|xaTsTYsZ0~A?MKxtyDfL$*!kR zONsx2RRW@kD4V@KtqlAXhPwT#<9bVr?&tnzkask9+*y0MKIT0{MHD7$1>=P!vlO6M zbe7%ho{Nfz0=+rvVEI7RlD6ydea_#qyhD z?(`XfrCl4mfDZ$Abqs8mG51F462V-+JXivHSq3vRpFNrWiRAbW8EChm#Tq>rK z!pWH^aC=mxD4WU`v8n~=bzL!9{F0{{np{+NTNzYA*0@g5!EUORnsfQR1Qbx@5%JM3 z`lmAZ-lkYl@TE{u5X@0*^?q0{&vLeW>_q< zW)V@Hon!VGo7${VmirWvgW%Prg_d^R0^8KsyfM(dOFWE;vDPK{YKujV+~$8m9QDzx+I#!$iH=xrzl+C2FG84q@Qer{L|8?}D*P8|?j{)%>~L4v zADDS{vH?hrc9=X|rbREUCkt25?H40S4#FN{1i*+oh3fd5cpAHt1PMVaeB@*&!8|s$ z-NYRzVWQZN8F9R;ep?t+$$%*d3RTsUTx@9FanXYGbqXSe2tUX1+{Gskwu10&P0w-w z6vatYhW_YR3^{;RkJ4k3OE!<+l!<7aGCZoO?9^hkkkM?2tTs&xzmjB)siEz*VN9Fw zIl9^7DPkDZn)r9(szcYDMFHk7o}sG6DO5(P^_Y8R-I|ZtY!T15h~z21>Cf^p8M&A! zsM7II*rYzPXns4o568|$n{%I3MO5F)hOZGuObr%a#vURI08l>nSRFj=hP7cqSR*87#rgh!me*6v_?>#ky3AYpc$w6ajFD}5CqjV46=O)-h zeqirBNvI0ndb)b?4UK6cYu((V#wv%(pqebq^s>iQq`5Uu!i|W5=XF;2kBy!R5u?1o z#hvr=(9cA)o@BJ6%6$Eh+U0_WN?q+zZMIr!*{u&b=!uVVV@1&P8va$+e$<01#Hv?c zZU!H@=H}_Ks0b+v*R9yP3cO`U!Nf+{9s-xRV6iKB{N-PP>9I@=+6$_|kz*O((RsFp zjiuD#?e+&a-pMH3JrX6G`HIXlTN8|?6?lL2l0x*aq`wEnnR>&cLBmuT@k%LF26V!4 zUw6{B7kr`cH+KospT`RoLdo%)oRL^j4mrlT-O5QT`xSJWku0!lh(DWlCj6$9V6=i2 zlU(BAX8)`=w-%KLzZwukGF=@90QDL$#Pi>_G8UfZKf)O`O<6srY+v55re`|PL4*g! zL-a<^*})=ZguX!POF**_8ADC3er#%?6+T{bF7F6g&3 zJhj^`CvV*^g+hm<%Loe#^5wHUU7w4TPQFlP-bPT2Z-|u^3wL@7ABCj&c7_`p3H8~p zvMt4dgZqEmt`f_=0<78!!=J&{RqtbgG7&k01#pr?Bg09~FRnLH3nR}P3C6kl4c5V| z-eb}6#7@Ti`EiFD#(jL4xw!OtmvA>K_#qUMT!JSihIAGkIN~TvOW;`m_3ek##b&qa_Gwrk^^a`~nCj&XBbi;|b>Bi+H=PwvZHxZu>X`wLSAhGK z(OoJ~wL>$~iiKN{Xr&VTS2XTd3-A|`eLP$DzNxyiz9$XL5Vx~vKMPeviHEM$4S%s zQPW(GfKj66J+>;ab4r11GCw?biT|kN7jri!$=~r~!>v0bE(Obk^JP zGlRvY=9ocPz`I+0{X-8b(Y-BI-Rw*+44EG%lw3*DdK2%zFgb10kfqzjfxDs zMgt6F*W_LIdb><%+1x?USe|=4Qh^$rnrhy!n)Q9Bpk3BEefU}U9J;5fpVLQ z#n^mHS%p+*;?f87@v(#OOBuEYUGWz<`K%>Q z=?XuZg2pCS3{S~I-V}2|B^Uh1 zkUizY41K-H<1{tP_{0r`GP-|7fXH(d#SyNAJI?}HPPtRO2W}EB4y>e;axjeAgW}hD z?YY3z&dQ&$p$n(iKBE6p%g9{A5IsOzgzCPhxh%4_tb%hwE^$KXYWipcg>;|qf@O4+ z20KWsZYkbw?#k4?66mn*KM-DXI9CQ@$hcD$m}9|9^tgl729iD}1G7+)%P@CXvGq=s z(~X}eY>21cU8=&w^BX>-%@aLRkhhos!?nL;<;or2O2yn|5jk@x78od~6VW zkQqVmdfapCUQ}g4PBKp~tc3Ont9fw5=)RvPRIs&>I@0I386)lS5hgnERI_kag1f;V z#E6dp#-IwBA3zV0M%1x{m?Ys9@+$x)(}2V%ORkrHA13FU^5Q~##Ci^IVmaNwwGR)L zVn9#KRB>ER7S02FlD=OPRmi=E*)c-JsPq{jtQG@o@&ti4!(yntQmP4^)o|jG)C&vu z%Y5Jdr32dDb`^tx#GedYqyd8>*q+e5dc7L<%S1{no#Khh1y3nuV4%P^5>Dy|zmkM( z!sUxzHePirPAPqcCWiDm0nBDqBlchV47ztW6WgrK^0UhmoB2pTyNhng4!$)PL3mrD zmIRsE1|unIpX@P|FKFr5HM7|s+3)ui(3f zasZ3W>8U?Aq>>b(A{YT`fVq*&rtWfT-!;0Vz^8AdM}Nu#$oN3TL0%DAzt*D_d!oB9 z+Y%Ogimp^;A@{wm>O9b_B1;_GX!RGiCC1O58P{`+Xz3BK5IG|XBuk#ka9Dv0cGpt>ext!a7MCXq_dK;UK4Ej*6WaafOX_w1pYMOWI6&$S zfF#Q$5g2t+n3Vd5Ypb&6wl<6V?H6uK(92C;YnGRPmu`>ikjm0V93$eSXocm$tq_gB z{*lxVC9Do@eLxIaO+sRz=eOWlwAs^{O|U(PFkkVG&X*$VskJAWTBbOwb7EiDI&K1# zQ)Ed!e{HRZ@0^G)oE5JkP9VR)qS(2!E&!n90o#@4;=^rnnwxTTH1QM2yI*XKJ1OnZ z7rm@Ij-jc<8znGJ@MnI=H}%LhHR`yZd4(9b1I~j;E89z1O6g=1u2VQSX{Rc0e=x_D zNAypy7wbAAEgc}7JTmu*O-RjL5`l=NvYS(aI8mur^$l!i85Nl=?|jqD7Q zmGa;A4)2Ov6@0&D^LDG4u|Sp;11IT!ZBEkuFgjIKU|EG%$3CjhO^($Z<#0gU;94&P zKdMKXX?6Yz!BXdao@2~Incd}~&d8n+N;1Gz=|Tp?HjDS;_Z1z4YO)Ye}BPMW1ZC#6c)i#`(;a0HIZNN11W;qO^z|pDiFW?A1q#U)aiHI>b~(0Wk6{HVu4p`^u!t zZhu@#nf{D~#>q-*fl@cIY_nl?i*>peu1sBRd?ePif10dXON5g2dG#KfWH(#u z&_a^o^HW062=kt^Cg#R`gQ<4N1>W6FG%m@r`b^QEfa(eE-^~x=O|u@(rYb=+l|p27 z1anolcHS*NL__}&zD+|Y=PKKXXFEBw~CGQ!Gv*d=T=o8om zy<~m}hk09VMrdP8`8axFiEDO57FZweSP&fd*O4GN6XflqGz#oMQ{veB-(s$@cEBk9 zqwf_lGu{Uq`O5;@^w+ny8&a5j{Z{;o64|MzA(^>W9~#=t5855*;EK?mEAuFYxjl41 z;WPSNAr%|VhD|kNVb;g?QDQ-QqOWd(h6s?i&%SXO(^B81DVO_RbF%n>7VQikG7B-GQ5^@`_s`~WlWE%2IG&vPe-V>o#V&$xiFSIb+ z0fl5Yk-hz=+FB{JI1JxZRaR@m)o_~^CSL4~ zhJ%C_=!IX25;3vOHdMn+QCe*XCZjsl@(DX*dBoUzc7-U@kzo_VhAJ8X7YP#(?S_I+ z2WAROHMEa3t6$t*hqVPe*TAaG`w{f#m~k0wU&)y)cR>b*u-U=QZ{rkgswhq%Z`6`6 zV4RHkY!w0-{s0K{r5EwuoesO!?-vttlEV! z#*sHx>6%{%dO2T(850$-fuyJ*-?QIvh5XX+ZV2|J3azOVO2XB9j1R4bvoo>TB$~vm z(+h^i<0ok^NmoYcb#Pj{5jg8+xLllN0BoSgP$42U3o8E6&dvxDa2`qxav0alPxd$ai1f{V2IxX zNYS2W=s%?v@}0zQ6vDqVC2N>umy(WScDb3i(NSlT*TF_|%A^InMb{heHkb|}wy{2| z>lzCXvU6=KyXX3L&;RT>CdPn#E0@sy(RWynofJ82~C${B&~Iy2`j=@k3rucCO*skO+gbpM>%5T($>_dDoI_xclqmMNq-Ef(sV zyVxBG@ct#W(P<@0<5(55U0Fg7wGV6lC&x(%W+^^|Mbf(hU4p8G*=or_N1_k6WcjiA z)bHwx9bwSC9K0pv^#qrZ5hAWiXIK7D(kqS+W056dl^8PvzLSp2f z@)=mRVQd4h!Mgh|J>)}}g=0tPjBG!Xo2|?ga92_F+G`uOtQ4(?m6p+HAS3#1{UXVv zKH_k5cN`?5MX6tb^LX0&gF!T}K*tlP@@g*+y6_mNoWn~R&z-J^8#DgLlQf()F>iUj zSMm#&mM>Y7TlZ(Cy7y^UE#CgyWp~zmln)yU8VP3OP1`gU+rlm|S2Fd!lh^_*fx#>F zmKjq?i*SU!a=+@;r^dRpQ>>orA5LH?>}6xzD`~g6?5B_Mc}{<~R_%I5IOe6r`3n-Z z5>R({8kmy?8KP664qT;02FO4LLpPi7Tx^9w4MT-}C}n=-pQ2CrwsvD8pY1%brdMR~ z0o`A*iF+>>K*&Ns^Gpx8g=}fLppFKu1-HrfSIjR~KK~I{(pPa+EwB0-D1`DL($aSY zWhGu$hkZ3?u8WBMa0bGuI?Ltl*VGGBaOaidUk>^s0&{ujoz6!C`J0|n2&EavHY956 zA&=PFOB>gIVSn;a(Q*qZNk|tPPb7D){pGGV4ll% z-`7QXMT7Fkx3++K3L)h2tTRv1%axRfud<3E^KMW(s#_S+_`Crjtiz(xUDN!#SEMte zJ@usC!wOUigG^0`qX&4y%nzf~&Y}#vUnw;l-_N0I`B1kk+5m!`ADbu!U*I!s#Gc74 zBSX)_zrwHA$kUqCxwQU#M_Tqk91~yu zw5sD6lU<4U(x9fy(|lq}RBhU4168q!hB3Fgg{2C6?CP(D(MPC8b&0+HR3(pQ4Eiq4 z^zox5P+y=RqcVF(8->@xKm6hKii}T9gshdSz=TZJ-istNN|0Qzlscz2tzmo z^WAS`ju`Iu8iL(QR9BnT@fW!F7VBN0>FHl|1Nb7IgTZy4a!LGJB1lsQG%uF7@UafQ zci#&m?K>SS;Jze{hr*3jGbv!aSE6dJvEx<&E3JdYS_LgsS2w%o>Y@F0MH5ThHuXh_ z+AHk2!EWG(L+G|xA%0(IfqC9PihyBzJuh!E7T)0V^#dqRcT*pGgV+Y2H_&jD9#VeRkaz zZF21mJ6DohUAx_yO@9YDKk2_MV_jDD5QDwF)ObPdp0oEBFnPjy!doWwqN_d>{u7y9 z^dphIZ~k|V--cVNltZ+f0yP#ejG;UMui)}2q-yHYe`2cvxOmy?j9YQuv9QciS|!t2 zIfJ5+P|G+D4LDDoAS$FJpsOchaYB_7`A8-$$64uz-Zyo##IX`c(HOFGHHvL0XvNe` z&R=FS_(E^3IdsatQjlh&8Hfu({9fT5r2J9!Q7(*cG2AY zi@Z(u0qwCze4pXw91HiJ_bk4WFX2#+u5R=mThy3W2e0W z(7a&4YdvKLyh`Rkaz$xIH;Iz+$CcmW_>R^QweYD^obW71lD$DYG``zG07FAo>3~A~ zt3NypyHsj>+hfLXVOnyIqm)|Fm$Qhmejz9Mao zs2f^l1GQRH5(u!c4HZVb!jxgft)Ws;xTV|?gkUCcD?yTgdWvM4l<6MIgp6FUV3-RnnGn$Cr7;Vax8@ErN^=e!X zGyFw02Y7`Q)f79+b`q;e+O^*RZ3E*$7y1lpxoVhyOU)7#zhO)WW4SL+_Y|#Vv?#{cZY&}UP zp{NHr%~kA{k^);!Fx9ZeBgKTHBKPp`dV5=iEJf55#{Pn ztj@|s>x=rCV6KAm6iwvDHRU+hxmpahSD~+5DlkFj)#Fivx0Ao^lK&+8($USDOFk&( zEI4;FrR#D->r94G7ilBGfO%s&%Ft=*|4~fz3oGz8>S5rNjJUH)uNvB5@N=8lb8Ui9^8MuVzaS`4JGcSG=Y6zc1tVBc-PX6 z@qVmwe7o2p)C%Hn9_YgA+oNUXakGXsD%GhX$7u5v;SR< z`}=5f-X^EBWu0)fACQ*E?+;FgJ!`d${PvW#EPi)&*kAxg`mDYgu}W*uGxMdTraBFy z{ycmEEN$zwIY7*&ULWx_Une>J@85RgOg&Cx=g3cLTa?jFN0c8dNj{kOOLbl5{?iQt z(pPf!(hL5Vwe@Jd?{CD9QVX*u1nMJ=D_8BxFaWI&8$EE;#hq#Yq4W=hO#oADr*)rq zx#Ox|N62l`((gW1>0VNrXT;P$5~WEW%`W%uGV1=vwZ~uTedcx7P0nXsE-8(rto+hn z0kzE7U5Pv|h+Sfj1}^^Uq-4Rz3H_|$Bz|aahlVcuQpB1O&mT9%^+1%XzEEeNHTJ5& z1d-%TzmpCVx=O0*))MB=d!Jand!xY(bKzw*?^6~IMUSl~ODv;>u*w;nb>96-d3rQs z4>1vJ{ayD_fcyq6eR5@fBpR%=WNwH)@=vpUQ$#lePoEgP%%Fw|IP;-ynyb~;uvLRI zdAFbGxx15UC0R{EqV_gBF#dn(RSqvYXv%NaGTSTobL!-39bOUgS(15zuJW(C8 z&7x)VT>l|OhWAG_Px$q7`=YPE-ms^Q(Z;67wJ&c|G;BHsAv;`{?5!ao1=gS4ujw(S zomi$LB2yR+n#E?^*6ggB7CVt6Zc48k305V7&mxU@<~5_O;;a&<*=X%LXvExfdlw!4 z!7|v(Zp58`XP;Sap8$SLptoQXyK;~O+4(MK^ zf15OvQKb@dtloa?pqn>2$p9H@6N{;p!C%6|$<1V`U|G7{pqBdTL@ zC4(fo{P`)>9EQb2k%XW0cTA72$74KtvJrY6NqR0BEa5ax3L-3<2Q`+J2)Bx^@4A}f z0M0sK;09in3s(l8Ni+-l*WmRbspsNIHe)IU9aEmiKK;27hb+hv;AsD5GO5Di&7 zcu|^O>pPnoX!5>0h3qainztv~dfn#jF~;?3n+C{sshJ|Tdh?|SVf8loY0S3J1RISy z7V-k080^MBrtmjrIVr?AgR#ft$S}a~pDB8_7^JMt@Y>piYBC+ykV>(`w@z7s8E{rz zpNfA(l!I}$%bqSMX>2tft7d7n>K@D_OmoIrh$Vy6kH&H&Z(ReU+4zZnMpofb%?DY_p3dR(k5~{IAsF|1X8Ai6Yz@h+f6jhm_?A;wl~=4ni8$8=sQg@(=pEatc?)hDQ*r_>tQ>|%53;c-39I9 zcxfM)7nu_-j=5=k3u`Q*_;U{N6t#t1S8*Q3DD);#$s+|HT2i^Gk7X{cPdkHVbf!k! zx&vOn#>u>*|T z|3%d~24@m=?K-w56Whtewr$(CZQHh!iEZ1q{ls=M;mLcxI`y6RN3UJg-M@DAzH9Z~ z_qtZ&bI8o^kbHu}7-4p>RP{`UnOk{c3VT_5(Khydhpj;n5qo*l+A8^m`!}`}3{DaX zTXdp29ytkl|3S(&Ys`KY1E&g_z*6vTNL)i3kd|GbuTvKxI?TF>BE6_FP;jEVZ-1f# zXX>ZCzvmZ2jK3JrpOD#L2}Mf+#EFFmge~RCgWs3> z(3JzzF6~Kda^#MV6sq4E&n}*{2!+X)`jgKnxlQ$_nh=>>60;H~ai=n34QFPEp!-+d z*TavBx!Fw{n#!04w{A0k$ts0kQ2d^Q_U@8KVm61867!VjO!Xc*S*F}!DH8zaEeDb3 zW(CIvl^BSN3Rso|U=)tgkpBtL+%kd~%`mBIaglqy!uuTH>n~jziA1t0EIud#ELqIJ zs0v<1uZqoKA;7C96I75s0rgq!k<&eRbH563Iy}TT*`$(Kujp#=$3bBgf5)?4bXM&I zLQeWcRYIhli35LgQN8B5Ijw3u4Va}|zhn4I?A(P;mbvc-c0bW*H#WX7GLW0$xK++Z zlgR3LVT$uY&|8ZONhLZpS{C2L|CWR#6^vTbbqAB~!g%VVeTB`ZT?@V9={k;4+fGLe zC>!Sif4(5xUH2O^*yRu~)sPSHj?))I0pZ{V?urhD24*GMuM>hKByx)c%`bGZ=iTrd zyV|yq=jwvIVS<66yB3+eff>1C!7~kI;vEBe{iz{6iU;_@mz&HhNRfC1xj`vJ{7&|F zB?GsHVd}b>&L_WNu4`aQ%6EGWk^pAcn=?ye`j}g@PWcO}EU`Y zy@d+P1~B$PRmW{tRzb)Hdszbo6nKl3mwSvZ$VW?M6MgU=ffzAiH5eD@FX*v91A5~v zzA?7ali?ZW46hi(->M5!dtR!oHHb$T;?1Hvkq}hGgl)JoF%Us=1cX!QQM!V<0@7WB zzn~!*GSX80J&e;ZeTFv$r#pQ56om9)Dp`;w(*q=QBhRi{Y4&{Gr|p-WPdqyYB2_ve zpPLj0BNAh3Ckvx08}kR>su^KBazDPL5!A2NKCKyCyQbhIrn|tWgJ{jT zZBz#_4sZlIjkFC-1*Z>>MWND5Ou%^FgC-~$chCs{W>sew7N$jsAMDG8fFf{C>sd$$ z>`sAbYle;JWC0Y(bbjuEB>vRuqypr0pu&Z*sQvkbX|?Ma@gx5(x<*^mNW!ZJ<|~+) z(L=%Xc>tKj&OaIU%gG;asg>JlTRZi(&D}t%SBd-CU0v`nUyinzDW|%nguS$Zp{tPbu4B;OP-A&k(DN>;f*F2LCfeG z?s6*)3kP=GxvSKMZ0A4{XCWBk=}sACF{d&9Lp(J6yMWG*b58RFKpB$a&l1fvjoGOj zwhrN3ho==VR}SD5vKQnsH3fw($Vm^NHpN}ykkjYBn`s2qecPbwzExDua(0yznJSk6 z(I0bH-2GhE^=R3z=ghJWB1wKn$P0TN*#6;qDC zzI4t3C_sSzj@cSDZZ*v3hnvP-YL*!*fjK3B0a5B(6TtQGpCE*R!*>D&OlB`laIBde`4Xvvu z$4fHROnJ3nyc4Pc2m3yUUwUWfpbZ!f02&y)Fy-H@wbk8^F6IXdh3`0@B!CBM=r$GK z(r45w`XpStcZDx^q0CV9?bobK*yQL7L-*rDf#nbs#-mLWL~*^+>P1Nmg$SbzL`?^v z+{{5X)9Q!mw0#Hdd4DNlug+#@{k=lE5-=l_JLI6H>=DGyL)Kd+?}k$j2CDnY_x}OMaP&}3%IH+w%+P#a*!^kTfimeusc0AudiXNwHx&!Yk!Fi0~ zFdoBE0l~sDngOSK)dhu&^uwf{fN_mVUD=!$Rpc(EbsvVX88FynDu7>7`>Nw@HCDtQ zMLp>~4=8pq+5FB)$I|z5dZ+yC)CLQ33)8lD{46}|_LEYo+#!fC`r5Ssg<}mT-aA+mK-!mw3_aAYfTUqQgs0WBhtWM{2W5G}?UQYwF z8JVu@qi1_FyvKT}yHOqZ0v6s`K&0U>2jMc-DUQZ~`fR-p%u}&mteR4tV><)5p_BFT zdFg_}F7TIX(@;} zEj`E1a&PBp5$JE!KRDJlOTyT{mAIa_FROw`WEXawM9#6QWNGnSL43lvzFKkfY=6{ThAQ8SG^4Yh-Bb5Y#}S&|sBt?6CH~_F9ciQnVF`DF-9T zV~Kh`hXRLF_E|36l}^s@uii2jyqfF@8W3g=y#yWX!c=gnpxZ1mGCq3pD-3VTa%to` zdh-?AHJ1?ZbzGOSf9(3a|AuuCkg`siyZ~3<%d6|-UdFouO}WaDjVbt38k_u$TjJ9V z8KzKK(5WOoaY^AJBR}vZermlWEEz?#qV6bjcX-k{?}7>}Q*F#gnM6y=VgP=!3TT=` ze-j0gmmHiFj+-}?goY@>>InX7qS#GM=8QCcO^px=DBH3GTZ)ItegPBw7S45UK-PK6 zaS2RXh;0+>EFD|{OeP(elu)M2M-)6JAjiX&z%8xVYGPiemiu!t0|LU)4N&uvHLcRt z4tHHKTJ8t&5`S1a^!FXERt5g5_mFyHU@p~OXEWZO^ryO+7(Z<}V;*9_mB1SEKf!LO z!+vl4m3$_uZg#wneFouyXzN1r7Qs|%O$R2cOH|BNr2^mSN@G*c#ph$N;XQbA#U#GygNSogK)9#Lb- z<<&N~KEPif)B&`LP2>r}bx=HfYD+DsYfh!;XEGpJs&5MyO&(2!Pe)DM4Wu-LY}H|i zF5p#!wv{&4^x*Hjnb7M~0*l!pUK?@row(-|jvY3@#|1)1ZOXJSEgQ94*Y1F3 zMgmkti(jG2v$x2wXB^0x%rhJ{pe@yb|KWS(mwd``1PAT!rtW1ziRm0OH5E$_?)()$ zzYR9_NAVJ%6*nBOvGAL*9$a?RV&#ZiDEVRhuh5B*l0cx z7r!oDm$t=Mlw}{09*tC3G~@HweQK4F5HQS6Tg4m zADF)NGgx3rH7Ghq=-JUYdIj}Ca*cN_v#H+_u$YTHS(t75sXpU^6*`n;M8g1~b zv)uTX?i$L9_=tTQV^yqlA1l(qI&(7U%P z$b4SiciZkwaf_x;uYwQ)+XhH%*s3VVm~$--DLHu71!b2S6)gXdV;9-x#YmK1+qu(p zUBuLK|64072L6-#ERL$diqX<3cNT3m`nys7PWa4 zlzX7sLPZjp5=OIux}j0smno4VGnZIwCAdcX(quY5kfJu$vP1=ZSrK}jPJ9!@`H;3{ zNcj+idvA|K4}CViD)KA7pKNwi(JUuN*~Ixfzk)ynW2|17w^)&Q5ufWt{6SPt0=GrXte687 z9n*O$pQm9U0V?d$P#fEE>}?3sVsVYgT;hDKTTGL4l6@5ExO~Z#D_#6*Bvt^q40p~! z&<1on(P|yJ`^vR0Nnpqhp4hPEuuTjv%bgMRz~ zK6cE{a%pr#M($&zW-k`5-1@c&Zof_}ffKznQXCS;&^9ssIWYP~ig6uXCp83`hnw#A zQdW)@bK2EMu-_p6S;Rhzkf)NIT2diVTx*vMAA!^QpCNK_h&XXUjD$XWGBV6&U>u4OAB!OSlHn|2k z5ZTIFBu_$@K))}c;2ZZ-o6ux06HIIcCH(Q0Th=7f)G}LDAZ+F#7~u_ul5R=9$qcV> z4Y#n}vJJEQj=k7HwbdDg)8uQLtBsCYkjU%kB*?1ujM0L3%hPPWn&Pwt=@;nkVF_dQ zId&{U1?|_dGLB@zdGQom5`US1P&`3vz~>QVO5+eno&LFUzlKmNrXbPc0|ZJFphAHJ z<18V3K=&YpMC<>}(OGtdV`Ak!94&Tb4E#DpBwB@DN3!dzyyEBJQlYX~pl&DQ7A}$BFrb8KX%mvl?&q1~!iw@^w`|zR ztGDD5x1tB{t>?q(Drmw)y&XAK;8GUkGHz>PwlyboTuUfixU*c#yR+mP1N9teJ%c^< z_q2da0T=1}Qxv%6jO$LCN0y9$&ty>>J)3LEwTHb9^t6_R!hYWaHi+F2vT>Et*c1*i zh$ASo_}e@B{F0?$hGQ~b(Vi7_~$rB2oUI(8f&cgMcWAnA| zeT8*|m=XJrY#@PT@+nqV^DW}h6mnz?=g>mb-#6o52;P8> zuwT6JEh6_I)IhWGH0x#uxE;-h(LFj|vB)h19-NlBlr#LNKWES*?$mOTt4LxO}YyPMAyU!Ma$9LkSWI8mGZzD9I`Nm z`4Sez5B^ovY(wDyiY>tK1miJSPXBw7WMp_nV1mh|ALu7NvW`=^kcNgAGAOenWY81{ zKE$0^zn^B3)1TKT+aW`SOR~NUL)Neacwnbn#byoOO@F)G09gbLO*VYgR0*AZwT8T3(sxKQat9gn8|Dc{V5tN z7Uxk4`CMXr)AWoQT1|_6>0;P)!&H+80c+6QRtF7buBJd*K<>0r0Deqlc9CAY2z_bI zL+mC~Mbl7PpD=cx6&ix$gf*yptOqQ>K$ZClV^oXNJ}GxkKsi)IzNxWs3jw2KcZ$Xa zCT8Rrje84*WiaCrIm0LsXC2zD;4U7G<|;aMvw)j(h=ibM%4v-8cI0B{*f})#*~z{P zxI*AP0915BW@GYmUBwm>CTKC9fE#hhoE973YZ~)vPU;Xu*+2NN$@R|$Skb}{`HeaT z(GeV1A9`iq$?|r6em=hms&@|2{1->W6mu51M}V!hMJXfiEl3C04YVsMQWh@*0*yA? zV?Q;HInV`~`yRGpcWaXpCcZUGG0ihgwx#WFsV+Oa=t7&I42D5Z{=|7mYPO1Q5M0=pGCuoqyHXQp_t^P<#$sDf zla7Vr(lfc&WDeZKK=M71Noe>Rc1u{T@@V+fd<%V1w0}@+2E*7gbf<1bd}Sd_rUj2f zBq+!r+{$l?n3xp9Rbrk9h=IQ>3sLV?7Wt^j1~F6-KLV+P5c)tzC8<&z0%Gd}=Lq)j zzJxo3I-3UUnn0!=C#cDf!S#VRSkG!y({&y+#f%WW;tV4otcT`1SWiH|&FL=Y8-hSE zE$bHbX8M}PovP&A3l~;oJ&fGVjLK<_C6RnQ{Ih~H-(ar#rZ2(R^fD=nH(YdyL_*%JsE@35k_b5@fb_vDayr`rLl{#N{3jf2w zEB=j(&wZ*|I}ZlW$F5Us@Q2|$ToQlSpL6=8Fq<#IxqVTTd-0gNsf+(qRqST;^mcmP zRV(J{gW|@0T~Cs8_N4Ir)=t8+b5Zn_<0bKy&hutOL!yAU8b~mZ;8XY#7FF+4@e}8B zu0Hn-y-&RS+A#M2?%>moyei)yq8EKg|3rZI3?5mGG5N~N&?_NTtXG$Imoyr9lHTQY zfZ=Q2#ZMgL+u8;lrvG+!Lqrks`r8Q^x&JY_%_9%DuXpzIc@8Z$2uTvtWebf zzu{DGR~>wq{qqI8G9RN=&bBJh5a%1RQoooAX9>1K&Za361kul`NChSRH6=z>VeeqI zINo#iE%2*oSLqiRj*A3~ORojy(jN(83tB{sxsmuAZ_ ze5!1^>eR)Cv{dwx2xe6Jq_l22#la(7^_+Z{xrr!&4K=16By(3i zKPcS^K*;SxJMD@Ff9kM))nggemBlW}^lL_vMmJu>M}6MdH)57ZcMcz6r^z;I;R8f^d|am}EIJayPKoX*`1%M-vqluhLbrROtMQ zN_&V|oEaz1pdrFs5|Lh_UPCX7JVCH0lB&%@A#no@T@NKosHF)b? zuAGV86LSeX5(~%2e=U_l)@&m)EKk0*?-nA)>7R#gq*@dGls2@7ETm_+H6aGLL8k52 z+d}xvusVpf3bQSh{)|I-!(yn`db!Mv?F8eGzYwSAGFp(7k+1i1>q)t*Ke3#09s0Oy;-Z{zBBO5I2i2*9477`qxJbJ zT!txC(Vx617?^B{u}20z!|UZ$^C;!PX53>EDg_H_nEgKNi$@Y1(wBw~=B z`dL*EVy0Qbh-Zi#-4PH~_ahX^%dy^u>;|Cf&nLWa!%=&bCfsNtRwF?)tY~-rY;rWGZl>EG=r`XX9F-JW2=cDAET2|9g;i>h7G}l@ zT5?ccb^-L><7kJW?}*4p(ZD1&2YicJfc$Fz- zN3x7MT1%cz(icw=90nwiRD&5Hj{P#YZ9_tGm_Z=#9!(#CLP}h^u?>&r8@336|CR9`hbp{T5gqqGH&(VGOIDae?A{mqX?1+;O(8zJ#eiT1rRd#pSyFds|-VZ%mU z=1OjTwxKW`xWT2`imoceqxL4V23NnKt5DnXmWxPLzzyfL%JR$FA~NmiG(@v3R8?K^ z#Pc*Xaspn*rJF@r5B9?I;?%N?uG)tujisd8i;H=%aK~$Z$F2zuji7^+r)3~hy@zO| zb3f2r58)=bb!giN*P6Eg$2E>k_fl7EUKdDvFza@mH18t!)0`WHM+ zL9IvuCClfArxB*g({(zAJFP_q3&WR@nf6O*Pb4yrGCBC%_U?QU#lM8@n`NJ-F^U2V z(lH`Q*-f6`^B=sRLl<<9{Ga@|ubZ%GkF0K=O%V)MB2lh?1SzJ!_mjx>o z3i(AvB){fGF}$PVvX)G98FPzH+RN;o8b;9VTpjMMe@RO(#M|UJR*FH2xaouQFC!z} zOEZSRPb;#M#`>jcN?lvOaob8)5p%OUi|A`A&G7BZ86yVU5=&fTCifFW$5Wx=b-NiT z46=Z$=k(cqKI*>kbs-U`vvfCgU7s4yMTAce|KBTkfcH3%FBP-nW_;_P;`Z**inN-X zPs3n~{foTOh6cA=5n=E5!lne$m}y(qqou5dADGmeOcW-!r)yT^b``X$`a+4uZ0t49 zvg;E`A+4sO^x^D+o`*VfO51H$IZ7-q7h3EN%J2GgccB$WrA$XiwN`93;!Pyd-UV7K zGrg4{Cc(vn(GGq<0y$)BD2H|7bmmU#(FC*_)wxjg#$0U)D}t>{GYdk$^&vYG;+oti z{(N(%mBX!*gTLCaucPV43bn=qJM+m4hX&^|g+n@TdFECHg{Z{%wFq5o05zghm=ckt z>NuAAFPNoeY%60G-{d`eEG-?e>aJ$g7V1hm$36D~5u}|jS%FhYcZrvIYW#{f)#>|e z)9W#)N9SdcltCG}#uw`FdsKPI-gIEss&Z96GKWBdt^u5Iy-v?x3^>eM zE!+>IbZ8@v44R8vQU-C|x?GWJV~LkxiI3m3uBOv`iidq$$ZS<$x&pk~K~8Oz=D0e| z^<3;(shSPaAm#w$KmYg?;{C(~#`r&eKNj{eZGsxwxQpu5|3*#OY$1*<@a=%0hj`|2 zj|b!Dnp2Kj)~W)YOd9o-gIr^A$@}%jQ8aqs!f{kwXr{-tw;;x(tyWn9{+as*V)aNE zGrxR#9K%sN6X|rx#R^Jw@cio!XD@uSbF>~wEF>`7HDsM+{cGrZ4ZyTv%Bt~TD=zhL zU{1ij(lW?s5NhjSvM!EhIp}Z64pc$-%$;{^p^I^>*F@1#SN3vryx<6e^q|!4>i~qK z3C5_{zK6JJ`|xFj@Ea^V`TX*n6VYm#=`c%}SD@l0TYGtAZq&i--L$N~Wg!&30HeP) z+K1{e&6@6NEy8LXit#qfbauIo-qO@7R<3wYVQb4oZk0XvAP=;#9QncbSpXf`Em^gC z$h%^|yAzD3+tQJaMq1^0>|Y$hur^rZ!iUMs7@f!Vb%E!s%jz3Pv?Tq>4+0=niR7T< z^!5l#)qzUD1kvp$$OE92@#M2#URHx#;-qp!#lKu@FX2Pwz$#j^lX9XaIi*(>-WJOI7$w;=Og_ZKV zc#L&B$5gr_b!gE5%D#hQeQ37R=HdX3!NKGEf;P@PzGr2As^v zF@k;LKV0T_q?>)O)=M9bkB8+N!^ujLmq18%;)QqpLwz`Xc(?5u<9s6*6G{5!K$DfV z#rq@yke!@#ga$NhKWLl<=0#Nrp+)D^Cqf#bA^va_^E4sF);qdKJ5Eaey8nLcu%boG znPFm0-DBXv!X@Eh?^$u)B7VUnmBUuKx%Tbl=B|q<%@5VR%_I4mCFKn&Ti7i3G_R!W z5v;mcoXeDbJ9LytuUVJKs5(`_B^sX+8E-sCC)MgO>lbDHGk<3e!OM)IG;o#(rS4q< z@3M}oK+b_h3M=YI5C3U6u>!cF63w@K=`I?J_sL7vi)HO#I{sx=SDI%NGT>s?=;5gIXTx#w7n%$OQuY-c{kgaHA;Lj*1OD zXp!{hNZQAEaAy4zPz3QnCl5F){g}{YB+A1P0aMA%2A5QmM7f~S!6zkTv>`4vN`x(8?(9nmFg!s{=*zvsI5?AwbxESbf%Pi6`OZ}?lAy# zeT@KA5o5d-M?60F9sXKbD=0GU<&hG{r>&23H4FVC|#rqctnLT2Scss;0~pm zn;BU;H?KyuMcg1X~Lx!tec8m0_Z1RWsWt-vSnYK`@(!cfy7q60IOefET;eB;qT#F0S6zCieHg?HQv+V}(_-)~I4lMRRM zAq9!Dq)M*b)tu3chwnTmvR>Uz!$QBX`a0)Ng|5E?DSpW#S{1GRR0dyZcjWavFb}4? z)ae!LtQV%!%wsGv6V#ccjS^Xo98O^^xc3iBHn-LbbS6C{G?|=#Syo$iRh;tPbb2&P zS2NphOxOOtATZISZ<0Ca8-`JfPn!L4`&XV3m_ayAZ?a)5ljyyXl4zQ_tD%`hE0e|H zsG);h*JgV^<%J-EK>9K=Gd8iKgG?j@}J zLO$Dhw}IF&1sWH=*D}+*Qc3(n)bG}vkR*ls~qws|}$bAG;* z8hxI6wpqLr=NjdpXwB@sY=k#1nb`SQnW;6U;nHBs=(ZhGFW!DQLY-x2zU`*<6`>vU zMJmi9w9D;u@=FK8;TS0&j8n=tgyE}}N{?fq1O|J$VID+_rjX7f7tUp&gxW4-3x{ZI zj#xtC(+r6}W`+7mLzB{6iFyawdL-{OVjV9g5ltcwuZ?*Am-}=>KlbA#MH>7F#gAto zEw=NKX5qQ)CjQB@!|Hiz6-OzC!D~eSxt9*LsVM(5fRoyVhJBBPecBFqb{-ST-UO%# zT-egzv6N2S3D>`5E|c5mM7y_9u(@CJoSTaKGr6=#?^F~X_s!0Df$S1_v+6b#XpZ_a z0gRC)w<~Ck87YfQt?=@!3yxj*kmVeVF5weycXQ7rEO>3y28DV4lNfMk&HRBt`+np8 zc>4C}7+;XEp7>AWNZq*REzZ$ZIwbYwApeiH1m+G+K|13}#RW?*I`H~gfx2JQZ0odw zNjUqJyV#5&1aD@L3+2){JQ9;fX#)^`L~F&ZLI9qK@387QQ&iwu-=;Oj`B)j#DCH44 zd?v!SsYV-jdSsFOd^Lsg5S3lYSQbeNdezl9ekvr5pXlybr>xkS>epz)KbRYD=rjNq zPTHCQ_fE&x7(`Q@$x`gnNo_}$Td*uH2SwzV5mDSITo0+HU*K5(`vq&f+b)OM&6Y)O z#qZ!58i1@@W;PBNIHHMgf|Frpk!);!RpJnlo%#v27K&Z>d06hAnD090N8MCi;=Rre zr=rgudr{!r$VhHO^b+*jj)QgQ5R?pAbHE7xIY9aZFcc75{xav>!n@TN@OD)7k zK@|=6LC5X?sR*Sh{fx!D1~G4oxU+QZ+j?ac2#EWf7J<{|Rho4Ak~ z1M~!>V=}vewXv^#h0*%Ows)Gh3ad^#*H>Q0!^%2(R?IB5OD(YLq-8!yseKrdD?8Tk zPVZUwy{`pS?IT>U4}|(2Tv5AiByqxL$?-0r8IYruaOP4M23jLEo7N{ZTtV`mPkR_%gr!j=IO8yG9*x*oZMdaA0}oF4T_zEx&gd z;JcR=QX?1Z9jl{!CjJml12B0(o`=3GQ}Xmly5wDdxR1^Rh1 zfE}cJ;5ThinO%&8ev=SK(H%KU=-Y0<`r7x`2Sgv+`!ti+@yvo|Fi)u8ESY97-)efk z=enJOH?y}4-~1@FPq`HnrOs;GGlgM7}j>FVG{BO>XEbU(Xb1P~P%9}}R zQqZ$6^fBZ9mj|Ra$b(4Jzrc>xe;sdt8@>Nk^~)bs&s+Q#?fuhgPwhw2e>NI`By62c z935Tkov+e%Eq^N@jgW7M{1p|s#YdBv)&#Q=Z;{x5c`8Iz=&F0Y5e>Q~%R-i3OcW+` z(|r}@^+)IRe{qp|N9eDF>fgU64VnM({8ssXp(#|?(Y^(+*rQlUUqJI)Bng3H6%);;#I6AQ`tr+jya7it!92={f%X^kK~`!xdBK zSGnQGiAE%)Dr9%iU!o+v->P!^iI)xjJG zwdf#4P2&}xoTm#K;s6wEE+NDQpm4F<-Womut^5PN+(E9Iv3)T*UGFb;05$kf>|Eq> z%}>MyV%m^b#o7GX{6>(SA9084bhY0|e~!gAiEoQl5ZI;1`D?8~8W*1;Sh7`Id$~m7 zi+p3HC$0&(u)3r^xMWB^HD!I~xJ_2DYqqm2kk7D*Cz?W`K-#2Q>~9t3g^Lm9qTo{G zVoh6PfJvZBf6E(gxkBGoDKzV^PMEuqvmUt**TkETBSgg+_(2zvjaWLo$r7wl42E7X zj6+hc2yUtXlY<{$j$8s-m@F6-%F3gOZxd1q$`zwn1|l*pbMyF2Ax1eLDmEBTEOESu zUvT_Apm-mx;Rv~`)iILKKSzz4?fTe1|$Sy>riTV^><_Cclop`FHYKM|S z4o4|u9eO3g%&@#3;Ci)}Xdy<`iuUpNyf;@7OLzE(eAuL2$}6gXO@c5?)vwpt*?x%z zg-dpR9lPF;=-e-dH8p8)M(vrSHQg-*jOfLQ&uz6agzd1Vw17RO^1aY2IUMcUlVDzD zC72ej_rB<9?4Yb=>DukTmuQ0i^@JeEPm4?_p#N!#DI;)|B4@S4kJ6{tBv2sSA4HC* z#fc_>y>V$;8Rl7she8KF97UaJ+-P$4^{2BkJ`0jB4ZpuLwrSVk4#nW^g=|{U>vyE5 zd8qVG*UNEt#<-m+@3uxlM{Gj$1%*kAodu7KANj(JP zVCDp*EA`N1hD`Zf@jxCo&!=lCGA7GrvRCwbSt1W1j|lGJ)J3*pmcfnD3w}It(Cy@0 zBWKX2^2KgfOqNd3O;TA3tw}Ynt8uRnv!&nOZg&$2ERKP?JkJ)Tw5DWqb!hjI=3(Mk z3@NyhL=5dl7LzU9i6u=xWf?+;2n;iE9~?Yp?jqk$ZCnjsI$hwsDujDsynw|dTBX=@ z$1Dl*hVfz}vK*r3xz;*6#w$1-?DC5bH}y!o6)2zJzf_fc+`Y=$f$e&4N6VChG`vC{ z7ynt3@x*8gT44RzAI&NT89gw-Q(k>W4-yV|OzM1EaEZ*sCBM@4H zcTnN&Y23r~wYQ@xxcuT+(%jUc4(bQriEJAa0JeR1a6^&q0O3ix|Ng{;^RV*FesX)- z-#|b=1CWrlosrd5`v0W#aZ<#1giz{rK*5TRSp)$=R~Hwfg;8tu<-#hI6o}LNP%1V1S~Q;P&qWy3_Fh-8iTO$HN_*$lvMX6o?7jc)U- zH)*TP`5u(M?XS3O*(@aaAs|C(&lhvbTpIU2B z@$G$P_0;8J1JCZMBpQG1r&5TETaW){Vb$!Kv6{zo71L#wx52}`b80KJ6}T19RqHKM zO>40l-)J3jC)b$y3<`L0zoCD|Dtens%pO<`*J|RTZM4c;;Hujc??fbxz^%M5POWn_ zM5WT)Hl$kAs>6EJG2Y6UK&%`~w1ZGb1B5A5j&E#=_r{T7S1pM=m(=#0yt!;s)jy>Z z`pj^jcc=h!MBh|jmds`RgL$_-QzZIrGN&0HEGABN;VXL++;X@$l4GuJ@| zGrBRdxeZkm>HZx=KoSQwl)M4?!qxgRv*nyDovcI@*gPAiy}$IBX(VI}sbd+{NZCW> z_EPpuHZeg$=fdOKlRJ{gScOaw+0c=%06}761y-U99HsCY@8^)MrSMu`uYL7e;c~LO z`{n3od<;>(i(kYxq5DASpWORzkSeZFS~)pDc_%;>Ml552U}9&FC)C+oG^3BNS&%r# z37=ld(#9iXRktxTu%IN&=NQ;#`5CvkcjmOR_dvv=%HmwR)GCcyOWV8b;E5+wR!L*# zc(uA9KdSrOW4H49HJ4kC67JO|k!b(pj{13qLdlf|heF{P5gk7^2?Qt7BVdp`4>!-$ zO)VSsT_C1%o;gOKCR;vCib`t?ZNlP9W}ySk7Pxk6d|{zyl0EKFZPYR7k-`gmrVS^| zG`SlvID?lN%6aD9Xiv|5tXEnP6^PtnNE3U{Qe}-a-%{O%=uEl*UMBDjv&H?~Qr9+? z4x($$P!xe4+0qn8KPfRNcM0)lL4P2o(W+dUIYUJ_@c1Z0BcL=Yg;ta0hcm7vfL^^U zEDno%;r&-At2FYvreO+`$@y3+Wb#+vD!m&V8O_X57P&nmW+U?3OwE>e_Q|Y;mkT%XA%v1;=Vs$MJO}a!c zw_xC6ar4YjPbHNI9U{m%6OR1_irbaoa`|!U?3I+kI z5UoE&bAMhpV4H+tE22wHI)u}Hm4jK{QjA%KQCOh=kwv3%BMGPMocEx}psbzj{j*LP zX3jw<{5=}4)Fix_QyMSlES@A`!)X-Uj9J6~hj~G-U_q8t=Lln4MKW3ui`g9Z8af%t zX@;=ZUpPQYMTm^*dE?7d-oWW{PzAxoUGc=lJPHQg?o&?G%X^mBbRWY)Fs_ET0|nZt zh)Su9HIX^8m{ot6EmXc;PeZj4&arq#h%}^f=z}y5@P|{yN!mdU$wOySm)9uwCkW zcD@$Qsq{PJaVxwd=w`J1ZnDpQ2bCOruD19QWOy%qttMCNlzAJkp~mU4ie;LBofn7c zow}NX zwVM9RLS@ib{|oO1_jpHNHLr!8Jlbl+<_-5`y_qimdXuM(ruvDv)Kw9ddH+G~eWO4wNG7C>5kNLz<04*erFMr)|H+B}X!k=?l`ycm_C0iZiJ* zl#VNA9e-s|6$8M7!pC&zR5O%JOn4{PPgK4u8Tx}YPwY8INOW(#b+l?Chn5xhJ|_3LZN1}{7rh1|5>+NdKZs}2p47BF3ImsT=TvJ)-UeGlOr2b5^cQ2z zmqs=&($2QtpxI>O@30f z>&C3B8VSo!8mwY}`ShS_-Q-CHQfkMxv)r$dd(rWG0o16)`2InJ*)E+C-E%7Y1wHKS1qjc+NaNOf2WB7PWw;SOR-!(amc07kT^ZMe|BZ4f!Fq zQWOqy5pg#+$!%sZ>fkt)4M%IW1dnKn@nuwJ# zzQzmlBGazacUjA-C#FfcANT#oM^Lh+iLgqlU6b_gf?yt%+K8tQq@iQ09YplHl!58R z_mDUfbKtzfCx{ofQCG>JmLc-Z{kl|NM3qQiY?T;XwJ=*FuF*69mO!48ao8JPPvq^~C0U+wa`)Q2 zeXz^Z%3jxV)b0L=_}|)_a%=V+4gmzj%Jn}#TJjdQS3krdBNh~wQ0P|V8j#>N6BMNY zQ^mw2D^gK$rXXczvRzO-9t?%Od)diKnod+lkZc*>Ox zSx0YV&x(@j%mP^y{LRhLG#f7uul0ZbswXEtag0R^s1O@LA!xsa*tqn&Skpwm@*LkY z`0lP{mX%tSi(Qv8J4#viH&vy=w-vEpZ3#^!w&e*A6NZ?cGA%20+LA-Xca?U_`Ku4! zNk6#aMcmz>3v|R+T=F7^?eJ41I~Zy0X>yy>IAAsqXHk&Ipg6zpQRxkp?Od*P#Y9L>R) z@RYA20Ew!wZZGb z_Dyv&8*qTQr-7GUG$fS~glU4L;%=&EnHm3)(^zTtP)(!`$FBVdc2&M_Can4nH(aUT z4Hlk?6po-stJ5^1h@EQN9!LKt)<*eAq}ca{jyY!2L>(-dS6J$Ik1M z6f2zD&MgV~t2sZGm8aH49dw*Jp}Q zZ}JbP<%yF5AF<`jp4A7=z3xfh3lFl`NJufOHNcm@3zgd)vjD*|B&@-PK;u^d6t|no zDf$8}u=gZ^DYep$Xa`m?%MjaLG!pH;#|YR+c*H4!N4O4(`8ax0kTefG@SYp>dC|Mog?CV=m~cPX(G)}|||JVqoH@y@=nb|nl$=H5$l zT=x7Ds?lN&wFGXOF6ROcMyZf%9tQYp&odR&I>w955dGY%g11dP4zLfsxOhtkX@{!l zNBq)1wzYkfeU<<>xz{-n)Q0)34f>!cCN#ISn#8Xos^FdeDrM>TbJicBTU@Vf-A~Y4KCN<Kt(Z}5>0Tv<8+Ep{_Qp5!i!}s zgfYqXgbVWMVL@Ckgm*_A6pvn=!rN%GPxFg>1E z>rYwC9!0AyKaJVVeVuw}Ur%4v$zEL?;63_1gMf+E1!0lMzknqCB-tmRv%iRQR8%#;yd;qgP zie`<9@ZoWiyYzeF_(OK@#fODqpFW0~b-|!Ne&g|u6rUnh<7OvUq6u$*6vj!x`$ZCA2w|sk_UU%g zd|UvL#)9|fFZDIA8H+{V^xMDSv`lk>kr~?4Mi8`jlrGckaF1IK`f|2ghh-UfhCQR} zif-gkgV16M>{4_vx&5`stFsX$yfc`f^oa?bv-bm<0${c+-zJe}$-2ND{v6U7es>Z_ zX}Ynp25!7l%(>?4K1)V(^X__8H&NP3=jrFplNM$wekq`bGsB5SYedclxE>sfYZ}>R zf*(zyPH&prI_FXvquB|{qIP8I^hFp%ifZPADvYCc(H+>coYE$ckLQdh;!{+e<@Usx zr-Sje5~54$qHkR!d8{R8HEsqfX5(jIoE#jp*!McH@h6?GgfCAC#xcf~ zn~J$m(TD{P_<72-pY~h5w_{bCX9dTt9aekDvN_in1cm<0XSvQEX6l3`q{pQ{Rnw5& z;KM&w7QvmBRu+Yc`KyJb(v=x#ehGmS%nUsl+qcWZ{GQmavR$*)N;$QeAhGjfV1S`0 zverJ0evT4WfMkxYA$_OEt>(w#^;A@42YAs6jkkHKT(M6-Dqa!gPz#Qh{(x$SMx%G^ zN&9Lv#S2YG>Jop2KrT1)Ri;L$re!~I^l26;USt{nb0ib@_qB#-8BVJo3=G_3mQ9>xiS<*C~+{flAc}5vI5K+JNh?DCtoPHA565cTXZ7{^EWqTO0U$J zZWC4vx3Z^KX);;67nrYT-byQHV3`vc$%N3zc3#!+ws;{3Mm9>a9#MX!4=MAHCi&)s zT7(wp1TsHp+1M0+3tG)A{gx+GByqH|RQ9A1354Y3%kwcKKRuux4Oz*|I9KUeW=ed_ zTS9Xo9{#u4a>9@NFdXOQc#C6aQc^rtC=+4N>Wp6sI-&U>nhdZw`JX4#o^9xe2Cgbi zTjff+AJC_NQwgi+_FB*-7J5CbpBp9(9RTbdi$SidxJeiLnAAOv@dW573| zPy7_>_hAy@V%f|_!kJg-{%ty|q(ZbWl(8t@;{|B>6o;xBePULs>lY{b6!kv5S*)JA z5vK10ZPv|=>9mOz29tF*#<ebm$yZq)rFsl@YIgqc}>ST6&dhTA%IA z=t}Wi5T9R;zR`li#-rv{^RC7`N3nE)3AYx=GWJb)eCnWPsP{tk8^`(Q6YB-vF;>oY zsQPE0P3rdX9)m~LKUHs*uv&DKY6sO2P=n0gjA%Jqo#9K`OM(ighTns}y| zhL>~Vt|?CpP|UC!Cb>@!YL@T+n6JcoeVG*Vnr;?Jz4!3}!&KG$XU&u<8h5HHowRvAz2wioFQUpNU} za-Z9h4<=?Q&b)Md#w0Cm^iabjU7$quyIWN!qMj&rk|@neb-L zOidXHFB8EmO;7LH&k7$bUafptdu%;9_h8c=c9u+07|%dy{nd_L1TT)Oo!KHb zUsndQbw}o^_&Od#g0F|LP3A~`M?6WhkEiLGhyTMg*>+E59J*Jz;V_z;1o&Q|2%GTG zesK9omFzA*;)AWhw~O&c`x_6{%h@!s8Q0kJx!I|QRog7O3&1&ud2_uYRAGF%nnU;o z?OE-$&`l3#!W+G|FdJig0|mYkqby`|H9F%5f|BU@)QNLYkivF9c)xgF8%k_|l%X;5 zGm5c^m~~l*G9dW?%uF9zphAGND01Gnw_WVNGwDVHZfYyZk?wec#jYV9Xe=A_;oI&5 zP>otol(oSaK`y)p+95iQ`ytX*7ns**(nlf;YL^_A)Zze>A-UaVGPaWxRhla9Zm z`Wn&y!wr)FHP}ww&)ca3no$XyTl0L(-7WtP1$!})RJ1PTWVng zZU;AXd!*)4iuo4lJCQJYtSQFoV^XnNM38_~tRby% zmXCLp-h<9MPl`_H6vuw#X4D1B1diu*qYO$|j)7_=^yVb%9QfHwFB_3u8dZJ$96}1<{sKR_0IA34?o-un0Z zX0Q25$vn-Y2$O$l0h&f(DI)@KpyA$aA|GMwG6n2gi43fiVC zZYpANFi|Vn$LDE(i3UP+){w#A z!QCPR1iL(V~uB5teR3zqR_+}d~y4FAF_vgH&9`n-o zZfM|c;hvj|Ct8RXT$pcU5JlxwU$rT-VF>ww48GhxI%`OgWjS&JUuuG{`%cdsShkke zkJeu~SpVd`rFIu5I`$Uz_V`@KFy`Yfw)jE6<+($K_hB{5A`IAyKi$T9uU`ekAvn9{ zsDzfDaH~JZQb*U4j=8$%sCI+o9IV~Ev8tFLc3x`xaNB|Ofl(T^TE6~O9@O>Ntyai~ z>WfOBMDsbF58bmVoSe6p>$;lFcopX^97JCvTSkdYFZpB+^OB$-K&9vGZ0!0>GCN4YBTK0{WC={GiMjnR2^px zxlMnIj(}Eq+4W=I7mK&iS3&PwMNe;7ac_i>E-ZUG%dRelZ+3`kP@enW9+)xV|42vj zR8QTEzPf2f5WpO~&Az&#aL2u!JUTnEtQ1W76s);unGkS54<3^T|J*i2D|VXxk`Q_0 zs63$T44ZnKdbLe}>!c^=;QVG}R`T^U^OsKaxxIcL1QZvsOcU_;Lb?U?OjP4MGH=th zsDy|6Rt7H8O#Tb26;oxc`uHa`SG!J2a0&>D>c;pS9f2k9`qH1~`VgCyFS?NL@x`io zQVOsQYTEH$L;EYXoa=*H+P{k5L}7uiKbTitF=~Ss7RFhu8sMS#%CCsx=aDx*pd`8M zO0f_T3C^|I8avg_`0gZC8V-ja@uuzu2?bm;B1}0P5kX&zgU2Yqn0_k+<>w|~0v`cJ zAJJM*^OIhS#Y@MA*!1)74i|xj)eF28*P>tj_>V-n8`G(I?R8sHoF$WXzla>jd*?*>6Vs) zSIfjX_RW*FX%AL4!F_3m+Y9?GF>eXAj*WjUlpB?h95(zo~e8gRTY63oS(kz0} z#41+Rq}dTog_hDpV?|vvq7ERTt)ipF8h7@$Fcjm-1J1f!{5<%;Zydl$ZXRZ_oxc?`$`Lr{CsG(^plrk zUeuAUG9c0zTfxt^d}xH)5JW*(eMIpFc%B#aYp#|LtWO@knAmcXMh$Y8X3^IPvbq8U;y^`IE72+1J zs!o^HVUyj`FI;q2zE?M+kMhy3be=vHNjxiH@?a?L^8?L&zac6%nJeS?{)BKOEKtnK zFU;t%A*a}_Y051zn?T%c3!@JMd@Bs@b#sI}X*Q9JhO3o>%-l|aRQt@?n!b(o?Jm{F zv(PJ#N1+Q(`E5cp^KOYx;%#dglUrAZ)@MKNMqc42+k9y;>TEbVOVLgPaolQoUnJ!W zMHy?8JUTyhCew*8XF3;WwhA@m+K{0<1bti)HRAPfc2#%$j`@V=>k_`d|Ik8BYi~l!TVF(I?Xq?~xX^bS<#|zB zs~aj7qKPnputMFsI3_i>wDHM6Ml1076FX!l>QTLKsa)|s8$|j^(Bsy1hdwQ%DFiH?BU~JWWR+(D_1S8f%&!e_NAElsW9-X_c5j-~ zx3V}s`h2U48w|Ud)u|$6JsjN5l|M1*OK-=i5^Woe*r3Yf0l9<Pf^*s#Dyy{AOCj+fg?Zrr*NUZf*?vaoezlXm*I7mWJX(5#bY zw(4qX2JNu#c+IvRB~x^egf?dBR@~;(CN@%?aT4KgrbhCAZ&xHCOtGF}3Q45GT#qK- zA^LEuGMbWLfZ!rs`=qJT2^n9)W!2`>THk{}-o%&SEO()`;OA&r+oe|oB#+MEHjH@( z4WvX~)X90DAnr_Z91v5>UxHxMTV@uz&bK$QYja_O zfYW^9h?zf3eNoAfiq|^YSNFayvAM=h9m$Z|3EvsNHpb@lek^v|xN^I>bB;NCfBcrl&8&^1xV1SbVuFY%fz*}WOXr>Tt%Q!fIQ@0Do zu(T`kWs1mm_;h;6hob(ymP3*vVg>uv67<(H;)oX4p-U~UU6imrL_75o zZZl?{UetCAPYF^4x9ftjas^q0_-0+7`}9aTIZrJY-;kxg`SMJan~T-k?CLy)(MJa^ z3C6B)P;_0eAU2%^ZrBhmmGwcJbSMw&fTIEkb|65qsBo?zo5=9(Nyc-8&Um5g{X)M= z?T032$LgwITee;}?ji6}s(mo@c{Q?kJMKG0)Zm{dSmYlqW&lOFySR*_s#IqubRF`; z@A+d?)PAGKDrv5tlnAvKXK+Io#1B(M2~M-*Z0)uS!20r?Ya|>PvUACCh*nJ0lk-xF z{Y{V3e=aZMCCt^z)1cNL);m}$ysI$U-Q5C(l%Eo$V z=W2&t>8M51;>A}VNo((g8BhJ!S3)?A6fHLzH){yK^K#j~V~pVwjV`h)+~&{)_WFVQo^Bw6pW%FgfvNSp(xCs`B7M2p=3WxKKDK_h z+X<_e(t*kd2CLXXh#YnsK{JWt%#(HjAN}}idh_;+NggTu7Z(wi?UPaGE8SA;qi)LR zgyWu7^I>Ss3?VYINdqsQ0dKIHVdk@J4EG8lX?%Ns(Hv zh2X6ajh4P2o7RBV^!q&*qU**3E4UR6C)bR581dFG?Zb--sSknUNg$cYcU_ak=3xaH z9UJ=efQgRHnYARY6->sLO?A4Ovc^QByoH#9ZrgHIUxkcu2i-1fyLwOK#YXm}nvZo@ zFiqLR!Y4$}Of!78W-`-GQoEYcutaw?ho^Py4&UV;o34HC+24rt?pcw)x?cQohA7!W z#^2eZ&vP*^m434>wcD_4Q#*F45=5ryD(UT`e08v3b$b)<+W96%fcSYMCAj<2p1Osy z#akVJmtE5j1QNX7TVMFHKiRoSrCSJ3vf<{DqRzb?sO;qe+zIMyX?UZu?&`x`=B}+F z*E@NGf9~RfLhj)j=cfYWr5^6B8UF%%Dx-mLWx18?-TvdLz$e({Ha=5(sbh+BNobc$ z2lbZdID7O3hl3OhFbf#IUBZH4NEe`rTO@hbQf)?MEUZbsg94;Z|D+zC2RaM@FkG~{ z?wQg%XC56x?Uel1+gE?3Ba{$q+pY2tGl;~$t8$dLUZ68=-#X9NhpJ(d{EiuER^3MP zG|cE=BekNt=atw*IjqMv*6MNIdNNl4~T9}*|9tCd8s)#bdaCS{AL zj{dG>Vl+C%8}|BCVx4c;k-PP#qUjaurB?LNAKx5EEhQVfZrPJ?p0{yq>q{-V!0)cZ ztu4&YWeyx-O1D1UNQB=V_Vsbu5Q+(Ih;(sE{?xb-9kD`?^{g=*cFTpF*@KcBnUElP zJp~^3?CLVPl%WaYM4-SY?s-H!8>Jm=qEKD@kK9*SWi$Ca@)$h-5 z$;)p6t>vSxF8E~8UN;Emer;bAJ#>1T*!Pf1SQk4c-dg@d7H8B)XI$poRl=hVeyAzs+z9qo#g=RJ=xlXs^?Tzx!iDMTcw2lmvIa|i!=y9FyD7r z-v}k=Ei^GE-_RqON49MXjT-ic`j1{wB3sONX?H1Xi;Nl`Jkpj9Z=*umgs{O$tr^Al zA7(YQ%@|$7;R7piEe;A)!sYZ1G%#}^-?2!B@+=TC_hx;-pVgI;M^rIZe+i7o9KfuI zdFro-Gn!z_XwJ+9k%oxr7aOaYNaT7ra(cLYl0jxy0;#c?{qA^7_*}63vv2qZ6Q935 zch+9Rdc2D=Rb#`#MxElX~@7h^$lCn;>7oUm;m;y_Gm3CNJal*72BmrTb})wF>V zK`9jHe$F>x(PZu=lVD`K*|uvjrwIe7KK6bcrxAsmz9yB6deCMxbNwwSDEH3gRS=~h z-V(i6D!(K^5&23=V7iC{HQN*{IS%Z`u7cwHXg=!jE6OEnm;v4+KCd{5eNtbRMMz-+cR!rKB?wxtrJw01g%|p{W)zgUyT%qklYXLM zfQ1(UHL^Tit|b(1+Vgm^^X%=@;nAmw%hNAGuZh#UROost&254^daI&5pyc3Y-qBg!3G7GyA@4I4g> zUzx6|9-aLJ58)4$=Q;uZN~=;=U*I*ujGlsMV!Lz{mRflm!rRc@5z;$}0A74ce-p<> z=MQmA#)_t3AnflX$pjxUi;kSyKWkqdB`>ekgdsv20#Sxgw9 z`$)wVQvuMaf&Q0HowNf6Gd=uJRj%=HOkI@%P|1u$tO4e;J9~hEqfPF_sd9BLehRTM zG}j?NQ#rv*0K`!~kMA9o2daZjw>brzp!uxz$?ye~S+nN=VA|9mrodQ2T0jsW3ix={ z5X}yE?p?jCIRow5d)shi#VieHb+1U+a@4$f@xs%E60amOsHIJ94raYgU zKb!afzd2Q+KF7&47C0q`lQ*n##l|$&b$^M^!Zen9f62$lG`9AOfSYN|z!Df{%2VY6 zwy{a`xroQb8pzl~p3X2>dSmAOhW3ICoQ}E@Uc+?laU>mrx+=KhQGQUkcD5!zweJ@F zaN)EZfMq6N7tX@WTWo#YpUtpvp3FFU*u^u@3-8rY=@!njPUSw*7(LtB>7gdpa+i`$mD^7=u;>-2`rGvK>tDKFi^E)gT(ms@W=jb9@ zDdwNV0g8VT2a7=C2f4hZH8i*7lEnCfq9Fz*c!^*!ED;7}p;0aPY8&InLe+QXvcM(j zR&K4nP>_%DZg|}e=`xBeQI*^3c_jlOKl-8d$hy+%aJ?(JcxQufie#MN_OcQd`aYZ$ zq3%s_xTJE9)`GiTa6b?|ThLeWHuE%90%!f?7Z*ftan-(R zKM^Sw=C_^X_&Ka|nfjFd_U23LLhQgkqw&OMe`T4Cz_j<}Pi5RJo<$;#cAIdAxZ+{7 zHmiXf&j!--WHlYSPnB*T#doX_sRhpFhAf1>Y48tKCX0_2Q+`EJ1AY@B)k2QROKFCC z`u4oV07W0IUDr>YL!D08c;m8&UAT!_B!RwMkfn=Z)?r#C*uKGA{JL5lV(&EuDXivhgz@%x$DQA)4-L(+p`G<1kXJwi>30gIQ)Qo|*xvdpWIZ^#ozW5D&vc@E!O==8NB}8zO1LV8+KSrTVc;YWRXzDZ2!`dW)t=S7Atv2HUMJ5rWu#pn!8PaEm)t zFz*E(%j(U#=>=3~5}eM0CpnDG7K|OP4x9jnS82^G3snDsSqgqpt+!2436kgYE2Q_p*B|na5y{!EnkG~bK@W5q|(^5N2b0?^lxtV6Z+5>larb%Oa$+=zcPW_E{tayq>yLP9yDmFn zM7t1!>O5{$y)X0CZN1#|?86Vc_zztZw+iGO7lI?VukbM7RX?o-D;^WIXPwz>s1O_| zcbI>suz5U%2d(mwgPp-$rb%h230qV-XW7i}GoFp}7(>?W zr`;pL6{Z;)X;cmV>6-p|(piuEOZ&f}I%)eFBz>DN{LCH1|Fp7@BLkjq6#lAB7P(f# zi&`Qum}@=p{nBSI2DrNH?X=oub2cQD6+R12-eaQ$}#!s6WL{N+J??;9c-vUD`DHsmPDLYq$r9a2#+Q~DPxIQ#REOi z54a8LaMiNn-f^RPRt09E(0jm%hIh~MaPwu0;wJ?!8Bkc-DPq8={tP&cb}hwg53|AP z*RFv-FN}>NS~$~%7ev`zW3jbkTu7i&eV#0WD~O_iZBH`kv;Q?Z$&{ zqm$6#;Q&P%^LGV(U*8rmjo_%%XyG~%5AQ}8P-yL=G%#!+#60Ps@3Urv=7bfJrdJLB zV5NiV^mA4B8>bk^ZfXyOHtI+PiUJ1YO3&qqMlHrzK(4+hY8-h7JNp%(w8+ zQ0&Q%Gc7g9ElfsE2J>f)j*{>*3x$~3U?bL^HJ@oh6jlLqBlBs+jeGn&K&xH1^l4BeD^kI@qq9DS5e*=U5ClxpE>?eG#9q31x{}9URna@jrjobvsaF zV8xcnaqH$z6E~yxa5j*TtsQ6~zRG8;+Z*EJr+>s!BHm91lFLB-Z-(6qO>X5eywEEmwV9c+Qr3b^!On1mgFI} z<-_{y@8~zKXqBx#no~=qHt8NQZ$F;3o!INLJ$aiTHuiR37D12g8|d47J&s+XbHNz0#k_`@<)Hn#rFu|R zXWzmFZ&~tS(Z*L4heAb?ElrH-2jYPKn?|I^2M8xO6XF>*(sb13XMAz57#G{0U9VMk zJR_Veg;F7Y0UKpHA3~BazQOP@YgJa?t4ejL)U(aC{$Nh)$6iA`yB>*PrL%|wO=a|A zJk=wqWm&33bp6+v6nR3&K{=zGHCs$zC!ke_MgqpyiJx)R~ z@;MN}8(@g*(U$e0D_UpE$g)h#9FWEmu+E(^*1wJOH>fobn?r9$>CUdMFiEYMt3Xgz z_Zw?`@${+qob_^640S_M>6s4ehxx#nAp*6jmt$3h!L$n4+ivyhu`Cf#WS zjw=MJYHiE6_sR049hhJ7^00eqm0GS2ZwM``bxk1lFFHwq%(F+T1z($cpn#9ZaY^IAKx0uLz@;OWo# z6OCQC-?N}Cv+2KMv0T5LI5C;B1q&XM(0B9FZvLs#(~S*0c($tfy9(787mka*?b)NW zd>)SG^PtB6;OeP9!Cdk$0qPSY&Aplm6yEP!9)Fkwt??>4S$dY?FZ@3L^Ip)I9tLJ! zBhZYd{OGJ5T31td<}dvzCSBTe7PAqxuQ!@;Cz`rC=qz1f<&F)rNuR?d_@9c@B$y&9 zMqEt(Qx{MZUp640YK)Cd`qyMnU#tD;ZF#`D8@suCt0%QXm;{*WHg8J$#_#8+bV#G( zp)B1UXYAE)3RQ^Mw%k*ltX|_$bd=MXrPUv;cgIY_nVg<0Dt*)Ml>Q^Jwnn`v$LWNU zhq<(}PF;60ew*(rvz=9$tMv93WExY4vieebz>P-BGkUu*6Tha>bJEoY)a>c3ZW^U1 zDdPMZYL_+Z^uy_1-+F7%mO)$xfs`Id8lT&Gn}4h$hsAi(GuOd(Pf~p2oR|V zy!fdh@G6s~gR1zj$!VCA$@Vn^PRsdLv&Srh^{QS|~T;`-;wkA=ZtD zI_Vn06wKPe6eJS{(jiV77={G#otMKrbdSnWWJQWQV$ilflXu>Cmvzku;sXHsztILH z8uen7VA8X@nVFJ$T3O*%DAC0;3ZoiDf_9?IaQsEpJPp%DQ|6 ziLw3&Z*aa6OJ}; z`%xqc3)uF>E7UU-%>uHFFb8~R$*?$^N7}gJh#m_u9YI|~*Z?)?`lAV7Mrtj~6v>Ww!N=TR3Bn!E zv8uc5XIFwE#j-ULyx|VMX2qI+-$8rS+{77ew{7U@QTFR`khY`h$!?8~s#gP+y6S>;iz@KZkm{|6 zByT?7j8p&H1%YQt7TtShx|<&nsRuDl- zTw6co7TB|1E(8W+i>_2(3%g!+U>;ZqTcWJ=50v{N(k5K-4P0Bm!ft*XOsdd;9iGNO z%tJ=i6vIYppXJP{Z_clY>!-UP1Fxs~XaHM-2?>!7Cx~15MqfKjeKmc>Z_Z5TeUBqH zXIZ}wXid>&RS|o267dK$X(DVBwz0He-M4U<-!Zs0h=m(o*4nLJej|JM4Q84Q8`QG! zKBJkzhbOLOVakH%eT(i?pMJbg6SBZelf%76*Kc3aUKs71sKf1*;tkl*!*I-E@Oy1-2VmfXiWQpCKwiV;WU#6NeatQGAr&Hx z;-&DC6T>h@lq{H%gp!-h99yxezyj*oA#a@M6U6MSxSYh*kxxp}5X$tmk&WC=vLwG% zpoL{ek4hcM84T)wjJY2oO7t23;_cc9ynU1q%fsF*yE9=CIi4(34HU0do-Dh4;~9t; z9?Q`ES&X0YpG}761xkvbF(6BanTJc-|3ECpya2wkQ&iOAixG{DM~T9v4r;{`lx7B4 zjVX%A*_-i6k&sZPjbLk{yrYsMSALJ5Y`i2(7u;Pb5EjT-uJ8l6Ztg71Vl2nMz}ODx zHhMW)O3Zysq1GqQ(rFSex+2YKvU#wWnv>`#qW_xv82AWi*xbpbfcedihZ932EzB^! zi^hu*Rp4K|UmFQ7#(bu$v7U}oaPc)n{H2@>!q-<4B61FBF`~Q{lBcj*J=9N|+wIM& zp2wiYD*T|O3zl#o?R`QXED=Te2oSr>mCDiQGO057GRkX|)$CKQ*dyw^)x#G%8nuG2 zM3^aLgqYW+f(>Qj-v0L5@FcV|i}83rGdxlxA}VM9!+|cCvO1%!n;g zOY{1fsaudieoeU902)cR<+63IAcJzhsZrV#|zo6p5V~ehaJ@GdE(DY>1Qiph+Q% zYHtRz(%xaTiSgNs#B$)-14~9KJv3ALM-O@Erl*odCcSU+sziM@#?3C=$BrNNL5h+2zB{$B+5W1acRZUJXFMBSJg1!zgq--l}vYDaXuCE z3AtYzee!rult02gQ9g2|cK+BIA{smA|IIsy%7Cr&E@&Vj@cY65l-&$v_!e^)zd$tq z<)pu@9)PGI7TV&^)p95P>WFKetl1%C^{gDF1^|wv9+dASqv14H%#>m}jQr;E#ZM{> zaHN-W}`5)i2XTZk&KJxDh9PoD}NO{I&YV zx$g~LI+T@&L6Mng?}XAd^m<#67q}dQ>x!d(&=to=7?wuOygWhsX>6P0Fe`c zo#CIsLBc3lp4G|*GKO*{%MD;79B^x6E z)+GHa@j`6Ni^3m+!jnHAqSeEGL4?U$Cnl(NmWrpe&D9m6MP*I^Tyz2#1_Vm7c5r8w zc*5JT$LQ5hCY={HD+(IXNe@frwG=I7Y zkiBx!&cDPSuu3Haxafk+-C-eL1#O~N#Z?Vi#S;q4+QZAV?@;e@`p%N`e~gb|YCs+Z zcs;6|#pm~fbl-giy7{HEPx$>N4l4v_EIEj5tb2VpIZ!z#*Km7-?KSP49lAXdKRs&O z)XB0@VNHYlON32dOVdS{`r70eV`*=h{_Ps=f@P5IIDEPqoZdoXOI;;c%KO^U!RDuB zzie8r0>_Y5>0_|kd0}Gq)pqsZ?jR^I>nB=)zB%dV&gi^k2?q-TG96?F**BVtPDspo zQ_@l0Pk5D_<;r78-_bae?j{;i$v;!x5u0W1QYd80n+mOUA|7@n?BzF$CLPyHXNB#} zQr=zT2Ar!bFb*$Kq>U7u9^o6l9Fe=5Rsk{}3b6RPX;s=wI=LZxUX>$2 z5}n*k8j?lMG>ZOWkp z{)|-*o-(jEfGBK`fXJJ#X=H}z-!#v*;*y|A1DZ|eJemrNQ>vwRC-Z2x8oei0x+i|! z1R-|DJMBgr!wzq0K&ZwbUB zAd&n79lS)oOErHrT0Fpj7-1l%uN}teKv0PCeMSag+dZ$lW|Niug>2y;=<3foAV~&d zcV$KZj=XvdBr+LQ01eb$5d-l7N_l+>3*^^4;@>vAyKG1174+m!Q1%aO_A3h(FisAo z5)HKp{sCU@GW!GOKR{Iq)}0tE@{k62O8J8Kx@Gl^HP$E@?WbJ)%RkY|lxF>n6jE0l z;0+oj=rEcdf%^;|>Gk(5Q*!oy^^Q2qpVIyTAy?4Rmdc3%mpoH9gd|r9N*hu_?kwp| z;@<$w=Fo43&8;Vk zSL=mB7J+5f0(=*fZ&GGcY;1;Hqns~3P|op3vW@p8DW|wLe*nrW%NDjxvlPH%-EvIi z$}uki$P(HQAe9>cvcx0+NCgRBd54_IC@hUjBX}~x|NWf*Q<|6Z3*4f>jx~Oqc85>K zTu^|`TN(HivWc*JnWDuy+ZAr|1}8l6gU|x$=AIUSDZh86Q|JLE%whm>e&Una|Cv}= zZU(a;Zy#R|f;TRPNGKl;sz9a%5Cyvz0G1TY8mGwT7E?qa8BjI>Ai*I8I4c9)`zg&* z?}h=J8NdZV%K6F7tRd1*ol}-1tl=Rf|5V7TAsT=}V=hBa003l+01~(E%$ldHWA1`L zj zC@e))mF?V#X3aaFXgHdiIQtTSCyq4hHRJC{DCdi7OPm1ol9V^A#~Czr*m!fwy76L5 z@Kky@Gb?`7`~GYa8F+aH^XL9|cgFwwRvC&xh9Yl|3hYdJFQgz716Tifpm#5Y9Oyf` z1M=SiCwYi6DGY#>*h3*=Ncb0a3hkG-n02Tzu6aDTCXU2^N*xvO& zruZ8pa#6q$FKDCxt2!~;b5~B2C;|iC|EntZAHL?vBB&-y_zKKDhx~ih;WzAZ4;}f= ze)v3G4`>iuwk%3h0H6ME(B73p6Vg*tm?Y2Cwl#D zNkNKVqWNUMO*!U#U-fE(q$kJ`AqlX~KaL2$!v9y80}T>TY7?~({|}_cY(;b*<|Sf( z50C$%+zfF37CEO2KvmlhLjOf@FXxV{9;7U6d#%2agUI|TS10XP_;2Cgqj&X<7dw_U zH z#J2;e->M~$f+m|e+Ou`YigA5*x5nnGlOToj(b23e&cn#LqRe6v;NAjTJ0GM34utP- z1sjP15wBm&eK;8#xWvp;t#LM|^UM znE)UC<751C{hlU=AJF7D3|M_6 zWQI0hc&RgKm9gid`&bR+RPWO^*S&dHsY%I`9D#h52-I1mx9461WfyXnO!9%qQE$vz z`B9*B1JqfF@9QjskU9&{#R0nZTlMllmw@rI`*kJQ)j{^Aq1>&uj$sm|%=<9q$Od>< z1)m&;zd72T^a1yArWmN7_~w7`1^zu2c%p?sjK1Lf+$`VhP~OnXd?@&oBIp9F=6dkK z5B)MLYn$dK?iK!-P4#5kGpCJKpEwqFy+3+a-0TWi>^Ct@e)Di z9!%WR{)sLA=u%q!Kla`_uBxtU8F$v3mQHCzKuS`&ySt>MBqSxJ zr9nXi1og}fsCeJE&-=XReBX24^Zm{rYwxwzo^!1^)-}eM*SrSoT@5bMi4Gbk>d9M3 z{IM~RYh*GLnt@zHZ4co=K-T^X@%gA1W#elN;V)DSTA+#k6L4kepL8buj6Q)94xlM# zlQ1AJq*Q7XmH~uy#FGDDQ02DBIn#lx`6J0@aO*Gv9bYVWVnb-k^K<5*2AIeB#CT&7wKUV6Y@@My-Z1|XwTc#<~fiXX&JU@$z&uv&6f*xGqVk0Va5=q!8O45su7q_p(C$N+y#knOZ6;+dGE6--q#(w;ZUlG{7^P$s zx{;O zB+H1N7f&7lC5q=sVp|7qq%pZkByiG%PoG);DN~!c`CO7RXiNZ}ULY*aa`>;eGju9^ ze_N!RHk{LxGcC)EKVwDU-<=?fgfDsAPXvEXknaKdKl#%?wLF_3HATP}Z8$~$slnw5 za(^b{yBgkl0HGPpU|Z^0VC>POoXwC)cZO~(UeqT3xga5i_pi`!O-HV}aMHg}=U)yg zvXSZaw}M3SI;Q$5Wb&)!qlT3A#O<&?iuOuPVco)%Y@|3KE+>W58uV{Op^xB(ojvqV z3%^2&ff&S7(zD-hIgN8Zk_$+m$Hh=ka_wQ-KM0H$WuXiHF5eFGw=(Kbrl3jOSvk-2 zEXHi#8j+LafrW5j{#*y|qY|I;00_TC*oUZ_U~whLaQR8}xBWdbmIp8XQrX&EG0zT3OMWUNUb}zCXyosFgj%4B$KarZ}5DUxAqU^Ks{e++n3Pv0c z!crlJ`rxoPZN{;n4qdvl@v?fnjQjz_XPp=g}Oje$Yc;T5YEWUEZ2 z{)u1H5z`Lu_U9qos6X_BOTT zPHVoLsze`Cn5A^X-spj6!|D1EGvCPbPI+70S)#4_d?%Q&@L7#g1LnI$?}8=Ev0}d( zuJ0Sp8dY@jce;YE)lWr#sD8#rH0X8KW;{Zrbvj=Q(R#DHVE(MJ!?MzcYBQC#X`VgW z^In5Lds%4zbDITAVi%moqO(gkB7xx`IbY-YD5wGkF&+`PjePkdac7MO583^ZR-x|`Y@>eQTb=V;yU!Oum#&ehoa&dc(t`R>-W>UJye z)k&!LhaC0o?A-KiHLkaeAu@7|5=PGPd|9|7xQgb5I0p5yY|Q5Ie)q{on9VM@MBNBz zv{;8#`;~pK{V!K$uxFsU_dL8-B?eB5|dhs52(7p`MAt{$#; zz@s(`L7-DPA+-gw*8D`&YbJalf1sxg?^KeBznbd%EJa@}d?TZiOMW%$80pNoR@C~! z^SL>Bx>#?clA~!vIMvlvy|Sy&gpWN*=}Usc?p`0Kt^W>wTTClU|0GIrScU{`|EC#<`i%F zLb526v&E|;ZfWpW@Z{5nN=?R`$#470b`$(^{JV29n9-Kk@jR%8T#a0$W0XFkEGs!3G^wyG zK6qrs7di2?isPAIdHo4YFyVKT{T=z*E{Zibmi8U`gb$vP8jeX(i_M&c$}nm5gJZ>c z+5KxaM>=q?ba7+bqWbApbiVU9jQL~KoQ!Z?nf}U6>@o6tZ@=}?p;ee1=CG@+ehbM*rm#B9i9o43u@m>r{<==dz5Q*}Kk(34dAm7@ z2!8uph~!um|Nhf<`l+v`QsZ^}85?a+roWm}c5Z)5lwUM`%!6p%!0)iI@HJkk!J0Zy zuz{aK!C`x_agiz5@v(Z8!_HuCJ=3D&V}kqg4g5KKCQ~WWA4hg%w49wE8;$Ku_vpUa z8SGZnI$Pw$Z88=8eVa;a@shv%^ejt<&Uc-71O&`ZR@Mt}~WJ=`;>pxnunzi<= zQE_|FKg@Ld?QZ>t58wLoXKSL`Q)g2m^6EbuKeUaz-@sqg!*gh?sD)?Sz<+!5q(ZZM z`yqx_@#h@38*{}aHF_$NnIR9s%2j6ZT|oaENh$@z9bn~$Z5hJ_XId6O3g39EMc zjvL-=MPPrjR8oA*(TC)Iy5jAX4Q4R?0pE}?ATx6X0uq3zUfN29NAEcHO&B@G%NKV@zUNM~A2D;IDtdS4~%gSBSEv_6`1u zLO(?B`zXP2H(lagwg>Ky@TQjnmINvX!T{p7HO7Xs#U?}^rC|t+JY*$CB zV|dnGuV@6NUjuQg;TX2LmCD=mkgfW@-FQl~aLx;FLlJh28DpIwBYcz#E9`OqvPh0W``Bx`_delS*@+{*19LNn0>l3DK!lfMHF@z z4L9T3lkb{3m1zX7kUL5+1>W)KjCpWP=4SoeJ5Pb@H#9i-(=|x$Ncw)vY;zZvOCGrs zZH)D{=EfHfQeP#)`GxL51GD|5-Iok7JIL8D(p!(#KJ4B=J(eVSI8XMv&Df;(gGQV4 zm+)_kt8Ceah`HDgr=_(Yl0I1(*5)|!-9|8%6fJ2y7ap0HNG#8y^!%Q9Hie;R z5B79J^j33}rD)HGjVoXixU_9GV-&bKIBF}fXBs#=c!4eTVso5(Flsq58w-m{ga442 z6l}L38)C6EH~#GP)pVHoX7}bdYd2fgA8Vs&HmIY^G2=bpf#-kjd-P>UtROki zS2-!DY-)3Fdy1t2p`cG!1U&Q6}@ZkB4bbXAl{X4bisd0Ul7U6S-;nA z$X(&>*U;_duvfBHRI&jcTfq;b?1FZj@#UWpLhGxu`Rpb#ABl8Ny>>R zv%+EKS%dlzR`IU*Ci!M2F}H%iB=Q6(5<7|4qK7g znb(^2vK_hcEs(c9rMI~5Wwv^!x}y*Ep`_R6s&cMt)h}OI>(gp@kUV4UCDPpNL|$KLx-rd*f0araiVsnayS;Ir zG$YO7@tbHvsoH(5L^RF@Z^40pM6Vm7&^T}G$ymCNhz5%h(Ux1|c!-Ce<6{+9ps-fL zpelgP4s20is{q?bB~1H!M2lYJ7}SL#rq8zvO}H1@u5g+P$9dM?nIh@geZxJ+kmUKX z`czTNlCt*NiWiHz=1X#Zj>T@38h#e5ESqXROq83Ja3l_nrF8AM4;ffC?R;%p4I0s4 z6?F+=SkhIGKkAFA*kDo|;RoW4qo%Af%}E8Vv|K49V0`&u5s$*|_Dr(HHC5wY=(#O# z5!Dnl`u1y1fp{dUISx7WhV8O8Sa-{8vQ2sM^a|>vo{*m=g|pjXr%o zdePPtx5GrhoQH7!QAXV!bX_EV{ghm=&SpDCbZO{3$Ly_2Eyz= zsNY%aSm_saGK?n?D=zWz8t;-{SYjl&t?z*4XyMI*x7+BqE_+%}KB0pyNYW(BC^f~5 zeSFny=5@296l`>+g4pRt34uYsJ(%O$iZs%!3&F8A#~<9ynVqP%JY^rq@oQnj8n=Tl zI+(4aVx^eV$|cH4lksoGnp4IKVX36dWehRpT)7fIKR zH-t4BhB?uLd-QzFbdCZG&%(aef0_5rbwZAqe-k*Znze(pOdV#Zeh_HLE%6Gj>!lN0 zog5Cg?9|rnD?-HXm3RPm8^m6w*~Yr6L}ZG*-o z&^Akz%7yBxf{8ANk_iBh9R23ZU=CHt(0@6I@U7-lBt!ocRG9L-mSMrbM zA%(}qK+iF3>x%QmKJ3o!MK|V&!}gu&ktNX$x8>zs7jQ8kNc-UPEYC{W(m&XrCAwm$ z_sWa|&o!r2!A_q+1^j4*w9pr~am>;}Z`>Yc^i4FprOMQodgmHb3+~AxJf=tK`yxKZ zfpFZxc5$R#K5bzXFNB>niK}6{2ac(_$ENe`MGw1NU-bxFy)qNZiqS5sSc-7J_Pv|c zbUHmLfw90qu7m6e|E%NN=YqlNuZ2?KZ9d|f8LG}h-G(kXnHAZuTtN;Gxk_}vv_$q` z@{38la*Zc5$4-D_3A_%0-BL8Es<6&p!!-Fo?!asKc=*Ru$U2D#4KTYsL%zJ=OB24e z1hVvf$e8sG;|p!@>qQl^#dP>$TJ`$%*N>=jhmArv3PPnU-bwUD#R^&-F;Y(#+Uhn) zX~4=z5+RJ>G8aDpU(*?9+oh)-;zO3wd)Jk`b+9qMEe-9Za;V0Y_R5uqbIy7SGsNvJ zz1<{KT1O;&tLj!xadw8X7Jj>rWW8nhIV8EDJQ=;pTJq5}8QWP#Nbz)=Gdg?;l?pBF zW^k8!SmWy9TPd)d!lJ7Z3Fb7XqpR%00x%n?3242`q4Az*^h^u25LaJ$xuvX`u%N-D zDD_Hj1by`l?dt&VLD(0q`=1;q-wTdwMIaff)sBiLwPmqvU^5=b=Gf%<@c2t?kANR@ zLs*@jbT_|OJ3WQIQ_bPmP=vZ*b#_=QyY}1h#mAu%-shiRZaz`wNOSdlZPNsn97~lJ z)F_6CkSt4;3)9_zd6bgYd9@rm**(X#?~>n66u;ebtEQ7jl4-0Ma$)j6B{~#4x{^iU zMNKE^I};}Uyb@vXNKxzNSWDPP0j#7-BMOIj+{v3ews-firXuBZn2J4if`dlab96_p z@(y+e;2)?m@8(R?jIE1L$u(=g)yjQajej5i=%XWh_}j0u9H@djVS)3d(8+BHGI|m_ zK0XEZQF-AuK}M8f-P<3SVU*A`bCj_it{8QBQI}W0zdsyrChJnRR<|u-Ayk6v5hv?a zxn5&-d7j&1>)LwlH zZ|#DAeh|1p`oh z@=?aiElT{654vH3kWPeO52(dBoL}^i5^CHJ2>mAXoRY9{&LBLrh`e3o@%^Xm+5M(l zzB2uZQ;D6*;kxNf^1TZ~@7Y!?v+flv<~^}1d+3y4p#MTiE0*J4JNT-VX}0$4d6K97 zD{#5K<`p8+Fr;)_Y`kQMCE$V;9P5eM2al%E*F_P&=*!flW=o8Gh zd*ZCKO|50U#v_Ws+eX5MjM`!|W(scW-`@Jr^ZC3vp1<#ZG=t^(EaYVNC1+^a?M5`u z84l_2&(=gr6iX2+EUZi7u1>7mC2ts6XE5^Z9+M=VYy}u6tV1in;jI|QQTLX(zdh{+ ze-?^eBk@?84=ryJoj>D%4xCou4o+FB9y;KC(~q^H(!81QYS5(?f5k~RMEkhd0GEID z*!+3QM}o+;FVVYGh9B)nFuJ7St(=*B?`;_{3Ed09XJz8jCF|@%Kw>uP3!jJe!(*i& z>WE|Z^~OTd>kAP;*Y8Aukw$;Q%=$1DTVJ`kFdl1O+^`_~ITXmDMn zA5q9`Gpj+6h}KCsQm2nRFnyjP=aXcsZ2p!{r*J3Xd!lR$+fXIDVz_NgK>Q*-YtNdW z+T6gDh)uy5mjne(Xhk1o_8ofSz-JX~n4?^;B_pTWhs6|^=tB+I2Uwy?KbP7^j)R7_ zkcK69=#yYS@7p|Ch8L}f3{55znRv3d1dmE>AbgAuMbR5Z$e5^uv107^^cKHWs!f~l z^Arrq^wV0hHbitePmxf@rS6#5sF!D{Q_$<{q;GL*5lRHl%&W$Di{) zbdNRv-L03BvoBWP${ojiE??+d%y?^z}IXXC3rJRE0l|Hw1fSXKXBcst#C9% zeRFGl08dSg&I+%)_YOOpB$iZhc)q(vIK*PR=#rYGs?aw|$JO+q*c<~au^sQ#c+iOF z%0$QsjpHQ@_vw=iI0lAAjhE={5G|9lqJ}%w2*fNC4H=92E9^0+2iuur2uVkxt%b6=1~-zivX;Z zJ~*2X~wJw$k({KGhA5cDqUcCX!nlr z*&=pjm-0APJqGtFM;jpt#O>wTzP@&O&o2F%A|H&z1Vj&DR(@6B`VsQZeSU}cxg8# zmJ&s!xjF%54)eQ8t~-=*>YnARw|Z&O(2(9gkW4Oghv4X-)XX5-E~y+)L^EH$l?xyh zL4ts$cPD48+D&C;x||Jj64*csv7y5DCEGCMNC+HdR@%mh$V1wi1AsfmdmE>TU!xMQ z_twCh_=N(D-mK-in|uC5Q8ikje-Hsj&T~d!!4F_aAu4=qd(RJxH2pL(+Pdkqu9uS# znwNHtK&KpxH~wP<1Q05^IpxHjlHYb2O2!C_-7VSin{VDNmOX|Z5CCA8yb_{=;{m-> z<%W}(Y3G#r)Q-)N;;1&xy|fTTL!reGJhE!i%K!p^4NWP_ZDZ;bIR=NtjFvo2Gb_N6 zAID(1XzsNo0B$A(+;ISIP*Jo?4Q~t~&oJaEA`k*YQis5UKMnDM`0RD*(o))-gcJ-~ z5Rcw%h*%&V!yyigKrJAAe3Nd@_1G@jngjNidoCIO^x7!x-*+E&+|@juGGlRxTPs^M2>d%Z5t*s~NQps@h46?BlO zJiVh8ZT)&!)Br?Yo&|`!zRs_Ih(mfzGY@OSciYca0-o7}Xku#Yv+TF+r78d0Q8S`9S8O{ zftz~)^Kp!mulx6o|Q-gk88if`}3Zduu6kHC(9v*O6 zn*~_pg7Vydkx^s$|C&*8-7;xUF2eE@5@Y8P3faAvhw%}5!}!I6Y5p|D$E7aK$Nt~o zO2!Y*ocHyY!vAgIIV@%hrqKUTaH{Pp8fu@3a|_r|ax zAlcU1`1mVvkDZ3dLXr`-blj6YRv%#y!NOcnA7-xe_q(?MCuI2Qls}8dn(?HfZR^|pD@bAvv8r97 zbOWrR8Y?2l`0s=Ecx!a`>^7!vy);NrFVSX{s?t)Jp0Y9o>_k$*+_R32{dEb26iDtP zqsobO{Jg`X1Uf8IAm^VZvavg|*tGkqwRcLxQ?LPs2ji>I)ES2_NY)vGkD2I&u5aY$ zi&vr9pMdNreGBkCXu%M*V)cGzJ0F3Nc)7gJxRs4jBGw%7P-a%MQM`(oRa?8h#4l`} zeYw*5eP}^qX$tY+at@{;lQ`t8IaD6^7}^IUY$Nl<5AGVsNb%Kq;kt$8vp-K>#O3my zQoJq4_OVLUM66LE1z2`-0^fMZsb7CQ_sf3xxB%dN5gIvw${0Nb)Ggzi<2Xkf_p$I5 zB7xZr$ka$+94{v6rpnV}3RppErdyvv)ApHRF`U!8#eZaj?uB+GFU$Q)T~RD!X^F9sD09$JN;dCKf*itRl+ePYL16AIV5TjRR-cldvyWtHEK8@4QNEaxUSumpEFMSzwqdt7yq6|gFLmr zsd&bS9yJ$1Qj+I-{@n)^=|*(TxX4BG>yQL4BIeZD-K+l5V5NXYCn2{0GLIUSvsg$$ z#Ts&lk2Vo#OHlYjBC{-c#B6$YTLHr7FEOK-Kjvdkl4s{(ySZj`PK<6zWW$S`W#NB^ z`n`MiZ&RZ?syTaAV+KbtM=ym|khx&M915`z8^Xl?rG*b5NlJbKP&>>`?xO11hBH9B z0ig1e0MPi*lRpz%<@d8Ys#a^FDu9|$SOBKrD{|&E&=3Nl$<^AHpJ?VOk_%bLAW=&K zGA{@r^W=$FFjis<-8WYTm6|8fCw@}ckQ6Xmos-$`Lnp}rBet#aJ#O9TP3ymu)Yzh|h| z4(!t!DjKdeIo!RsSQ_!b|;pB^BxA^6Rk_P!Ie-xxpkx=H>9weMyeR(qaeIXlc zo%DxdGa!Ajfb_L74APgeja}a`JB_$eQ=*~-ZWC2ibO#pluA``bdWoV3oX+!yU&O20 zHs{|WMcGTK`?5Y|Y|1wEM{QqYe?c|CD)m5W3Yx7CYb92Ur>Urb!2=xcv0@H_8^i}R~sajrA}!)E4oFDzKSK6!Ri4PDP)rc4h$-26Z$|~ZKgL0$B2*QXy-EM{(# zmr#lGo*6uY>u+<#EN|Lo-xNZ5o9Q*I1zH0DF%K^Qykl(PufU%73%-oijs&hK?RqLp zD1snk0LZ~7O)#Ajvzxvi6y*nSAwuBt5&8ND@aQnj`ioY3x4#(#1R6k`iz91AL~!*`U}bF+1X$v6rI7+GVF8v*^RV7{6^c^ox1F=8`r&JTf_9rD+kBWn zGoQ-R-vzkmh0T0M>j|HR3E1eG-0TiC^-t?o}M{!vwYW`du95QT z0CRbP^=S1F09b0$H6?-n(lzIQ!qhmSN*|G1eaRy)S=Y{PCa)ouXsQ;qkbo^)Z$7N-Onj=o zm<;h?k)f>BkRqFWs<952?DbqR4X*%~Pl>8n#(Ti483ZUkhU~r0Oing8(`W#uALFk> zoD~XW5BWE_-$9WI9HD|E%ptJ$#|hngO_|~1Db17rztJ^&38?e8fBETp^o4bTcQvD+ z#hpMZD)H5pm>GdQ*jx3V63cL;$1)SR2H8MxA-`b?OU5!^l=K9y0B1s(48R#3v6Hei zcLJWvPw^F4OPLBRKq5Hcr$7YuWTt^5asmKrI%sPE0rJ6tX5DN`--qx*$n!G+c)H zST$w!G6j1M%FeNp>Hv~J35trv6v{k(hLxf=&J&EHRK7Rs(_#+eQU7-KyqjQtD5n|& z9%^c*CjmSZwEN9N4W#n-S%)E$1vE&Jep&wl!>RYZUm)p47;=Y;^kOC-`YXLydSo#F zA-&j0ekQoaNX z%M6<>TIA?a%EfQc$m`GSBTnxg<^8t}BhY)#HK;*^D z0grq$z0W~%qZlD)kMf>##xVq1=41_iwxBb?eHuz=*4JHiiW~9~iz>U5>(E zt4mNm_{a70|Ldzu)y@Ky$8w%Pqi+ut_1>T5S!f z0PEI2C?Nl1ee@6ZT@phK`3s`Inu}mO|MGk{Dl-yAC2=Mw;jj|%rtHUW9}2nDvPhc7h~%o?(*t7dyS z&P0&YN2X>VG!oQdB(w91xa=M~X6AuXYQhvKrE;5^Z5hl5T&)NQO+qsK&JSM z08p2}etql5GOI$fDnb7}SVXdB$OENgERWkrI+{S=Xf&7EsBUoSeH{0JDNt>bI^&2j zqlA8{9(2MGsu!RhkQBQ}sTA!Qsf;DVc%}1Qh8b#BPOZOED#w@vwiHBg$J`yw0Tct# zu~V%`Yd?-X{~KQ>J31ga%j0=J%JI1`L2=qf`W^JutFkq&fWbWn3=VSyFt|q$;+G4E zA0~4-2SWUGA;hl;5WhtT@p}k}AL7=zOldU#=^V-(D`1LFnE8vx@vsK0S~t|DC@*sBtRZ>knx8 z$>WSpe=)sFRYAz^wIBTFTgg9{#QtF6f2U&oS8e@2RIg4^IBqt=7R-BS{B>3#cju$U z$>jT?&oW1j3s+yPzR=FR`z0ufS8jhJrMvhxL5Pp^cVS1mL^V3XmoeXX$E-m3cc|$) zekZ@;pXvJ8ikPAZEWfRy3D5qX==R&O#Q)nGZOiQF8WAu4U&Kna;z#}deAJ|1sSP9{ zdX);0gnkHKb!O~ceTdK?fo2it#}p`l$`LI)s2s`Z_dQDK0-Ei{2*_kS5X}}7qS;CU z&9)w*+2YR-RoF{Cja0i$J$6s71ju_IJK7qE<5#k)8hj@gJ2G0$%*u1t{a@ z`Z_)1WDEkJ?0L5$A_HrF=tSo>|FaAx^FdN70oCT0>}3}BQ+0r-mX|alB1^CTbz z$SA3-s$owc=2f*(eog%UHQN928ZGvXuS7eu5S1O5pP*O_DysqLxIkjRxdTD}&l3Af zdq_FqynL`;2Y3Uo*>FrsgeOp9G2Z|s7B2KlN`xOc8ipKU0K#!eV0SH^*^x1F799sV z@OfeP9#Bl1-H&_9bI*9n7fVvaeUR}&!0`h^^QW?ij_lo?$RD}y=9 z{|P##+LCaU)~{+%Q~8B;-GTo}fqnnG@$Q}Z9j<)e-LB5`THTO^EI7a2dL0R&CUlgE z$WVVnHP!N4o!!G3db;cdlaGWD!~M|3MG+7w8!5>sDgDr0r4Sg<&Dtb<79KL)X!0XK z=s-Uj_@0>5P|?8J;I!j0-rX_0J7BlF)3+7Rnll(b$@uDCx{Nv;BRPQxp<1jD*(7z* zGkS7t$N_npAgSNi7aQH=i#nAN4m2nP!mTD0!3aJ>BI8+jCg{)^q4Yh}MbgesWfExT zBRz&`ZN%=HHrYCrGlQ!@$1X>W6FPC08>CbsX-3MZThGjPhq(H_`)um^ZTfRw|K8#o ztvy;f_Zk=l-uA!&6*?Ii%P5hCw&>ls!^S)AC&{jEIgMrZ)1#g)Tb{>m0(Y;rkI677 zS-XFbFRFJln;X5aa9wn;P}Dj>oxe){Zo$g5A&Vk>z4*>M(#MBu3Y@Rr^>bS}VH_II z?2uEvs-3<6=?J_$Uj;90 z72l>3dXP6OyhdI10zdu56KE-as`Mgd)I8^d(WyLCh3nEC>$F0% zOZGTvA8hNN${ur5yip3)lUXMhdO)KEjK~T`n9a1uNQ-^WNNyiFeBbY`e0>~L{S(n< z>|5mWB}~FWh?*Q#auzelHy<)ZoNDk<9?S7ql6`AaoxEFRXfj3;moWaJFFUWv1zW#h zM(U|vCa|vnV&4SBK1*Oow6wEbX~6uiDVz>=NXpT^Qc&>Ue7ac zL*3=JKzOA3XqFMV#x1A#n##+!rC-Q~QZ%Ls@lH?Rj(L~)zg`V=(R+8>u7lR1G&0TX z`>4ahbeHK3PCsh`A}-;#2=pOLM^DnHyz?kn+SYBVcsDbiAtcoJ)4draYvm&$5E~3^ zAHy3W%PYO4jOAT9~Nd9$Wj5N~M`TK?%$7ULgH%pmv4-*j?>5w&W zaa2hHvmk@bI?hWjfm5>MRzD$?!Sna1Sghjv0f=Vi&-zSBkL40%yj+s+LcKS=v_;5a z=_J4Z`$iJa@q5FwPVc;2w29g9=5ky&yy!>z^`6{7G)H^60c9)0(KVv6%em#CJ{tmn z$g<`O3P_wt{|>Y0vTgBbf`nshu7p6rKxHEz-E+!in8s>ZX#wNeR8hoCh?99H*U~IO z(13G|NImPjqKHo+j=>=;WUNcGjsl1R(@;0xSYCZDP(v&WJxe9;6BHJ)#EjP+*IO-) z!4@s$CtoCI6<N?e)P8 zy0fpqM~haKU{iInRQ zqil>9A16()N`Px+ffmD{g(+xJ30h2n7Te$gj^G04A6rrHd@Bbn)If_u&|)04*aR&c z+Us9~TNr{1Tzotoq}|*ITD$`-c0mg;+}Ge5E1<<5xPS||z{STLennkjbj51{4k}=z zbl@^LpwUy%2>h>r&9^y4g-6feFPq0mRhw8T3s zkPZ;MFFItNjqNWTGVVC~@cWP}t$rV}9QO}f33-SgLvheB>)B&d-W18S|22rB z={P*wm%T$*k(Zg^tC{RnjqLZ$8s&ViJmWNr%W%$sU%7TK-F%9j$?5%aFX0*?s10~G)Ceul0p z1YKx}b97;2&e27rzd%>@0^QOJbXy|N(e=K0jxMysIl6!82tgM%27+!&IJ%`7wDc19iR*Dc;+5w7dS^(2OppdhIo$d&mmr*d)5)4+rn~=?r%c|=>9eW zfbNB@5OlYhX}k>~=$2lfdw#9yxE6V-kSC3<^$B=oCZin)w9k_8FwFVn^g7m(s7#`s zOJf1&tlvhMf`u3N<`6v0vVYMcKr>6V*8JQGt8W~<*lYhW3NQSv^pEe<%h3>5MFNos zZX)b?6FA?c2!J>;Bzhqcpl5Hwo8}9NHPxR%N{)LeNPp`%-Qx$Y74pmnba-y{tAph~ zbigB?l4d%))350M5h@5D{}$bXAY*i_K_XFf{XEuw3=xtR?C)KQv7xkW*zwe`H4no|HvJGc%o~hkUTjq{o_eKdG*&P`JA&2| zoBj78|1GsYg7_(9PT;WT`DXU;+z)szMm_vv-jEJaWl`7bh?K*k$mMd>6E6HH49s&% zNR^W3krIV?4VcyNZUK-Wa6rR=kV-v~ua{!dP^g!B;{k#z&WC1}BR9_s_}Q$ZP!;4! zmpZmx%uIiNPYPGL?3%|Qwl2Houjc|DEO1RfG>AEuCLUGEU-QTB9jUQm{^>zhUd&WK z5cm$z^E@|i>_ z&hk{<)SUF1qfg+!@+9e07l&YVSi}OSB!Xs|%@77j`t%M?|5XENPKj6M(IRM@d{+ym1<<6o zV`)#?Y~)v5*SAx{TiF+AWv34wxmh1^JV?{LooOda>a$32YuPnV;8nM8>c>sEiFws$ z(J#O<2&xrTh<?`OolO>SMu;5-x7u%lmt&(Ja9Qu#qrA)1pq@hRK?S z4;|^A?`JcjBXN^D)p{CiJUVU?WUjK@*vRu&Q0e_H=c;ydpHC(Q?lpztR`2of^*-~a zk|=FA|LIl9{+NOXe)!cv@5;V(I~7|i-txwyPv)!=of;VAN6toIDtY5dcRzIp^UK}L zH_15}_u#fvp628@JhBcRP5Um9`IdsEyook$?fQW)W`nqE!y~h%nLDIKx+5-oua}2O zS_$rK$GFMvdu}tJtO{KlIA(=jh@nXw>}PpzdQ6|BPD-K8R;8+w$X}(|tbOx)#kW^H zC$B60gag+FnzG2 zT-2aeY!Ta_v2y!c{?MV=+$L%WO({kG7IahWq~R=qb*@@U3Tkclm#}f9SaU@Fq)&Ic zGeb!SbEb)=H9SuK6 zjHmq9ybVs@5DM%I`Q7CYyFFq}-8mg8`M}EftC!@po-+N+@{>>SNDH z`Gtdng(;teje9lIJYF!6d&IHJ<5V_5kt(+Gq`~8E^Ofe$8hBtD+$T-B^_VH^5FuDk z+1LYSUX?XN(z9f=UhO;dv0IgLm@A!xCOdHGG5i% z!gxN*ZQQ{266K7-OZS-$Wqpc1xA)1&QJsp1ldSqlTB*`jf~6U`WN<{OlxM$6ySIJP zir!&gH28&JHL|(t-a{Pn#Ih%-*2^6oRl;<{2Ia)-FsB}&1g?d)hTiC&@+Q&uCy~M zrWwv!)R12h!NbwI57%vTw=@#n?F@g~0U;8VKQC zU-DvzmuC^J-VntnTS`g^E1-3diA3!E5*vil_eG=f^k&^+&;w7SuP5fF&-mLW9qeYp zj-wBDv$GuRx?wliAJOm~Y#Klx_BOdJV%|=u7Y%TiZH{ff65E^mx#znj3)*U-c0u_Y zxND~bB#m#UM?NChs>C97;)(^KC(zAFx#Qn241c%Un`F3mow@Ta!Up;9b%gs~lgE2+ zZ|RLQY-Y;DW7|N%SSv$$hNAdbn|wdmShx`muXOk5f$ldZmfm*82Fn6AWgA)XQFs|{ z7W+A?7Z^US7E3IGpQ8+*pA_EME(^_K*>5IxA3hv7szet~>v0t0GR{H?5Rgtna1`5T z!U!3}pc~7Mi&=fhbo!pO8?KwYmFb01smznfJ{)nOIMOk(O+ySgjtTlIoyZjyFC5zG zx@t8I3cf&}kDn5u5Cm~>dynNmhO^npl!QH&paQdL@b`-O9RD?H_ zA{Fb)`<)@tyeeHy!e*@B?#n-})3cHDhEi>-Ba>93heYSpHA+B}lZ8y(ylDUN zlZH1VdP={|N}S$6nRUwm`2vFbmC{8f|GX>NsW+TW{YR5;zg(5La}-E+JNl6*-D?fz z`%JJ8rzpNlp?BII^l9Lz*mgg%(3Ng|FtT?&8H$y@J7EQ-Nl@y;waO08BSb8?#JwAd z40NRjPi|FzMhIX!B)aoTw?HC!b%s`zkhqP=Z5r08TRUVFj=Ly+!AR5e?if-lgMs&` zI{k#+5+RrG#=K$JxJcJK93gXF!!nqA2{^-AsPdbuzD-UJGrc6;nq9GA+@W@7V(?6PW$v!UOzY3hOUBh!EMg5$bMl=eMBF?fYQ8VUo&+4PD3q@eozu^R!?n^6B%4K723y`iOG{RPtd z;T<8**I5W!h(*E#Vb*MK!A=EU#qD7)q>z8dN8>JS|LMK^?$Fp1E`FkYR55MqcZ zGz>IFMd&9Cd0ZHdH8V4x%?xQBU{DE4|opKJ#vTIqbym z>L71*i@&}2VL7g~m$N_UIVql>mDfot${ZrJ0M_*Kb*GQ0^H>ImAGIVkZ})QMz_HT3 zQq~Q+D^14P$Mx_7f4g&@5Nik0FjSu`i&bWi(JTL=?RJ`pnu%8(4u~RThC?JB0z=u! zTTh091r5_8eW91W!8i8tHI07645aQtDaUpRy6Npm3NMZ~Fj;M+O)6H6+$C^(`Chn= zS4UY3`2@knbG)Xbn8&OStx#Qq1f%rfUU<9+L6;V4XR-QpD~)X}@CyCgYGjh|yE^`& zJe$x|(I2s5uvNKv+wQkOec!TNPW7#UzUoifQ>NQ0gyuxr(On;C3&VoD?tKjv4fi$Y zQMZLM6SPAsZ{-0wvJ~55X_?hVIsCi2DiccBe%b66j5Pua%tG`nh5aiZb@~E3H-zR0 zv|Blaf#!1G;HhGw{y@lZH(6GsA?ne@;{sVxoM%K>Z(v<<^96hoPVJ}gl3pK`O{4IB zKyXA>zQgA~25l6;LKzSQgXv}({Bo8cF1MYz!*6mjq<{IQt;k4SA9v#Xa=+{@b~&4MBMpzL%87WA$_&vn?xP(G zTAto9xylfVGWk%)&@o&=SuC0Xm9M8wM!%Zj2r2L9LDU}Oj)wI?hD$NM3+{LFwoJETvLY}~wkaJ$Bmb~9_RjT*N zP@*c4DtQ1LANwg*#uZG$)}-q%LWf#B)!-Zli>KFW;8BmzmooyNE?B#>Z;89x1rM;g z>S?}j&HB(ZeS86v&Hna33EG%0D4nGF-U~}VIOcKysWl(j5 zBk;xWg-iG8hAQ>8XVbp%8f*IbT9>2g=48SGdjj%(#+~Q+wzO-Wq1j0Ho?l@ z8nwJjdyJ!VMF!@p0Bj(IsKr;GUFY?AR8y}?qG7=}WMzrK5!9vKWaM6Sl+_!%k@yCM zqK{9}L^{^$22L~Z>65$Ix1hH~w{A6K)Zsn5TS%-@P-W1-h9-8ptOG+;|Mr=!$)iF6 zWvy3u4+UW@>^;DfTk#V~5>SIbu7|}H-?m#Q1 zI^$8@8Lw(#Ui#}Oc!sH1sxSS~!ZTGBI`{%Vp?@wP7d52R(@0EryG@58_6+rP0Y&Q# z;#P5@h{wU}ZHR9W%UjuCj@7zh`D7&$TeF4q(8`$kO>UOy)!kcOs#Ki4$pO>sj1@RT zpo%E?T;JaTg^lXVV53Aj+MByG-q~L2;b^M3sxcj9rCCpo=1a(p?*#MEGrxV3Xflj9 zE78XSdoMxYaEUVwPEvcGSNDE8Luo4BopP*~iEO0ya1nGi&~S2YqF7}^H)70eJzUH~ z-UX80xTAa{BVbU9WsxqtxML0fWzSU`*gNQkSFUB&s-2=G?=9b(FsoOrPaMaSB!ZdM zkb>k;o#RwOi!2fiL{u+(Hu|_?*xN@#vM_cseXYxsw(;^?EL&P7QD)okz_cPA?7wrW zYK0R(gskeNicGcL0$mvx2f!9T;b$4NG}72|1Yw>GANEF zY8Q8h;I6^l9Rk5M*y8T)kl+x4ySux)yDh<81It42uy}&peZQ~j-XHhJR`vAsOwG>e zbI#Mxd8&uoNu3l4OQk;Jvy_>td)Jk{MfsDTw}MBUQ!+_@#!WHiGzkoyTZkN>2!CK$ z?uocA$DF%}xw*E8_*NQ^yQMEwz{NO@APIxpVo|Y-CTn<*o)kp;{9CuRW`Wy}zK+F0 z$2?s|Z{x6F2gOiFo{o%O(%qN(b2H_4h4GnkxP<^%yMm|3`@92@&M|0A8*xbVH}8;l z!4;NAKOyK~`~q8{QYk}r9NNqXXN`GE&mo%kGw>W7tJ|y@MLh|_r!IzPZyu0J!Zd<_ zl=q2&GV#pkfc=eYE*Hw#UWL+jBmVhi}ju#Xu3 z%$kIV^Pj-%~)`s(n$TugMkPY%zNHg`5_(vr~3Uj@(|LLr##`EXw$+|AH z6+xFrj5Kzt?=5u46JGJSe=x?gJ4w{Zbf>x7?GG<^=;C3B` zbOP+{Wb=$uB~8G3$wq?Y0>7V|9knjTA`pjCp3n*d9i`1K}Zk~SObQo%x{zKwK_&6Xt;lXwp1L$GNxZn?hbwYaSdTSR{WD<-^6L6hOmj#yN>*B`|hRP-q|j zspR(gInE-{lnv)1g(i(=np^s1!UtvJ;q&dhkrjMkZaY^U^C5+uuFx25kQ?S;v^xej zZo+$`kl=iXnG z0H4j4c)xZOCPD~x=#8N>SgHjcpVazqJnK{Nz_m!i3rTJbFJ^9bUHBnPs(BE~XW@0_ zi=&p9NW;qLtM1|NmI~Mxgf0078Mxu9Keq&lhqk$dr8)$kxc#F$BQdBa^FJ05RY$vz zN)$&6QS6ZJ{Q56PvcvoYF-tQlNLNy22e&akz_wzUay{C?PsDjkv38Z{5RzvtE($*H zT@~E2=%IFPkLTXdOnp{I%2MRzN&Deq=tU@oGu`%^@y^+w$1Gfk@eR=fkELkN?athh zL_Ow$Ty5Nl86{48SbIz~_u05FC~pu0ohdR2)o>`y5{cxyHv(r?y8_JGVYhoQzGQTj7_B3mVOB6sH8MGt`OMRp*DtY z{!jkN==uvA@xSKk(J{Gkmx&M&YRNuY9oJVd8bM>vDO_*TS8Cm$B$TIQ{Z+R(YXV~9 zAw!=WR9rJR={Pj8LvzgL#X0WtPrhTq75^|q3oXCud&HS(@;=7l?4x1MY&;`6sD!PvgnFzwr(Ik$4MUP)_pPHO48c2`9&A0|DMfY35VhArV6s zX0v0~!!V18z#h-dQw8;p@V#L>ynhh)n&yU!Gd(-R6o|-Iz{u(pwqlnFLzjULE z7i;xYOkN7pkEnSw`MNkTd?1pM7;4kBp;5as%+^L}df=`d)t|lgW*O1vv_OKv` zGJc`E^#f#nT*|MrR}FPs*!nPMX^bfDV%sFfmR@#o( zDjCrj_%V1H54&p45f3 zh-)D$FJ}`eC0v(DqdFTTduBEsuFi&E_wB-jljm3809OYG9x0sw&TWWxsqASM6!cn# z;&S5w{YRC?$pjLkR3vGo7ch7TVrWV2-Z(978TQuBzw?3WT386H`ivp`Ico`8rQxSS ze+mmNhhFe#^T&FIVD{Osu`!69_3vieur;k);D4l9q?;%DuVvj{)eyUBvRHWi?6uRn zR}rCH18(V%#E_Hud2|%I0OajiZc-o?4IeXdM56?OTDQaNIWZ7ot?oqp${#h~6RUT0LpPhTL-8tZsyyxD!pg?*@A!o_Yq%oFizFf zU!MqnSGSgxoNuk7@2h)MsrM)LzHi(u2$=nr2G7~|^Q%h<$=}kxW;T1!c_sZqgxp=? z>C)d}A`psHhv7{jcy zH6(P;!W|}laM6a_;kDuvgkSb=$!8`HFP4BXp&gG@Y#h;b+8%>GHRBjC#6id4H_2iw7p8-Y)JH0KCuLMKl^c$gyvb}y;*2+6QW-i znRUx+j3Ruz!gzFF;1b<58h$_U8?{GvLfYe8ZJs=%+uJ&beq}nbocJljZh?_)H(bRh z2yeMXsLiH{`FCPgUXJG<0(%jz(fvWzXqyvq^7`j(2KH^AhiodAl1~3y^ zH8@SgpT_V|R`m5J*ekdWmxM;!;xsKh4XGS6+l<6~6@XcfLZ}fgB)CXa!=L?#rmbj* z+``=B4!@@q`=l)Dd&uQ)^gST?erU=2=BWca+XE)(z8dVOIltD|)`Ec&@9TErU1FVK+`4RwdtaaSm3~&vCbMvOCzPyFqPNL2AYEj6_kHSsD$*IV= zY^02}&IUEJamAzi9X(^_8Yss`CLn!ZNyqugk1B zIV*vZ@|NLw{oGFO$o9$ioJ%cAE~XT*J3Zg@b{AD#?zMLf(7>uWpWkacNcWc>2*Aaa z4)(ept}qm9;KF{G1APW2x_TyM)_Is?TX=1o*R$3w)+B6b2(rEtty^{llk)?o+AC+P1s&Pe9X5eD$AMJ2nz}m65(u)E<5y zdPVWvbaap6=q|dkSZA=-bCZHoEeEp$VUx7R;R+4X;&6vC!g(xCg|gkxzrW{NSOv~A zw81%K1B-NNU`>ns1%YT?LX=Ep;CCfLT{{@;)UQ#hkasu|oXQ|l$J;Bc!{R3{U%f9> zqfoVBC3AbOk@v_Z^WV!QvsUspHz|`y?;Ur^4CH--E$S_UA>rf7R-0Yg^}N?98zRb4 z_f_OO7z;{97~nZ>`PR26RcsZ5K4RNs6^`4ws1MhtI##fhOA;D2Y4?TH?aF8}lx~1M zmqH|3tTR@H4rOIM^#Lyk3#0E##B&HC2Cf?ip<8sUz7Tq2_yP>2b@H6dAN)alWuny> zwBv9F;2~VD>L2I0-kQ5nF`L&(W|o5b<*r&4N$7OxZRb;VX%O%a`Q8u5%IoNm)|>(w zC4_6zB@q^AK)17S(5K~J|D)-|9I1gyj>NGMX0um+AR9({`y_R3V4!Zum(yFu6<|`pPY4D!-p`J5sX_Q~G_3hfxJ_ROnnQWn{tf6)m z9;mTeLv$9W|;}v2U`Y2pZ12I8t(_7JDwK8af+ImF9*Uu^WG(I+}$4BUkG7_R4 zmZFSk66JK0f_l^XJ}$Re5=Q#bhp?fs5hr}g{ZU!*L7tUt%<~Q4A{$Ph^dZ7OgqpAV zb;qzC$$H^>_T2>?5-v2NX%X4_8G518Q9s6=@P;7Yb*z!uP?*?eo5JeEeX6v&`w3O4 zy>i6m>ijTi(z zz8gzB#ruoOaQy>oe-ZaMPwy|tm6ANN=guifmMEoFN>xu};P`mCqRV=0BkF{|W1&+E z(UOJ*7?Dt{1E~!*dgWIFeqA>7!}{fZyX9_m%@~9n5do{_dQep;WYufSN#3DN0&-r{ z80QafM(jz2ocO99+xHd$uBnyJRtZI3Yoo8$X12SZCP{e>8D1r|B*@Cb`Qha7`Fzvl zGp8wgF(E`!`yWI88qcRUw7%tn&n|LX-_E@~_Gqos5#JI!9-K$}wSbne&|x1w z@Cu|KFkm`!RyTTUooF_=0 zJpGA7s%Dv*tJI@6j~25@(juMCj*y}6M+<5J30R&pR-uGAk9Miu=jaB@ybBik_+E&> zWn+nRU8hh2Q0CR<+XGQq-C+ujQg**<5`dBenz$3~?7R9jauGHHRVIG^EzHp~zutrC zI!i73+n5!B9p#^$gi$y||J7jffU%h4#8pdy)@ygID>-wUR1o4}2$J_{n{d}+ZUy-g z$nGyg3;}Q7GfXpEl*Ar&l>U&oSFS*!zeC@jBA|koc6Z%AB>Ud$6}xOdq`}o}jOwG1 zJLw-4cHCqOvw9u6a~|$4xTuVK!pR2i!$fd426*s(Q!=?FMmZzpn>@j&U#StA=uorX z1ZDEyH=8Bz!)>>6zw8;Xh2<5b^0zHqtk}7QKjCvxhh>hO>Dt)_@@K}5+X#bc%bUU> z(kmMDN&4Zg{>lgn;|cw>$;b2tZpT^l1I%uQS5Du2O$lSTRsecsj>I2zlpRX8$7QmR z0g?KmMR9;ICmScu*ip4wC&P)+ z_z)Rz3m8Ht=Dca@qcChzqRCZ%_J9xI+PyF>Nmbp?_UCx`SFWz>cRB4+R2g*~SnX1J z<-J86+FvA4-u(z`rG7m&o0UnNmHsKzppp%R642-Mz{m5;V%Aafe&?GbcyLB#9B%dvb7GM^zpnOPZ3-fxtcd3ZHrof-C#EEwLn@XBR)R?K~aw z)0vCzJf%R-a1R#eGk`3EJOe>bsfD7b9si9~$Lf`Lb#W!HyzFcjJf0RB_TGA17pD8^ z*>$ttH(~Jp&GGc?72vWAS#RH|2Ya@EEzNLUgA}&EBxN)%czn+otOlE~7dCRBv@aMLdtPDLn|MHB)WymVvEj?qf1WaZBOv-Lr4pw6i zRtBE8eGSbBZUl>#*ENtP_vjm>rU7`H9IQnDIiAZ?W$rNyc{PiLt8(TY%9OC!>TEm{ za8#zY;^<2sUg(N46nX|Jn1ji^)a0m3Q{%BYDz$sc9EtpFm8YeDP#dtAJ^R6`9{QR2@+J+JZ4skH1}g zQ9flRWOoJ^;Nl%N6;N&93NvtqKRuEtn5>SeZZ*2}=OhXrRdoe?V0ruj-vB-FLwvdO zq8~A(qmiDAk$rI)sVH8x1XzT0q|~mOzdI%l=kA{DgeyQs{JJ<8oIGRELE0SbZZI#IZJy(KFavE zEz*BwI&=<>1G%I;|6UZw!VrBc@CnnT^frA%5vc%TU@% z<&36_EJG|2YcdoshtD5UHq7j~b}WMtP`NK!Eo)J7(S*h(?UolA!Z^;q|JI6AEeFCc zp>45gmP&cH`0nd|SK<$V|MR8tQ^M2D$D8%hv<>Q`pbC8ab=`Q6n)(~%W5PQPIz~rw zri#pf_()^U(pm$+p5hD(Nj^vP?@zW31X@QQ*%<5Pl_t^Yg_(fA_0=k9Y7(|E@f)Td+kHlD$qfk7P#(Hj{?^1@l2dau2y*vpOc`T|K;@*u*6e zBqTiOYy!`@kqU}0JP;Q?-KAIS7f?_w}6z7OG5;uPM z93qREo#YMEZ2UPQruEsph$9-BW3T(%1*Z)gSO^LrHx*MtCctY}iy-ASd(+z--b``o z_2_M_@g`SBxMhX23CF;{#mNN~HMv!b8eFCudRKo-F}n9V!ZoMF6-m6*7t%fvouiA_ z>aBM#MO|2X+N$_%U@ zajbX@WZbspm4YppYn?Le8yQ&T3C=O}xogLQ`WqVjGEgyu!;`0C%SR4Ej!T-~^JRVF zhA-||>qt#95o*nyOI?I~NKSI^_GE6pqy$XM^){%iLCh+NANcmH=Pk;eU14g@*kEr1 z*xcv2l=+Bxuvfc(w2l!WSP72uL+l)1#?$NLK_ma9J!7D;3>WQ$g`G30MM=?ih56K> zo3C4ZHbHq$y;(1%og>}#AHym3dlh3J6|)AoO)eyo-WZE;+%ml6BC_2205g7b+To?$ z@&~hUjQ>EJ`-mZu%(@!Z^}|pVirRukWlHaiPNGr}8(Se^o`2e*xEe!n!y%C2wh?o7 zJ{wMEd?Am-HOKNFNBZWbNV{fNrvPgrhfSn5im*%`#hyWPix)SI|jB;j7(v=7=Qr*t(S=m+^o7K?F za)Y_HxGtY;T#ZLeX@iDDY4nkYy@^y5{S_ko*GwB_A7n}mf@NQqKHMvl)n?IZ-)_|#JXU*TkKnYWC0ChQ1uoa4zNZJ?;Ucyp~w)2@Tx<$t>>_$jsR2;(Pl za^yhV5jNXd|BbRVwOjl0#So18z!60D&tmMsdO*n)2m@e11Gv8k zF#t$>2HHA4lK~{OXKH>+7-ltkihk8J_8RNR`qWC?=@QJG1G0OR_z3a%CDncZ>0~C=!b#6=@*s-i5&vKtj7i{*< zWyl=&@wfD%A_WNf3quy(@a2G6GXHu0f{WwM$2RDi1MRY7BL087Iwma9E=z8RWqR$z zq841pJpb<~Q}Fa|&X_ColACSPOoq2YR|t5`+Tq7M|g{3{eFPr~wDpAg=8% zWbCHZU{eKy^nciRZ}n5JK=9JhO*8GAR&0Nt@~z`Af4c@I|ex=wDFEbL2b?F1W@x;3|$>C`k z`f$otUR3~BIMT+bZdSFG2nEEtaK7nK`zihEk4fWBmx3COVF7A5)HZ&XFK|#>{%@CA z{NxunsNvG27X2_776gv}|528vs4FY#hM>YZ>5|^8f5>Ub$bcXjU~bz>UPj?Mq!kbZ z00yr^N&!Kdz(mJqPC$@85L?M$mF^@O<@cvVg6rt|)8s}J@@~olD_1dC6YQ~rHUytP zSWc@6@%I@rG68JJRZ0G1-^ab+?)v13D2_%X9y}UYy)+6o(kr{mMMPg)>C?as8<;&l zKYw%=W8kd^G)e*RPkr^#v4Dx5$<7e|&EIneEvq6NitCN|u^>?x?C&ram{}9%802Px zGpMn(M`D%fkS|0_!-F>jqv#_sum(d+MZ^R3Rs=*k`*tz%o%{uymTq^ZmXwZhN@%e) zxnK`IMkBrqbH(nLcmwvofLsNe_xi5V_ zRV|o30L%mkGM%ZxNKWcmxupKuXCjmruH=Nv8<^k(qJ9W+3}cwzaIs2K@+XV=9y z;%ksIwd#Z2A#&zwE>mB`wV8P5rsFA1q0>BZo^-N0AidK8z{|Wjr7puvlooLok4c#bO{C>Z20-FBH&S(XPGmbv1HeB3uD{Cw4R z5P5v{RmH^m!bHf3?!6pNUF|K2vzq6~{njPA+riDUO7_hOzUftierY53a>|?mTEH*7 zggJ62f~AaNFz&_qF-TQE5JXy|V<38o`MbG*biDhNlD`nI!bac#g8IAs`|nw)pOUG; zvX<^yQhzZ08%`N~$L2GSl;V4~dY*Nga_io?zP&udjx^yIOwXhML^e}#C!T2?proQ^ zJUK_&o2Q3a{P)b@jX7+qUs~BtbZ{OV>@&8x_{{@Jp$|xAT7PdAH;DDDhQOQYbMQU# zd*~s!5shui1IgJ&{E=!I!?gIA8=5NldoX#c_7RlP>}GwG;^YcPlA8>_)0U%d*WS+x`_{GOS}|5Ca41(1dorh6@?{}4b=l+6 zm#7?3TlkHCd6LUc^zN7@s{&WrsIzk@YdyIu-kcnFswC^(je`Foe0-)sJF#JJOleUP zzCBNCmFD;vaXRr&mKMtTQj?sq?eUBb&}m6*8Y8eb{|=d>^^JBci)_gabM1;nNZT+C z6}2;vn?n^dEy`@j@AZ<3Ao#2@iLh*b8pE!YP6|uKc3xPef49@J2XnV-{ej6LV5OZ$ z#TX&@8R2e||8JR)_cy!rW!q5ML1@Ylv0wA!JFEH8*})XNf!=HN`YJMI47ghMNKDg# zGo~i4$ zFVk&6%nP?5CXD`{-eN|I8gDG5v#zhwf!>6oRW2wb(y*r7=fT=;`Y8%fPQF2V==G@P zGc0g~VByz^xa=R{2a+4-_~3eG7mIjM+v6;haX6-r2O9PVD|6p%10?i-`zw%VE#1gP zTBw%^&c+pf=N%H*+?4?)b4^~OiFNCbxQrb0;8PYR$Re}-lFk}6Sp@Q+=bBz11a=Iu zX*Q2h%9}t8pZp1rtJsf#%jC(u7c8_D2$n3Om~aFX3NiP8Jw?fIhKKbSLrz6R-Qwye zv1(H{59CDJ-N(1G_5pm9mb)ExY?e5*HGeV5#w>my-PXD1W$vvIpgK7k*?iCZ81>ee z5nKVLa(rge(%m#~PAEI_nT_+%XGah>*28MVA&z1jB8%9RRN%u#hCfK0ljCiDMAr)! zH!>{8atNa|Cr$`Ca9&Ltmnivss^MVh2_L}@lSiAbNkbkTa$N7nQw!L&^P_01l0YOs0;6+HOmM-GBR&iELQ5MN=pOSun zvaw*Wmf2J!LhWA^WKm*xQUwSSvvu1jJ zWwGmt4>vO!xb4)n@mBnTuCNkX$%LBfZ$!7rCO3U|^VXOq$r=mGZkFk{$oUVPp){JL zWy*y0@C{=AhO5J~Gy7l}Sg-A+Ap=SQkwO2(Bu{az8p7lU3r=K&$w$N}BexCz?7A-= zO~?hT$UGZ@BhF7#S&(R~jB8v{`li+tvm43|R`)MymrT1&6;{U7G=9#6JI3y2h%jH+ z;(ByuQqD31=dw;HZF&@Q9N0U#jva0?@?Mu{k0=S$w~4-O3A9IA{1M5T`td6%BDaggKuXQ@e-tnc1k$YwBX}3oR$GtXYLS+(Xdo&%=szz={LSf_l^b^kqSbFf)M@9}`;Xc#CLgOEzD>=FMFt;N+=))nT{6X#lPAj>4jWtv{r zO+r&e8O)V49?H2ZvM1xBTDT-)K&J(7yEF`;`+p)H;`Gh6He=2y6L7i6InOCO$ z^k!)8wO{Nz=r0DYB(}lLNunMs~C%TQ=o{3q%df0y5$*2=v zU;*K{Y&U8*8CVHq-OrrT5TV{_Ee*v<22kt|JyL(W+r-qWs=x78Z7%DWxXvrP>4i@D z`k@xrcCG5euk7>7kOP284LA}Yp#@w8n9y45(29SGZa6gmfhh*>GaY&6grG&bhDy*) zPJ5ad$95FQAPw@yZIr`G?lBVv)G-3~e#<0_TkY%XCgeY8PthRMdR?;N;rZwjRs-lq zf>;cr@6xU!K|L5t;BZ>-u%;8~0$gs?b|i8UjX~JoU3^2A>fya#_=fL7(=B>0KOO zCJPE0ek^uOU>7V?Yi*I5a!sdRZy)Woi$4#@JZJ{i)!ztOy-m2}sX~sBI|LIP`}Xh2 zfpvqbiVm$Axov&AKznvyJOBI)(e|BMunQFH{K<^8VTIsG+ImR-oE|N5_R*l8wx%Wf z+0^%^PpBYe+^V%B>4z23K{pNV502()guuAGPxOqRK{#g|Jn^#|eMZPeEkD=RIU`55 z)C$tdO%)5QURlq+IoFw_+~_cn7qdp(CAWM{@rrk_%ue}RKB>nEowlaNR{1n~;>qp& z23EU8>d@^~+Mx?^1E@{qbfGp)UWG34tl9-!4qrPaOr7!m-wEq@5bgOhL-M^BLv^Ly zb1gtZ8CYrmtioPc33j!6CTA~Xx7M*TNC-ey11oXsIGs50_1(dRG7%Y}e{sV#qU{Fq zn4k!3s=cs#A+#`F?5d@~!TX7ZUy1|DCK=({k~(#MMl z%XTQs{@jCESsfqG_E^OyVu~D>8zn5-U+<2Ijg34M`0VWhW!!!c_{O)sQJt2WkWXP|@uT4~*!2ZpEiqOOOY=Epae9)MFJchh*~5KM z_?i4#LA<8I0POu2S6W;4i4H;ZKP%iwCv*8ju}jDmspx&{D48 z7UYT|A=poO_6#etF>4Fm^$U_)eCqm@>e_8p#`K*mxV_(<+?+KcYB#I(%{QGAS{LeU zf2qiTl4Xv7AXy-%{WCoKyEc$h!GPa=Zhg_m>@e$BJ?gF@0+RW^Sa7ow(eRhy*p_(u zzK|INw%QJ>g65wQbl3Xr<4*?E`#9}BeF8B}LNd}-S~ws4@}=1^vhF3TQEsd(*9NNt z6ooo){-Fus#2Iy6fGf^7{SM0_!8$(}^lAdFn z?C@Z587{T(G3~i&odrwEnmH711WxjMEp7-&98a(xIa|7XLHm!Nl7Ysv@`b1-`Em_D zN?B&;`>G;{nK19(t0LGU6=aFDm^FvorOT+|cJNDl!#cA6D|-G;Fg?NHi1(om}Pi1#FyP86F^fP5H%-lG?G_*>w2i@F$m|$ z(8ymmw`vKc13lZ-KK!N|7aX44)GN#v9=~YX$Lx%grE%+W3q|$Shba(4hp@O6U2-Ys z2_^Jd-6sWDS>oh-w|WXvtQ3A(Ew4mD?c=ehw`jSn^XXDtdtY|At$Vj!RbB#3L)h*l zkKuq=H>o_aq(n-)c%cfCl>x6t96~4;CzQf<=Oe&lff62|kv zl7MQ#kgYQ(sj(Se4y=fHbex||W=3ozEz&~24I)DGm#~qZ#BA&oO0^Ftk_MZlOpu8ioAMHD4F&Dc#~1$MpZiP1!V3i-hyV#Apf|vz0gS}X zx#$s@LDv4YE+cUrV!=+uV!b+$v}%`Kl=7`vheNfYwE^d{Rj$0NzMmF**KovfZCnQ~ zd+IV4JWa0tDTIjKhUTm2)b1V8=FC$k`H>oh6ZYQyjivom!in=cwd<$VD0-1m^fV0_+0Fu7~@w3 zqMal8W57g^%0THezMUDN`yVH9NbQSOh^`U)<~m zNos~SLSUw#Jll6zfD~eB%n<0o%HHs zjSQ+|jmV5}mn+kss7Gf*dt}~P)awOs=UEuT7C2_G?&wlcZd?e{$nNov$6t?Q6nU3s zHIw_=Lt0@pGa{l4nZjM;$(nhkRgIG4%u+!*6;Y33FP%hmmU{RgFN^~D9?I;WCS$a8S1od+B|RD-eJF;j`VUca9RZupw|(vW zDuHzJMU$JkZ#WzVITS0UQu?2av>1?%{O`HiyV=hi#bOSlk&>8Gf6S-E||Ll`L+NCk5zq9g@geZPgw42k( zm7EEr{GU9-80|!~{VSA4i!r2&uF}|nZUDfbdyqC^S7RNnwR0%)^EFi}b2%>`snrOR zRa9(d4P4Ck5j&SeWXxg0ewdVTCc%HA+mn6_G$E7|2rl1hFJp9$b-Hsp7| zr=2WDYpO)6F+zx-SXiT#ulyuc73omR^r^c@NCZ!uP=vb}@SXnUY?&OoeFEitj|tzJ z^y<@U4hLc^dum=)yJ^7-{d1GPPW^d+w>Lh7kK_*tO-6{ZOP_1`Ao{F-YO_E9a zr%XJ$PuQ)c;WFEUEiT`kzz zKnbJ;|4HVZiXmll_xBDXLmT>4 z;~g`k)HuY0&fNxU0{WW&M=urZ(bxP=3#^m;yqIh6-;rVK;Gd9DscZn|5_UC;GENC2 zJ6bv-pU@R%B6H-q9)?roxc&2pQi6Z%u4!nP8M%*gjkDZdgw5LRL@%$_!Wh>3Q}pn4 z7u;bVM8JW*B>q&jEM6Jx@AVGh+7%pgB>asqCTC7}3a$8BkvfX8z}9OBW!iPC%YJ6GI1-)9l0;~Vm!Ezi|NV1Z{HyQzRST-;lK!-j+}8AEX!7AIy@qom8waS zcO5Ox??;?gniu{a^_D-p^CZg8jD~5MaL0S96H(`g{U!5lWoGMW-eOWoN4JQPG4n$* zI}Z_&_I$#pH_kL+nOlp08$g@v06s2l_1`~z*h@l%5ix3~_GDtjb;#ZS(sKLvRWr~; z;C~cwguqRPMhQII^5~;?8aO9VP+LcQLa{wEhomdW6!7#eC2UQqP?%l8(?YV!y0&=a!hKw9>g?Lo46q6)sN?L%lo5h1QXrsBvB!#FfIez4inuATo~4Ac!Az&q<75 z)Bi1{q5YHptyn{ik40CE+H4EidPlgU9wstZ2i|WRc6=`YJ zx#e4>kJDt~%Oh0iH%}k`?w&GH=o}+Wnmji7!jP!Y@^;VS8zcv$gQ_1qDl3>=@W}BytjRhtBu@}+|omJSL2~W42d^ZQX5fY&%Ziwc^%TTn83kin9XXk|i zPtlj!Rhk@vc<#jp_j|PyS-S+%$D2ZQsCTL1XWN>HtaPYAchqD{Q~eUA@_)-nxzr+i z0s4{c+MCMit)(}$>YhdG>x>k6-{tUIgLE87R+@3-cJn4D@4POQBNO0-4VVt^kN95_BUMGSht!~qdo(( zKb%b*GA!SN&B?H4tnZlx$ely%? zIG@hED!SN}nKCBNxl@X+!CRNV zwBJx;l~GCP{W~0ENoh~T73Sxv96!^!f5~6$k&>M51ALrS*R-IY6lf;`(63P9HiHR; zBpDupenQ$@eoeXw!rNO}jCp%{_T_d-b$2O#C6Y}po>{ITd|%IrurmaGhawt8k-yQq zyAMb2>w7{R$fi(LYOt#-d11}_QZ=dP?ECJXkc7>?+zj;dNO14b8C1&@loWp?2T@I~Eaa^;6Ej+&(yz~C8 z3NnjgC)^muuzb8O?D?|l1f^K?X1M~*_0f3}e}G|ZW_=Pj#Sh0G)La6Y2|Z(p#@p+m zl2r%;k3O!kR?GK!ZT@AR`M`=bw9Sj8TFQ7!Mm{TyowJ(1mQ`%NvVI5Ixf$)T*(@kE&AA=Ee`*p3;?#c!Sh>4lK>} zx$|W{bPF?{wSddaT!PeL9HMkdr%8FWaQAjZRE0RglG)Z1ZVulccE`JLiFk7w*>`|C$KcfAx zrSh&}o0~CoQxn&sR*;xfOX)wrs8XO64xoYb$t{u=E<>8DBr%KLxtH7#UlHIK^-RbR z6#xAnw%!7$?%xRHXDm=6YoH%cnrfN<#&a|{+sl}gR&GDu&NP9X6;C((!Zl};f8h- z(SM}Y7iRs!KpaQlHpr}gLlYCFS;mgvTT}jUG~|}}b5)`XR{d+^>!D^T9&dzX73P>1ZbBQ6=s%1 zsD+O6i+%(&rr#|BDjElqwY_gg`rYgmC)0W9o={5{pg>DL>TKskQyYKEpv~AlmfZgk z`e2QKEdDV*CL~H06>&5L&zyWD>9<9(NnT^?(njRqD_7_Py*e?TfMd;)VK5Jg+!p+l z^XRwAqOqUyJ}jISA3zd`EsGVP5=jxpUUvp7b&*=7Zn7jt2Dv-=Kh=m|ksOv^myQhK zLe?$c)QCfilt@BJb@Yau$2WkyweAk7QqpX(=7Jw zYGd-vg2upsMqc?|aZ5l+dWLPg(HK%om&Vvvd0kD}1oiQZ-?SXX$tRlqTvJZPeTh{i zHI+s#SiD^kGWaa-4!U4sq6(|Oh3oDUw}ZQsi0Q(^L{t?mrg0Eb)9v-uWv@7`&zsUa z^Z+pF*KWDS;(k%1Wd>zDz^~a z0ZwEAqebMqtu<|!9ZN4{(8*>Dv1iZ)P679vTFBs5!*~?BR##aT_5Hlm z#?CTHZ@MuDE2jQu#aHji?O~#jkylkarop51nXEKJQ!Mw|LdXSlAKV4D0|gw&%@R<# zms!bAP(3m(BD%*M6P3b&b#>(Sea-48u>4xlCb^gY%;|sJIA$)?&?*8e=9ZH(`JzzA zpUn^+?{45eplW2uxn^EY>3~Ao#H11JAF!Fqe(R?qyCNp9r z-S0J+OL*6eXs93N&7J6E%XWjIWv#+pCCFiSGgLxk;QsSUc<58geOi3ll?R~J%1)Z$J>5R+_KlxyL5a&vf*vS z`R7PbqA2L>Nxb`2sc8zfI%az~1Gj$qg~M0ZXHN<-d;s(RVM(UFYXFJ=eIFi)iN$9-GE{LdWkn zZg4idH+uJu+(WCMCIwd3yp76#_vG*1Jn*6IJNs@1?*|6AY6mwhzD;!_2e7a++Ml+RF22;h_rk1W{&HV3UKLS_7TJ)kLXf_+}_Mt+cQm^NWDB1K!M*~_2n~8sUdsMmkPJ_q8Qb3`x6}qj! z<<`TNfW2#p_DtYiM3+wC}5Zm3*c^B_5bW3 z7FWwp4L*9kr)^hH&pBt5R|=vPX4@^6et&}Uu8`qZomPDbKGaa3pmPbok}pcJ)~h{N zX&olZUaYe9OYbGhv3iSG-@+?N4UHn_%p=T=i<>#IC1u(4$ko^T2Vqaq=V4!A=86Hg z9s1e{8?WV#XW9M7-35YloT2L`wm8_Zb~D$8-;M{CjYo=@S-EQ_&UL@zw5a!!?Z&)N z7G~C02TIgc%yxd?=sf)h{jDH(04DjA6x9DPuQu6}<@w&maSbv ztlLnOEr0W^IHb}$$SjQR@BB+#u^@9@M>By6$J z?8bY1TU!>?W)OE&m1Qij-B`2opp#6|KLU9c7p7SB3&U#WR0vjLwtT7jdFf(x!*S!( zy>FanSo3fqGtpZ+AK+B7|J9DmThi{eY7V>fg}9}? zxS3q0k`>IhC#r^bF|3e=*_qb*P#C9^utG@7+6eb2&fi(tIE3xCS~k07x`jy~DZ<^Ep zgYs?2>VI(mueATWn5Ijs9}k?=63@)yV!LG&6mGML=Qq~;lf}#vPm#skXx*E;8Xw~gkadE-y`d`t zsQMBC;dZaTAI#Y*Gk=i9$o3Xbkj2Dx3j{Nk*&n?w)k*A@pC=gN{vBH4HOq<>gOt@y z;pl(bN-#yathhI~I_lEtB%pbXMHr?d4i4JMeQ%v=(jRy}Q`>WFH4vkW+;pTo`Oyz+ z3#MbI<*)gU2vOlA+`h(kFaOMHboRg-WsNMVTFgKfqo63Ath{gh9mRTn$1CCCD zOY4*Uh>TfZN0Jez_#KKhC%&g2y@u!nls`N60z6Bsa$${dO+z~Nh>zU`#~xH*AVARH zY27U^{_jKYkX!suHM)iz^Lw%>xA+_GJOHf5iEqemf$2bJ;*#+|$N9~l@c>^Dh~p_o z?5(l@5XI55j0c&21y&djmXZ-(aTzPEvTrk0O^JWiGD02C9{8 zfiz?40Y)$Im@3esviL zP(ccjsiq7X(!FgveAVvDU?eRiyiqo(GYPwG?T?buLsNa^_0 zbS8XMvJr!J)WWvYx0O``2&y>oE5!TsZ@yeJ zZ>e4!qm&_=iiTT3O4?^HycJm>sxRF)V1!$BXOM}=3lON=q4+>YTiM9ujK!`E5N&U_JSsA&$|_m3e((3 zvOsl!{4{VIREVp8s``zFNm220YxjE;`2%_l-}l9tm0I1SPy)O0{pX1RIxM=PKvy_zWb0`fnO4VfCJ!p~`R>HKC3VBXU{3hRTH;bS)7+33sJC8|z zSRNbm5#?7~Fe;c_)#By*Wt*_^uG%$koCj9>xytN@uw9Gq^L|dTNiv$!f^>Zp!^ze|jI(Qil*yFPeO za8tiJ9?-K`yg0wDM&47&&qNizEg-zrHRAuaUU(}^@3}8Fm3@BEsd@&qn#+UNG=dC) z?tU2(z;j9dD*@bogxhH~2% zYbvL$v}w&Kv3fc9t~8v`Gs3oq4PABz`}YBM<@ z0;2}8Rv9&Sd0J|-U80d0>eVcdTC|j5i!9nf8Ef z>p+l!Bc%{sR>Hz8EqYV;dmFxMb-DmDfUENf;u|!r#H0$spB+iBDt~0$OfAxe%p&)m zV4OEdP2t(DV+tYNxQ+`0~`eXvN+8Hx5LETun%Q6^f} zC8UluOT0^mW%q)0OH+QyRL-evRP{P^4gn6u6ifY_g5=xo&h)^< z4A;_J=z^M@xVk)pshzI_yYXOjIZIX%-1_G{?J-c#2w(U9bck@@t~SlXTWN#jcvyh* zuUI*iCw-0eL5+9nJM!285IsVr#+O9yyQ$R^To>oWJ4e#=UV+lG22IPtc7rT$GeZhP zCnSx@#~u)U+rZG&<9rtGyR$54m(O3jI{uZ-=ING8uEC%T&%p(K!= z^V2SdiHeSKvcD)oQq(5|LprHRL6C{e2-{fV1afwnF*L)r-Z9j}D%9aI?)dOhNiozE zLNhAt(ro7ZWhpz*y-~0k519^O`iFIZ>uoAL@#YLa<8QYGCWB97x-g-1)>T&&$Gul- zX-zYQqsvT1Zl^eNaFQw%OWc(?Lez{zG93+TIoCql2JjYjkAachDWmFvq1w|3pN|(M zzIGG&G<|7QEQ!!=|B_|If_-UsNLKc7A*yS2M_Z&DCS3DC=*RJH7?i1Mp=qKi&2~si z+9QkhooD!B3375bG#jeg!X4Tb^fls2S zlew_@y1~6@6v!WUdbHzh)omAMKOmr-s3ZHF6AV8(>nPLtCX_75U8`U3tK0|WdIZDn zFEP1w^1KVV@(Zn4(`vjczd-b0ZsQPX6c|l-&Y#z*)IuNWfJg0sU=rm`{$bEIEP-|# z@J?!cEn?rf;y7kmm_erQi0-y>3mdkMcSczn&8v{;JcE!+=i3p(f<8L! zJ7S=1|7k=w?Wy(Ep}#r^D_^Vq@ySxiTMysAN-tjir<_GZY?=ush2zWO44P~*^>zfc zgu|%hgI&G`AzPWQ_;Rde|%<~+yR&XzCj zk8SeJ*c27#J(%rOObc>Zbg6Mn@Wh`cpP#G(BYC8Ey+mDBAp@F?nOSMXc8w`_IG1`WG!VI703!3OQ-EjjB$`}sj1K$ z`IfJm9;?hKFBQc7_l(k_^hSkrjp$d)^~+w>%Rox2%a=~dNudY!aA~dDodR8r@vQ@W3<>hv!*f)u~%$8M?8ErTu+Mf zYI>Ylj$O-XLzCyYOW;!+QoN*%H!T-Q8pi0H@elKt+k7Nd$WtxR7Lg0e-7D1=|6+RJ zm&=HDn&y8UPKP%_DTMHIo-z75!hLyZ_=ZaDT(81Ai5jZ03e)+apqbLc+bL*Wa#nCC z`)|;~n#{TN-HO^nVtBN<;%MdRth>Oi*0AO+Y4T);*vj($`_?V_t;W&$#WAizIFvgf zeO^)032^r1P(a1IdS&xaefu3SaZ2FBv7Au0U)e8nVH@!9JKic)eIRdr zz2v0{9dqCcMJ#GjVVz!wJZfS!F>&CxRSZ`pA=BLl7v7Eono%%5)U$x0a8X+hr5P7v52Bf zWrzlZN@U@fvi)*O3Uqpr;-4b;z^Dm$K8tIVz8}fYnw7J@M>8D^$jB+!6f5CGZ5mpY zwkQ7Z1Xydme!U%pFTP0G^zb^)+=(+5k==2p%{rL!y$)DV%yjkx56UT9K9@#s_s(Fx zjyyWgUrp%ssE%pdKG^+d*=64n(4FqQc(te3BQ|zt^APl(Tbie4z}F4nntG@?p!`37 zGt$k~#canSn&ctRV>u(A>gUH_CJr1IuyB^tPnu0hoa|9y!l;JNs1_bcD%IWB4See+#f zho$$ZILO^w)H}=*tX`rD*evi)r^l z(PQb)8+n#skD5A>I-?^LeDn1@5R*2nKdQ0+~P;zR$+7} zWEv~r-UQLAD>@wD#)va06zI4xaP^xylVxvhz*}LEh*mFI`5sBjvvc>WIn!|g;I-{f zZli$+W19`UZIGpxXfcnh=AHA0@ViX}PN~mjJCtUFLJgWz0ghhpE1kqA^|Qx``S_PZ z6W=cKu5{r*&$;iz1AM-rtFNU{?sk%Xf7=`nee9?BZe^%|c%&_)(#zXa&|?aJbiB8^ zyFczNsM5>cRPZ{6uF(YG(6moIOcs~keJLL37A;Svv5 ztD{QJ-rCxp|NfNmqH*lNdp4D9*rX*Rnomt!MCJNN1?~3}L{@s=BsVF)W8I274m4t) zax@Fha5Ks?GA6X3jF};g?Klp&HAd`>fKB;EAXmTxyE!(d+h;uw_BGTjjrGqxjyk9< z^b`I!I&kjsxt2kgHa(DS@M@Z;hjll&+4EGf{dHZaU9Oq-A(SDVC_o zeqBp(GOAqh1CN}E?Tiv@+F6*U)#$-zxf$WF97*YGq9kA2XRr7!*x=D{Y@UdXvLGIY z@nb!hT4qi&_D(aK>m@BtGsjLdB5NhV9kR|PDGZu3e;$c9;xyoswigZY6ZQg7c}Cb% zJ_WzFJB7tGOq6{J&ZhiG=c~GH*r%(`3*U>XL7-{zB&amLN~-d_91#rfWx=lyjY#Ii zwCtX(xE-~G>)3sBri-_)*d5`G1)g3T-}U95fYXbxN458>u_lkTz$ScjJUn+s>=NI~ zh3cia;11cu8$LsXoFjTn!)`C`;yGIG6UHLOlhsKly;k!}-93fNy8eO3#lX^`(kmC0 z2tbD9Bg{?5Vam7?hovzbyGdi*a-FFD@bZ;XRgg!2mH4Lp=Xz}I*i>l+rT_64KKED6 z=VH8u$_C-c?3UZbW+I5jN>`*$y#Qu2yQ1OfyNVz_ENC`tr`) z{wOfA99<))*_6-a8opAquQIt;$*ZbbW2C-B!Ihy}HpcW-qgO%I;NkM*OyncwE@DQ- zWx0uAncF9ZE;5V4f_i~{l>MpOSfqiJ1TX$a)k|yTPtwtPJfGI)n$<#TlJu;ysyF+izi43H10 zKdIH}P!X@l#i56@6zv^VXdcP&aFuqw+H!fC*@NV0?bTZZCM~TPz12A__Zys; zD!Nv1Ma{ll`OkUv|D|chR8{>8wSa!kbLjtr9S{t(c3l9NfPKcnVZiBsBkPs4@`xUop2@>iG`;ieaiL6JB2_B0F~g0m!NSJ#WPKF-D7bEwy~pJ zav~gttKnTeWxTibuY&4l9I0isK816o$-ZPhPNLIwXUXS#+McW?KGMvTD=vI z^B_7qWhMxW!wqXoTK8BzuJ}akg4%WWH^R7JUAV?arI_queffz5N;}R4x-de{&##EE zK|~fDu_CoCiZ7yb8Yp6R;0izYP^I@s`pX*P!F?te&#;A3>RhW^-UZC+ce1B8F3y~p z#r2vf8~t_{$zGg3WSp6LjhZO?Q3?awdqB1^rw&am7iIsLoI;p3xVZmi+Jx|^=J&;F z&4)TC=`gsW{_0i$d%^C4;MC>KYrXc0T0MHG zR}A6vp%>@P`@`(MAV!jKuWgo#trdc}T{ zl7sr)q}_EwT^bH@_-bIEe{Sdr6U25`B-#bX`L@a5j|lQ$o+GZ6F~QxjF{z$|;&(5T z!$x&q7IU=isM%1Cdbc8>wTdg*w?DmT@A}ONXwUJUi0x3b2Sonlm~K^0?5!6$kpf7dSXk{2jA6t zz|`@%(NV`rM<-~Wn^BO+j`OV;kew3{HAkZK0Y*k;pbkW&F?FE0`iQ+O0DTy8)d~Fk zf?Nfx)^`m-uF8Q}ALJ?nkhM;xzU_`Wk$|iPunTe1TL5_uWKDrhvz$%)$JmN`7xZ?n z85!4CBO{JK0fHB3NIP!&1R#>*BTB6Sa=`Tt>)ecwE2U?BIN_^+F!yKD0|SB^i;+

    E$%YzF~j@Y$b1-eirQY-bIA2Q#MXEH{mY)oW^~ zjTO?i1o^XJtP5q{8W0=vACfMBl$CIq3cn+Z8>hVZmnhlJ=o}N@^`q9t9~}}?!D#^A zRTpZfx1Mm4AmKcQmAR3%?_NrH(48vws~-M(CyTtvv%RqPn*fA&5`Yf_;N>6i zS)y6vTUa~1%>dwxfY=NP{2?9u^(Qs}*j;#Vj4GCsIClPYBMpF#dB7LJFx@p9Ht{&0 zU<|obe!#DbXN_+Lux$YBp@7)@7}ofDpk`YjDkeP0B8^XwZ`zjKrJwRN9i8++4V^js zc-CBP$*YelnZ}%s9-aLmdo|(Fve2$JW7` zJNEP%15Co`FhYOD3FaUiylsJBy~PDv!21xc9-^2(X@xrqAnCIQ3B;W?M>f3tS8hP= zAh^TS;ZAy~k*a)lNy!m^wT{wZ*>uX*p$aI#BAiLUiZ9MFf(#@Cy-BajZoPFt1z67h zw5=}3Hh&2cUeKnjUUu!ZtsaYMc%>$@FK-k3kw zuW*7(QOs9`fqJn(gWwWVhfb-bMrgg+C0w3Z$8&g4q)tUEJwaUdpqJag(gz?^Vtz}F&*g$QN;kSGdVa3evdoV>s_z9nxeHnN*AYc=w@_qG5`_} zBW*$Grv9xF6LDxQ4G}2j0_+g2hWd8bB5v>$_xMN_2Kd=W^`}nEx@ zA%EryFc!UU>#OWj*)oSB!)cS+qJj#hxiAe8Dvj(#c#i0UgMBsFnu2-N*n);S$MebW zTZDNf6p9hRg?W|Q!s~k=@6y}KC^otqaSl@n`%?+j_8oVup*AH4Ni#v^qYzX9qq?7dmP@V%z%rW(QL#``J zq|W%OLzE5=mpR;EyMZNP9MiFcSC(F9 zSiyA?OIrr9Htst@jt;?2_^WXMzuJ_ER$~B6sc@7sV+jz^8&H?}z)}yEY1nN%z}fmqZKrrwz2>r*ea&B-iXLUPw0>F~Ol_ev9j|=||84aS< zmBb&)PAln`o;xrKMA;|t<1%T*?uMfmlfmjs~ zv&COE`j3;EM5~*Gg9`vJSX6u4FROqqAR6Q*hkU@nHFe+70eB94iN64v1*^=e#{6%( z=)vkOioFBIL zp<2<~O8YhoX+-aH`mAAvsV-Wf@^SA|`!?YN)V8pojME8?Fzze+dXWMYwu+$^;R7VL z>R^N!FDOEKvF~}cK8W6j_tC)$)40U-O~sTS#z6H_U+{-4!V6;Ve1w0arJ&A7zZVJ- z!N5lhz^zx?$q$xX_vgYWCzup!Zu)VHBMYKrt=Syw456puR}mWl3Z3m0AY;>GYzzP?cPub#bc? z&p%x~-O8lqkqez5oN*tD&8t1SB@ zgEkLOGeuU;YkTo2v!}p=jQlsR#uj}D90D=Lg-eJz3@L+4Vjlw3gV}I%8DDu=%c}F& zZWOtnGn~MBbrm)gIUt`c!bKp#Zwa;6-N8$g;%~-V#7CXOi`Bs+i3gMG|V6lX}ae>WGhj*gPL8 z=tQElX{$6@ow?ihGWn-tiS=RgY^%S!)H11P_}uk45bU(LvsJy^pjbeYQR;8WD(HJ+ ze^5@W(c&$7h<#*zLaK8ryEw!bMB#ZVp1^*^p)NNaPr${S1bg-*T3=TrTHw7pazuQM z2}${6x}3SGJ$`xuF}5#T4hC+!eYdjdX(Y&2K31nUT}Bot^AWVh%Ue>|-cZSbg{C69 zj<&-0GUJF4SyR})27P>9w)%0+Gl5OYUKmx&-$IbxJEPF__Df+~JiG8=#>&QMz8t}6 z%E3y8bVFggAnUTLnjn(NrtCs;0y`+!b=v{yVgo4P^*7{)0-BY@S4pY0jK?_|(^tr% zeni+s^>X!*I@A>2y>G}S);)B{0X!f@V4-^HU6T4zV2l_pq(aCr?zuwbVe^0Q`NN=GAWtEp^c$sUf`+;UlkC+!MBnnh_Saz&Um zxdjeAT5b%5D-@$wEb<+gHM3XcJFmG)Ve~$e*+OuK0`eNg(PKjTp5h z=)E)_%iniOtI;bz`%v#4E<5n+p5<$47fPXxX-eB{JE&7?OJn0qrll4^MkZg2(I5On zrVs*1S=T4X{>XS=GmSXyQ%R-GPt2Ce7*{mfFf0YK%hS1Ou9fsDUfCoI{l_@)MjBeC zIXEEaI0J2zQ3T=P7xU}2Lm|Oce{b?=0ni3KJRD(PV=$dK0XEJ?k2O|=0o&+czJiYOl`_l~e+!70yLL2MlG-uo~O z702JE>kl*7AW!j>>K$`*)FvGswdlEGs(J!Zn(+yEYbqLeGTvk^{l{W8OM3&Qrg)`B zmD9oe2fm4iFJx%tt=Lyh^N+1}X7V{n<%q@KUCwd}BAWJs&B$1+5<@=Vn1a7LqW6e@ z3+U`?MOY(c6{34?opZRm`(qJ&%?3ZD^D;4PbFOD{tMO{$X@brVU8zPR)vByp$#FLO zY48G&B?^r1mbag&A2m8H=_uGbmG8#Xk0f8L9Ov!`Yb4U`=oTDLqDw;ld>M#g?wPPp$YG% z6XHDS(tL}eNIJD)RXV!g(LKUiGTFwX?W`K?TtuzcnQ=ka$usrg!xVf?-Gy_t?BJo| z&zyBo`6-_HV59EJva(5V$esUhbzyqW<*<_@i~K7#__~HijRSaGkM>opid;5tk@rNy z99qLjucfdj;xh7xORpmsQ$ys$CUHJmJBEu*%&md?l=F6*+Ru*!e3_s4(l3z}2KLb# z?<$TAu?CFRDInPGvl2%1dk*oNnY+9|+h}8UqE~dQG%BmdXxu)P6g^mB@?(il&mvGK z=7&Qd94=&`R*Rji2$1RFT-qzjiUZ!l?JjejRYO@yU~^Rj{7pie+){6)0~K=UG=t1z zTL$t-2YG-&9&sR#RFFqA$RifyQ4aE`26^OwJi0(0V*C|qG}_DSO}liFCRfh(0l$*U zI*o7aIro3lO|N%^lhUo{)=Wp5U|ZWY7eU?xLn9R1ycnb!zF%+v^ZrJUBBy^{k0SO) zahnSm_Z-2$_{%Dz!qc>-NGta2I3#G@voLtSs0X;pSDU>)yL$47co!PYP{5}zsEj_2 zBDaJwft@#}u+8(BXyxd2&V_GAg6S_*X0A6R@$AxuJbH(i^YKC_#2t1Li&uZ^5-I_g zS8@v~WENHlhgWtBrmwjSa0O)u*ad$5q9a8@HccGzhc+NzFvKM?pxl+1Z#$U9?_<(m zIG#gao{=3Z-~NdkX`Vyn!xFhP7**L<-5_?kWGzeUYU+I6&`lDmyq(be@Z=vH!$PvW znL-Zv(s5-1Bx5z-UETr_k*q<)$^}33`inaZ*{sp>-mZyq_#I*pgJ+GGtaP~d*Pr}A zhQ`}Ow{}GrvE4h6mL#}|MYbmMfT7IzB%eB1@M%fw6n2}zmM)YsUYp#OU5NbqS@R>a zBz%8`(;Q;;00|qwnIL!4uk#=8R^}A1@FhU)?cCleV3(Af_u)+phX!d32){E|5~=rZZq zuesBIX@(=X!~t2-%cx@gy+Q29!+_&hn zp}%76%!Xa)nQmFb8Zlj{L)Aekj4ep30Dfs)0@c9x_~FJ47D#%i9|sI72=bIFHFSj} zsf9VdhR3(=%n}?=s6SJRfvn)rcchG$!)9=3%yP zLSH|i39yLzhyBXCpOkM*Nf7nv`8k>W^;Zsz&YUY@K(OJTaU9-(WVdC~^nDj}{%h^h zQK>eC@-7_(5h(r@4qe~wHu|XaHAaoiw2{9<5_dCsJPPM<1LLM0S}E(^`B97@{z_B( zX3xXV@Ab15rI7WYkF5|UJ#tXpdYE?C7%u$3945JbbffFMTC>mwW|PhQBe}XO5B`tv zLK`S%7QG}?-43zHD}Qp?l%LYiT2~`}e7LbyHO&`>#jxSj(OA?RtyMoI@P{-bO0_WIM@if^Xxl>2?jd)A@b{;DgC3>!p^PI#Kc-^&vghE8-n&=t9^bY6JdZnzV} z{RY6R9>^0~((FM)t^6%>3iNLe>`*~*h%+9+8kLQuuRAlWb9Ekos1Tu0IVAlgIq50+ z{NgkNw?>^X|3@NMv(h1BOx!6jL_jLbcz4m*0Z>aq`T$8HOd~i>3J-SN{bsJZefN~X z@R`_jk`(@cNBur*0vjAQZC4CGZ;Bmvk{KTPrlfNV7s3J`ptY6%Zg$3_J<(2nwl!tu z2cR>=Qz_=`u!V8BaAFze4gALa0CT#r{D`+Q9Q9vA0U25VxP?U$(GQqaC4 zftBNBbiH#;9}i3q?FHEv+mQ~j;bxlSo)Qb-i#l(mK6d%2me7F!VJWrzAaBBR$j@{5 z=%H3RTGjy>Y#PpOs1GDfuaI8iy|};pUhCv8YXYv{tE?@87@vTsSR^BQ^$mFSmul_H zF3_?+o+N2B1_cbgyKHh`eBO}SDuePzTr=3x`eIAajaiz){o`BIi5zuh&lZ)E57Jz_ zM)#YD3{n!$$v!tv29_6AI4Oy5r~a>~PGpF{e<}{_uH)GEXOWj_YZ!EIy zO+O`+(Fnqmxu=NnR29T+&igYcCqQ|zBWX0tyAFz>c~*>@N};8N!`HzJ&6t2M{Yo>TVo%j|=Oxy9rC zycGfktS%`pXq5|AhH_PJ&_5({sRO-3hWnjk{(;^8l)j-eMI~TLI%(U^p25HTId#ct zbwtrG1Jv9E`s>7Fi^pS|2;y8)B>YW_;+Yazno^CwKi`H&vn{Cj3=W=jhIR`g%Di4J z*mX{05E!2)>@pafA=EcLx?W0MCC3wq%EF)OW7DFh*0&eTqZ`TGGcRCVLKP}vCEz#!kdLTXMJjwdscJ)ckSrlvrFEz1~qlUo+6WE zgz^Xnb`0X?g2FZ#upZ0PW4HQ>pwkp9`(av~+|G$rf?(vch}srs2nP%)%>_)z5JC>U zOIIJTwx)0??9+uhfIq?HH8wGNu}wL{D)KwYT%R(}YoH|){+(I>IX3{%LKDh7J_exW(YMjA8Fwd#rvfGHTR#@Pt>v99kY4F2MSgOC5NF9m^4;Re*JY=&aIvpr~;wsxxp)crGy3C~RBL^b2C z6)Iaz!f<=Q6roL)^leIH-5{Y|77z|miB%o#q~0ljL7Q@yEqYH9vra{F6?P%rE*+Ja zKlwanVS?$JU)f_#QVdxFd|=_{aJS;qXvC)?@uH{p78h`mtB&%hgw&TX+)3PxILm^4 z+(}Yyf@uuSNz)x%Rz`E>@*YUt4F#gKr&kq{yHq&E=)&|#kJ$`g=4`~vQ=Wao1$So; zr1ul^cu*wgmsq`blFd7h8C?%8GBO)9nCbHF;P7Q9kD(Cba9{1J{F;|1+oj%ox%cP< zoswIQQ0M4AVSNIy8#EV0P`ykSpP+hKE;^y=uzXVb>Y&TGZssBC`s&8qA$m}XD!zN> z=s_9$ zU6Wm?1fg6}A3S|ZP6Y&2UG-PDg*~+mq6*x-gVeI;{y}=Oxb?@{c*&*CuN+I_4=t#B zo#r08fs@i}sN^b7UARSrWMe$cd8YQ)66m3a*etH--2^NSTlM|CYNKrE2ZBrtO`bo$EK~Q z@o;)DnzJAXuxygt9r>w|A~YARc}lOb{N*aQRAy{ zyx)@7E!~)gCoEyCT$#Rwds@xgTroonM~}N9Z&Y{vjaAP{NBY}buSNrH5VA~Ev5*-6 zPS7S&y}8_JNPpEKXJs+%%XD(5jVOX_PGMyhLENv2Qzav$Lot9YAloVzMGadTh5l$J z_%}-Ar>eeQgaFO0&G)lS)7GjC6)9x-iN6#ABr+rVLYKdJw!ieEsnxuSO!RJvq3&PZ zyor=vmReC6a!iDm<2mpBajSSGW~HeRrsvZDJ`Xs3aU2?6jR{trv{LO)np$U#?=4mx z?*G!CvksdM3>HwaRNpYn+3Z%(V#a=5K!=WBjL;DqRPWy>1qYBmq&PfSsNvs#)7N4$ z{S!lJf`rDeBH%(;81&l~u?K~^W?+eOU;q7SGdh-))TCURqHER?Ttzx#;G9UWw>Wc< zV21^fso5=qh1SM5W`^hYtW@*R*m#6+W3Kd$!jC32o9VoJ0x+zkrCz^vyFvl2Zo zPJQeawZ#jhl3>oAens>9gsiN|mj5**XxZmeQN#A1YKe{CFnE zvBK8u$??DXk|!Cio1(&BZKm)Y%@lqX=wHLg0}CCkmVfm^S#oioQ?Uc#|h4<|RG`;S_-s6r7yJci4EGR1btTL%VJaoIMjd z4#u}x_%{j`P8Yr#EAvEHIg8;`NEjrjfyz9tVG3#}Ux(d_v1rZY(yd6=a5OCBvUK#Z z=okm9x@>v+b_^d5gZps;>X_DXj9gqWq2q|s^$keWHx68Bq!ybm<55F%oE?v)X!#m! zO30PwDQQf~23Z_!re|!P&OlF(D&4oq8aVFCN}FB7Q4Nh4mG?1Ny_|>*;iV0>K+8{t zGjdhA8Y)#PEqB6WCs`BTxmde-4B)pR7eAf}?E&8prCSk%s#)p2OYSUJr7F=@jmJbm{yX_MV| zM+2GFcYK5kPoVZ4N+9Khxr7N19qV!#I0UH{126V75ILC3H?4hI8lOF_J>7>b(RCa= zb<(cScu4&^s!uKzj!TltN8G)VA{An4+`KE{_~dEk1z03-agf^7s#J-><-ky-&G;%V zE6sr~6mVdar8$G}S>eES9uC~-a9~c71AGj^xh@B`MM*lkPs1`OV*{>IW%Vxg!;;j0 zvNZKK6i~nYgt-5$mE}=b)lCOAH+dLwlf#G^Nk;H72xnT1;36jozcrQ6l6q`mxJlnh zCsnG%=<;TGk~bIldD9)a_(_<294wg0gg4nfj>9a;a7@0qFt;k*X>n|E;p|a)gjLw; z6|M}Y0oQk>;olbceZcgbapde}|+m7Da4o3zGFdelvN%uNd2q^g_rcsi+4 zy%}BW4uu1dYE2U#_YVbXVtzbB;f{i#u#?|!rsJrn@K*l9XbFU{sPK{2B<&2sqQXb{ z3wN&z^B+gEeHkv!BFsDYZKCjWYxrl-oUJ>~MCTVYJG=1N%@lrTG0oYS|4_{B?85h1 zR!k1V{40T<)>l}?HND~+h>(Vcxjm$r!n^rp+a6Wf3=5hm{H11uji>(0I;e#sgOQ_e$8h|zzoZT57=nNMBmYqRzW`pH3%>P!>-e@HWHl$V4pPftiJ$M_h8zZIy}OqU|g4ZLVHT@Ezb=Lw@c@ApBT zt!jBzAP)mxQ%f4}Rg^nVV;d4i@Vnh4=afRFO6uI1|2;^xX8yDLkYMMAJQB-KV&=y) zTJoAOD%%LoJ%3PC*mwQ_MA(?K$LpVtVEZ(#!YK7r;q1thvt%yHEu4+{FU6R%3%>wH zr`1fV+1cE-y^l3D!%1s0Mp+PUJdZVRDzKZtH>C3*qe$OK;6IR(9v9z`j#fpW<6=q`F^-7m`Aut6WpYUZqg(-X|kK-IZ--8TAvs&Q`~|_x=B;rq-k!F zXUBfJn`=fo38Q~oG>jTzB^LJPzF_y#3tz6(^%qzxr>pm>4o4|?jxJTI>}^+1a0PDZ ziBJ0V1QiEA9zC&@h*!4c=IV)}!ah9_ol`0*jO|HCZ*r*DUHI6Z-ojgh33%H;0nHgl zjZii!oUJE5(wDh1mKTaSyYMe8bHwPz6wNHWk+dZ3H2qn9g_Vr7!TT!Ao;sQhwjx^^ zbHQebhY37D;2?q93EWoT4uI*I{Z^5<*u;nbdO~7m=VK5)Cs1d0 zrQA7WTdA@xciuP%sn)!4ZXZ_9DI)RiQTl=SNz5CnLl2h5XLs0ZsL|+?8qaA~^B&!i zSYOP*s$&W=jPg+bP2@}3`)`NNv+No^BEnjrQqMqROx*!W9*FwOhEHg@@?jTRZggpU z;7u(!Xc3&r^^QoDb|^?vYpo;#pd?!Qf;nO zDUR*{IvAzyb&M<$9Fu!OOwzRAXIMi@7 zTmT`mHdEly0_O^xC-8WI3j`i3aJInr0;XrCZ;9;MYtA>IdM(||#~}QdK-JAE?#??O zDpl6y4y;3vY7MNM&ifcKy*^-%q7)SR4=Hc8G%e8dvo znvupzk*C}R;L~o>Z{4J4+@xpINtG(w<#KQYQY{W%?dKr3H~I0XrWL|Ljx_&KgD1t; z%VBD^@4auNDXQl2GSy`F=X>&$hPENQ@T>lD55Ay3iweJN6?W{(iLh~wMkQwnGtUsX zTHv_?&jC!2uI~t1dvyIC1IZd)dc)I|ap7#5f7wjo|81u5!Jd(uqB*Fz)uOhOyEZZUMlcnffot< z5MWxPd{-J3YZMspD+FC@H;a;6MSSVdczCaQVq`QngBIhTrNpj9JJAkq9}UE>bFoRp zt)oG*RWuj>53Ow<&8dAGh7F{-k~SDyMqT)~0JYD@w$TFon~QH|`v}sA@4rgx6R6C| z{K4`zry2DPH&r-$>}=hWw5YPN%ti{Kc~$K&WVlY+@Fl=Bx4w^t2cgZa7r+>c zTYSU|7zEnfVnLT%a4Xr|x}Z;4Zr#ufx3q9m+!_jEZ;>|KE(|Hny{~Ef)>L)%1;ok*nKcNItJeOdxF1H89A=T=E%ldeG06Cb;*8>ko&WdFmi9XwMPMPASdA5!>1Sb{cOo&IJiI<@ zIV!UzdQYBZxq0@l0~hlY;nF+~u1b{*al4V`kkySJ_je<0MSeW$8=z4G!hb9tL;=d2U51Y9$DgHr&Vaj3j8}&$SqrsGHZgIMwt)g z+{iA6awb`a;nU*MoEadTncT5j=vsi~&@u#70!JhT2bM%Eo;oI6C=f4RCrfWVoASJ-Gy%w6TiX2M-~^(w!Fg8?2TN^ z*@f3MQ~2R#3eShwHQek)%@p>Ss@!;-4(&dr<0xo##2Jc&ZzOO#ftw24N#G6wcNDm- zz|942C9tQ!Z2;4g+K*)P=;Bt*{LPb-8Xtpj3u{v2QGL&ZgrHT=9HlyIBDDERXq}0r zwNA49w1&&`*4q=Cz^~=YMqYW78lOO{PSj-eRFZ)?8WcrnkoD(tFW~Ym5AaI<(0|kx|c$mNg1db9o2rxaz-X*NJ=h(kZNGu%r7=*6~)P`)*xvI&XP3d3fET`Ocdr&3C>gzv*Q5`$()s2xlg_ukneSIxdA?|Ue|mPD=MXFX&sO^VR{A_E{Us}%Z{an# z_OjB)S>O4hCX*&S}Sd=PeiJJ4Rac{SGUgcR`pu{VksG6qiY7xUTum&2jUcdtc@|w;auP z`h?AQ2BDen^q8CP+(j_o=|42z898shGla%`=Z2E`&LA!Gowul)?|i|B`F^g|Uwm_( zN#`B^=KENS#_m@ByDdIEWPKlI<>9rlrk*XWbl#b3(s?7d`Ode8o9{bW`T161lYWww z{+N6}zJr>sQau6x@A+ttwVn9ijMpA(@zAjyK^=co?5+4?3(Vg}wJ&y>`E7mtKL}MH zzL$-YSoK->!q)Fr`%nimEj@?+7-@LjCB^~Z+?gLyP~FyIjUJ_vMn zp{${D_s8Lu!yf`z0ei2BiGlXWAf}!J&&ht9f&hHecl*i_>C@4Uc`)tHFrCNus|-W4 z7;Lft%_Uh~NEsw#v6}`6F+=d%JbYtMbRl&pDk3|E<9Q&SOy_ZdmX^=El~9q-uc_RS zYQKCoN2Rsn@Xc64=YWX*_%J4C7|84k$iv#hg~&O=0v_*Xj$ue+uaQseHKsC(XAYhW zk+7D?KW-ILLKi?XW5x#I+mYUTO(&Haa`8eW=30&_PQ(kK- zEjj~S87TZ))xi|DlSk8aq#uOe`k~|Wvp>3FAUcNmMq}rL?*!8AqJzM#`LY*6-Yu3K zQ}8?&->KA&z^`Y(U$_jzfhIlkv;5+Y6Ywtx=fe&SLSEaYgEA5#^xip0eRDZg(?0`2 z&Jd7Ct;4u74%DF#CF)!19}Z)^qF|s5R1Qvl8;BhIHwd4hV71C{dWha5#OpHbRt%gV zJR8F`VuU^h;W;vfDcIQ628^p&jbD1Kz1OPd2Ri;1BdKu)`Yv!7i28I=I2oGEd^38^ zYV+-}2B5m)RS%qL=E=CH!s{=59E1Te48Kw<3=^JF15l}PGiN@^EI^4alw{u!$pkFi)c zY?$L}Q5(ip7Efvt#aVBENX2kSh^`+vYWm}&^B!k4YVLW+M|+NXo#Tn4h;l`{jW)T_ z+@Fwmy|h{NW)2{|tv(``rY0vQl1b9KKmds%xeN>EP-29Hst%G*dz|(;?<;t=?gkXsVSGB z0Ig}-ldcv0k*4IhIekgZU|JT-evKboi|{1CV1cyg^r9)fbc!o!&L+c=N&(lHn z?2l(!E9A)BsQW*;-gUpe(V#{ymsb7JbPiQYH#>L%1b{^HnPwO#N*ZRIJUI@y_#T8` zIH>31cM3+-{br)%G)XS3Pvd-sMAy|Qr(1xNB;6qM@J-DDuEt3@#el1AHTfy{{gIDC zPpSvtJJ;;Ic`Txw(YqA<(@=C3eq4klsZN$I7cPDi#h-61;X6ZSq4@)_G&`*0SfdQ6 zv`Hn8y$r{XOQ)dlqae*`ikZwPq_49S>C!vc`~~1>PYzac&t+8+N9SL+?k>G zUcGHt;dDnb?u-Sj(EF;MH@HT{^R^}a=ciJ|4cJm@D>$2QqlUmq0>=v6S>QR6=P`j# z3mhuxdjM9dtd%W51^3`bJ%M_+WMeo`BEyfP40l->Hbo5xFOe2ew6(PqdYC-XU%V<~ zYLB%)0o3(c5GuJhV`XG5l=z5K9s+%fJFVtEtD0wYX+;mP-_mN#k1zrDr0wgo+W4Ge zq{ETpgHl2j$Vq|a zR=1hPeK8o4R8JSb$b2&_coK}Nj)Q+Vh|qN^=LHTB4i%R z$o0AC?gx=ZM4nYnx84!C2=@DOhgz$TUx@pd&S4&o1yt&ZjC3xz90~)*O&*?k8BLj@^!w^+4%;3>xnGXpsQ-^j~sa%b?GtnfG~`; zB3xRVP3_Uj!N7Mj@dQFrI4pL(6tjyftO59^lOye5uEf+Q#K}^xt)%@-S>ms>jVUqw zMv0+(#WGT37ez(aoqTN;nH7YWOr%Z$zl{5nZnl%5)#=RRdBvLhQ)BtbCk!XDu#;1i z5;Y5C@o33(yf$hI4o2}$Uun^M4Hb~oJr;+|r{m`dm@h^n(^M$WbT|A|3UUA&)pjSC zV)2NNIUc?s{E=k1OQ7mLRE8p;5^xgWo{v&;-oUrUdzVHZ-%!oTUR|ekxVYc>EV@*y$+^doJ=d&f3PT@uScotI-|w zV61?QqlY=Q&>79OS*F0g2c(?*|;4VdDmc=^C^LQ@a?MFX$qx1!rxUV+es=Z$a>I)~Jscn1tT79XAbbPrp(Zj1LPc>`hto7y zn;ha}&>2fmi2FFkPgZXzQf*kF_VY8vqaN$3v2o#Rd5uu@rx0>ylZKTVR5~Sd{$U!I zF64AyG)8Mqk38`T1u3s>DM=poX%pu3l%n^9gU<(s@o>%>b z%h5k`AVZS?8F`+~qc>BQctDmKV<|RcO$$@9M1Kr7fB8>0?D#}a9r;9)o2CIx2%3fT z+^8J!7mhz}XwYDY__R|bOVm`lJ-s5r?&_&GQ-)@UxgSe-iZ@(-&?utj(d}`{58ZX- z4Yw^-lXFU=Ca28sJ9RyEKPNtpQHM9wKy;LIj5EB;eKCr+j7;Mo{0J5@0gt3& z28N~^RO9s$>0|DqjD%+Eg8rcCsydD|(S&7)S|+A<2#y^iOsIQ^Q@777ZnSozaTp4= z3Vh(0T2(Zfg7Dqa!d>ik?ow-~j!05!PJ(1m3))WK;LOpo9tJmL(HM^_A)Z{<@i}R} zud4vQZKV7B7T?k6P5(lnc@CiCby>uX*)FhvMk&WFJ=SysspY}2Qrc%6-85+#xW`Z! zx)tbBqP519XjF5#$^|4Pu(e~*jBitOD@P!GU2OGA5UIYX$QR5VDlluuC|jqhMBki63IcQTyZ4h?K1aUVuc`WxZAF&gk+W7&V zUMNXLrXxxt^$N^Rcf41sY(VV$XKZzE#hf&Eo{mr3^!EIL&ieN0))wch_AZ^>%U>mC{WQIn8^z zUg-JcB9Pv2o!~1~c5Cl7GDr%@G zO;C;n9sxQd67;nEJ%n7^>>xZ`1{9af7Sr4nG=mQ+RTh*1L)nivei%)X-uj8>1YUt> z%?WQ4gxd;?w~y0Jd>9B)L*z&6;SgCjD!mn6c4Mk7$6(=6zBlhEnk8q`MG7VejK>sC z750?w=fJ{*DnHjYDfNd*Zqj5)QpKJYre9gLO_O|E16u9iBQA9o=xK)vKWpi|h7IW4 z2w*kzLl7Q?GEgt{-gRN5Gm7bCj6d!*#7F$%vA`R*qp^T;$D*8HhRUwnsdv)595ua1 z?MO{Wy~&7LZZ|QGRDG2^yrUC4<08~+$?YY2bZse}mnl(s{!oMEQ7)hgw3re$V zMG4v=k2DsKVYHxtONXWS;T_=`ra$70Wq-gZ_0(kkamURXCL@3#R|j z{dB}pcH+%Uo?_>=<|)qdgZC7q^jG#F*7hygOo&RB{z^&@Z#bZNzQIV&La*d>zLAui z7vZd6no5p&%9EU`^qxx20>QCUQgZkhgzpk)s~{Ha*g8X2cndNOrL?Ab+?AE}U(=}n zS)EB6jJzzC4ohpAEniB?iyD1KUd&UTy!_gdmy-nto$HpSN&DK3reQ%y3;h|Ru=t+_ zQ*SgvxB2pbWvBr^ZHk|`OG%eQT5z5av>VtIWj1>mg0#U1&I+&KZ1j3ka6UW{;oC@4 zMPr`w1m{WVK~*%T2##J!!Qo>N?k3PyG%N^fM9*8P0P(PQywk6X>rQ&3C|${|?jZaa z(tH!$&ksR?o$+J5m(7bBlDpZyf+DP3#@hwmWqhToV};Tk$v7w09wNU%T529%z8+d}tyxE`zq`$rD*P|$v9u1x8lByj98a}1y8e(@ zMQBpq$a>1E?Hobb8_;S8AH8dIty`BAtfluFrpS`wP&t7!N{(U%;RjFyMhl(7+!^L5 ziK8BTV?+iQm-c2Oxx~=on2d|L1FQEM`s$p84{w^QO)_*@a%s-6qM=w7jl&az(njIQ zgc)g6@-U=nz|lDQjU`4R4})z+q9lX-E>hyRL#4Xo%*bu-In!}I4~(v1Wrm3;r7N+i z)&%!qt$~9-U4xnMEG0(zweX~GBd>kRIR-Q(Qlv+9I-&U>IyOf-uIc(BvgB>)%PgXM_(HU(;-ZqUfMm&rf{P&z$&+yG_rF zJ&Rn)9r*{)0ao>V48otLdIb{I3njUE!#53dK@0NkHUT zW$Pe3NuFv}(8fqpRt4dW_#p_F%g;|TbL|iBPn9&aV}kHBJk^-UGb|<^el(cFIQg;o zf2=T(jG_U@yBbCc6Gy>-<6btO)!^ek^ZuaJY5BQFj0nOr1hMlQ8Wx1_lix^(X}S_{ zwxrWQR!i8x^D^o>26+BE<2TmvLHrhk9|ANstImK1{*RQ^c5r4i=^v4F!?a7IC+4^; z@pQR7={(L!SEt?}yh^^a&Umre4^P%&^YfDB3j%fKqj1Hlnkv1=+UEf685X^F)tjhI zjgC*pVZ7OzVg1pf)+~C5^sUE=+*&&IK!J&^WBnmvMQT!>75O`>w%Y~e=K!sC@X@E- zJGE}LB3VoCHB9MbsF7-xSs36a<7S{U%}-o<#Xs>#p#BW8H(ZUn%lgAtxQZ_Lhw?9*g41_~%+?>(A)$i6J_<2RarabT0l| zSDGY?SGDO;Z>r`E;ygx0uLiGg;O#xMF&JIQqh+*1=%L|-Xw1jsc{~=xE5(K&ueoI$ zmG%N{b}VbWsp?wJ-8l7BsvIGCcF6-W5UvB9fxpqeK5t~}jgVm@5=O|zUL%CO48n({ zIi-vcMj?&^KY5cd@7Q732X7tK$YdTvkiBdUj2x``vMW2+KbzoizAa)oeu(b4+qhPaiDnmkzu82hPn9a$ls8ni5mvTm zs0 zq{)Y}BHh8sV@G_rCQL6g|Ae%p`hFxSs(eJ7Em7@@B9KK+5foLOCQiq2H{K89;T9zw zgu{hiU69uFHnHXjKlnv8u(P6G~Q|Ik3|W3AH9CWjxr{^HeKQR zC*%~dOCcu40x2)#S~A*uLJe%*EWjFfInv{A)pYWNK*ES_rns(*`@i8k%D< zv}ms|?t&hPzG8t4lVQkUf)^Yt##mtAiRT_De=vs{ZJ>W z4)7l}SUO;__zJCWVfK8pSoP-bdfUeU;;!V8Fofk8Bwdu4qP4yf|MMsqze}S=ykzKQT3YssM8oGcAeu;gPyIP z3eNKQxt_Ij?45!h{XDUOQx-@pVI^~Nd`L3>E&|QO?G;mGR zm4JUoTQ-hiIUg>+-tqR)$sK#6|M=#V^;&BO@8#G!@1uJKv zB4fJPOW(alpe6X*YXn+FGc#_Lv0%svpABPigr-wmP>mP6@vI^?Ba8uKw`1oKr~-dG zvmLZR;_6~MrjC{*8JiTNtX9xg5HU^`R{JAaC@o$V5B!BS#+w&~sL8@>FpbfYDGzCD z#V-%D(CBzlxyYVWz{7L!YvT)NU#$Phu%T%?aa)NN@)SH#y!M4b5MQV@5vh6@AB~_( zfme<3HT86q@jEZhqr-sTcuP5hkZE6Nun*H1X3UGpcmotsF@(ijoTrmxO!k#2vRAi( z?D1F%j7HlTr_X!UI2fkknQyqCkM)}pTqBo$5sg}6U@#+ZNC+>RB5C?Y0D4du2}Ksr zbHX(wKYFtuuh=#*Pib;Ll_K}_Hjtau%e=2+Jj_0aV7{-8?okQ>1B`f4BJY?X!3;~% z{-r9{J89U%Tq;urxJ+X&o7>jY1YeUP7%sI8vF1{93I0)O_k%?`S0L3V1$KTdWh6wF z%K-D*DvnBONiNQ!H-qpEVvK?3Tj_YE4%hbjMlzB_FBkijjn|97HGYjR>eCCcx$fiz zJbFW3nxrqMNP2HeNg50C&E47NEeJKy)XjCeC^)7V)K3=$#}>)r1(zgCj{%t1@zKG} zTl9ISFPTAm-%r+eQ)Hc$NmiqWjj|Qc1WN~vozGgqs_oj$m+6JPN>Sqd+(bR~@m9)O7WqH#RFL7kBADy^# z-0B++NG3<8-u=Y8oH+_90s69Ced{2{ETzFu=3^-`*QMGq(~Q%v+;3seP?u6%*{5Uz z38ooFCQ!_WNE)xHXDSsBdzG&e<1c=KpGgti{%K_tcya7f zx9Tgu^u_Zem#GwJ4Y*Zi@H~8PQA?FysE&o zh@DPP|H<&hEEN-LF*4T<+;5-65a;C>ar2p$GoL*zI4#;i>$TfJ2N|s!I@x@6?^UCc zbu%Q7YYVP3R*7p(Un9d62q#f1G^tmu7$;q$utx%sIo6iSZdzn#cUu1rZ9Th-eYy-d zU2JarZJbB`g`sVttUtas z1c_i8w{P){CK>Si_@-o~m=85M(N{lnSDf$Sq)o!>g}DUg48}zxn{(X4qP8|SWFx!T zoLr8dxT=q{b39U^n>U47`YG9#*!GUv@=k4wQ9M-h%Pjnq@+dmhs4vY#tP3`(Y!KAR6slgwspFb*eGf zEqUd;#<;6$UZ7y4mF5eFMZ)~psx~H8;`W&d(C!Q(hqQk;VJTcJib?|o8tE%951;T7ppaFjxVfXK`Z2%kh!5Y7f| zCgptj)y?@OSaQjn7iHwU-pTpljGXs5ITvT-d{A=kF@tT;jRv=c4>`4EweS%qXBOU} zd#9;hk2h&u9&t|QO5I`WLKBhO^XV@t@T864PR-!!l8g8=%ZZa|-O z&Mf{9mYn%q9aeX3v+AgAZr!!buRG_Wx^tdfM+=wMUE2*-ZObuse0_0a9eHl4BhR<% z$n(8A^4wNOo`)olEtA`2NZKP#&VNFE`1tUGg?D6zgum$2c2q{rm#o^d^wrCKISTS+ z`&G$fQ<{Zwt&?+cM%(`BfE^QoSW61a~CIP7VQU0PUSg> z_DaH?R-UZByJt+AGg)&@&k5O<1-W4g7BtA%s|V$lVB=-V1`LB&sonH{BN>#boO3DFN?hXKwCUon#bA>MeHF@ z+D#)rSgCE* z_`c;!l`5-&xwbq08x02XbPTs|=sFyUV5#x2G$+8X#nAlyt+P%vt9q>c8=!qoI|!di zwt@PW3<=8OR>0(pzpopT80sGjE3V{hC3^|v8%7m(syNY z=bPIS15uVQ(Bc-k5#g;sOiU^dgxaK@+jdgvD`Zp^-{NS9ord-~n-Kbv&n}Qk4;D`X zXb7N2MDtg@M@%=C7%$D+Jh(lVh)YZH=hC8Sfa(bq?1m~~wAkN(IX9@N#x1_SR@Tu|aeqGgeB8@P6g_tDr ztRx#uve{=$(QiEDqR!m;NY!4}_gAyGIfIZ{+)eZM=pRzVa@pP99*y1adJU%$VK4Cv z#^AMd+)CvB8AX?20qkFnX}-W$T{Gs^Ke9&iQsI$RbI|vG;@75#Z~q8Z;&Vx6T!Xsl zNh*~V$Ec2FiZjP5N#^D;f7O>Gl1#o8iQCKEO3Vu8*bEzrws5a*dw@+l zHfF`cfLvcU&llizp?|p>!A)bnf}VtP^PhnLya|XNXA-V&b)?Sb%}dnM+%@(0F!xVw za$(Mv6mOp2;0ur$Ql(La<_L-vfWycI9cRPQJnB_?0L`{91FJNef%7oc72V{t<`_rB z*_p)CjqWF6NQ#KlOA}#78ch^3mJ3!BRb&V>F=Hb!w=!go;d9)piBE6UdW}M-(F@eE zR6rc1N*u+N0;?LBF$~ne>^Gj$QzL}^$!WY*x=sNfFxqo;8G;H)xVrZeT

    2nP)f3U7b;P@Jim{XKg|is1HZTqT$$qwZUe!p;z> zpcPf?V{UHg6>bAT0_RZbP%0KxL9~QbJX0v@=bQK^S*n2$`#>gU^2M6at6 z%*kc}7(hi9jbN%J>RSr6ZeALV%+akI-z1V7m(<+U%QP-Yu3h zMw>o0GCA$g?&0Lm_#;(H+IKV($rs(XWzTU}SB0E0p`1TC6>$ORCv&4I$$4~L*u*?b zaGLa`v#{gz!d~Ti#f(lG2ozcNDfi__ECpHhGjsCg=VS<1LkzNtk9}{2UWZ!%^6g8u#Kw+KhkN&ALn9#j)i0FL`<>Vm!oAOw;|Cz z7H6P9FSLK&uJ&^mRQ5EKJP{cdqvx#}OdJ)jTjIN==OV*=m@q~KT3P8yBeHpp_aNF! zd>68y-KNq-=o3{fGo|_yYt?%yWn2j3l;bxBTf65bj)s}c`p4MC5pE*2aNBt&fg}!2 zek|N3xQSU;;YW9=998~qt=zDHI@27~)c0|BzOm`1uUcKUScaHci$?VjC311km8?{& zDSha`M8|aFzN5rn@J zXD0p7cp*?Nz{F6yTr9lRg)BFSXd*3)mMsxa=&eP^^umAacIG-IwMJm)(7cMuRJ6>1 zsEm?L8O}Dj9f1q97SqKv-g~%l zIh|`SU)!j}%&Z*Mhy7e)HN!^R#+(JQJDM?`|Dp=_(F%LwKX%AU8AqqZ1Yld32#w-d zS@R&~$y%RUU3#=?B^C#~u$GrNvb%YtP*+DB#d^R{)i{DGYyl*Vl`2QFMqE63EM-(8 zE=;(?$c>m~F0v%zE*Krb592QWj#8CsSFILQ@Ej7jQGuCBD*UEwD*Q^aZHjE!!5H{a z{>+?*3;poiW9_SeLD*N|^BPgjO_9bOLT-p6O;v`2GG>b>cepq*O3a#Ex5B<1I*T3x zU5n5xzV0$f$XgBlR#Jg4 zZhNnxjUpX78hV-=hvqU(r1N*G{>1hr(m4Gm(>ksl(R&SBBII$$aH3Q<3Do}$}9g(?&?$|b6=I+#TR9>mc5yUaxxPf z8jbRl1f)|3UEu7{X8DU_*S?6_zXriYHOi^w+iy5Z#CYNnInZ+Qrk|)xwwq*QlZth1ZD<7HJJA1Vfqc3J;f{C|;pVb~?3*M)9fUjK2l>Mb zg84NFcb1fEM+D)!@y!UON)#S>u8+UlHOgOQTt~+yDgKUc{tj!Fzdcg?ohqtu1LyD3V*XC6kH3dE%ioPs{GAB? z9=f5+?`g&SonIe+k8hU0xau^aA86rC*wFcVZZUsPtB=1+o8_-EFV|BAk6p2@mLj!ZbdLF#*c=o?%i=dcccFI&G-z8`__tv-|W ze!-@kvQKZhXR_NlHueX45jY2z;V z_U#h(4Q5TNj#tO8_pGt;_;6Do*`nv_v-Hzcp2)T>1wBaf-^vuQvWrV#o2)CXX7yVs zdHk2mnhAZ99*(veh2OsL-;ld2;9FET4!aI-)(P2;XTAAH7zaXeP9a)K!>g3m%v<3O zN#&eq3sJb~jTLNF-foTDt_k;NdqpGgkMz8J+b8pZaxOme(a={kkBk~?ab{<3JurYl zhTH`Fu=9xhPwAl#;6CE7tu;Ddn-Q>A*{`dfy!+>2!!JG!Lf>nPZkJwJit;Hx&Z#}_zg7+J>k8wD_`PNRUylyc#-dY;kmT@&^> zgE1g(JLl+p7jPX9u6KYqBKrMv*5j=!?wHs>QD4;i!!X_}w=uB({h^P|7QU~mt^bca z3;H$ek8GRljll_wnktkY@=~E9{YGJr4jQh+LaXX5D92Cz^`&?IPICSZbN;Tm{0v)p zouai}6W)#a@8Z+$W)n5l{DC7YCh#mFEO<0zMTCV0`(SkWMTA+rhO+jhR~GSFC_gA2 z9|H2DGTP|Y;08@NkHUyq{Y#0MWjKDusf~}NA@)pl&oqmOVMY1yeUPnH2Q&AT?>P9} zAuB6x!MRN@unR*!t9pX9VtQDoy2P|`*164e*IBnZQ_{QfL_%MCw8>rT7 zVm)%0DxU&U4xMzXH92t|+f0|9a`qjj;w4uO-@*{SUp}9Kn4~B(!wTJHOLv=2gSl~Y@ z9|ZP_;R5!z?G_t9gems+2tZP)7B{>-pmy%Xh&8fDw+7i$pbnY4osaZM;+J8J`SRbW z1<@2Ge!;?WZ8e*#P2OeW zI;Oza{Hd?NYZ{mxx=mKwHyjz=ruMe9ldG&&ZA*JDU0Q>AAIZAlB{D(V0IdPR!zd!s(D~WJ=J&kKdN0rU=_DX`W#oarU>Ew?t zaxaRJ{Zk|b`bguSfn<-})oE}whXz8akZKnGnwQ0|dhsd>Y8zWWaqx}}8X9)EEe|}( zP3gZ5wV|=bly>5R#9>t{k|%kbz*_w3;5 z_T&-~)=X&LFOO0E8kB=|PdEImt#)yP{VHn@Wzg;S!Q0`8*azO~PWJGXRQSLX@|yPW zk>xY!PLe&s{<%Tv=T;|OSFhUPRp?ueeeIu|(=YKfdd#Dq2pr=oRVUJ~9Mf(UxFZC% z0=YQ5Zu>8LpXr_b(~%871yNh25Fr>*HHp;kopx~<#dHEJt7x+(lVmzILNG~??i?f> z*A+RELjP- zsS}2R>i3SlGwx+WBwbkO(f4KRk;&FwH%iOGHyrC^fWXS3YP9k|^D^1Y{{btzbZCn8<116sUe zF%_y5t*Wh45(9xZOG)%7dD#W7@NOkBL6=f6r?0W3Cy zN?rzGS51iPlZ~xUx(=3z{qKd{hg8IL8vcwfKj)L$WKI6~%-S^r3iuKtXw#1i1+5F? zQG@ttErT{;*DT~1|6vg%zg-9!$CR+g()f;58BDln(q|urHAc2@71#_0Hv#aYhAg#`?rst?@!g#Ah=E!@(_)3|=aT}2Ko;22}pEmgk z#Cv2+;gd=oEpau9j|5Eshztqt5^0md6UGE;6!$lPv?aBggqdq<<$He<0HF%SeIKw; zqPYJHNE1&U<0+Rpnlk~!P$#%sr%mpU8}l{F91V;c6X;Xi?*fU66!$^EK6q66f~Es) z4}hOZm3^6`=@Q&6(#A z>YuDeRt;a1*YpW#dF9Ty{tXkx*~%368-TQqapPjb%0HlW$ZEc(?ek~VX8HU!?`AnUn}uMOe@!}_F6lC7nK_&3sZlWV`a7?9Nu^CX(J$-Xj|0-wlg28| z*3K65D<&4bw9+O^QpUW*(k6l3`sfCv&Cn#gUH}W~gx4P6CAP>MJ*SL)_yZBzgx3#1 z+INS{5$w3}*YC7RLLjvtkOu6+tM9mRcdN`1B9IyfM1aQgKq}C90!TGan@j<=A(}R+ z4s4?ikj4*m1SQY{=umkeQU*kTH+abepbxy%CKG^fvCPp7P|*fd0G*2otiv#EQXkmP z1dyXnasLS96v-UbB#(Is0~NrYotpr*H7M?7frSpmJ-rFw8+gmNsS;k7fse$R6!$H_ zI$VGVuxA}W;~JTxYhYgk0co~C<0nAcHIN$mnuZJ({n!AJ2)2uO%ZtDK%BT|CneF`w z#_%idpRmuK5mGjZ9jYWJQZ2^J=&WM*c=R>yp)}#KWaASkSVB6x`|_FKR|;3dUePOC zANk7&yT_9-)*)UdQ)-MYj_#Cec)I!_@&Yz~1MO{KR_#Q}>r=fo}?hT^n{!Z&e;>e43zv4qmdQs@3j8D8` zO5-cv;BM1RsNwtbm=WDLYL1M(G^4#@r%n8|6x&1)tEAS)nqr^3%U9U||ozvXXOuoxu`bVCu#Yx|)R2&k>8kp3*&m%}&nO)9Dd&sNB{+(ZM8rpkLMT zW%Z0{n!R{X5N>!bw|F9tT|)=(PY-x`;|#CSn7@g}8g&zSFdu|l!zaS9X<-5$t_?}a zns};kwLlu(O^x_Uf)Ds}k{em#n{I3>9Dno5gox?DaT~&9zib`zWLODfCGct6d)u-f zdo~>{Lw@$~H4WLS4m-}7FfmO`d})(X0h6sRLs=S&rs}{><%|wBRtGaZk{@-i%iU#Tu2} z-)<1jh^e$%U)42_to?I$WZ&cSs60#~-k^`v53I!`LG$xrAoxhPg}JCPd8r~idho~J zA4DmL8G7m>>w;DO-4Yd28mjuFC|a^jO`K2o5mt^{E0wr!f!d7II)xw6_$)> zY=S)9zqn6wmmK)jaqcfIpa|Gom33I?9S}z`I5@^eekFXAi!6;{)lALds7G&|L2@)s z9fwDzf zKKz8}<(18fT9egxedQ!7cyN65GVq6*vvsyvJGYS}3`E&D5KVSp})~z{Y=`W8C#Ke;~6x0?!n8qew>0|_@7^UkfX+KuIeLq$6idCO= zZf9*axu+rZ55&e=r~cp`wz?jeSfd8Bt|^m*t7HlxBM;upYO2$`Fme5rN@L)zQeNJ@ zD0N9Fi!KUnQ4ZDADB4&?BV)J-Ms8DH9B)7YkjigXTBFH_$}1Fn{p`NZ_MGlAGrh{{ zXt=B7C74 zQ`I=sj9eQs&^FgDN&3WEGeRU{Ug@EvhYHq7@9_ZLa)xee*>~;*-}=&n6dRAn1vJLZV-ylhDuZFUa}yV|p&EHWd8fW`l7nC7m6R(R!bVB{IoNxmcCoQ|oQDA(I*n z6gLRER*WqJe_eL+l~;w?3$F@Ir4QONH;^y`s$JDu2tm6b^an zl;3#lVsc5U*owygl)YdC$z@>CtDcdx-^~os?A~mb?|>Tn?hzT7YpJI`{Y_AVS|D(m zZy#ucrmUir=@^kbhhO;PIIk9L!JXB(WU$6YSv6a9xqeaT9BR=erzM|SoMLCm?=isA zRj9lGWO;;@6jvcEJjBb(YQrr&peV?C0%R7Xg;+1km}D7|ml{ieRAZF|HS|V89+BUNSc_SlP1Y>yDvA5x#)@eq@=lnHnmXJwS%`*TL`3%`nSjXqz`wLyIC%0j4 z#F~<(nCvd&))r!C7C3i3M*4sUi$xoL$tm?QyFV$rsr{CMMb9Kk8IYr9Ya5|rGX0xg z5Yp+>Bhxy(xvb@i3DFxY=JVMdvRos~1F9iAijR$Fa(le;_}Ai@fr6)oNM(391VcP{ zE(?{`E;E_pX%}ynr|n8HIUuOBk8h|QiPh{}?rR8s({|X*W6JNGAem;~dt(bcs_B$3 z%DwqhDQvpg(y~9oyKgd$v^1QuN&ALkwNVzau?#O>#}Vphb`J~cQif7VXMubD}l3tBAg?|TNg3VbzT^CS4+AKDS;P6 z_Ca_Hn-@)di}6g99^*5r7h8n>s*v58Mt537PG2w(If=Y%B)dvcc!rI(tQ!w-n53K7 z<9suFDq&d730F-S4w``AKlssEO{V~3p#Yr^6mIt^Mx2`?p&LDglU;L#w^#tAPq zn`98(3{;JSnuy~h3Sm%jFXTFz`2&(6iYQ?db^qgO$^@dI0Vd}XEEL-71>9-irHEk@ zOa9~C$>1br(<%5c!%Nv>P>n3)f^A|SogA`I>1yVBk^V=tE&gA_ha&$G^&|u5Gr*;g zIw4m$5@~XB>gMH%W!}pZn%QJLhb7mMia)Cont#b;*v+#ATf}f${4`i2|4lOl?_|-vuCTn)kwe*0Z2~Htq~x_?vdCVmcp76IuG!jGX_|a8 z!Z=KP4>8t^D!SZhkxGPqDkSk+z_+Gon#L@niDo)^rD1oeVYTVKhF|MldUv`8@BG`6 z`)W^N;wahsa{HjtV`B@#VIMW`o|oi-O^OpOWNvM^=K@~wvk$>vq~3ArytPBxPz}YC z9rKklqml+6Wq=gBUUCl~B_V3C^)1Y$t}UMIF)Mss=a_5phm=iMVe}xm@r$3ZWY4Ynz^~%gs3EAK z)46~j_wN#|M#7>R%e?Qh6a?d>e~asCMyjrs3aP=%inGrlgmClr(3K(Dp?TXI%E;v1VRVm@VQ)l1wlYp_lgSYSwY2Zmn;gkMszqjV%V?Bljcm_lMEbhlqt z%HOiT{{3z6^b>ENTwfoojY<%KIN*Z?v-<#9kgKs$*F3G^JB#vNKYllAmU?>Xi!U?G z!x!V&6qd(DDG?4no5k?-5FT%Exx#yH>+Qr_0_4WvE8vvnV`j zKpoBg=y++Nf2h#waG!QYPCsyZ-F z2AkAXWUrPTlowetXO@@D+^k)?lBr>nB(L|w*Cwd#nD297cx83uM{?GJG*H-c`Qru} z!Rsc#$coO-tOqpL-eyz}zvXv%^C`+9L@3rNXdHVHO z{PEky)@#r4)GcJW*=JKXyGLt1<7j150%#EsR`8$2^I?q(bypXqZp2E(`?eN4@hh^=UDX`V(;Pg6H=s7t8y==rcs)OO1iE-CH!pu?El{23h^4VCjQG?y zke#8o+$E&Gkv(}6gmv8;T;U+Q8!xupwN%GxW`cERMzos#nsk9G^$hpPgmZ;5=iZ$C zjiAi28U6e~Z&}jZSl|d9W;x$xY!e#7`dAQzfcmd(;jilk!^&s%rO1GnYqZ*}I*|y+ zszgt>`~o@j5h9=Lxno=zPo+@k<5{gf9me=5SohROxeI`~(VEr;5RMmb+%Z z-6A)*4Wc#rloi+g1=6J)w%hE`_~LL=!K{dmi_eH|`J(!yqo~G&v%{v|6+MiQ1BR$~ zue}iJ^XigDozxABn|p8bh|4}K!^V(Q0xU#DM45U>O=hv_yfUPy4l}LcQGaIo{e1f|nF%aT&0>?z z)mGVJQEQ;t76KY%qKcP~Z`>&{H1Ui?6|Bsv2M z1;dLzVxBVEa7YN-gB-(b=Tzyj7aACo0Q0OhB_b{Mro_nQTRQRrXYzYMJl2i$IbB%b zYe~WHtiE(Q^UXG(z^?}i-EyGF%Ksmh-WhPK*cdHEja_vfmr{4jqj{W10ju?#0~CEb)|LSRD0a8SqsB)7SKI&h6of&lyxU3^oBb?4xl^rr&@HG;gp3mC+io^Fd^htQ6Z{>Hm1 zotN3ny-EB-1o-rySDRGgJG`L619(^WsmR;G!)H$qxU2m8SJKC0-H9sRo+l{k^p{}s zH%=zJ8&o|177wf9rK+A``A(Nzsux?ix_JTVKdhqE(r)|`Iwy_c3XHGyI-gj{NS8kD zUC$6Dlt*h*4*24gOsJ;$09q;DcvrPC^n)V4jN75wW|5jU7X=X%_D^vq$E1g< z1WI~n`h=0oCWM=7ToG9 z1AN(>9BQtl%|Q^%TYlajzXLLz|BWz*LvUI~HPtgN84%L98ygbZJdwS>5cg4Tt}&$G zFh<$NM4I+$4e-%)vQjx+TJiEzGGzFEEpMds9x9oTO)~g1n zS09ojEtpstq}v;gaYQa=7T4Y+=96C0Xj%xc{ojkC!8*L%P;7fl$-SgnQwJyUn)+<_y+^`FHm#)G61RVw}w(T z$!^S**_-U$hqC)uG*1upF2tCA^21}iLqt$c(nCPdw*QEI*S=C#2kT$tVL#Hn3%=w{vQc#M-DlPe^`{^mMyN_w0`mpXOJYXL|KFlBN$ugppexAA%!0<|>X$AQU zt4y=G+j?d0(l6-_iY*i}&%8y*h#SwZ3bP-x0#CGUEGsbJn?qQt$4!-!EIri-#Ye8c zg#3dZ+E=}wKk-JM?4vVCKkhWE!WmSFjDFNOXIh*YPSSV>g3#D{_^eE!ZfY-%P|}l9 zZcj-=Z}?=>OpTOTMRDdqsw;(fg}5DTq(3_=;1V8Gt%&sL1NeWHM+<>xX!P)hDq^EM zwlGIsO`r@>BH|z1K&2m_?Chrt(2R?BK3Zbq8e^Q>ut)swbQa{AD+a8U{lsKaVNX_> zVgEY%dBMUkZBpAQYV?wSv_fN+S#3F$XCZvD>+C6(-()s9Q+B+OyJE^~8llSSHJ}uD zWw2~RqP!*wXdXo0*)D&WM#61y6pOS$vb12AYCfb6G!W6}49e>xwtgOQnHmueM+BPR z1-#EU+7U1n=PP#L@4q6|JM=!fGP@CtF{qxcf-?nNu+Wk~Q$%q^x?Bu;+3hWAOK5Wv zF73nhV9$f2rNVCzhntQzCIuNFoY;fel^AJ~7P8C|h>CMCb;Q|2*uit5Rp`JDTJC2L znE8NXwzfSE;NDRsV{;7)zrP`4n+|qz`>hq63<%j5REjrlKo)IKRL*{y|5QKw@xdG7 zG8<(nUpoQH9}<%PvR{Xi`A>M%UH)cnM~~y!{WH!!p>h&m>E zUB+{QTwXRH-Hk(8t_m9dBn~1r(k??>h!6#5#VK*MwUtApGL!Zisy*Bn#&lD%I)ecK zsiWEsvz$fNEwQKfq34OoXQW}M1HC6YwA+Te&3m$kq=$4GjBuK-KqROhKdgH`$6;70 zynjYqYoI7_GkdX)toM#XQ0eMZC-o0P|As0Pf!;7DXlK(^>N_4CW2{6&)yEC)R_V~* zk6zUWd?s0211rY1tieq?0~=>Vg|M$f7OT`ilNZ*_6c{Mfj~n)-{UPSwPB+yE;=0(_ zwAsiM0R-jPoY)Pd0!_IEcS+9h8>_!tX?TI^CeeD1$t4w;#|HVs1m~IPiho0IOxs$} z3#wQAhYzlUjW8ztb!=vu^VTF>o~fBB@HJ2fxeC+u5VMxVAhE$5dLogen|{vJIJezB zmR11_(_@IRsu;U_$T*A8pXCFLwjT+$Wcrd~;kc}vD0kEaSn~iwyviS5&-)f2_V_d) ztc{j1s6v)QxFKc=9osYCLr}|NNb#$oH^gBp{(N_4&tspY%~ z?#JAGPhweovHJ(^VlVicJ1#`(~Y+`gV!;S zBB~6)l^+A=wsXnISZh-*b?;n*X)7=DbIha{l^KFK8qQ!=5>@iCndkr`AAn_V3_?AK z`-k!8%M&SE80Fp&NcLaq4Lb@UJpXJ&P=8{7@T+o!GCCvVY0_&lC~|VAfn{Q8LI;!v z)7x|!LKETVfM;^=!c;pt(1=hwFARn9CJsPEaZ;DdMyP2AJ@iO4PRI}JpJ(_KOk6Za zWoiNOj0+UOc=l+J$j5vlE%TCISUJ5k5l@K|`HH{4+qiiGdSFX8;mR(#o&4#qxvfmH zU_<(-3cQ`X@P+u3#NhIK3h^&!T}73Ulu{HAl;0e9=O^y4Mcb&UH48#Rx`>rx`QCZ) z%$2$F>D4Tq6;h9rgygSmfve<3$kp(B9M}t=FVeg^{MnNFiQDu*(vWqXWYfW>Z%?U!2#H*#SiBhdfW@J+7MS~aj0gH)=R-?n_*Trn2jmGQhFzKHj z6LWN&D8%te!C-N@4zwhS=>jn1xbY>Dv4kk(G@)al$eUD`b^|o9<%(#zYz!pwi-pwj z)YxR_ZnUGs-%47{QkLRzrbtl^BVbhZtNQ9Fq6^K+hJY%4>QWcq3B@VG2&C0fVnt2q zZVgu#8n3bs@_KuAmJJr8Lf3=lM>A4kfj$E-u^V14F`KR`Yh5%nOBT0btuomD7jWR+{ua2v6rVM zsf}4Cyq$e>-7}vurLS%#zras+?KiqO zy9JRB-sGvZ_D3!vdt>bycl_+WdK-P(vdxxMnGoF5WdaFUV}%%W7)NBx zSp)MfJ^U?op@k5j9>K#Ar2!CTZ;hW0_%1wl#5u#+xq1aTAz-h%q^)Zu@ z^`n13Y)?RoZD$axWq;ua`e(l=>9q=uG&WUCm^64;gq-Mjf?Ys;H?KAp&m&v*Y&NA^ z0rsi5QS|=&XzT>l5&1g8l_RL%ygdhM-8}!TO<)2g<-1$ATPTi zSH{8G13S>R5BWPs-bfq~=|O^dYThZL)@@iMOmI{OGIluWmv*A_;0o3aX}sa4vqg%P zfQ=M7BfxFs>*vrumJ~N9p&V~UZgW{_Ut8Lkr|?lQpTO6Y#k!@r&w}p-`eFwsqGeSZ=jEp%aKR=J;J_R5`0tSgyV@7 z_phsIn9uB+*)M2Ax#YKq*10yrU-u?uN`w+hwgQlBKVS3I_nj+rCllp^OmCoZ{RaY6uXWg$8?Y7x5TeEL-$BXyubGT#>crXjin1;4al};pj zmG4K_Mz%WU-$QR`>whPE2=+hzKo3erEp&_F;y zpg=B@wS?Ip=*^{mfPnOYf`A|ZQNce3*0yF!PS$b;HYSc!vHbF&f=EN#*riZW!AZel zLgZlns4RIujAX23J{J7u_Q8bEBoU}i=spyD&;xFTk!WQ8_N=-M4{vQ=Ty?VFo0=Xw zbLVYaT@IN)lePh3UmCgF0DR2be=k!W`n7-Jq@Ri4M=h$$&uGNm)e6J^6He_sgSm0V z<6nYTEB)0i@yw-=;4PVqtOF@az%sldzP`}yvLi-C_XXX2$uWV_R<2f1Ow!&z^Gj72 zb#G(?xsG*Zeb5A9hkg%KfBg{7S#DBAUB!K{KGH_@pTC#oJ6GWXX_CsYwgUQla@R9M zactT;680#z{ND<{%1N7iyw(ax1%&D2s^6-&=z^T{NwpnRQgSB0RKrV>^-SgX?uKhx zpz%(o;h7CDer!XZ5M^X(zOrxl%GXQwe7mNX6~QMYW!<7~y%b{Z(Em-Ye$~}2*%;Y& zk=Flzy)L{R@1Ok>1Vjo61Oyi7bp?w*|G&#GQ)I1B712WE8wJTlL`6P?lmN-$Y%KZ< zY9|!viaL!N&PIW9@siLK7Lo<2S+Kqd{Jp{ay}DWRU!n1;L3@r@WOb<0kxHdPC=SOP zj$3Ux*WNQzBN?a;FOEoOOBAjH_*F7qD}W8fp2MuORl@xDycvJ+^_Qg7! z_}&g;)^1!klz7z8R;!QjY6->kL>%YdmV>6k1M+Rv3wlF_Yl>3VFg1ay3Er^X^}Q*0 zFV#-)eNO|A#jU0$(KX3Y>+cd<`Z4Vr*>Q+}q6!Sn%@;fBgD{T)77bMLf13}mtGfE; zF<=2BD{*w*W<$w^bP`$s5i=74Zh725(wW;Y2>SL;_3x6A?39=2AcTKfx4HdyXD1&-7@K=bwnc!lK(pUC-EP;%TBoELVJMc38bj97%S~uAh@DX&bwvmB(<=3F z^LI{%2=Lr|)Za1=^wkDzONPZUtbB`VIRpCGDepVs8RlT_o*^>v-a@h7Lh)W>v0h{G-hZUOkHmT>WBfFse*eL* zo344$j!k&(seA@*WZVoj3Owh>!`%qo{kP)-Hv;i&!ok+cKTy}_-9G(RJ?7|^nGl-z zthuh`YO+GMc>J!|I+fG=aMbfh5^$mUg!SCnn1m?$ z+1O{QL`<`}{9xwspg-1H&CfZi)^n+m(8driz6 zSI;XwpWHVbXR<Mp5G9Z0> zpSwMIY?}ygw)MSVqVjOt)BbcWr&?69EaR-;s^hjiST)&8$lJ32Is0zT#X!LWR3#Y#Y{X;cc?shW`3in>dTnu0ng%t6Cp53R#!((TavAYrY1&iyK9W?(De~hPRv&H7ayV1$EojPP=`> zdh-0$=Cg+V&xxm?`>3ZdXD64iEqsMo)f3;uQ#k{7aFjA{dHnDFQZ24BwwP04ZbTSb z>2__He;R6@?#;R1PipUE(oRwPR%WIZ{VsFfHxwq1hR|5^!QG7$*Jt+MuS!{sD@L}ug zS0V~78kR=4;V1r6w(z7(V=6m@Go+7zuA$CY!`59X)tURvrNB0zxe%iqM4JxbOJ0u>2;&Bn~fzqC(Fh>e)CfpPHXf2w=nU4nB z9v{J?OmmmV9;#*I{5wQQe?t(4evjQ^?yse*1|b=O&~tz=H@DNPBeX8V`R>GzhB7-Ehr?;f^CqIIdsYdpuKaFo1zUX85z->W zvyAS7DpU_~Ld}Y}jfcBZt?vB9hn~Z9lpwhZ{tb&qS>7v#;OI*axy(3gS?$`6*4ibA znW`uGU|VmKm*em&S1CS|y7|2Pq#(%TLBhxb)O`5;4`^a!2LJHraB-PpVI%3i7E$ly z2MBkjY^Ax8B~Y>E^LXBKk`~p^ZJUj`>nk*8(-mbjXGYs8z5MDW{KD!hEGeqX+EN(z ziPDCD*sfTrxtuJ}3#2h;>>lXp5Nn`oVCh5Q*^Vo5>Qh1US70<93^>BaO>uSHRlFVN z7B+HICFG;Ek4$emvo#eSdsS=FEYEKU^E$S`RHd#Wi>wssMh_Vw+Wtk01pk%h?(!k&C;ThI>E??t7!7hiRELdD>oVf8K!m6L|#dHKKQkQN;^zS;J1 z5lM-(00l(*#0|Y;M2D&0xdQoFzs$%e9sA5Hop>E(wcfR`^#8cHbxKHcmzYhn9!Xio zHsatr(liEf@-~2(_7|Ixricqw%pvWd9I!PAI|WF7iX|6h$x}FJFKB+U_B@AOuN~wq zCG#wEXQ!hVF8Rp6dttv*Dja81ifBR!RSAR`lnn$PQqa;t# zXs4a0)V$W1yY!WI1HT2gq_~tuSK|UFE^NztH|kpY_?+ZY!ZNofa|J#n?;3OQ>lc6L zk0-)cxu8oPz^Oqbi)rbYYxZ27bKWO~FLGYHv1M-iOoe7po=k*VYZ4{p$-uHp;$ zW=#a#_V-th!rSLK1meMh{_G;Z?51UoN_*^Xf+PeO8irUU>_imv?i;#EpT}?TY@1Go z^i$)HFgdYSbK*tHJpuSqy3WtIjeD~ z>g0MmT>&Bk%m)u$p3be-Qjyp%56#PE)YaW4+^yX2i)((~q^@jlEqp(x^tcNju^j0D z-|kWaE!Bj^!~oD+1@@gsyOy0<}%5f zVaC5vos!cEZ1Z?E>-pHm+$9WrxoI?fv|`I1vi0*NC;;;fLo~T#Phtd4)`6DpFrHF2 zhTAnbIXS9nHLpQFvT-kZi^!8$jM-E~O&j02Z}SEz3Mo*0?tEJLSI%~9SfA-s7BWz! zu!L;WC(*Bn&;rNG%MN1V+T{WkOoLt$R3WW>Vk;TjImyc`Xen9v0=^`%F`mkI`;~l| zsCh%4LQ1U$6LKIsSw#LG-ZXj_6Mu~Gs|*H0q=3J~srnF-4Ar#+9DG-k?KUqf!~0kL zApcZnWj$AaH{F;+3)xB_#9>k68oR1bHBtNn6_so}?TNrVo*3omar@$U<=azaZ8$eI zbE(^PWpE1hxZ|k9;Vj)b98rl1f|y}q0RRrsmh6r4(^*jR4{VF9Mf$n=knXAxd6uCg804G{^H~7kX@P{Vn^Cm!8x^qhA!bT~r8I?2e+!*QWa8 zg}e8~wOP*7d5iBu0ipNp%J<6*qSq~?{HrPv#lx2-`n)yX?1`iFi4cG8>dH^844i(v zDA}+wZT~Lhs$oymy>!OSbs5{37evTx4Bq9!M=ZM$DrZ^2}W;h!4I;ZYt+Fk zRydnk<5a2BR0ZyX@YMd_haF+|lhO5R;jQTsslWSsr(k!Yzxw=iE4|BpXs;Dh@q?K-g z`Y35->8VgjZObh^L6CNO%#NFpR+QpXq~@U@o8TelL5dfnBzkT71a-xPbFs*e5zp~; zTKsU*fv#P8@pVJZ;lfU07G_&a>aC2Wq)$1R1VmJDq0FXGcd2I-$f^9eV3~97w8Q zxA#Oe3C*|0YnnqP0lL5+vPF&kCuY>mT><5?G+R@mPqB;mBsdmyLj}@V9>l+FHr0D% zAYtIF-huzRoXep^OfmwQR=A1ks1jy)-#^_;G|DdL8d=p%2EOk-f;?r|plUcj;xPq^ zAU!5D=!Jn~Ku13!G-DS&psT*Wa>++(roR8;++#lPKrLfk?Y(8d6?$0f(l$uF2erE* zXjQJ9a1*(MI~Z%8gmmyuLd1_Z*6U8ldb=wWwBG%i!u?J>D;aXd@|%?$DK3wS>5=7p zPf3B}FNnZvE4g`b8rnmmc)Yc%h{2>_En<2*JEqT%o#bK(lXyEvVzBEVvcWW~s`V_C z+5q^aCdTp@7b!dRUkOljnN6@L3JHQv$n_!ST5t~7f+7MiKjf5A6hY#5u=QtJS}rjBw&!{0R&TmajgSZW4<(jki1vTw?#93c8WF^EYI;p+t?|mjx9{EA9j)zvTTm`^HH1uiN0x z;&pg7_x#jDc%k~*<$Ug=v7#(*T7~xZ<7@Ub2h&PsVwszE;?c1lA!qqGKAV@8BxflL zWe-|XoIEJTQ8#qFyO@k^nO?H-ccyp%%g_~A1M2{)ntLI-Ih)?>X9X~L>#rbmp?qu~ zVuTH(N3BFNS%1*p!GI_`5Q0BQ-5KNl0b#7~E=Y2V0RY4~2&132bh4eLM|;COq{=U^ zjd>&F9=~0Am@s^NW#~36sMank^}2w2{Z!4>Y$V92P2XO3ygnOY`W`(r!FA9=ror)O zvC~;GYJ#NU={QP%JY{l~z-qu5{vAO0%>|Lorn<&+zRX$^R9%OVPp-yVsgV^@2eXT(bI00gWNNd5cws)_v6j5bpwIkK z4Q~gk1A15C?)=laJb@+kqCdH0QKgHNXy;6{{v4F)PXY&l;9A#P7;8>CLV1H%OGC%Kw3U7Z_ z1aOp{QZ`k}9nOZU2hIpFsf=~};8KWj%01dfH+V_dH*|)`y-NpJNb62a^52f_)0kO5 z)W;b&mcYcP05j_adUOrmFjk1zx6I$Qh1Y&Un<%)3AaU$#8eE!US)L$Lp=+_0 zZZ2>(?k!O-7TIt~f^ykK1x##V=DjBHEOIV+D6(9k);jzfQbDM3n@ahOB}$qfY74f3 zW~DEq8gwB~_2bP$)fhbNfu(`hG5mEu1<$_5<4aV!BRjNR=8-?iS|2 zYhpN_?KlV1@f|hS>6mywq#O;Q#0@-UxNSl3D>qIbUHA9C>pyuH_U9+~n~l*ji!WK0Aki8UQ$`b36N-8ctE=AakL|zXS z`IizPLi*5J?^VvxlXJ6Xk*K1iHFAva5}|LqccjL=(V&oVpQU6~uMAJ``P@FqQSwj& z#I`xRk`ID;Q`sr>+_pDtEWpt+LRMFn)+j%aCHhd*9Vc|@Q;K@CE@{4Fzv|D~75N*k zN4e*io}Tl6n)g59deDL*F(ly-Iyey6Q*aTR^G=}`wp4vhe(PuU53DubtGF}jK1FQ5 zmM4^77xwd!m?zaHMp?YEbGRcl)G$uN1pKxD0D5X0@cQ^o#_;<#L+WNtSPqeW`U)Gx z0ImyHrI6ywD{^T~9D@ktT86s`s@lq`&(Yad2u+KFcCV+hl520?YM?Tv`N zUrRVcImkn{n`^t|$2AgrbWyfy5mZ8A`czUCm7o8ot+Nh_qv`(r26uON_u%dxd~ppd zZoyrGySrwUayFg!~xHdqR`49o& z!Yv11QE4{;zc*V83!a0fY943Vo!Em0cJp4(lZi{!J>K{9aph{8FDw%|oFxxI?t38v z>!&`+2b^gw&xxoC0^A(se4|O2xb%B6g|FlGCj7!g;0<2k^0RY3q)$4juxg&#=u8~cTOgLYV%fIS50>tlFS7X#|hpm>@ zk9D52R1)iyv^d{pRz3gxUAX0-#ZY2guWNAeUhvALyv*_F= zcXy}A+qFllY1+JNp(%zez$zY>N}V4|4o6qrZWHxP9;RA<91XeUPN_ zNehcPxErik7b%_wI`t2k_b}-{lJi_;3k|8h4J8=~N~G*Lu&lI+zppO;MAQ4~+o{1( zb0r8|*al2(S+EaQzYu9PS1;_2cR`>m8{w{CO1P`lsr`QXp8l{t8^|mhbb>E&h`%D2ji4x{TEOX$HMCrGcTb1mxTK(mmtG?;VJ*7EBp$xWmA>YVh{RB{1{gLVHPuaO>k?SxcNJD_S$|5lYMZv zW36etv?)-&AH})aCKIBcsbRB35nuq(+IY+D$Q8ZV8=4#4!4@AvNb|*F?t~@LX-P2q zz~U^0dESCtGoO2dgF~;#jN%wrq%*2PdePoqq^19|rwP7hstpW;hoss^l6S=VP0QmE z4XkE-{_SRKU*BMgks9e^vE)^ux#~*H>)$2GT)jTHHs=^%C`dK^J&S7PYwDHzV4(Og z2iQpVlvGYA8S-=9{9Lvsp^7LG~-qZ4Hc$Jrv!o13wct`g+VUo7R zOCTw0RNQltut6hi2w0$)$kviUsi;xga5Pm|iPTqQPB@vFy9#KT5mUHT7GgF@*JL>I z*K8PAr(mha_0PWho<3wlSbc9yG~kjs{Ti#&Ls;56QRQ#yC(Nzi!Uvw;@LTtX_(`Z= zI5XDBSQsd7!m6gQ<>Dg?m#Axdwuznkezf-FVIwObTwZNBZ)WEhu+PsjsthYkcFvVA z;E}nUyQGH(le2rP+u#Ab$@N%i&Z!xg$TAM1)OH|`7fIifin9J_8%4vRau~oqdl@sB z7A{fw)HCoiu#RQO&#$%ulE!nNORhI@8p3In@ z?jy-*Z94HWVg65NDb=34MwTpRZ3iGK?V}0%B6CjRFy%PmL9Yo&HA+sGSnBnCZ{8`{ zn=Bret-9uU8q_wHA@Ek0Ow;J%`9<7(^{G;@)+jZaRmF{3MtU8g(jb3!&%GrTYtvJ{ zqBZNv%HrmN#7f}=|5dD~hOV^RuMxYWny(1W0-$oToKJGg#F&a@Jq%(PJ?N-+2_~!p zeG|8~A;Se5pgl=1;f?(Uf0Y?9E~i9t!Cl%Z+0UG#{D5McrMyS9gZ=rcVYmLo}XtUEJG+3;J z|IppQW~y(J@W`c``R~u&M~<*+I;$-YljFZ%w-nNw+cT)*UoSI zh_r4lYTUfyGUk?+XLmz6MQ%PWXV~oVRq<8MhjRKiJlaItov_cS*)v{jP|pmf75I1? z7A7#GjOwPlw9;8=UI_Mf?C54-VLObj z)bt$jRW5`EwJqPgy;WgZJ#21{x6M7|+w0Z|6E@}<($SJ@FWhWcoR6pF*-Bigyg=el zw%Ozi+EC$UCyNnFwpntQWzR}rr{ME`9fVa&2P-vs<`U8kJ)~Lq##ej@ne5Q@{&2lD z$UntVQpl3ycyhSuE99$;odfoz)IZQiU;GAgZXBm0-`ONjZLYehV7>E8%4KfrnixGq ztX2Ko%y9F3PCz+qE@yhHe-*?YsF!mjUyyo%i<#`{uxbFB*j(BE7{ivVmw9 z3`M8k*YJ9HF2^!Y(tMgXmS#-X)phJkY>RxibWaWH=FQt_NnEr(X`?@(Eu~#h^jz2y zk++D{tllgAHhgVuHK}_rqX*p9+B8GFMQDD7%mqL10?}NdS&3lm8u@Ftkdnu+_<-~a z@dWeYBtCq1U3%_152N~0tfY#0=c_U-dYc9F2rIev8ld@)c+a-)HmH{(WV@V%LC5K8 zbH%^DvU4cY*r4{*Z1?Bw^kRvy4JW2`mI~x!$kqH2#3rX)z@eHDr1bheWs|MW^LaJc zh*<uchIn^P0CXbH(KWa0dGs*N@vwS!-or@3?k7v8vR~gip)|arA2M zxUq9mNKD?b!4`8KpL$t6v)L@!`h|>Hw(&8LvsMGXo@3{6}{=4q-@{GbQ5fc4aZh4jL& zG6wC}Gcj^OEck~;F^htnPPz?QH$2{(n1A-bOon5~ATpMl=G|@TsY+=0llFoIi?fPh zGUgGZV~lF)Cjl5Z3BGX)Y!}C~{e6C}$)17$xfq?%p2_?{{31ip5jHcIlC~#}LR*Gc zZf2kA#6crZV&TN02^Jma)XYw^u)$+bV)yS{#HZ)*%Pa*ojHKoC!K+cB`IG*=+|}_M zC6NAPQ7_*ovTZ+;{xD-iPl_DN!cD~Yhfj3^k>XXm%|rXg1DY0uaG`47NSR8RCFal| zmz$TdZ%=XN1!>>#)T=8D)369#M)A7~Qx!}Z1b*@mWAyr>sHUtBOug5*kTW@Ix)BZi zP^nF^6kl~!ZdG0!Vi?xUrjPoOhXpBz_NGgQh&75!m$KhSDE#UHi(#Bv6%yszLDs+X zfR&goBdA5$ZzU8y_JCCht@%Oi+N)nk5nqYbfOYy#b0t2SaG0Cgl?v&NrGW(5IX?D; zO6k-WW&A^9RJ#wWU$D43?}%>p$mBk?i9Bn77hU0q$oy@%bai-jzEwW@qW1|Au$38w z;WB+ACHpDio^R$Y82a+1FBH|jAK7>oU5(we(F@-6C&DKJ1)}_3?2T>6E@~L=pHk9% z!*vT+7;ld-?KlNAwe1vVSCo7$h6tf;he~6Vx6>R7yag#GpvD6$77=ZX3Hf>soWNjY z#DTf`8hxX;v~ZR_%h(@d00WfgJUTHb9%rQw8Z(mHw*si zYPttq1;W7{6RIah#~>s`+`sw7>@+n=r;3c_D(#?OLOzl?7|g% zp9x_N=Rc1)z1W=-KDeBre4jamzqL~0XBS+cK^d?3=CjPMkSk{p;h}2qLL_9;KwhN0 zE|*mp+~qE>?&3PZ)Stj{!(WjDULP$nQ)aK736XAyZD|-o=y$U$d+1U)CSMNupdxDN zwj(RZb@5ry)^u&CaSZ00x(df+)K<}gz-5c?``EFU$f);1?$QMG%7*A=L@fnE&;2Zx z3-5}gxd#nJnmb!&_e7Na#N*?`e_NpMbz8gl4!Z1$9=VLKo*3)4_Vk8Kw7HWJZQVfA zYzKH6b@Ovzh*X%7JqO8bWv3GzZIO4&u?B+2*KAHi5ROl*t9|i~a8>FHAV?|2w%6$f zY$Kp`Nf^gZaFR)HDOT(D*fwLakMfLmJgj5AFTQYJd;F01ffZjd6&GrrxVO@Edx-yR zD7fk?oVmVXZ9KZzQ{(O&XbjiS-`UxOw--MY?MMM0^>;JaGaT{fp=muy7q7c*Pgk&R zXz4Oevkn_L$hN-@UypsFoOh%t1i2=)J|eGlJD;qbb1}D!jWXZ7<{R^163?0~3_S^P zM)glIyUTsVV*LF0NaT2B+{3`b)j)J`1w!vX7_kyQ2ol)d&K>ayl3`xD#wg0^4Ag~1 zdn(!Q^Zi!Jko}4dI!j&^sTM|edFuE|c|UzQ42yX(k}NKf77!^Qj8U-#DMKA^t!eH( zc$cAD53>vGE(>1mJ4)H)`Mu781cdwn^-xE&PUipcL9)BkvfWF~hwXrIW!UbQ#;3NT zjwBB7kJ4$C1F|&46{0ORuIRND=ldUXO0RKg4~d1jc&JhyTXiH2PaJS)m9XpKW$Pkw;6R-1m__)dyWAo`hO4*F;6lJfkV z9c=iCK#wi|VSux4ph()`BK+_m%e$co{i&&lqKMkLxH3}xsY10rj|XG@T;t^3T!?=- zA);=dq{}GMNlus2(k_!G z0kODu1FqPF=FgdpFob>V;fw2wV$bf44pZaIRp7zzhduf8Nfw(}=2Jb;^az&K~o<%47?>>TgPDt+sF7hQlb6(Si|YqRkfn*bA^1;qnKQtg19fORBxhr zVik;4vVfrh0jHJPSaqPYUq?O?b;={edVc=xGe(I7QCb#;@$GNPcVe!jFUo+n4FP4E z$pdANTF)u%gCW=_h-ycS$8LHcEAB`J^3U*9S<`Ul8JXGhQ9l9pUm$bNVEIj&EWpoS z2Iv`nB9XlYU|O0fZ9k^H$V$UdaX7q%Vq09{*BePzj5P(mc_E518=mES8iFj_Sr`H& zOUbLkCB|Bow$)eT3t_)a0nf0@jod=Q6AYs{Xo9(H=~}}YHjA*zYyFGN5`IEMXrv=n zw}H?5yPsWi-CBmY3>&aYe)g%#BJo%@>8tNq@;0*Zm5XL&(8q-mDWPV_JYF+FoLAlN zew74{5*@4a@>Ge`PkT5E^RW->r%Fy~+zCXIyodieR~6w!g=s6pNdn?mLeCx|sW3%t zRsW3-D!6j|YN?e2ji~6gHw4Gxqh`}F?B4{(B`%AS-3{T|b1Kq|(bob9Tza8#U?$a}pHg19li znYDjyMygm0GTEYOj-zR=BkuhLdDjC+q`d&&6i*x~Pg?ZHxwcM$#QGAZaH8@pDe}VdA!uI&vj6Ux#@bw- zY2fPzKD6mt>AZv}0`?##?v+V&JP=I&X(I8rtt zi5W_1dOHz7TOUb(p=hK3SGi~{SgmQMipF@jH6XzQ%x9tcw)J34!w5nKH=B^W`*G6( z$AaiS-u^lllCfr?_kXVcHtb2oD7|K z!#hywZZCc+)#uZ)j70BJ9)ZjZ7&8|2#iwfcLuTCV2R?V~X8Sl8&N+SHONYyM!vX4v zW|YDp`6Cfb-*HvUHm`tZ+^U32IZ9|Qrhw(vy2^Yj zOWxHUSn)&x->j=WW*_~n&yvY+jcl1Tc;rk8zG2Iv0gY1BD49+CfiD%w3FfD{lS@n&t_SnSe=?AhdIz+szcLtGw+w9{ z21u}L`e@-?WY-Jokw;aa@#j*7?NG_fW88j57#DRcya`8dyG$KwG&&2WuQ|)XcjQhV zG61q$J8fFkXQATfrG%UylZOYDBaxRzV++!Lz73BEmu@?-M^V$(AM`QRnc}>OjQakd zBIL88tZ(L(y+xF&bZHy5GmYb&MIw|Kf|Tq{S*K~Oq`ObvQrB@0T4X0DV!GpW;fLm# z|9H(Jq-RGIEB+WU1*-7R&6`kmkZ9@zf5u-;T4V77Tuo!dpSo5HEhZ_k1dJczG(D6W z7wK?#mt?*^)~Fy7^2^$GHO(9?NL8n-GNl= z@f7vy?cu|@*7{WQZm%+{o-y&+5B&(wo{0oAG~xy7Du4N$Js@|bevdw@-fZe@=XxWv z3{cAcE$yE=EHWu(OhpkzwC6z ztNIX-sNWT(NZCs@e{qiCS1qVX`Km)0M)67Jz#~;tUZ5g}TKKIj&}RDTMV98L`Z7~H z9V^(klBQNR+CYp8uuI$Tmqs$2%KfJEqlJ|z3SL`3p_R&0>JdLbOgvp{KxJu_?jv); zkO{RKKDE|;OI}p;DB6Rbp@QF~@tfT!9nOo9abcQo?pQ}l>V#CQ+2p~8iiH+dvbeIG z9gZAY2KbQ;#y}#p89m(!9GVq(EM_ZyL{0MOQW>;UrIaD=8x3K2FdIPU2MVBM<^big zkn0pET`mHB-1Q+pCU$BZRevC!o5gP|VtaQisX@-g(9w1F8UNFB59O{ER1y-@A<_#m> z)jozWj+qn3+x=&~$1z74+(P_S?r)u7s=Ep3>%+)~*Bm4ho{K*qwD=Jv?8nd)2!2E* z0?f?nyFwp!ko_6AVg2QGPC5VU`}N_nE!~2v65DXYMUcCifj9i-tRp{WpRS1y8!{@4 zqe0ooa+NtGW8hdNUe=MQtNcq?7f%$$LId(UQHJ!ZVvY78Sf z>#2ccb!hHQ}yN;h2QEKYbdon+;dA$cOtu45;!o# z#}I6H%M9G9 zUv6bZ0I9GHQDrm1Wb@?5Y(GrU5hMt5u%4Pp$Ohwf3^vWgD+A6sDUq4mj+xDLIMr$j zqhRsLkgp{<8>;fFi=`yK+Bi6;AgS~V&wU~AYSW5cE=ZJ-G;Ck}MvnBAE?MUK0WsAY z7G=$$`-*-Le>c52VqhL;5_WJ_<;G{D%J(75;s_%oEPJ zWlD?yJi1W|JnnO75J)bkDC(?F;){0riUxf=&*f~dqXumU9SO>v$eT2R#ICdNLvvC) zE7>!*Y)Vp@vOkRo=tPibJoe||E`17Bx z9jF!A@xH-}89_EQD}+l$;xY}BWhHIm_S4{fvGbJ%Z(n{P}E${xrpvF|ZWC(-gU1VvaRt{UE~so!xePK_Z%F-mx6%htbDl)d#`Fi(W6wc<-ptJBCu zD1*ut+k~F55)}`ekEG4b9*Rl+7?a}C4NEW-y$ExbEyQ$vOojmRh860L)qcy*4UmRt zRLdeX;W2G!k1%`%RqEM7w&T=Bo+?# za*M9MIv6`-?r>t!J^wtfdNPQe5g~UM4_Lt{FTio77+{yAQ3p;1&MSJ*ozSBTvnzX} z+qVWw#Cu@d&&n?Az^%2H|3;5(mRrUn6=-g0$C=Rkeq5(*0As$JJ~c~Y-tyT^w?A}a zActF1s-MI*m%Y#Gjd$&H)Q2CrFoc}DO=2X)#3GI%-!(1HEtS2rd@M^)^Qi8vg~16% zK%s);Grj(n@rhNs*xL=6@4+LtZ+5QHa6Bxg8d+K>Itb8i8Ap42 z^N`20bauWV)2pHi!MRT&S8Adwwu|M|*S4|Aw+xw2nOB*idAH^D61Rr+xN&U|Jp_38 zgcxET{Wkw)G4rXMK3jhq`EAo&Y6buv1`8qn6lwl7-4weCqf`3%z_hc(pMZl@bDkO_ zn#!0!eZbLCSuSxz3oWr~oh?QqF8%G4U@~7MG|Us$!osf7l3B?rDYs;NTjn@XTm)Pa zGCi?d6ijwi*v4VFo`8R!*Sq`Bl{O!avFlOq$W0P0zgN;trtOzI5Z4X4p+K7e^_cEJ~$4N%tV2ogN9^RUN#kRe) zW$Zg^?dQl9tG$iX&^wj>t|7-fhY13aW)Wn%upPP`5W@%B_hFR?rIiQ;1F^E8z-0?D z|Le7n5TuWtj`;RLXeEA4(8cNtlp>$?#%ROA=uKl}~k_)Hu^&z&WaHSKRz z)E)&$yQY;BHhmXL9O|y+tY4KC<=XY3w+GD|GY;6J~%H=iH45A@0+l z)WYS2sm0FJBCx3p_qSoby#SFyx4k~!4G1)^<2N8-zEX9w<)M3XecVjS9Ihk))3+6@ zlcTC_`f~oRaB8*WY335{SZ!_(9QMqXaM-FVq$#06JI!^~e?8ebQ9Zc=v&Ecyhcy`E z?171J8jdn9#j3576#dw0{SH)yX#3(5s4|!XFM(L4JT<{jV1?^Uyl{T2L+e(!F#C{w zDY|YpBHKz<_$IDysoaCRUuCBX*SxGPFM7JxAJ*(&FQ&%9R@S~$)l_*_n<(R~q-Wn{ zNh*kcz$8%Sycf?ld%CdCQdjh~^Vc5Q+;DMHE(f-741}wHplaDTq8zSieYOyXZEC%D z61~m+?#Ave5Ush)ogz1NA!k@g*9!?53F`o_qSzGYQdN7)0Cvx>`1MB>f+(&oNn3ei zskv!z#l?p@$y%!QL6Wemcv+?p*Wlm>as*ryRI*J{lA-h6)o7?rVz%q`O4s8;_pvDa z)SAYwHCSLB6c1bHk;%;<(u$(T!0E`r1l7mJ{FhMHs zs==&BIPKQobU7hK74J(5;sHD^9k9kB^D|bxD3;a*ADpUdoS~O;4v$|X_&Iz@@^I;< zP7tZ{DwK*Q=U&Lw{^s=i0OI29YkzNBOhD_zFZ#AE8s%h~UadW3d*%rR89!JHQ_X%J z^?B9V8OSTzy(8)RQ?7~ zH6V7_LqfoIy-sCA-SdcC15}?vTxL_SiT!W?S4s_)H!$pg|JA4-LSS_HAC|WMi^CJTQvn&7r%D`ixHMF_i-ZLD zYhv)-0RY)|Qhx)oMI=Qw&^~hD0szp{>v0&6cliA z^UzTLm#_ab2lHM%Isfq$74-bKuSMbj0Bt)*J4+X5Es)pW#^mE<%ebNA3Zno3@c(7Z z4axzvH!Z%}*C zFkCEk!ZG{H59coBdmB{9pE&8RnnL<9~wxKXv1O f!9jKyz`wPQ8Y=KmPXhqh(4z(FLS{?oYYF&2E6!L6 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.dsf b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.dsf new file mode 100755 index 0000000..e69de29 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pci b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pci new file mode 100755 index 0000000..91ff9f9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pci @@ -0,0 +1,44 @@ +# USB_Bootloader +# 2013-10-26 08:55:19Z + +# IO_0@[IOP=(1)][IoId=(0)] is reserved: SWDDebugEnabled +dont_use_io iocell 1 0 +# IO_1@[IOP=(1)][IoId=(1)] is reserved: SWDDebugEnabled +dont_use_io iocell 1 1 +# IO_3@[IOP=(1)][IoId=(3)] is reserved: SWDDebugEnabled +dont_use_io iocell 1 3 +dont_use_location comparatorcell -1 -1 1 +dont_use_location comparatorcell -1 -1 3 +dont_use_location sccell -1 -1 0 +dont_use_location sccell -1 -1 1 +dont_use_location sccell -1 -1 2 +dont_use_location sccell -1 -1 3 +dont_use_location vidaccell -1 -1 1 +dont_use_location vidaccell -1 -1 2 +dont_use_location vidaccell -1 -1 3 +dont_use_location sarcell -1 -1 1 +dont_use_location abufcell -1 -1 0 +dont_use_location abufcell -1 -1 2 +dont_use_location abufcell -1 -1 1 +dont_use_location abufcell -1 -1 3 +set_io "SCSI_Out(0)" iocell 4 3 +set_io "SCSI_Out(1)" iocell 4 2 +set_io "SCSI_Out(2)" iocell 0 7 +set_io "SCSI_Out(3)" iocell 0 6 +set_io "SCSI_Out(4)" iocell 0 5 +set_io "SCSI_Out(5)" iocell 0 4 +set_io "SCSI_Out(6)" iocell 0 3 +set_io "SCSI_Out(7)" iocell 0 2 +set_io "SCSI_Out(8)" iocell 0 1 +set_io "SCSI_Out(9)" iocell 0 0 +set_io "SCSI_Out_DBx(0)" iocell 6 3 +set_io "SCSI_Out_DBx(1)" iocell 6 2 +set_io "SCSI_Out_DBx(2)" iocell 6 1 +set_io "SCSI_Out_DBx(3)" iocell 6 0 +set_io "SCSI_Out_DBx(4)" iocell 4 7 +set_io "SCSI_Out_DBx(5)" iocell 4 6 +set_io "SCSI_Out_DBx(6)" iocell 4 5 +set_io "SCSI_Out_DBx(7)" iocell 4 4 +set_io "\USBFS:Dm(0)\" iocell 15 7 +set_io "\USBFS:Dp(0)\" iocell 15 6 +set_location "\USBFS:USB\" usbcell -1 -1 0 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pco b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pco new file mode 100755 index 0000000..d900392 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.pco @@ -0,0 +1,53 @@ +# USB_Bootloader +# 2013-10-26 08:55:21Z + +# IO_0@[IOP=(1)][IoId=(0)] is reserved: SWDDebugEnabled +dont_use_io iocell 1 0 +# IO_1@[IOP=(1)][IoId=(1)] is reserved: SWDDebugEnabled +dont_use_io iocell 1 1 +# IO_3@[IOP=(1)][IoId=(3)] is reserved: SWDDebugEnabled +dont_use_io iocell 1 3 +dont_use_location comparatorcell -1 -1 1 +dont_use_location comparatorcell -1 -1 3 +dont_use_location sccell -1 -1 0 +dont_use_location sccell -1 -1 1 +dont_use_location sccell -1 -1 2 +dont_use_location sccell -1 -1 3 +dont_use_location vidaccell -1 -1 1 +dont_use_location vidaccell -1 -1 2 +dont_use_location vidaccell -1 -1 3 +dont_use_location sarcell -1 -1 1 +dont_use_location abufcell -1 -1 0 +dont_use_location abufcell -1 -1 2 +dont_use_location abufcell -1 -1 1 +dont_use_location abufcell -1 -1 3 +set_location "ClockBlock" clockblockcell -1 -1 0 +set_io "SCSI_Out(0)" iocell 4 3 +set_io "SCSI_Out(1)" iocell 4 2 +set_io "SCSI_Out(2)" iocell 0 7 +set_io "SCSI_Out(3)" iocell 0 6 +set_io "SCSI_Out(4)" iocell 0 5 +set_io "SCSI_Out(5)" iocell 0 4 +set_io "SCSI_Out(6)" iocell 0 3 +set_io "SCSI_Out(7)" iocell 0 2 +set_io "SCSI_Out(8)" iocell 0 1 +set_io "SCSI_Out(9)" iocell 0 0 +set_io "SCSI_Out_DBx(0)" iocell 6 3 +set_io "SCSI_Out_DBx(1)" iocell 6 2 +set_io "SCSI_Out_DBx(2)" iocell 6 1 +set_io "SCSI_Out_DBx(3)" iocell 6 0 +set_io "SCSI_Out_DBx(4)" iocell 4 7 +set_io "SCSI_Out_DBx(5)" iocell 4 6 +set_io "SCSI_Out_DBx(6)" iocell 4 5 +set_io "SCSI_Out_DBx(7)" iocell 4 4 +set_io "\USBFS:Dm(0)\" iocell 15 7 +set_io "\USBFS:Dp(0)\" iocell 15 6 +set_location "\USBFS:Dp\" logicalport -1 -1 15 +set_location "\USBFS:USB\" usbcell -1 -1 0 +set_location "\USBFS:arb_int\" interrupt -1 -1 22 +set_location "\USBFS:bus_reset\" interrupt -1 -1 23 +set_location "\USBFS:dp_int\" interrupt -1 -1 12 +set_location "\USBFS:ep_0\" interrupt -1 -1 24 +set_location "\USBFS:ep_1\" interrupt -1 -1 0 +set_location "\USBFS:ep_2\" interrupt -1 -1 1 +set_location "\USBFS:sof_int\" interrupt -1 -1 21 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.plc_log b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.plc_log new file mode 100755 index 0000000..5480a69 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.plc_log @@ -0,0 +1,3 @@ +I2659: No Constrained paths were found. The placer will run in non-timing driven mode. +I2076: Total run-time: 1.3 sec. + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.route b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.route new file mode 100755 index 0000000..e28b90e --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.route @@ -0,0 +1,92 @@ +net ClockBlock_BUS_CLK + term ":clockblockcell.clk_bus_glb" + switch ":clockblockcell.clk_bus_glb==>:ioport15:pin6.in_clock" + term ":ioport15:pin6.in_clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_22.clock" + term ":interrupt_22.clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_23.clock" + term ":interrupt_23.clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_12.clock" + term ":interrupt_12.clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_24.clock" + term ":interrupt_24.clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_0.clock" + term ":interrupt_0.clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_1.clock" + term ":interrupt_1.clock" + switch ":clockblockcell.clk_bus_glb==>:interrupt_21.clock" + term ":interrupt_21.clock" +end ClockBlock_BUS_CLK +net Net_40 + term ":usbcell.sof_int" + switch ":usbcell.sof_int==>Stub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v4+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v6" + switch "Stub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v4+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v6==>:interrupt_idmux_21.in_0" + switch ":interrupt_idmux_21.interrupt_idmux_21__out==>:interrupt_21.interrupt" + term ":interrupt_21.interrupt" +end Net_40 +net \USBFS:Net_1010\ + term ":logicalport_15.interrupt" + switch ":logicalport_15.interrupt==>Stub-:dsiswitch_bottom@[DSI=(1,2)][side=bottom]:dsihc_bottom:v36+:dsiswitch_bottom@[DSI=(1,2)][side=bottom]:dsihc_bottom:v38" + switch "Stub-:dsiswitch_bottom@[DSI=(1,2)][side=bottom]:dsihc_bottom:v36+:dsiswitch_bottom@[DSI=(1,2)][side=bottom]:dsihc_bottom:v38==>:interrupt_idmux_12.in_0" + switch ":interrupt_idmux_12.interrupt_idmux_12__out==>:interrupt_12.interrupt" + term ":interrupt_12.interrupt" +end \USBFS:Net_1010\ +net \USBFS:Net_79\ + term ":usbcell.arb_int" + switch ":usbcell.arb_int==>Stub-:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v25+:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v27" + switch "Stub-:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v25+:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v27==>:interrupt_idmux_22.in_0" + switch ":interrupt_idmux_22.interrupt_idmux_22__out==>:interrupt_22.interrupt" + term ":interrupt_22.interrupt" +end \USBFS:Net_79\ +net \USBFS:Net_81\ + term ":usbcell.usb_int" + switch ":usbcell.usb_int==>Stub-:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v24+:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v26" + switch "Stub-:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v24+:dsiswitch_top@[DSI=(0,1)][side=top]:dsihc_top:v26==>:interrupt_idmux_23.in_0" + switch ":interrupt_idmux_23.interrupt_idmux_23__out==>:interrupt_23.interrupt" + term ":interrupt_23.interrupt" +end \USBFS:Net_81\ +net \USBFS:ept_int_0\ + term ":usbcell.ept_int_0" + switch ":usbcell.ept_int_0==>Stub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v5+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v7" + switch "Stub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v5+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v7==>:interrupt_idmux_24.in_0" + switch ":interrupt_idmux_24.interrupt_idmux_24__out==>:interrupt_24.interrupt" + term ":interrupt_24.interrupt" +end \USBFS:ept_int_0\ +net \USBFS:ept_int_1\ + term ":usbcell.ept_int_1" + switch ":usbcell.ept_int_1==>Stub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v10+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v8" + switch "OStub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v10+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v8" + switch ":dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:10,10" + switch ":hvswitch@[UDB=(0,1)][side=left]:23,10_f" + switch ":hvswitch@[UDB=(1,1)][side=left]:vseg_23_top_f" + switch ":hvswitch@[UDB=(2,1)][side=left]:vseg_23_top_f" + switch ":hvswitch@[UDB=(3,1)][side=left]:vseg_23_top_f" + switch ":hvswitch@[UDB=(3,1)][side=left]:23,95_b" + switch ":hvswitch@[UDB=(3,2)][side=left]:hseg_95_f" + switch ":hvswitch@[UDB=(3,3)][side=left]:hseg_95_f" + switch ":hvswitch@[UDB=(3,4)][side=left]:hseg_95_f" + switch ":dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:48,95_f" + switch "IStub-:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v48+:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v50" + switch "Stub-:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v48+:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v50==>:interrupt_idmux_0.in_2" + switch ":interrupt_idmux_0.interrupt_idmux_0__out==>:interrupt_0.interrupt" + term ":interrupt_0.interrupt" +end \USBFS:ept_int_1\ +net \USBFS:ept_int_2\ + term ":usbcell.ept_int_2" + switch ":usbcell.ept_int_2==>Stub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v11+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v9" + switch "OStub-:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v11+:dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:v9" + switch ":dsiswitch_top@[DSI=(0,2)][side=top]:dsihc_top:9,90" + switch ":hvswitch@[UDB=(0,1)][side=left]:21,90_f" + switch ":hvswitch@[UDB=(1,1)][side=left]:vseg_21_top_f" + switch ":hvswitch@[UDB=(2,1)][side=left]:vseg_21_top_f" + switch ":hvswitch@[UDB=(3,1)][side=left]:vseg_21_top_f" + switch ":hvswitch@[UDB=(3,1)][side=left]:21,68_b" + switch ":hvswitch@[UDB=(3,2)][side=left]:hseg_68_f" + switch ":hvswitch@[UDB=(3,3)][side=left]:hseg_68_f" + switch ":hvswitch@[UDB=(3,4)][side=left]:hseg_68_f" + switch ":dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:49,68_f" + switch "IStub-:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v49+:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v51" + switch "Stub-:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v49+:dsiswitch_bottom@[DSI=(1,5)][side=bottom]:dsihc_bottom:v51==>:interrupt_idmux_1.in_2" + switch ":interrupt_idmux_1.interrupt_idmux_1__out==>:interrupt_1.interrupt" + term ":interrupt_1.interrupt" +end \USBFS:ept_int_2\ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rpt b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rpt new file mode 100755 index 0000000..81f4824 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rpt @@ -0,0 +1,2289 @@ +Loading plugins phase: Elapsed time ==> 0s.499ms +Initializing data phase: Elapsed time ==> 3s.765ms + +cydsfit arguments: -.fdsnotice -.fdswarpdepfile=warp_dependencies.txt -.fdselabdepfile=elab_dependencies.txt -.fdsbldfile=generated_files.txt -p W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -d CY8C5267AXI-LP051 -s W:\SCSI2SD\USB_Bootloader.cydsn\Generated_Source\PSoC5 -- -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE + + +Elaboration phase: Elapsed time ==> 7s.219ms + + +HDL generation phase: Elapsed time ==> 0s.140ms + + + | | | | | | | + _________________ + -| |- + -| |- + -| |- + -| CYPRESS |- + -| |- + -| |- Warp Verilog Synthesis Compiler: Version 6.3 IR 41 + -| |- Copyright (C) 1991-2001 Cypress Semiconductor + |_______________| + | | | | | | | + +====================================================================== +Compiling: USB_Bootloader.v +Program : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\bin/warp.exe +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 USB_Bootloader.v -verilog +====================================================================== + +====================================================================== +Compiling: USB_Bootloader.v +Program : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\bin/warp.exe +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 USB_Bootloader.v -verilog +====================================================================== + +====================================================================== +Compiling: USB_Bootloader.v +Program : vlogfe +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 -verilog USB_Bootloader.v +====================================================================== + +vlogfe V6.3 IR 41: Verilog parser +Sat Oct 26 18:55:16 2013 + + +====================================================================== +Compiling: USB_Bootloader.v +Program : vpp +Options : -yv2 -q10 USB_Bootloader.v +====================================================================== + +vpp V6.3 IR 41: Verilog Pre-Processor +Sat Oct 26 18:55:16 2013 + + +vpp: No errors. + +Library 'work' => directory 'lcpsoc3' +General_symbol_table +General_symbol_table +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\std.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\cypress.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\work\cypress.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. +Using control file 'USB_Bootloader.ctl'. + +vlogfe: No errors. + + +====================================================================== +Compiling: USB_Bootloader.v +Program : tovif +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 -verilog USB_Bootloader.v +====================================================================== + +tovif V6.3 IR 41: High-level synthesis +Sat Oct 26 18:55:16 2013 + +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\std.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\cypress.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\work\cypress.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.ctl'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.v'. + +tovif: No errors. + + +====================================================================== +Compiling: USB_Bootloader.v +Program : topld +Options : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 -verilog USB_Bootloader.v +====================================================================== + +topld V6.3 IR 41: Synthesis and optimization +Sat Oct 26 18:55:16 2013 + +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\std.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\cypress.vhd'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\work\cypress.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\lpmpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_cnst.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_mthv.vif'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.ctl'. +Linking 'W:\SCSI2SD\USB_Bootloader.cydsn\codegentemp\USB_Bootloader.v'. +Linking 'C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\lcpsoc3\stdlogic\cpsoc3.vif'. + +---------------------------------------------------------- +Detecting unused logic. +---------------------------------------------------------- + + + +------------------------------------------------------ +Alias Detection +------------------------------------------------------ +Aliasing one to \USBFS:tmpOE__Dm_net_0\ +Aliasing \USBFS:tmpOE__Dp_net_0\ to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_7 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_6 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_5 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_4 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_3 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_2 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_1 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_DBx_net_0 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_9 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_8 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_7 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_6 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_5 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_4 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_3 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_2 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_1 to \USBFS:tmpOE__Dm_net_0\ +Aliasing tmpOE__SCSI_Out_net_0 to \USBFS:tmpOE__Dm_net_0\ +Removing Rhs of wire one[37] = \USBFS:tmpOE__Dm_net_0\[32] +Removing Lhs of wire \USBFS:tmpOE__Dp_net_0\[40] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_7[49] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_6[50] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_5[51] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_4[52] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_3[53] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_2[54] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_1[55] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_DBx_net_0[56] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_9[84] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_8[85] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_7[86] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_6[87] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_5[88] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_4[89] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_3[90] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_2[91] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_1[92] = one[37] +Removing Lhs of wire tmpOE__SCSI_Out_net_0[93] = one[37] + +------------------------------------------------------ +Aliased 0 equations, 20 wires. +------------------------------------------------------ + +---------------------------------------------------------- +Circuit simplification +---------------------------------------------------------- + +Substituting virtuals - pass 1: + + +---------------------------------------------------------- +Circuit simplification results: + + Expanded 0 signals. + Turned 0 signals into soft nodes. + Maximum default expansion cost was set at 3. +---------------------------------------------------------- + +topld: No errors. + +CYPRESS_DIR : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp +Warp Program : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\bin/warp.exe +Warp Arguments : -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -dcpsoc3 USB_Bootloader.v -verilog + +Warp synthesis phase: Elapsed time ==> 1s.359ms + + +cyp3fit: V3.0.0.1539, Family: PSoC3, Started at: Saturday, 26 October 2013 18:55:17 +Options: -yv2 -v3 -ygs -q10 -o2 -.fftcfgtype=LE -ya -.fftprj=W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj -d CY8C5267AXI-LP051 USB_Bootloader.v -verilog + + +Design parsing phase: Elapsed time ==> 0s.031ms + + + +Assigning clock USBFS_Clock_vbus to clock BUS_CLK because it is a pass-through + + + + + + + + + + + +------------------------------------------------------------ +Design Equations +------------------------------------------------------------ + + + ------------------------------------------------------------ + Pin listing + ------------------------------------------------------------ + + Pin : Name = SCSI_Out(0) + Attributes: + Alias: DBP_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(0)__PA , + pad => SCSI_Out(0)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(1) + Attributes: + Alias: ATN + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(1)__PA , + pad => SCSI_Out(1)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(2) + Attributes: + Alias: BSY + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(2)__PA , + pad => SCSI_Out(2)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(3) + Attributes: + Alias: ACK + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(3)__PA , + pad => SCSI_Out(3)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(4) + Attributes: + Alias: RST + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(4)__PA , + pad => SCSI_Out(4)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(5) + Attributes: + Alias: MSG + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(5)__PA , + pad => SCSI_Out(5)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(6) + Attributes: + Alias: SEL + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(6)__PA , + pad => SCSI_Out(6)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(7) + Attributes: + Alias: CD + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(7)__PA , + pad => SCSI_Out(7)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(8) + Attributes: + Alias: REQ + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(8)__PA , + pad => SCSI_Out(8)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out(9) + Attributes: + Alias: IO_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(9)__PA , + pad => SCSI_Out(9)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(0) + Attributes: + Alias: DB0 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(0)__PA , + pad => SCSI_Out_DBx(0)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(1) + Attributes: + Alias: DB1 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(1)__PA , + pad => SCSI_Out_DBx(1)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(2) + Attributes: + Alias: DB2 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(2)__PA , + pad => SCSI_Out_DBx(2)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(3) + Attributes: + Alias: DB3 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(3)__PA , + pad => SCSI_Out_DBx(3)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(4) + Attributes: + Alias: DB4 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(4)__PA , + pad => SCSI_Out_DBx(4)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(5) + Attributes: + Alias: DB5 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(5)__PA , + pad => SCSI_Out_DBx(5)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(6) + Attributes: + Alias: DB6 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(6)__PA , + pad => SCSI_Out_DBx(6)_PAD ); + Properties: + { + } + + Pin : Name = SCSI_Out_DBx(7) + Attributes: + Alias: DB7 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out_DBx(7)__PA , + pad => SCSI_Out_DBx(7)_PAD ); + Properties: + { + } + + Pin : Name = \USBFS:Dm(0)\ + Attributes: + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: AUTO + Interrupt generated: False + Interrupt mode: NONE + Drive mode: HI_Z_ANALOG + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: True + Can contain Digital: False + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_MINUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dm(0)\__PA , + analog_term => \USBFS:Net_597\ , + pad => \USBFS:Dm(0)_PAD\ ); + Properties: + { + } + + Pin : Name = \USBFS:Dp(0)\ + Attributes: + In Group/Port: True + In Sync Option: SYNC + Out Sync Option: AUTO + Interrupt generated: True + Interrupt mode: FALLING + Drive mode: HI_Z_ANALOG + VTrip: CMOS + Slew: FAST + Input Sync needed: True + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: False + Is OE Registered: False + Uses Analog: True + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_PLUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dp(0)\__PA , + analog_term => \USBFS:Net_1000\ , + pad => \USBFS:Dp(0)_PAD\ ); + Properties: + { + } + + + + + + + + + + + + + + + + + + + + ------------------------------------------------------------ + Interrupt listing + ------------------------------------------------------------ + + interrupt: Name =\USBFS:arb_int\ + PORT MAP ( + interrupt => \USBFS:Net_79\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:bus_reset\ + PORT MAP ( + interrupt => \USBFS:Net_81\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:dp_int\ + PORT MAP ( + interrupt => \USBFS:Net_1010\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:ep_0\ + PORT MAP ( + interrupt => \USBFS:ept_int_0\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:ep_1\ + PORT MAP ( + interrupt => \USBFS:ept_int_1\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:ep_2\ + PORT MAP ( + interrupt => \USBFS:ept_int_2\ ); + Properties: + { + int_type = "10" + } + + interrupt: Name =\USBFS:sof_int\ + PORT MAP ( + interrupt => Net_40 ); + Properties: + { + int_type = "10" + } + + + + +------------------------------------------------------------ +Technology mapping summary +------------------------------------------------------------ + +Resource Type : Used : Free : Max : % Used +============================================================ +Digital clock dividers : 0 : 8 : 8 : 0.00% +Analog clock dividers : 0 : 4 : 4 : 0.00% +Pins : 23 : 49 : 72 : 31.94% +UDB Macrocells : 0 : 192 : 192 : 0.00% +UDB Unique Pterms : 0 : 384 : 384 : 0.00% +UDB Datapath Cells : 0 : 24 : 24 : 0.00% +UDB Status Cells : 0 : 24 : 24 : 0.00% +UDB Control Cells : 0 : 24 : 24 : 0.00% +DMA Channels : 0 : 24 : 24 : 0.00% +Interrupts : 7 : 25 : 32 : 21.88% +VIDAC Fixed Blocks : 0 : 1 : 1 : 0.00% +Comparator Fixed Blocks : 0 : 2 : 2 : 0.00% +CapSense Buffers : 0 : 2 : 2 : 0.00% +I2C Fixed Blocks : 0 : 1 : 1 : 0.00% +Timer Fixed Blocks : 0 : 4 : 4 : 0.00% +USB Fixed Blocks : 1 : 0 : 1 : 100.00% +LCD Fixed Blocks : 0 : 1 : 1 : 0.00% +EMIF Fixed Blocks : 0 : 1 : 1 : 0.00% +LPF Fixed Blocks : 0 : 2 : 2 : 0.00% +SAR Fixed Blocks : 0 : 1 : 1 : 0.00% + +Technology Mapping: Elapsed time ==> 0s.016ms +Tech mapping phase: Elapsed time ==> 0s.281ms + + +Initial Analog Placement Results: +IO_3@[IOP=(4)][IoId=(3)] : SCSI_Out(0) (fixed) +IO_2@[IOP=(4)][IoId=(2)] : SCSI_Out(1) (fixed) +IO_7@[IOP=(0)][IoId=(7)] : SCSI_Out(2) (fixed) +IO_6@[IOP=(0)][IoId=(6)] : SCSI_Out(3) (fixed) +IO_5@[IOP=(0)][IoId=(5)] : SCSI_Out(4) (fixed) +IO_4@[IOP=(0)][IoId=(4)] : SCSI_Out(5) (fixed) +IO_3@[IOP=(0)][IoId=(3)] : SCSI_Out(6) (fixed) +IO_2@[IOP=(0)][IoId=(2)] : SCSI_Out(7) (fixed) +IO_1@[IOP=(0)][IoId=(1)] : SCSI_Out(8) (fixed) +IO_0@[IOP=(0)][IoId=(0)] : SCSI_Out(9) (fixed) +IO_3@[IOP=(6)][IoId=(3)] : SCSI_Out_DBx(0) (fixed) +IO_2@[IOP=(6)][IoId=(2)] : SCSI_Out_DBx(1) (fixed) +IO_1@[IOP=(6)][IoId=(1)] : SCSI_Out_DBx(2) (fixed) +IO_0@[IOP=(6)][IoId=(0)] : SCSI_Out_DBx(3) (fixed) +IO_7@[IOP=(4)][IoId=(7)] : SCSI_Out_DBx(4) (fixed) +IO_6@[IOP=(4)][IoId=(6)] : SCSI_Out_DBx(5) (fixed) +IO_5@[IOP=(4)][IoId=(5)] : SCSI_Out_DBx(6) (fixed) +IO_4@[IOP=(4)][IoId=(4)] : SCSI_Out_DBx(7) (fixed) +IO_7@[IOP=(15)][IoId=(7)] : \USBFS:Dm(0)\ (fixed) +IO_6@[IOP=(15)][IoId=(6)] : \USBFS:Dp(0)\ (fixed) +USB[0]@[FFB(USB,0)] : \USBFS:USB\ +Analog Placement phase: Elapsed time ==> 0s.093ms + + +Analog Routing phase: Elapsed time ==> 0s.000ms + + +============ Analog Final Answer Routes ============ +Dump of CyAnalogRoutingResultsDB +Map of net to items { +} +Map of item to net { +} +Mux Info { +} +Dump of CyP35AnalogRoutingResultsDB +IsVddaHalfUsedForComp = False +IsVddaHalfUsedForSar0 = False +IsVddaHalfUsedForSar1 = False +Analog Code Generation phase: Elapsed time ==> 0s.984ms + + + +I2659: No Constrained paths were found. The placer will run in non-timing driven mode. +I2076: Total run-time: 1.3 sec. + + + + +No PLDs were packed. + +PLD Packing: Elapsed time ==> 0s.000ms + + + +Initial Partitioning Summary not displayed at this verbose level. + +Final Partitioning Summary not displayed at this verbose level. +Partitioning: Elapsed time ==> 0s.063ms + + +Annealing: Elapsed time ==> 0s.000ms + +The seed used for moves was 114161200. +Inital cost was 120, final cost is 120 (0.00% improvement). + + + +------------------------------------------------------------ +Final Placement Summary +------------------------------------------------------------ + + Resource Type : Count : Avg Inputs : Avg Outputs + ======================================================== + UDB : 0 : 0.00 : 0.00 + + + +------------------------------------------------------------ +Component Placement Details +------------------------------------------------------------ +UDB [UDB=(0,0)] is empty. +UDB [UDB=(0,1)] is empty. +UDB [UDB=(0,2)] is empty. +UDB [UDB=(0,3)] is empty. +UDB [UDB=(0,4)] is empty. +UDB [UDB=(0,5)] is empty. +UDB [UDB=(1,0)] is empty. +UDB [UDB=(1,1)] is empty. +UDB [UDB=(1,2)] is empty. +UDB [UDB=(1,3)] is empty. +UDB [UDB=(1,4)] is empty. +UDB [UDB=(1,5)] is empty. +UDB [UDB=(2,0)] is empty. +UDB [UDB=(2,1)] is empty. +UDB [UDB=(2,2)] is empty. +UDB [UDB=(2,3)] is empty. +UDB [UDB=(2,4)] is empty. +UDB [UDB=(2,5)] is empty. +UDB [UDB=(3,0)] is empty. +UDB [UDB=(3,1)] is empty. +UDB [UDB=(3,2)] is empty. +UDB [UDB=(3,3)] is empty. +UDB [UDB=(3,4)] is empty. +UDB [UDB=(3,5)] is empty. +Intr hod @ [IntrHod=(0)]: + Intr@ [IntrHod=(0)][IntrId=(0)] + interrupt: Name =\USBFS:ep_1\ + PORT MAP ( + interrupt => \USBFS:ept_int_1\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(1)] + interrupt: Name =\USBFS:ep_2\ + PORT MAP ( + interrupt => \USBFS:ept_int_2\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(12)] + interrupt: Name =\USBFS:dp_int\ + PORT MAP ( + interrupt => \USBFS:Net_1010\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(21)] + interrupt: Name =\USBFS:sof_int\ + PORT MAP ( + interrupt => Net_40 ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(22)] + interrupt: Name =\USBFS:arb_int\ + PORT MAP ( + interrupt => \USBFS:Net_79\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(23)] + interrupt: Name =\USBFS:bus_reset\ + PORT MAP ( + interrupt => \USBFS:Net_81\ ); + Properties: + { + int_type = "10" + } + Intr@ [IntrHod=(0)][IntrId=(24)] + interrupt: Name =\USBFS:ep_0\ + PORT MAP ( + interrupt => \USBFS:ept_int_0\ ); + Properties: + { + int_type = "10" + } +Drq hod @ [DrqHod=(0)]: empty +Port 0 contains the following IO cells: +[IoId=0]: +Pin : Name = SCSI_Out(9) + Attributes: + Alias: IO_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(9)__PA , + pad => SCSI_Out(9)_PAD ); + Properties: + { + } + +[IoId=1]: +Pin : Name = SCSI_Out(8) + Attributes: + Alias: REQ + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(8)__PA , + pad => SCSI_Out(8)_PAD ); + Properties: + { + } + +[IoId=2]: +Pin : Name = SCSI_Out(7) + Attributes: + Alias: CD + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(7)__PA , + pad => SCSI_Out(7)_PAD ); + Properties: + { + } + +[IoId=3]: +Pin : Name = SCSI_Out(6) + Attributes: + Alias: SEL + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(6)__PA , + pad => SCSI_Out(6)_PAD ); + Properties: + { + } + +[IoId=4]: +Pin : Name = SCSI_Out(5) + Attributes: + Alias: MSG + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(5)__PA , + pad => SCSI_Out(5)_PAD ); + Properties: + { + } + +[IoId=5]: +Pin : Name = SCSI_Out(4) + Attributes: + Alias: RST + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(4)__PA , + pad => SCSI_Out(4)_PAD ); + Properties: + { + } + +[IoId=6]: +Pin : Name = SCSI_Out(3) + Attributes: + Alias: ACK + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(3)__PA , + pad => SCSI_Out(3)_PAD ); + Properties: + { + } + +[IoId=7]: +Pin : Name = SCSI_Out(2) + Attributes: + Alias: BSY + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(2)__PA , + pad => SCSI_Out(2)_PAD ); + Properties: + { + } + +Port 1 is empty +Port 2 is empty +Port 3 is empty +Port 4 contains the following IO cells: +[IoId=2]: +Pin : Name = SCSI_Out(1) + Attributes: + Alias: ATN + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(1)__PA , + pad => SCSI_Out(1)_PAD ); + Properties: + { + } + +[IoId=3]: +Pin : Name = SCSI_Out(0) + Attributes: + Alias: DBP_raw + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out(0)__PA , + pad => SCSI_Out(0)_PAD ); + Properties: + { + } + +[IoId=4]: +Pin : Name = SCSI_Out_DBx(7) + Attributes: + Alias: DB7 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 5 + PORT MAP ( + pa_out => SCSI_Out_DBx(7)__PA , + pad => SCSI_Out_DBx(7)_PAD ); + Properties: + { + } + +[IoId=5]: +Pin : Name = SCSI_Out_DBx(6) + Attributes: + Alias: DB6 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(6)__PA , + pad => SCSI_Out_DBx(6)_PAD ); + Properties: + { + } + +[IoId=6]: +Pin : Name = SCSI_Out_DBx(5) + Attributes: + Alias: DB5 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(5)__PA , + pad => SCSI_Out_DBx(5)_PAD ); + Properties: + { + } + +[IoId=7]: +Pin : Name = SCSI_Out_DBx(4) + Attributes: + Alias: DB4 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(4)__PA , + pad => SCSI_Out_DBx(4)_PAD ); + Properties: + { + } + +Port 5 is empty +Port 6 contains the following IO cells: +[IoId=0]: +Pin : Name = SCSI_Out_DBx(3) + Attributes: + Alias: DB3 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(3)__PA , + pad => SCSI_Out_DBx(3)_PAD ); + Properties: + { + } + +[IoId=1]: +Pin : Name = SCSI_Out_DBx(2) + Attributes: + Alias: DB2 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(2)__PA , + pad => SCSI_Out_DBx(2)_PAD ); + Properties: + { + } + +[IoId=2]: +Pin : Name = SCSI_Out_DBx(1) + Attributes: + Alias: DB1 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(1)__PA , + pad => SCSI_Out_DBx(1)_PAD ); + Properties: + { + } + +[IoId=3]: +Pin : Name = SCSI_Out_DBx(0) + Attributes: + Alias: DB0 + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: NOSYNC + Interrupt generated: False + Interrupt mode: NONE + Drive mode: CMOS_OUT + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: False + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: DIGITAL + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => SCSI_Out_DBx(0)__PA , + pad => SCSI_Out_DBx(0)_PAD ); + Properties: + { + } + +Port 12 is empty +Port 15 generates interrupt for logical port: + logicalport: Name =\USBFS:Dp\ + PORT MAP ( + in_clock_en => one , + in_reset => zero , + out_clock_en => one , + out_reset => zero , + interrupt => \USBFS:Net_1010\ , + in_clock => ClockBlock_BUS_CLK ); + Properties: + { + drive_mode = "000" + ibuf_enabled = "0" + id = "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42" + init_dr_st = "0" + input_clk_en = 0 + input_sync = "1" + input_sync_mode = "0" + intr_mode = "10" + invert_in_clock = 0 + invert_in_clock_en = 0 + invert_in_reset = 0 + invert_out_clock = 0 + invert_out_clock_en = 0 + invert_out_reset = 0 + io_voltage = "" + layout_mode = "CONTIGUOUS" + oe_conn = "0" + oe_reset = 0 + oe_sync = "0" + output_clk_en = 0 + output_clock_mode = "0" + output_conn = "0" + output_mode = "0" + output_reset = 0 + output_sync = "0" + pa_in_clock = -1 + pa_in_clock_en = -1 + pa_in_reset = -1 + pa_out_clock = -1 + pa_out_clock_en = -1 + pa_out_reset = -1 + pin_aliases = "" + pin_mode = "I" + por_state = 4 + port_alias_group = "" + port_alias_required = 0 + sio_group_cnt = 0 + sio_hifreq = "" + sio_hyst = "0" + sio_ibuf = "00000000" + sio_info = "00" + sio_obuf = "00000000" + sio_refsel = "00000000" + sio_vtrip = "00000000" + slew_rate = "0" + spanning = 0 + sw_only = 0 + use_annotation = "0" + vtrip = "00" + width = 1 + } + and contains the following IO cells: +[IoId=6]: +Pin : Name = \USBFS:Dp(0)\ + Attributes: + In Group/Port: True + In Sync Option: SYNC + Out Sync Option: AUTO + Interrupt generated: True + Interrupt mode: FALLING + Drive mode: HI_Z_ANALOG + VTrip: CMOS + Slew: FAST + Input Sync needed: True + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: False + Is OE Registered: False + Uses Analog: True + Can contain Digital: True + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_PLUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dp(0)\__PA , + analog_term => \USBFS:Net_1000\ , + pad => \USBFS:Dp(0)_PAD\ ); + Properties: + { + } + +[IoId=7]: +Pin : Name = \USBFS:Dm(0)\ + Attributes: + In Group/Port: True + In Sync Option: AUTO + Out Sync Option: AUTO + Interrupt generated: False + Interrupt mode: NONE + Drive mode: HI_Z_ANALOG + VTrip: EITHER + Slew: FAST + Input Sync needed: False + Output Sync needed: False + SC shield enabled: False + POR State: ANY + LCD Mode: COMMON + Register Mode: RegComb + CaSense Mode: NEITHER + Treat as pin: True + Is OE Registered: False + Uses Analog: True + Can contain Digital: False + Is SIO: False + SIO Output Buf: NONREGULATED + SIO Input Buf: SINGLE_ENDED + SIO HiFreq: LOW + SIO Hyst: DISABLED + SIO Vtrip: MULTIPLIER_0_5 + SIO RefSel: VCC_IO + Required Capabilitites: USB_D_MINUS + Initial Value: 0 + IO Voltage: 0 + PORT MAP ( + pa_out => \USBFS:Dm(0)\__PA , + analog_term => \USBFS:Net_597\ , + pad => \USBFS:Dm(0)_PAD\ ); + Properties: + { + } + +Fixed Function block hod @ [FFB(CAN,0)]: empty +Fixed Function block hod @ [FFB(Cache,0)]: empty +Fixed Function block hod @ [FFB(CapSense,0)]: empty +Fixed Function block hod @ [FFB(Clock,0)]: + Clock Block @ [FFB(Clock,0)]: + clockblockcell: Name =ClockBlock + PORT MAP ( + clk_bus_glb => ClockBlock_BUS_CLK , + clk_bus => ClockBlock_BUS_CLK_local , + clk_sync => ClockBlock_MASTER_CLK , + clk_32k_xtal => ClockBlock_XTAL_32KHZ , + xtal => ClockBlock_XTAL , + ilo => ClockBlock_ILO , + clk_100k => ClockBlock_100k , + clk_1k => ClockBlock_1k , + clk_32k => ClockBlock_32k , + pllout => ClockBlock_PLL_OUT , + imo => ClockBlock_IMO ); + Properties: + { + } +Fixed Function block hod @ [FFB(Comparator,0)]: empty +Fixed Function block hod @ [FFB(DFB,0)]: empty +Fixed Function block hod @ [FFB(DSM,0)]: empty +Fixed Function block hod @ [FFB(Decimator,0)]: empty +Fixed Function block hod @ [FFB(EMIF,0)]: empty +Fixed Function block hod @ [FFB(I2C,0)]: empty +Fixed Function block hod @ [FFB(LCD,0)]: empty +Fixed Function block hod @ [FFB(LVD,0)]: empty +Fixed Function block hod @ [FFB(PM,0)]: empty +Fixed Function block hod @ [FFB(SPC,0)]: empty +Fixed Function block hod @ [FFB(Timer,0)]: empty +Fixed Function block hod @ [FFB(USB,0)]: + USB Block @ [FFB(USB,0)]: + usbcell: Name =\USBFS:USB\ + PORT MAP ( + dp => \USBFS:Net_1000\ , + dm => \USBFS:Net_597\ , + sof_int => Net_40 , + arb_int => \USBFS:Net_79\ , + usb_int => \USBFS:Net_81\ , + ept_int_8 => \USBFS:ept_int_8\ , + ept_int_7 => \USBFS:ept_int_7\ , + ept_int_6 => \USBFS:ept_int_6\ , + ept_int_5 => \USBFS:ept_int_5\ , + ept_int_4 => \USBFS:ept_int_4\ , + ept_int_3 => \USBFS:ept_int_3\ , + ept_int_2 => \USBFS:ept_int_2\ , + ept_int_1 => \USBFS:ept_int_1\ , + ept_int_0 => \USBFS:ept_int_0\ , + ord_int => \USBFS:Net_95\ , + dma_req_7 => \USBFS:dma_req_7\ , + dma_req_6 => \USBFS:dma_req_6\ , + dma_req_5 => \USBFS:dma_req_5\ , + dma_req_4 => \USBFS:dma_req_4\ , + dma_req_3 => \USBFS:dma_req_3\ , + dma_req_2 => \USBFS:dma_req_2\ , + dma_req_1 => \USBFS:dma_req_1\ , + dma_req_0 => \USBFS:dma_req_0\ , + dma_termin => \USBFS:Net_824\ ); + Properties: + { + cy_registers = "" + } +Fixed Function block hod @ [FFB(VIDAC,0)]: empty +Fixed Function block hod @ [FFB(CsAbuf,0)]: empty +Fixed Function block hod @ [FFB(Vref,0)]: empty +Fixed Function block hod @ [FFB(LPF,0)]: empty +Fixed Function block hod @ [FFB(SAR,0)]: empty + + + +------------------------------------------------------------ +Port Configuration report +------------------------------------------------------------ + | | | Interrupt | | | +Port | Pin | Fixed | Type | Drive Mode | Name | Connections +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 0 | 0 | * | NONE | CMOS_OUT | SCSI_Out(9) | + | 1 | * | NONE | CMOS_OUT | SCSI_Out(8) | + | 2 | * | NONE | CMOS_OUT | SCSI_Out(7) | + | 3 | * | NONE | CMOS_OUT | SCSI_Out(6) | + | 4 | * | NONE | CMOS_OUT | SCSI_Out(5) | + | 5 | * | NONE | CMOS_OUT | SCSI_Out(4) | + | 6 | * | NONE | CMOS_OUT | SCSI_Out(3) | + | 7 | * | NONE | CMOS_OUT | SCSI_Out(2) | +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 4 | 2 | * | NONE | CMOS_OUT | SCSI_Out(1) | + | 3 | * | NONE | CMOS_OUT | SCSI_Out(0) | + | 4 | * | NONE | CMOS_OUT | SCSI_Out_DBx(7) | + | 5 | * | NONE | CMOS_OUT | SCSI_Out_DBx(6) | + | 6 | * | NONE | CMOS_OUT | SCSI_Out_DBx(5) | + | 7 | * | NONE | CMOS_OUT | SCSI_Out_DBx(4) | +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 6 | 0 | * | NONE | CMOS_OUT | SCSI_Out_DBx(3) | + | 1 | * | NONE | CMOS_OUT | SCSI_Out_DBx(2) | + | 2 | * | NONE | CMOS_OUT | SCSI_Out_DBx(1) | + | 3 | * | NONE | CMOS_OUT | SCSI_Out_DBx(0) | +-----+-----+-------+-----------+------------------+-----------------+------------------------- + 15 | 6 | * | FALLING | HI_Z_ANALOG | \USBFS:Dp(0)\ | Analog(\USBFS:Net_1000\) + | 7 | * | NONE | HI_Z_ANALOG | \USBFS:Dm(0)\ | Analog(\USBFS:Net_597\) +---------------------------------------------------------------------------------------------- + + + +Digital component placer commit/Report: Elapsed time ==> 0s.014ms +Digital Placement phase: Elapsed time ==> 2s.140ms + + +Routing successful. +Digital Routing phase: Elapsed time ==> 2s.955ms + + +Bitstream and API generation phase: Elapsed time ==> 0s.732ms + + +Bitstream verification phase: Elapsed time ==> 0s.127ms + + +Timing report is in USB_Bootloader_timing.html. +Static timing analysis phase: Elapsed time ==> 0s.638ms + + +Data reporting phase: Elapsed time ==> 0s.000ms + + +Design database save phase: Elapsed time ==> 0s.609ms + +cydsfit: Elapsed time ==> 8s.672ms + +Fitter phase: Elapsed time ==> 8s.750ms +API generation phase: Elapsed time ==> 3s.081ms +Dependency generation phase: Elapsed time ==> 0s.028ms +Cleanup phase: Elapsed time ==> 0s.031ms diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rt_log b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rt_log new file mode 100755 index 0000000..cbf8613 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.rt_log @@ -0,0 +1,22 @@ + + SoftJin Router, Version 1.0 + +I1203: Reading Design USB_Bootloader +I1204: Reading netlist from file USB_Bootloader_r.vh2 +I1206: Completed Reading of file USB_Bootloader_r.vh2 +I1204: Reading placement from file USB_Bootloader.pco +I1206: Completed Reading of file USB_Bootloader.pco +I1204: Reading timing library from file USB_Bootloader_r.lib +I1206: Completed Reading of file USB_Bootloader_r.lib +I1204: Reading timing constraints from file USB_Bootloader.sdc +I1206: Completed Reading of file USB_Bootloader.sdc +I1204: Reading architecture from file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc5/psoc5lp/route_arch-rrg.cydata +I1206: Completed Reading of file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc5/psoc5lp/route_arch-rrg.cydata +I1209: Started routing +I1223: Total Nets : 8 +I1212: Iteration 1 : 0 unrouted : 0 seconds +I1215: Routing is successful +I1207: Completed routing +I1210: Writing routes +I1218: Exiting the router +I1224: Total Time : 2 seconds diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdc new file mode 100755 index 0000000..31b0bbd --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdc @@ -0,0 +1,14 @@ +# THIS FILE IS AUTOMATICALLY GENERATED +# Project: W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj +# Date: Sat, 26 Oct 2013 08:55:25 GMT +#set_units -time ns +create_clock -name {CyIMO} -period 41.666666666666664 -waveform {0 20.8333333333333} [list [get_pins {ClockBlock/imo}]] +create_clock -name {CyPLL_OUT} -period 15.625 -waveform {0 7.8125} [list [get_pins {ClockBlock/pllout}]] +create_clock -name {CyILO} -period 10000 -waveform {0 5000} [list [get_pins {ClockBlock/ilo}] [get_pins {ClockBlock/clk_100k}] [get_pins {ClockBlock/clk_1k}] [get_pins {ClockBlock/clk_32k}]] +create_clock -name {CyMASTER_CLK} -period 15.625 -waveform {0 7.8125} [list [get_pins {ClockBlock/clk_sync}]] +create_generated_clock -name {CyBUS_CLK} -source [get_pins {ClockBlock/clk_sync}] -edges {1 2 3} [list [get_pins {ClockBlock/clk_bus_glb}]] + + +# Component constraints for W:\SCSI2SD\USB_Bootloader.cydsn\TopDesign\TopDesign.cysch +# Project: W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj +# Date: Sat, 26 Oct 2013 08:55:15 GMT diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdf b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdf new file mode 100755 index 0000000..c196de5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.sdf @@ -0,0 +1,51 @@ +(DELAYFILE + (SDFVERSION "IEEE 1497 4.0") + (DATE "2013-10-26T08:55:25Z") + (DESIGN "USB_Bootloader") + (VENDOR "Cypress Semiconductor") + (PROGRAM "cydsfit") + (VERSION "No Version Information Found") + (DIVIDER .) + (TIMESCALE 1 ns) + (CELL + (CELLTYPE "USB_Bootloader") + (INSTANCE *) + (DELAY + (ABSOLUTE + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:arb_int\\.clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:Dp\(0\)\\.in_clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:bus_reset\\.clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:dp_int\\.clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:ep_0\\.clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:ep_1\\.clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:ep_2\\.clock (0.000:0.000:0.000)) + (INTERCONNECT ClockBlock.clk_bus_glb \\USBFS\:sof_int\\.clock (0.000:0.000:0.000)) + (INTERCONNECT \\USBFS\:USB\\.sof_int \\USBFS\:sof_int\\.interrupt (1.000:1.000:1.000)) + (INTERCONNECT \\USBFS\:Dp\\.interrupt \\USBFS\:dp_int\\.interrupt (1.000:1.000:1.000)) + (INTERCONNECT \\USBFS\:USB\\.arb_int \\USBFS\:arb_int\\.interrupt (1.000:1.000:1.000)) + (INTERCONNECT \\USBFS\:USB\\.usb_int \\USBFS\:bus_reset\\.interrupt (1.000:1.000:1.000)) + (INTERCONNECT \\USBFS\:USB\\.ept_int_0 \\USBFS\:ep_0\\.interrupt (1.000:1.000:1.000)) + (INTERCONNECT \\USBFS\:USB\\.ept_int_1 \\USBFS\:ep_1\\.interrupt (9.058:9.058:9.058)) + (INTERCONNECT \\USBFS\:USB\\.ept_int_2 \\USBFS\:ep_2\\.interrupt (9.092:9.092:9.092)) + (INTERCONNECT SCSI_Out\(0\)_PAD SCSI_Out\(0\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(1\)_PAD SCSI_Out\(1\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(2\)_PAD SCSI_Out\(2\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(3\)_PAD SCSI_Out\(3\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(4\)_PAD SCSI_Out\(4\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(5\)_PAD SCSI_Out\(5\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(6\)_PAD SCSI_Out\(6\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(7\)_PAD SCSI_Out\(7\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(8\)_PAD SCSI_Out\(8\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out\(9\)_PAD SCSI_Out\(9\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(0\)_PAD SCSI_Out_DBx\(0\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(1\)_PAD SCSI_Out_DBx\(1\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(2\)_PAD SCSI_Out_DBx\(2\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(3\)_PAD SCSI_Out_DBx\(3\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(4\)_PAD SCSI_Out_DBx\(4\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(5\)_PAD SCSI_Out_DBx\(5\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(6\)_PAD SCSI_Out_DBx\(6\).pad_in (0.000:0.000:0.000)) + (INTERCONNECT SCSI_Out_DBx\(7\)_PAD SCSI_Out_DBx\(7\).pad_in (0.000:0.000:0.000)) + ) + ) + ) +) diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.svd b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.svd new file mode 100755 index 0000000..2171fc7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.svd @@ -0,0 +1,494 @@ + + + CY8C5267AXI_LP051 + 0.1 + CY8C52LP + 8 + 32 + + + USBFS + USBFS + 0x40004394 + + 0 + 0x1D0A + registers + + + + USBFS_PM_USB_CR0 + USB Power Mode Control Register 0 + 0x0 + 8 + read-write + 0 + 0 + + + fsusbio_ref_en + No description available + 0 + 0 + read-write + + + fsusbio_pd_n + No description available + 1 + 1 + read-write + + + fsusbio_pd_pullup_n + No description available + 2 + 2 + read-write + + + + + USBFS_PM_ACT_CFG + Active Power Mode Configuration Register + 0x11 + 8 + read-write + 0 + 0 + + + USBFS_PM_STBY_CFG + Standby Power Mode Configuration Register + 0x21 + 8 + read-write + 0 + 0 + + + USBFS_PRT_PS + Port Pin State Register + 0xE5D + 8 + read-write + 0 + 0 + + + PinState_DP + No description available + 6 + 6 + read-only + + + PinState_DM + No description available + 7 + 7 + read-only + + + + + USBFS_PRT_DM0 + Port Drive Mode Register + 0xE5E + 8 + read-write + 0 + 0 + + + DriveMode_DP + No description available + 6 + 6 + read-write + + + DriveMode_DM + No description available + 7 + 7 + read-write + + + + + USBFS_PRT_DM1 + Port Drive Mode Register + 0xE5F + 8 + read-write + 0 + 0 + + + PullUp_en_DP + No description available + 6 + 6 + read-write + + + PullUp_en_DM + No description available + 7 + 7 + read-write + + + + + USBFS_PRT_INP_DIS + Input buffer disable override + 0xE64 + 8 + read-write + 0 + 0 + + + seinput_dis_dp + No description available + 6 + 6 + read-write + + + seinput_dis_dm + No description available + 7 + 7 + read-write + + + + + USBFS_EP0_DR0 + bmRequestType + 0x1C6C + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR1 + bRequest + 0x1C6D + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR2 + wValueLo + 0x1C6E + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR3 + wValueHi + 0x1C6F + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR4 + wIndexLo + 0x1C70 + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR5 + wIndexHi + 0x1C71 + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR6 + lengthLo + 0x1C72 + 8 + read-write + 0 + 0 + + + USBFS_EP0_DR7 + lengthHi + 0x1C73 + 8 + read-write + 0 + 0 + + + USBFS_CR0 + USB Control Register 0 + 0x1C74 + 8 + read-write + 0 + 0 + + + device_address + No description available + 6 + 0 + read-only + + + usb_enable + No description available + 7 + 7 + read-write + + + + + USBFS_CR1 + USB Control Register 1 + 0x1C75 + 8 + read-write + 0 + 0 + + + reg_enable + No description available + 0 + 0 + read-write + + + enable_lock + No description available + 1 + 1 + read-write + + + bus_activity + No description available + 2 + 2 + read-write + + + trim_offset_msb + No description available + 3 + 3 + read-write + + + + + USBFS_SIE_EP1_CR0 + The Endpoint1 Control Register + 0x1C7A + 8 + read-write + 0 + 0 + + + USBFS_USBIO_CR0 + USBIO Control Register 0 + 0x1C7C + 8 + read-write + 0 + 0 + + + rd + No description available + 0 + 0 + read-only + + + td + No description available + 5 + 5 + read-write + + + tse0 + No description available + 6 + 6 + read-write + + + ten + No description available + 7 + 7 + read-write + + + + + USBFS_USBIO_CR1 + USBIO Control Register 1 + 0x1C7E + 8 + read-write + 0 + 0 + + + dmo + No description available + 0 + 0 + read-only + + + dpo + No description available + 1 + 1 + read-only + + + usbpuen + No description available + 2 + 2 + read-write + + + iomode + No description available + 5 + 5 + read-write + + + + + USBFS_SIE_EP2_CR0 + The Endpoint2 Control Register + 0x1C8A + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP3_CR0 + The Endpoint3 Control Register + 0x1C9A + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP4_CR0 + The Endpoint4 Control Register + 0x1CAA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP5_CR0 + The Endpoint5 Control Register + 0x1CBA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP6_CR0 + The Endpoint6 Control Register + 0x1CCA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP7_CR0 + The Endpoint7 Control Register + 0x1CDA + 8 + read-write + 0 + 0 + + + USBFS_SIE_EP8_CR0 + The Endpoint8 Control Register + 0x1CEA + 8 + read-write + 0 + 0 + + + USBFS_BUF_SIZE + Dedicated Endpoint Buffer Size Register + 0x1CF8 + 8 + read-write + 0 + 0 + + + USBFS_EP_ACTIVE + Endpoint Active Indication Register + 0x1CFA + 8 + read-write + 0 + 0 + + + USBFS_EP_TYPE + Endpoint Type (IN/OUT) Indication + 0x1CFB + 8 + read-write + 0 + 0 + + + USBFS_USB_CLK_EN + USB Block Clock Enable Register + 0x1D09 + 8 + read-write + 0 + 0 + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.tr b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.tr new file mode 100755 index 0000000..b1f0bab --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.tr @@ -0,0 +1,98 @@ +##################################################################### + Table of Contents +===================================================================== + 1::Clock Frequency Summary + 2::Clock Relationship Summary + 3::Datasheet Report + 3.1::Setup to Clock + 3.2::Clock to Out + 3.3::Pad to Pad + 4::Path Details for Clock Frequency Summary + 5::Path Details for Clock Relationship Summary +===================================================================== + End of Table of Contents +##################################################################### + +##################################################################### + 1::Clock Frequency Summary +===================================================================== +Number of clocks: 5 +Clock: CyBUS_CLK | N/A | Target: 64.00 MHz | +Clock: CyILO | N/A | Target: 0.10 MHz | +Clock: CyIMO | N/A | Target: 24.00 MHz | +Clock: CyMASTER_CLK | N/A | Target: 64.00 MHz | +Clock: CyPLL_OUT | N/A | Target: 64.00 MHz | + + ===================================================================== + End of Clock Frequency Summary + ##################################################################### + + + ##################################################################### + 2::Clock Relationship Summary + ===================================================================== + +Launch Clock Capture Clock Constraint(R-R) Slack(R-R) Constraint(R-F) Slack(R-F) Constraint(F-F) Slack(F-F) Constraint(F-R) Slack(F-R) + + ===================================================================== + End of Clock Relationship Summary + ##################################################################### + + + ##################################################################### + 3::Datasheet Report + +All values are in Picoseconds + ===================================================================== + +3.1::Setup to Clock +------------------- + +Port Name Setup to Clk Clock Name:Phase +--------- ------------ ---------------- + + +-----------------------3.2::Clock to Out +---------------------------------------- + +Port Name Clock to Out Clock Name:Phase +--------- ------------ ---------------- + + +-------------------------3.3::Pad to Pad +---------------------------------------- + +Port Name (Source) Port Name (Destination) Delay +------------------ ----------------------- ----- + +===================================================================== + End of Datasheet Report +##################################################################### +##################################################################### + 4::Path Details for Clock Frequency Summary + +===================================================================== + End of Path Details for Clock Frequency Summary +##################################################################### + + +##################################################################### + 5::Path Details for Clock Relationship Summary +===================================================================== + + +===================================================================== + End of Path Details for Clock Relationship Summary +##################################################################### + +##################################################################### + Detailed Report for all timing paths +===================================================================== +===================================================================== + End of Detailed Report for all timing paths +##################################################################### + +##################################################################### + End of Timing Report +##################################################################### + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.v b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.v new file mode 100755 index 0000000..1ae17d1 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.v @@ -0,0 +1,466 @@ +// ====================================================================== +// USB_Bootloader.v generated from TopDesign.cysch +// 10/26/2013 at 18:55 +// This file is auto generated. ANY EDITS YOU MAKE MAY BE LOST WHEN THIS FILE IS REGENERATED!!! +// ====================================================================== + +/* -- WARNING: The following section of defines are deprecated and will be removed in a future release -- */ +`define CYDEV_CHIP_DIE_LEOPARD 1 +`define CYDEV_CHIP_REV_LEOPARD_PRODUCTION 3 +`define CYDEV_CHIP_REV_LEOPARD_ES3 3 +`define CYDEV_CHIP_REV_LEOPARD_ES2 1 +`define CYDEV_CHIP_REV_LEOPARD_ES1 0 +`define CYDEV_CHIP_DIE_PSOC4A 2 +`define CYDEV_CHIP_REV_PSOC4A_PRODUCTION 17 +`define CYDEV_CHIP_REV_PSOC4A_ES0 17 +`define CYDEV_CHIP_DIE_PANTHER 3 +`define CYDEV_CHIP_REV_PANTHER_PRODUCTION 1 +`define CYDEV_CHIP_REV_PANTHER_ES1 1 +`define CYDEV_CHIP_REV_PANTHER_ES0 0 +`define CYDEV_CHIP_DIE_PSOC5LP 4 +`define CYDEV_CHIP_REV_PSOC5LP_PRODUCTION 0 +`define CYDEV_CHIP_REV_PSOC5LP_ES0 0 +`define CYDEV_CHIP_DIE_EXPECT 4 +`define CYDEV_CHIP_REV_EXPECT 0 +`define CYDEV_CHIP_DIE_ACTUAL 4 +/* -- WARNING: The previous section of defines are deprecated and will be removed in a future release -- */ +`define CYDEV_CHIP_FAMILY_UNKNOWN 0 +`define CYDEV_CHIP_MEMBER_UNKNOWN 0 +`define CYDEV_CHIP_FAMILY_PSOC3 1 +`define CYDEV_CHIP_MEMBER_3A 1 +`define CYDEV_CHIP_REVISION_3A_PRODUCTION 3 +`define CYDEV_CHIP_REVISION_3A_ES3 3 +`define CYDEV_CHIP_REVISION_3A_ES2 1 +`define CYDEV_CHIP_REVISION_3A_ES1 0 +`define CYDEV_CHIP_FAMILY_PSOC4 2 +`define CYDEV_CHIP_MEMBER_4A 2 +`define CYDEV_CHIP_REVISION_4A_PRODUCTION 17 +`define CYDEV_CHIP_REVISION_4A_ES0 17 +`define CYDEV_CHIP_FAMILY_PSOC5 3 +`define CYDEV_CHIP_MEMBER_5A 3 +`define CYDEV_CHIP_REVISION_5A_PRODUCTION 1 +`define CYDEV_CHIP_REVISION_5A_ES1 1 +`define CYDEV_CHIP_REVISION_5A_ES0 0 +`define CYDEV_CHIP_MEMBER_5B 4 +`define CYDEV_CHIP_REVISION_5B_PRODUCTION 0 +`define CYDEV_CHIP_REVISION_5B_ES0 0 +`define CYDEV_CHIP_FAMILY_USED 3 +`define CYDEV_CHIP_MEMBER_USED 4 +`define CYDEV_CHIP_REVISION_USED 0 +// USBFS_v2_60(AudioDescriptors= , CDCDescriptors= , DeviceDescriptors= DEVICE 18 75 76 Cypress Semiconductor PSoC3 Bootloader 0001 0 0 0 0 1204 46877 12289 1 2 0 1 0 0 CONFIGURATION 9 0 41 1 0 0 128 0 ALTERNATE 0 INTERFACE 9 76 3 2 0 0 2 PSoC3 Bootloader ENDPOINT 7 false 1 1 3 64 HID 9 1 55 0 0 1 34 36 ENDPOINT 7 false 1 130 3 64 , EnableCDCApi=true, EnableMidiApi=true, endpointMA=0, endpointMM=0, extern_cls=false, extern_vbus=false, extern_vnd=false, extJackCount=0, HIDReportDescriptors= HID_REPORT 2 Generic HID 36 HID_REPORT_ITEM 1 (Generic Desktop Controls) 5 1 List HID_REPORT_ITEM 1 (Undefined) 9 0 List HID_REPORT_ITEM 1 (Physical) 161 0 List HID_REPORT_ITEM 1 (Undefined) 9 0 List HID_REPORT_ITEM 1 (Physical) 161 0 List HID_REPORT_ITEM 1 (Undefined) 9 0 List HID_REPORT_ITEM 1 21 0 Int HID_REPORT_ITEM 1 37 255 Int HID_REPORT_ITEM 1 117 8 Int HID_REPORT_ITEM 1 149 64 Int HID_REPORT_ITEM 1 (Var) 145 2 Bits HID_REPORT_ITEM 1 (Undefined) 9 0 List HID_REPORT_ITEM 1 21 0 Int HID_REPORT_ITEM 1 37 255 Int HID_REPORT_ITEM 1 117 8 Int HID_REPORT_ITEM 1 149 64 Int HID_REPORT_ITEM 1 (Var) 129 2 Bits HID_REPORT_ITEM 1 192 None HID_REPORT_ITEM 1 192 None , max_interfaces_num=1, MidiDescriptors= , Mode=false, mon_vbus=false, out_sof=false, Pid=F232, rm_arb_int=false, rm_dma_1=true, rm_dma_2=true, rm_dma_3=true, rm_dma_4=true, rm_dma_5=true, rm_dma_6=true, rm_dma_7=true, rm_dma_8=true, rm_dp_int=false, rm_ep_isr_0=false, rm_ep_isr_1=false, rm_ep_isr_2=false, rm_ep_isr_3=true, rm_ep_isr_4=true, rm_ep_isr_5=true, rm_ep_isr_6=true, rm_ep_isr_7=true, rm_ep_isr_8=true, rm_ord_int=true, rm_sof_int=false, rm_usb_int=false, StringDescriptors= STRING 4 1033 STRING 44 USER_ENTERED_TEXT Cypress Semiconductor false STRING 34 USER_ENTERED_TEXT PSoC3 Bootloader false STRING 10 USER_ENTERED_TEXT 0001 true STRING 16 USER_ENTERED_TEXT MSFT100 false , Vid=04B4, CY_COMPONENT_NAME=USBFS_v2_60, CY_CONTROL_FILE=<:default:>, CY_FITTER_NAME=USBFS, CY_INSTANCE_SHORT_NAME=USBFS, CY_MAJOR_VERSION=2, CY_MINOR_VERSION=60, CY_REMOVE=false, CY_SUPPRESS_API_GEN=false, CY_VERSION=cydsfit No Version Information Found, INSTANCE_NAME=USBFS, ) +module USBFS_v2_60_0 ( + sof, + vbusdet); + output sof; + input vbusdet; + + + wire [7:0] dma_req; + wire [8:0] ept_int; + wire Net_1106; + wire [7:0] Net_1105; + wire Net_1104; + wire Net_1103; + wire Net_1102; + wire Net_1101; + wire Net_1100; + wire Net_1099; + wire Net_1098; + wire Net_1097; + wire Net_1096; + wire Net_1013; + wire Net_1014; + wire Net_1015; + wire Net_1016; + wire Net_1017; + wire Net_1018; + wire Net_1019; + wire Net_1020; + wire Net_1010; + electrical Net_1000; + wire Net_79; + wire Net_81; + wire Net_95; + electrical Net_597; + wire Net_824; + + cy_psoc3_usb_v1_0 USB ( + .dp(Net_1000), + .dm(Net_597), + .sof_int(sof), + .arb_int(Net_79), + .usb_int(Net_81), + .ept_int(ept_int[8:0]), + .ord_int(Net_95), + .dma_req(dma_req[7:0]), + .dma_termin(Net_824)); + + + cy_isr_v1_0 + #(.int_type(2'b10)) + sof_int + (.int_signal(sof)); + + + + cy_isr_v1_0 + #(.int_type(2'b10)) + arb_int + (.int_signal(Net_79)); + + + + cy_isr_v1_0 + #(.int_type(2'b10)) + bus_reset + (.int_signal(Net_81)); + + + + cy_isr_v1_0 + #(.int_type(2'b10)) + ep_0 + (.int_signal(ept_int[0:0])); + + + + cy_isr_v1_0 + #(.int_type(2'b10)) + ep_1 + (.int_signal(ept_int[1:1])); + + + + cy_isr_v1_0 + #(.int_type(2'b10)) + ep_2 + (.int_signal(ept_int[2:2])); + + + wire [0:0] tmpOE__Dm_net; + wire [0:0] tmpFB_0__Dm_net; + wire [0:0] tmpIO_0__Dm_net; + wire [0:0] tmpINTERRUPT_0__Dm_net; + electrical [0:0] tmpSIOVREF__Dm_net; + + cy_psoc3_pins_v1_10 + #(.id("f9248435-5d3e-4e4d-bbae-bdae8795c3dd/8b77a6c4-10a0-4390-971c-672353e2a49c"), + .drive_mode(3'b000), + .ibuf_enabled(1'b0), + .init_dr_st(1'b0), + .input_clk_en(0), + .input_sync(1'b1), + .input_sync_mode(1'b0), + .intr_mode(2'b00), + .invert_in_clock(0), + .invert_in_clock_en(0), + .invert_in_reset(0), + .invert_out_clock(0), + .invert_out_clock_en(0), + .invert_out_reset(0), + .io_voltage(""), + .layout_mode("NONCONTIGUOUS"), + .oe_conn(1'b0), + .oe_reset(0), + .oe_sync(1'b0), + .output_clk_en(0), + .output_clock_mode(1'b0), + .output_conn(1'b0), + .output_mode(1'b0), + .output_reset(0), + .output_sync(1'b0), + .pa_in_clock(-1), + .pa_in_clock_en(-1), + .pa_in_reset(-1), + .pa_out_clock(-1), + .pa_out_clock_en(-1), + .pa_out_reset(-1), + .pin_aliases(""), + .pin_mode("A"), + .por_state(4), + .use_annotation(1'b0), + .sio_group_cnt(0), + .sio_hyst(1'b0), + .sio_ibuf(""), + .sio_info(2'b00), + .sio_obuf(""), + .sio_refsel(""), + .sio_vtrip(""), + .slew_rate(1'b0), + .spanning(1), + .vtrip(2'b10), + .width(1)) + Dm + (.oe(tmpOE__Dm_net), + .y({1'b0}), + .fb({tmpFB_0__Dm_net[0:0]}), + .analog({Net_597}), + .io({tmpIO_0__Dm_net[0:0]}), + .siovref(tmpSIOVREF__Dm_net), + .interrupt({tmpINTERRUPT_0__Dm_net[0:0]}), + .in_clock({1'b0}), + .in_clock_en({1'b1}), + .in_reset({1'b0}), + .out_clock({1'b0}), + .out_clock_en({1'b1}), + .out_reset({1'b0})); + + assign tmpOE__Dm_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; + + wire [0:0] tmpOE__Dp_net; + wire [0:0] tmpFB_0__Dp_net; + wire [0:0] tmpIO_0__Dp_net; + electrical [0:0] tmpSIOVREF__Dp_net; + + cy_psoc3_pins_v1_10 + #(.id("f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42"), + .drive_mode(3'b000), + .ibuf_enabled(1'b0), + .init_dr_st(1'b0), + .input_clk_en(0), + .input_sync(1'b1), + .input_sync_mode(1'b0), + .intr_mode(2'b10), + .invert_in_clock(0), + .invert_in_clock_en(0), + .invert_in_reset(0), + .invert_out_clock(0), + .invert_out_clock_en(0), + .invert_out_reset(0), + .io_voltage(""), + .layout_mode("CONTIGUOUS"), + .oe_conn(1'b0), + .oe_reset(0), + .oe_sync(1'b0), + .output_clk_en(0), + .output_clock_mode(1'b0), + .output_conn(1'b0), + .output_mode(1'b0), + .output_reset(0), + .output_sync(1'b0), + .pa_in_clock(-1), + .pa_in_clock_en(-1), + .pa_in_reset(-1), + .pa_out_clock(-1), + .pa_out_clock_en(-1), + .pa_out_reset(-1), + .pin_aliases(""), + .pin_mode("I"), + .por_state(4), + .use_annotation(1'b0), + .sio_group_cnt(0), + .sio_hyst(1'b0), + .sio_ibuf(""), + .sio_info(2'b00), + .sio_obuf(""), + .sio_refsel(""), + .sio_vtrip(""), + .slew_rate(1'b0), + .spanning(0), + .vtrip(2'b00), + .width(1)) + Dp + (.oe(tmpOE__Dp_net), + .y({1'b0}), + .fb({tmpFB_0__Dp_net[0:0]}), + .analog({Net_1000}), + .io({tmpIO_0__Dp_net[0:0]}), + .siovref(tmpSIOVREF__Dp_net), + .interrupt({Net_1010}), + .in_clock({1'b0}), + .in_clock_en({1'b1}), + .in_reset({1'b0}), + .out_clock({1'b0}), + .out_clock_en({1'b1}), + .out_reset({1'b0})); + + assign tmpOE__Dp_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{1'b1} : {1'b1}; + + + cy_isr_v1_0 + #(.int_type(2'b10)) + dp_int + (.int_signal(Net_1010)); + + + + cy_clock_v1_0 + #(.id("f9248435-5d3e-4e4d-bbae-bdae8795c3dd/03f503a7-085a-4304-b786-de885b1c2f21"), + .source_clock_id("75C2148C-3656-4d8a-846D-0CAE99AB6FF7"), + .divisor(0), + .period("0"), + .is_direct(1), + .is_digital(1)) + Clock_vbus + (.clock_out(Net_1099)); + + + + +endmodule + +// top +module top ; + + electrical Net_36; + electrical Net_35; + electrical Net_34; + electrical Net_33; + electrical Net_32; + electrical Net_31; + electrical Net_30; + electrical Net_29; + electrical Net_28; + electrical Net_27; + electrical [7:0] Net_37; + wire Net_41; + wire Net_40; + + USBFS_v2_60_0 USBFS ( + .sof(Net_40), + .vbusdet(1'b0)); + + wire [7:0] tmpOE__SCSI_Out_DBx_net; + wire [7:0] tmpFB_7__SCSI_Out_DBx_net; + wire [7:0] tmpIO_7__SCSI_Out_DBx_net; + wire [0:0] tmpINTERRUPT_0__SCSI_Out_DBx_net; + electrical [0:0] tmpSIOVREF__SCSI_Out_DBx_net; + + cy_psoc3_pins_v1_10 + #(.id("52f31aa9-2f0a-497d-9a1f-1424095e13e6"), + .drive_mode(24'b110_110_110_110_110_110_110_110), + .ibuf_enabled(8'b1_1_1_1_1_1_1_1), + .init_dr_st(8'b0_0_0_0_0_0_0_0), + .input_clk_en(0), + .input_sync(8'b1_1_1_1_1_1_1_1), + .input_sync_mode(8'b0_0_0_0_0_0_0_0), + .intr_mode(16'b00_00_00_00_00_00_00_00), + .invert_in_clock(0), + .invert_in_clock_en(0), + .invert_in_reset(0), + .invert_out_clock(0), + .invert_out_clock_en(0), + .invert_out_reset(0), + .io_voltage(", , , , , , , 5"), + .layout_mode("NONCONTIGUOUS"), + .oe_conn(8'b0_0_0_0_0_0_0_0), + .oe_reset(0), + .oe_sync(8'b0_0_0_0_0_0_0_0), + .output_clk_en(0), + .output_clock_mode(8'b0_0_0_0_0_0_0_0), + .output_conn(8'b0_0_0_0_0_0_0_0), + .output_mode(8'b0_0_0_0_0_0_0_0), + .output_reset(0), + .output_sync(8'b0_0_0_0_0_0_0_0), + .pa_in_clock(-1), + .pa_in_clock_en(-1), + .pa_in_reset(-1), + .pa_out_clock(-1), + .pa_out_clock_en(-1), + .pa_out_reset(-1), + .pin_aliases("DB0,DB1,DB2,DB3,DB4,DB5,DB6,DB7"), + .pin_mode("OOOOOOOO"), + .por_state(4), + .use_annotation(8'b1_1_1_1_1_1_1_1), + .sio_group_cnt(0), + .sio_hyst(8'b0_0_0_0_0_0_0_0), + .sio_ibuf(""), + .sio_info(16'b00_00_00_00_00_00_00_00), + .sio_obuf(""), + .sio_refsel(""), + .sio_vtrip(""), + .slew_rate(8'b0_0_0_0_0_0_0_0), + .spanning(1), + .vtrip(16'b10_10_10_10_10_10_10_10), + .width(8)) + SCSI_Out_DBx + (.oe(tmpOE__SCSI_Out_DBx_net), + .y({8'b0}), + .fb({tmpFB_7__SCSI_Out_DBx_net[7:0]}), + .io({tmpIO_7__SCSI_Out_DBx_net[7:0]}), + .siovref(tmpSIOVREF__SCSI_Out_DBx_net), + .interrupt({tmpINTERRUPT_0__SCSI_Out_DBx_net[0:0]}), + .annotation({Net_37[7:0]}), + .in_clock({1'b0}), + .in_clock_en({1'b1}), + .in_reset({1'b0}), + .out_clock({1'b0}), + .out_clock_en({1'b1}), + .out_reset({1'b0})); + + assign tmpOE__SCSI_Out_DBx_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{8'b11111111} : {8'b11111111}; + + wire [9:0] tmpOE__SCSI_Out_net; + wire [9:0] tmpFB_9__SCSI_Out_net; + wire [9:0] tmpIO_9__SCSI_Out_net; + wire [0:0] tmpINTERRUPT_0__SCSI_Out_net; + electrical [0:0] tmpSIOVREF__SCSI_Out_net; + + cy_psoc3_pins_v1_10 + #(.id("11f071e8-9c92-47e0-872a-3f48765a75b8"), + .drive_mode(30'b110_110_110_110_110_110_110_110_110_110), + .ibuf_enabled(10'b1_1_1_1_1_1_1_1_1_1), + .init_dr_st(10'b0_0_0_0_0_0_0_0_0_0), + .input_clk_en(0), + .input_sync(10'b1_1_1_1_1_1_1_1_1_1), + .input_sync_mode(10'b0_0_0_0_0_0_0_0_0_0), + .intr_mode(20'b00_00_00_00_00_00_00_00_00_00), + .invert_in_clock(0), + .invert_in_clock_en(0), + .invert_in_reset(0), + .invert_out_clock(0), + .invert_out_clock_en(0), + .invert_out_reset(0), + .io_voltage("5, 5, 5, 5, 5, 5, 5, 5, 5, 5"), + .layout_mode("NONCONTIGUOUS"), + .oe_conn(10'b0_0_0_0_0_0_0_0_0_0), + .oe_reset(0), + .oe_sync(10'b0_0_0_0_0_0_0_0_0_0), + .output_clk_en(0), + .output_clock_mode(10'b0_0_0_0_0_0_0_0_0_0), + .output_conn(10'b0_0_0_0_0_0_0_0_0_0), + .output_mode(10'b0_0_0_0_0_0_0_0_0_0), + .output_reset(0), + .output_sync(10'b0_0_0_0_0_0_0_0_0_0), + .pa_in_clock(-1), + .pa_in_clock_en(-1), + .pa_in_reset(-1), + .pa_out_clock(-1), + .pa_out_clock_en(-1), + .pa_out_reset(-1), + .pin_aliases("DBP_raw,ATN,BSY,ACK,RST,MSG,SEL,CD,REQ,IO_raw"), + .pin_mode("OOOOOOOOOO"), + .por_state(4), + .use_annotation(10'b1_1_1_1_1_1_1_1_1_1), + .sio_group_cnt(0), + .sio_hyst(10'b0_0_0_0_0_0_0_0_0_0), + .sio_ibuf(""), + .sio_info(20'b00_00_00_00_00_00_00_00_00_00), + .sio_obuf(""), + .sio_refsel(""), + .sio_vtrip(""), + .slew_rate(10'b0_0_0_0_0_0_0_0_0_0), + .spanning(1), + .vtrip(20'b10_10_10_10_10_10_10_10_10_10), + .width(10)) + SCSI_Out + (.oe(tmpOE__SCSI_Out_net), + .y({10'b0}), + .fb({tmpFB_9__SCSI_Out_net[9:0]}), + .io({tmpIO_9__SCSI_Out_net[9:0]}), + .siovref(tmpSIOVREF__SCSI_Out_net), + .interrupt({tmpINTERRUPT_0__SCSI_Out_net[0:0]}), + .annotation({Net_36, Net_35, Net_34, Net_33, Net_32, Net_31, Net_30, Net_29, Net_28, Net_27}), + .in_clock({1'b0}), + .in_clock_en({1'b1}), + .in_reset({1'b0}), + .out_clock({1'b0}), + .out_clock_en({1'b1}), + .out_reset({1'b0})); + + assign tmpOE__SCSI_Out_net = (`CYDEV_CHIP_MEMBER_USED == `CYDEV_CHIP_MEMBER_3A && `CYDEV_CHIP_REVISION_USED < `CYDEV_CHIP_REVISION_3A_ES3) ? ~{10'b1111111111} : {10'b1111111111}; + + + +endmodule + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.vh2 b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.vh2 new file mode 100755 index 0000000..6b1877c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.vh2 @@ -0,0 +1,458 @@ +-- +-- Conversion of USB_Bootloader.v to vh2: +-- +-- Cypress Semiconductor - WARP Version 6.3 IR 41 +-- Sat Oct 26 18:55:17 2013 +-- + +USE cypress.cypress.all; +USE cypress.rtlpkg.all; +ENTITY top_RTL IS +ATTRIBUTE part_name of top_RTL:TYPE IS "cpsoc3"; +END top_RTL; +-------------------------------------------------------- +ARCHITECTURE R_T_L OF top_RTL IS +TERMINAL \USBFS:Net_1000\ : bit; +TERMINAL \USBFS:Net_597\ : bit; +SIGNAL Net_40 : bit; +SIGNAL \USBFS:Net_79\ : bit; +SIGNAL \USBFS:Net_81\ : bit; +SIGNAL \USBFS:ept_int_8\ : bit; +SIGNAL \USBFS:ept_int_7\ : bit; +SIGNAL \USBFS:ept_int_6\ : bit; +SIGNAL \USBFS:ept_int_5\ : bit; +SIGNAL \USBFS:ept_int_4\ : bit; +SIGNAL \USBFS:ept_int_3\ : bit; +SIGNAL \USBFS:ept_int_2\ : bit; +SIGNAL \USBFS:ept_int_1\ : bit; +SIGNAL \USBFS:ept_int_0\ : bit; +SIGNAL \USBFS:Net_95\ : bit; +SIGNAL \USBFS:dma_req_7\ : bit; +SIGNAL \USBFS:dma_req_6\ : bit; +SIGNAL \USBFS:dma_req_5\ : bit; +SIGNAL \USBFS:dma_req_4\ : bit; +SIGNAL \USBFS:dma_req_3\ : bit; +SIGNAL \USBFS:dma_req_2\ : bit; +SIGNAL \USBFS:dma_req_1\ : bit; +SIGNAL \USBFS:dma_req_0\ : bit; +SIGNAL \USBFS:Net_824\ : bit; +SIGNAL \USBFS:tmpOE__Dm_net_0\ : bit; +SIGNAL zero : bit; +SIGNAL \USBFS:tmpFB_0__Dm_net_0\ : bit; +SIGNAL \USBFS:tmpIO_0__Dm_net_0\ : bit; +TERMINAL \USBFS:tmpSIOVREF__Dm_net_0\ : bit; +SIGNAL one : bit; +SIGNAL \USBFS:tmpINTERRUPT_0__Dm_net_0\ : bit; +SIGNAL \USBFS:tmpOE__Dp_net_0\ : bit; +SIGNAL \USBFS:tmpFB_0__Dp_net_0\ : bit; +SIGNAL \USBFS:tmpIO_0__Dp_net_0\ : bit; +TERMINAL \USBFS:tmpSIOVREF__Dp_net_0\ : bit; +SIGNAL \USBFS:Net_1010\ : bit; +SIGNAL \USBFS:Net_1099\ : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_7 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_6 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_5 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_4 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_3 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_2 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_1 : bit; +SIGNAL tmpOE__SCSI_Out_DBx_net_0 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_7 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_6 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_5 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_4 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_3 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_2 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_1 : bit; +SIGNAL tmpFB_7__SCSI_Out_DBx_net_0 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_7 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_6 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_5 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_4 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_3 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_2 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_1 : bit; +SIGNAL tmpIO_7__SCSI_Out_DBx_net_0 : bit; +TERMINAL tmpSIOVREF__SCSI_Out_DBx_net_0 : bit; +TERMINAL Net_37_7 : bit; +TERMINAL Net_37_6 : bit; +TERMINAL Net_37_5 : bit; +TERMINAL Net_37_4 : bit; +TERMINAL Net_37_3 : bit; +TERMINAL Net_37_2 : bit; +TERMINAL Net_37_1 : bit; +TERMINAL Net_37_0 : bit; +SIGNAL tmpINTERRUPT_0__SCSI_Out_DBx_net_0 : bit; +SIGNAL tmpOE__SCSI_Out_net_9 : bit; +SIGNAL tmpOE__SCSI_Out_net_8 : bit; +SIGNAL tmpOE__SCSI_Out_net_7 : bit; +SIGNAL tmpOE__SCSI_Out_net_6 : bit; +SIGNAL tmpOE__SCSI_Out_net_5 : bit; +SIGNAL tmpOE__SCSI_Out_net_4 : bit; +SIGNAL tmpOE__SCSI_Out_net_3 : bit; +SIGNAL tmpOE__SCSI_Out_net_2 : bit; +SIGNAL tmpOE__SCSI_Out_net_1 : bit; +SIGNAL tmpOE__SCSI_Out_net_0 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_9 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_8 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_7 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_6 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_5 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_4 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_3 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_2 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_1 : bit; +SIGNAL tmpFB_9__SCSI_Out_net_0 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_9 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_8 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_7 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_6 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_5 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_4 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_3 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_2 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_1 : bit; +SIGNAL tmpIO_9__SCSI_Out_net_0 : bit; +TERMINAL tmpSIOVREF__SCSI_Out_net_0 : bit; +TERMINAL Net_36 : bit; +TERMINAL Net_35 : bit; +TERMINAL Net_34 : bit; +TERMINAL Net_33 : bit; +TERMINAL Net_32 : bit; +TERMINAL Net_31 : bit; +TERMINAL Net_30 : bit; +TERMINAL Net_29 : bit; +TERMINAL Net_28 : bit; +TERMINAL Net_27 : bit; +SIGNAL tmpINTERRUPT_0__SCSI_Out_net_0 : bit; +BEGIN + +zero <= ('0') ; + +one <= ('1') ; + +\USBFS:USB\:cy_psoc3_usb_v1_0 + GENERIC MAP(cy_registers=>"") + PORT MAP(dp=>\USBFS:Net_1000\, + dm=>\USBFS:Net_597\, + sof_int=>Net_40, + arb_int=>\USBFS:Net_79\, + usb_int=>\USBFS:Net_81\, + ept_int=>(\USBFS:ept_int_8\, \USBFS:ept_int_7\, \USBFS:ept_int_6\, \USBFS:ept_int_5\, + \USBFS:ept_int_4\, \USBFS:ept_int_3\, \USBFS:ept_int_2\, \USBFS:ept_int_1\, + \USBFS:ept_int_0\), + ord_int=>\USBFS:Net_95\, + dma_req=>(\USBFS:dma_req_7\, \USBFS:dma_req_6\, \USBFS:dma_req_5\, \USBFS:dma_req_4\, + \USBFS:dma_req_3\, \USBFS:dma_req_2\, \USBFS:dma_req_1\, \USBFS:dma_req_0\), + dma_termin=>\USBFS:Net_824\); +\USBFS:sof_int\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>Net_40); +\USBFS:arb_int\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>\USBFS:Net_79\); +\USBFS:bus_reset\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>\USBFS:Net_81\); +\USBFS:ep_0\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>\USBFS:ept_int_0\); +\USBFS:ep_1\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>\USBFS:ept_int_1\); +\USBFS:ep_2\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>\USBFS:ept_int_2\); +\USBFS:Dm\:cy_psoc3_pins_v1_10 + GENERIC MAP(id=>"f9248435-5d3e-4e4d-bbae-bdae8795c3dd/8b77a6c4-10a0-4390-971c-672353e2a49c", + drive_mode=>"000", + ibuf_enabled=>"0", + init_dr_st=>"0", + input_sync=>"1", + input_clk_en=>'0', + input_sync_mode=>"0", + intr_mode=>"00", + invert_in_clock=>'0', + invert_in_clock_en=>'0', + invert_in_reset=>'0', + invert_out_clock=>'0', + invert_out_clock_en=>'0', + invert_out_reset=>'0', + io_voltage=>"", + layout_mode=>"NONCONTIGUOUS", + output_conn=>"0", + output_sync=>"0", + output_clk_en=>'0', + output_mode=>"0", + output_reset=>'0', + output_clock_mode=>"0", + oe_sync=>"0", + oe_conn=>"0", + oe_reset=>'0', + pin_aliases=>"", + pin_mode=>"A", + por_state=>4, + sio_group_cnt=>0, + sio_hifreq=>"", + sio_hyst=>"0", + sio_ibuf=>"00000000", + sio_info=>"00", + sio_obuf=>"00000000", + sio_refsel=>"00000000", + sio_vtrip=>"00000000", + slew_rate=>"0", + spanning=>'1', + sw_only=>'0', + vtrip=>"10", + width=>1, + port_alias_required=>'0', + port_alias_group=>"", + use_annotation=>"0", + pa_in_clock=>-1, + pa_in_clock_en=>-1, + pa_in_reset=>-1, + pa_out_clock=>-1, + pa_out_clock_en=>-1, + pa_out_reset=>-1) + PORT MAP(oe=>(one), + y=>(zero), + fb=>(\USBFS:tmpFB_0__Dm_net_0\), + analog=>\USBFS:Net_597\, + io=>(\USBFS:tmpIO_0__Dm_net_0\), + siovref=>(\USBFS:tmpSIOVREF__Dm_net_0\), + annotation=>(open), + in_clock=>zero, + in_clock_en=>one, + in_reset=>zero, + out_clock=>zero, + out_clock_en=>one, + out_reset=>zero, + interrupt=>\USBFS:tmpINTERRUPT_0__Dm_net_0\); +\USBFS:Dp\:cy_psoc3_pins_v1_10 + GENERIC MAP(id=>"f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42", + drive_mode=>"000", + ibuf_enabled=>"0", + init_dr_st=>"0", + input_sync=>"1", + input_clk_en=>'0', + input_sync_mode=>"0", + intr_mode=>"10", + invert_in_clock=>'0', + invert_in_clock_en=>'0', + invert_in_reset=>'0', + invert_out_clock=>'0', + invert_out_clock_en=>'0', + invert_out_reset=>'0', + io_voltage=>"", + layout_mode=>"CONTIGUOUS", + output_conn=>"0", + output_sync=>"0", + output_clk_en=>'0', + output_mode=>"0", + output_reset=>'0', + output_clock_mode=>"0", + oe_sync=>"0", + oe_conn=>"0", + oe_reset=>'0', + pin_aliases=>"", + pin_mode=>"I", + por_state=>4, + sio_group_cnt=>0, + sio_hifreq=>"", + sio_hyst=>"0", + sio_ibuf=>"00000000", + sio_info=>"00", + sio_obuf=>"00000000", + sio_refsel=>"00000000", + sio_vtrip=>"00000000", + slew_rate=>"0", + spanning=>'0', + sw_only=>'0', + vtrip=>"00", + width=>1, + port_alias_required=>'0', + port_alias_group=>"", + use_annotation=>"0", + pa_in_clock=>-1, + pa_in_clock_en=>-1, + pa_in_reset=>-1, + pa_out_clock=>-1, + pa_out_clock_en=>-1, + pa_out_reset=>-1) + PORT MAP(oe=>(one), + y=>(zero), + fb=>(\USBFS:tmpFB_0__Dp_net_0\), + analog=>\USBFS:Net_1000\, + io=>(\USBFS:tmpIO_0__Dp_net_0\), + siovref=>(\USBFS:tmpSIOVREF__Dp_net_0\), + annotation=>(open), + in_clock=>zero, + in_clock_en=>one, + in_reset=>zero, + out_clock=>zero, + out_clock_en=>one, + out_reset=>zero, + interrupt=>\USBFS:Net_1010\); +\USBFS:dp_int\:cy_isr_v1_0 + GENERIC MAP(int_type=>"10") + PORT MAP(int_signal=>\USBFS:Net_1010\); +\USBFS:Clock_vbus\:cy_clock_v1_0 + GENERIC MAP(cy_registers=>"", + id=>"f9248435-5d3e-4e4d-bbae-bdae8795c3dd/03f503a7-085a-4304-b786-de885b1c2f21", + source_clock_id=>"75C2148C-3656-4d8a-846D-0CAE99AB6FF7", + divisor=>0, + period=>"0", + is_direct=>'1', + is_digital=>'1') + PORT MAP(clock_out=>\USBFS:Net_1099\, + dig_domain_out=>open); +SCSI_Out_DBx:cy_psoc3_pins_v1_10 + GENERIC MAP(id=>"52f31aa9-2f0a-497d-9a1f-1424095e13e6", + drive_mode=>"110110110110110110110110", + ibuf_enabled=>"11111111", + init_dr_st=>"00000000", + input_sync=>"11111111", + input_clk_en=>'0', + input_sync_mode=>"00000000", + intr_mode=>"0000000000000000", + invert_in_clock=>'0', + invert_in_clock_en=>'0', + invert_in_reset=>'0', + invert_out_clock=>'0', + invert_out_clock_en=>'0', + invert_out_reset=>'0', + io_voltage=>", , , , , , , 5", + layout_mode=>"NONCONTIGUOUS", + output_conn=>"00000000", + output_sync=>"00000000", + output_clk_en=>'0', + output_mode=>"00000000", + output_reset=>'0', + output_clock_mode=>"00000000", + oe_sync=>"00000000", + oe_conn=>"00000000", + oe_reset=>'0', + pin_aliases=>"DB0,DB1,DB2,DB3,DB4,DB5,DB6,DB7", + pin_mode=>"OOOOOOOO", + por_state=>4, + sio_group_cnt=>0, + sio_hifreq=>"", + sio_hyst=>"00000000", + sio_ibuf=>"00000000", + sio_info=>"0000000000000000", + sio_obuf=>"00000000", + sio_refsel=>"00000000", + sio_vtrip=>"00000000", + slew_rate=>"00000000", + spanning=>'1', + sw_only=>'0', + vtrip=>"1010101010101010", + width=>8, + port_alias_required=>'0', + port_alias_group=>"", + use_annotation=>"11111111", + pa_in_clock=>-1, + pa_in_clock_en=>-1, + pa_in_reset=>-1, + pa_out_clock=>-1, + pa_out_clock_en=>-1, + pa_out_reset=>-1) + PORT MAP(oe=>(one, one, one, one, + one, one, one, one), + y=>(zero, zero, zero, zero, + zero, zero, zero, zero), + fb=>(tmpFB_7__SCSI_Out_DBx_net_7, tmpFB_7__SCSI_Out_DBx_net_6, tmpFB_7__SCSI_Out_DBx_net_5, tmpFB_7__SCSI_Out_DBx_net_4, + tmpFB_7__SCSI_Out_DBx_net_3, tmpFB_7__SCSI_Out_DBx_net_2, tmpFB_7__SCSI_Out_DBx_net_1, tmpFB_7__SCSI_Out_DBx_net_0), + analog=>(open, open, open, open, + open, open, open, open), + io=>(tmpIO_7__SCSI_Out_DBx_net_7, tmpIO_7__SCSI_Out_DBx_net_6, tmpIO_7__SCSI_Out_DBx_net_5, tmpIO_7__SCSI_Out_DBx_net_4, + tmpIO_7__SCSI_Out_DBx_net_3, tmpIO_7__SCSI_Out_DBx_net_2, tmpIO_7__SCSI_Out_DBx_net_1, tmpIO_7__SCSI_Out_DBx_net_0), + siovref=>(tmpSIOVREF__SCSI_Out_DBx_net_0), + annotation=>(Net_37_7, Net_37_6, Net_37_5, Net_37_4, + Net_37_3, Net_37_2, Net_37_1, Net_37_0), + in_clock=>zero, + in_clock_en=>one, + in_reset=>zero, + out_clock=>zero, + out_clock_en=>one, + out_reset=>zero, + interrupt=>tmpINTERRUPT_0__SCSI_Out_DBx_net_0); +SCSI_Out:cy_psoc3_pins_v1_10 + GENERIC MAP(id=>"11f071e8-9c92-47e0-872a-3f48765a75b8", + drive_mode=>"110110110110110110110110110110", + ibuf_enabled=>"1111111111", + init_dr_st=>"0000000000", + input_sync=>"1111111111", + input_clk_en=>'0', + input_sync_mode=>"0000000000", + intr_mode=>"00000000000000000000", + invert_in_clock=>'0', + invert_in_clock_en=>'0', + invert_in_reset=>'0', + invert_out_clock=>'0', + invert_out_clock_en=>'0', + invert_out_reset=>'0', + io_voltage=>"5, 5, 5, 5, 5, 5, 5, 5, 5, 5", + layout_mode=>"NONCONTIGUOUS", + output_conn=>"0000000000", + output_sync=>"0000000000", + output_clk_en=>'0', + output_mode=>"0000000000", + output_reset=>'0', + output_clock_mode=>"0000000000", + oe_sync=>"0000000000", + oe_conn=>"0000000000", + oe_reset=>'0', + pin_aliases=>"DBP_raw,ATN,BSY,ACK,RST,MSG,SEL,CD,REQ,IO_raw", + pin_mode=>"OOOOOOOOOO", + por_state=>4, + sio_group_cnt=>0, + sio_hifreq=>"", + sio_hyst=>"0000000000", + sio_ibuf=>"00000000", + sio_info=>"00000000000000000000", + sio_obuf=>"00000000", + sio_refsel=>"00000000", + sio_vtrip=>"00000000", + slew_rate=>"0000000000", + spanning=>'1', + sw_only=>'0', + vtrip=>"10101010101010101010", + width=>10, + port_alias_required=>'0', + port_alias_group=>"", + use_annotation=>"1111111111", + pa_in_clock=>-1, + pa_in_clock_en=>-1, + pa_in_reset=>-1, + pa_out_clock=>-1, + pa_out_clock_en=>-1, + pa_out_reset=>-1) + PORT MAP(oe=>(one, one, one, one, + one, one, one, one, + one, one), + y=>(zero, zero, zero, zero, + zero, zero, zero, zero, + zero, zero), + fb=>(tmpFB_9__SCSI_Out_net_9, tmpFB_9__SCSI_Out_net_8, tmpFB_9__SCSI_Out_net_7, tmpFB_9__SCSI_Out_net_6, + tmpFB_9__SCSI_Out_net_5, tmpFB_9__SCSI_Out_net_4, tmpFB_9__SCSI_Out_net_3, tmpFB_9__SCSI_Out_net_2, + tmpFB_9__SCSI_Out_net_1, tmpFB_9__SCSI_Out_net_0), + analog=>(open, open, open, open, + open, open, open, open, + open, open), + io=>(tmpIO_9__SCSI_Out_net_9, tmpIO_9__SCSI_Out_net_8, tmpIO_9__SCSI_Out_net_7, tmpIO_9__SCSI_Out_net_6, + tmpIO_9__SCSI_Out_net_5, tmpIO_9__SCSI_Out_net_4, tmpIO_9__SCSI_Out_net_3, tmpIO_9__SCSI_Out_net_2, + tmpIO_9__SCSI_Out_net_1, tmpIO_9__SCSI_Out_net_0), + siovref=>(tmpSIOVREF__SCSI_Out_net_0), + annotation=>(Net_36, Net_35, Net_34, Net_33, + Net_32, Net_31, Net_30, Net_29, + Net_28, Net_27), + in_clock=>zero, + in_clock_en=>one, + in_reset=>zero, + out_clock=>zero, + out_clock_en=>one, + out_reset=>zero, + interrupt=>tmpINTERRUPT_0__SCSI_Out_net_0); + +END R_T_L; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.wde b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.wde new file mode 100755 index 0000000..83c0e4b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader.wde @@ -0,0 +1,5 @@ +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\ieee\work\stdlogic.vif +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\mod_genv.vif +USB_Bootloader.ctl +USB_Bootloader.v +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\warp\lib\common\stdlogic\rtlpkg.vif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.lib b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.lib new file mode 100755 index 0000000..ddcbe3a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.lib @@ -0,0 +1,1364 @@ +library (timing) { + timescale : 1ns; + capacitive_load_unit (1,ff); + include_file(device.lib); + cell (iocell1) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.445; + intrinsic_fall : 16.445; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.445; + intrinsic_fall : 16.445; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.093; + intrinsic_fall : 15.093; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.033; + intrinsic_fall : 7.033; + } + } + } + cell (iocell2) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.297; + intrinsic_fall : 17.297; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.297; + intrinsic_fall : 17.297; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.111; + intrinsic_fall : 15.111; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.255; + intrinsic_fall : 7.255; + } + } + } + cell (iocell3) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.269; + intrinsic_fall : 17.269; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.269; + intrinsic_fall : 17.269; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.495; + intrinsic_fall : 15.495; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.644; + intrinsic_fall : 7.644; + } + } + } + cell (iocell4) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.371; + intrinsic_fall : 17.371; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.371; + intrinsic_fall : 17.371; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.561; + intrinsic_fall : 15.561; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.354; + intrinsic_fall : 7.354; + } + } + } + cell (iocell5) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.182; + intrinsic_fall : 17.182; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.182; + intrinsic_fall : 17.182; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.023; + intrinsic_fall : 15.023; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 8.264; + intrinsic_fall : 8.264; + } + } + } + cell (iocell6) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.718; + intrinsic_fall : 17.718; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.718; + intrinsic_fall : 17.718; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.880; + intrinsic_fall : 14.880; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.563; + intrinsic_fall : 7.563; + } + } + } + cell (iocell7) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.610; + intrinsic_fall : 17.610; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.610; + intrinsic_fall : 17.610; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.744; + intrinsic_fall : 15.744; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.958; + intrinsic_fall : 7.958; + } + } + } + cell (iocell8) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.428; + intrinsic_fall : 17.428; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.428; + intrinsic_fall : 17.428; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.459; + intrinsic_fall : 15.459; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.950; + intrinsic_fall : 7.950; + } + } + } + cell (iocell9) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.434; + intrinsic_fall : 17.434; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.434; + intrinsic_fall : 17.434; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.802; + intrinsic_fall : 15.802; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.962; + intrinsic_fall : 7.962; + } + } + } + cell (iocell10) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.161; + intrinsic_fall : 17.161; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.161; + intrinsic_fall : 17.161; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.251; + intrinsic_fall : 15.251; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.922; + intrinsic_fall : 7.922; + } + } + } + cell (iocell11) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.840; + intrinsic_fall : 17.840; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.840; + intrinsic_fall : 17.840; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.011; + intrinsic_fall : 15.011; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.576; + intrinsic_fall : 7.576; + } + } + } + cell (iocell12) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.165; + intrinsic_fall : 17.165; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.165; + intrinsic_fall : 17.165; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.746; + intrinsic_fall : 15.746; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.331; + intrinsic_fall : 7.331; + } + } + } + cell (iocell13) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.973; + intrinsic_fall : 16.973; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.973; + intrinsic_fall : 16.973; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.880; + intrinsic_fall : 14.880; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.065; + intrinsic_fall : 7.065; + } + } + } + cell (iocell14) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.979; + intrinsic_fall : 16.979; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.979; + intrinsic_fall : 16.979; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.914; + intrinsic_fall : 14.914; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.816; + intrinsic_fall : 7.816; + } + } + } + cell (iocell15) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.374; + intrinsic_fall : 17.374; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.374; + intrinsic_fall : 17.374; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.222; + intrinsic_fall : 15.222; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.459; + intrinsic_fall : 7.459; + } + } + } + cell (iocell16) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.157; + intrinsic_fall : 17.157; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.157; + intrinsic_fall : 17.157; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.976; + intrinsic_fall : 15.976; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.582; + intrinsic_fall : 7.582; + } + } + } + cell (iocell17) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.578; + intrinsic_fall : 16.578; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.578; + intrinsic_fall : 16.578; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.347; + intrinsic_fall : 15.347; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.368; + intrinsic_fall : 7.368; + } + } + } + cell (iocell18) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.786; + intrinsic_fall : 17.786; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.786; + intrinsic_fall : 17.786; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 16.004; + intrinsic_fall : 16.004; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 6.974; + intrinsic_fall : 6.974; + } + } + } + cell (iocell19) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 19.053; + intrinsic_fall : 19.053; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 9.497; + intrinsic_fall : 9.497; + } + } + } + cell (iocell20) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 19.129; + intrinsic_fall : 19.129; + } + } + pin (fb) { + direction : output; + timing () { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 2.717; + intrinsic_fall : 2.717; + } + } + } +} diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.pco b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.pco new file mode 100755 index 0000000..60d37b3 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.pco @@ -0,0 +1,49 @@ +dont_use_io iocell 1 0 +dont_use_io iocell 1 1 +dont_use_io iocell 1 3 +dont_use_location comparatorcell -1 -1 1 +dont_use_location comparatorcell -1 -1 3 +dont_use_location sccell -1 -1 0 +dont_use_location sccell -1 -1 1 +dont_use_location sccell -1 -1 2 +dont_use_location sccell -1 -1 3 +dont_use_location vidaccell -1 -1 1 +dont_use_location vidaccell -1 -1 2 +dont_use_location vidaccell -1 -1 3 +dont_use_location sarcell -1 -1 1 +dont_use_location abufcell -1 -1 0 +dont_use_location abufcell -1 -1 2 +dont_use_location abufcell -1 -1 1 +dont_use_location abufcell -1 -1 3 +set_io "SCSI_Out(4)" iocell 0 5 +set_io "SCSI_Out_DBx(4)" iocell 4 7 +set_io "SCSI_Out(7)" iocell 0 2 +set_io "SCSI_Out_DBx(7)" iocell 4 4 +set_location "\USBFS:ep_0\" interrupt -1 -1 24 +set_location "\USBFS:ep_2\" interrupt -1 -1 1 +set_location "\USBFS:ep_1\" interrupt -1 -1 0 +set_location "\USBFS:dp_int\" interrupt -1 -1 12 +set_location "\USBFS:Dp\" logicalport -1 -1 8 +set_location "\USBFS:bus_reset\" interrupt -1 -1 23 +set_io "SCSI_Out(6)" iocell 0 3 +set_io "SCSI_Out_DBx(6)" iocell 4 5 +set_io "SCSI_Out(9)" iocell 0 0 +set_io "SCSI_Out(5)" iocell 0 4 +set_io "SCSI_Out_DBx(5)" iocell 4 6 +set_io "SCSI_Out(2)" iocell 0 7 +set_io "SCSI_Out_DBx(2)" iocell 6 1 +set_io "SCSI_Out(8)" iocell 0 1 +set_location "\USBFS:USB\" usbcell -1 -1 0 +set_location "\USBFS:arb_int\" interrupt -1 -1 22 +set_location "\USBFS:sof_int\" interrupt -1 -1 21 +set_io "SCSI_Out(1)" iocell 4 2 +set_io "SCSI_Out_DBx(1)" iocell 6 2 +set_io "SCSI_Out(0)" iocell 4 3 +set_io "SCSI_Out_DBx(0)" iocell 6 3 +# Note: port 15 is the logical name for port 8 +set_io "\USBFS:Dm(0)\" iocell 15 7 +set_io "SCSI_Out(3)" iocell 0 6 +set_io "SCSI_Out_DBx(3)" iocell 6 0 +set_location "ClockBlock" clockblockcell -1 -1 0 +# Note: port 15 is the logical name for port 8 +set_io "\USBFS:Dp(0)\" iocell 15 6 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.vh2 b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.vh2 new file mode 100755 index 0000000..cb3edc5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_p.vh2 @@ -0,0 +1,1808 @@ +-- Project: USB_Bootloader +-- Generated: 10/26/2013 18:55:19 +-- + +ENTITY USB_Bootloader IS + PORT( + SCSI_Out(0)_PAD : OUT std_ulogic; + SCSI_Out(1)_PAD : OUT std_ulogic; + SCSI_Out(2)_PAD : OUT std_ulogic; + SCSI_Out(3)_PAD : OUT std_ulogic; + SCSI_Out(4)_PAD : OUT std_ulogic; + SCSI_Out(5)_PAD : OUT std_ulogic; + SCSI_Out(6)_PAD : OUT std_ulogic; + SCSI_Out(7)_PAD : OUT std_ulogic; + SCSI_Out(8)_PAD : OUT std_ulogic; + SCSI_Out(9)_PAD : OUT std_ulogic; + SCSI_Out_DBx(0)_PAD : OUT std_ulogic; + SCSI_Out_DBx(1)_PAD : OUT std_ulogic; + SCSI_Out_DBx(2)_PAD : OUT std_ulogic; + SCSI_Out_DBx(3)_PAD : OUT std_ulogic; + SCSI_Out_DBx(4)_PAD : OUT std_ulogic; + SCSI_Out_DBx(5)_PAD : OUT std_ulogic; + SCSI_Out_DBx(6)_PAD : OUT std_ulogic; + SCSI_Out_DBx(7)_PAD : OUT std_ulogic); + ATTRIBUTE voltage_Vio1 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vusb OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vddd OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio3 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vdda OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio0 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio2 OF __DEFAULT__ : ENTITY IS 5e0; +END USB_Bootloader; + +ARCHITECTURE __DEFAULT__ OF USB_Bootloader IS + SIGNAL ClockBlock_100k : bit; + SIGNAL ClockBlock_1k : bit; + SIGNAL ClockBlock_32k : bit; + SIGNAL ClockBlock_BUS_CLK : bit; + ATTRIBUTE global_signal OF ClockBlock_BUS_CLK : SIGNAL IS true; + SIGNAL ClockBlock_BUS_CLK_local : bit; + SIGNAL ClockBlock_ILO : bit; + SIGNAL ClockBlock_IMO : bit; + SIGNAL ClockBlock_MASTER_CLK : bit; + SIGNAL ClockBlock_PLL_OUT : bit; + SIGNAL ClockBlock_XTAL : bit; + SIGNAL ClockBlock_XTAL_32KHZ : bit; + SIGNAL Net_40 : bit; + SIGNAL SCSI_Out(0)__PA : bit; + SIGNAL SCSI_Out(1)__PA : bit; + SIGNAL SCSI_Out(2)__PA : bit; + SIGNAL SCSI_Out(3)__PA : bit; + SIGNAL SCSI_Out(4)__PA : bit; + SIGNAL SCSI_Out(5)__PA : bit; + SIGNAL SCSI_Out(6)__PA : bit; + SIGNAL SCSI_Out(7)__PA : bit; + SIGNAL SCSI_Out(8)__PA : bit; + SIGNAL SCSI_Out(9)__PA : bit; + SIGNAL SCSI_Out_DBx(0)__PA : bit; + SIGNAL SCSI_Out_DBx(1)__PA : bit; + SIGNAL SCSI_Out_DBx(2)__PA : bit; + SIGNAL SCSI_Out_DBx(3)__PA : bit; + SIGNAL SCSI_Out_DBx(4)__PA : bit; + SIGNAL SCSI_Out_DBx(5)__PA : bit; + SIGNAL SCSI_Out_DBx(6)__PA : bit; + SIGNAL SCSI_Out_DBx(7)__PA : bit; + SIGNAL \\\USBFS:Dm(0)\\__PA\ : bit; + SIGNAL \\\USBFS:Dp(0)\\__PA\ : bit; + SIGNAL \USBFS:Net_1010\ : bit; + SIGNAL \USBFS:Net_79\ : bit; + SIGNAL \USBFS:Net_81\ : bit; + SIGNAL \USBFS:Net_824\ : bit; + SIGNAL \USBFS:Net_95\ : bit; + SIGNAL \USBFS:dma_req_0\ : bit; + SIGNAL \USBFS:dma_req_1\ : bit; + SIGNAL \USBFS:dma_req_2\ : bit; + SIGNAL \USBFS:dma_req_3\ : bit; + SIGNAL \USBFS:dma_req_4\ : bit; + SIGNAL \USBFS:dma_req_5\ : bit; + SIGNAL \USBFS:dma_req_6\ : bit; + SIGNAL \USBFS:dma_req_7\ : bit; + SIGNAL \USBFS:ept_int_0\ : bit; + SIGNAL \USBFS:ept_int_1\ : bit; + SIGNAL \USBFS:ept_int_2\ : bit; + SIGNAL \USBFS:ept_int_3\ : bit; + SIGNAL \USBFS:ept_int_4\ : bit; + SIGNAL \USBFS:ept_int_5\ : bit; + SIGNAL \USBFS:ept_int_6\ : bit; + SIGNAL \USBFS:ept_int_7\ : bit; + SIGNAL \USBFS:ept_int_8\ : bit; + SIGNAL __ONE__ : bit; + ATTRIBUTE POWER OF __ONE__ : SIGNAL IS true; + SIGNAL __ZERO__ : bit; + ATTRIBUTE GROUND OF __ZERO__ : SIGNAL IS true; + SIGNAL one : bit; + ATTRIBUTE POWER OF one : SIGNAL IS true; + SIGNAL zero : bit; + ATTRIBUTE GROUND OF zero : SIGNAL IS true; + ATTRIBUTE lib_model OF SCSI_Out(0) : LABEL IS "iocell1"; + ATTRIBUTE Location OF SCSI_Out(0) : LABEL IS "P4[3]"; + ATTRIBUTE lib_model OF SCSI_Out(1) : LABEL IS "iocell2"; + ATTRIBUTE Location OF SCSI_Out(1) : LABEL IS "P4[2]"; + ATTRIBUTE lib_model OF SCSI_Out(2) : LABEL IS "iocell3"; + ATTRIBUTE Location OF SCSI_Out(2) : LABEL IS "P0[7]"; + ATTRIBUTE lib_model OF SCSI_Out(3) : LABEL IS "iocell4"; + ATTRIBUTE Location OF SCSI_Out(3) : LABEL IS "P0[6]"; + ATTRIBUTE lib_model OF SCSI_Out(4) : LABEL IS "iocell5"; + ATTRIBUTE Location OF SCSI_Out(4) : LABEL IS "P0[5]"; + ATTRIBUTE lib_model OF SCSI_Out(5) : LABEL IS "iocell6"; + ATTRIBUTE Location OF SCSI_Out(5) : LABEL IS "P0[4]"; + ATTRIBUTE lib_model OF SCSI_Out(6) : LABEL IS "iocell7"; + ATTRIBUTE Location OF SCSI_Out(6) : LABEL IS "P0[3]"; + ATTRIBUTE lib_model OF SCSI_Out(7) : LABEL IS "iocell8"; + ATTRIBUTE Location OF SCSI_Out(7) : LABEL IS "P0[2]"; + ATTRIBUTE lib_model OF SCSI_Out(8) : LABEL IS "iocell9"; + ATTRIBUTE Location OF SCSI_Out(8) : LABEL IS "P0[1]"; + ATTRIBUTE lib_model OF SCSI_Out(9) : LABEL IS "iocell10"; + ATTRIBUTE Location OF SCSI_Out(9) : LABEL IS "P0[0]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(0) : LABEL IS "iocell11"; + ATTRIBUTE Location OF SCSI_Out_DBx(0) : LABEL IS "P6[3]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(1) : LABEL IS "iocell12"; + ATTRIBUTE Location OF SCSI_Out_DBx(1) : LABEL IS "P6[2]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(2) : LABEL IS "iocell13"; + ATTRIBUTE Location OF SCSI_Out_DBx(2) : LABEL IS "P6[1]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(3) : LABEL IS "iocell14"; + ATTRIBUTE Location OF SCSI_Out_DBx(3) : LABEL IS "P6[0]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(4) : LABEL IS "iocell15"; + ATTRIBUTE Location OF SCSI_Out_DBx(4) : LABEL IS "P4[7]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(5) : LABEL IS "iocell16"; + ATTRIBUTE Location OF SCSI_Out_DBx(5) : LABEL IS "P4[6]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(6) : LABEL IS "iocell17"; + ATTRIBUTE Location OF SCSI_Out_DBx(6) : LABEL IS "P4[5]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(7) : LABEL IS "iocell18"; + ATTRIBUTE Location OF SCSI_Out_DBx(7) : LABEL IS "P4[4]"; + ATTRIBUTE lib_model OF \USBFS:Dm(0)\ : LABEL IS "iocell19"; + ATTRIBUTE Location OF \USBFS:Dm(0)\ : LABEL IS "P15[7]"; + ATTRIBUTE lib_model OF \USBFS:Dp(0)\ : LABEL IS "iocell20"; + ATTRIBUTE Location OF \USBFS:Dp(0)\ : LABEL IS "P15[6]"; + ATTRIBUTE Location OF \USBFS:USB\ : LABEL IS "F(USB,0)"; + COMPONENT abufcell + END COMPONENT; + COMPONENT boostcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT cachecell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT cancell + PORT ( + clock : IN std_ulogic; + can_rx : IN std_ulogic; + can_tx : OUT std_ulogic; + can_tx_en : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT capsensecell + PORT ( + lft : IN std_ulogic; + rt : IN std_ulogic); + END COMPONENT; + COMPONENT clockblockcell + PORT ( + dclk_0 : OUT std_ulogic; + dclk_1 : OUT std_ulogic; + dclk_2 : OUT std_ulogic; + dclk_3 : OUT std_ulogic; + dclk_4 : OUT std_ulogic; + dclk_5 : OUT std_ulogic; + dclk_6 : OUT std_ulogic; + dclk_7 : OUT std_ulogic; + dclk_glb_0 : OUT std_ulogic; + dclk_glb_1 : OUT std_ulogic; + dclk_glb_2 : OUT std_ulogic; + dclk_glb_3 : OUT std_ulogic; + dclk_glb_4 : OUT std_ulogic; + dclk_glb_5 : OUT std_ulogic; + dclk_glb_6 : OUT std_ulogic; + dclk_glb_7 : OUT std_ulogic; + aclk_0 : OUT std_ulogic; + aclk_1 : OUT std_ulogic; + aclk_2 : OUT std_ulogic; + aclk_3 : OUT std_ulogic; + aclk_glb_0 : OUT std_ulogic; + aclk_glb_1 : OUT std_ulogic; + aclk_glb_2 : OUT std_ulogic; + aclk_glb_3 : OUT std_ulogic; + clk_a_dig_0 : OUT std_ulogic; + clk_a_dig_1 : OUT std_ulogic; + clk_a_dig_2 : OUT std_ulogic; + clk_a_dig_3 : OUT std_ulogic; + clk_a_dig_glb_0 : OUT std_ulogic; + clk_a_dig_glb_1 : OUT std_ulogic; + clk_a_dig_glb_2 : OUT std_ulogic; + clk_a_dig_glb_3 : OUT std_ulogic; + clk_bus : OUT std_ulogic; + clk_bus_glb : OUT std_ulogic; + clk_sync : OUT std_ulogic; + clk_32k_xtal : OUT std_ulogic; + clk_100k : OUT std_ulogic; + clk_32k : OUT std_ulogic; + clk_1k : OUT std_ulogic; + clk_usb : OUT std_ulogic; + xmhz_xerr : OUT std_ulogic; + pll_lock_out : OUT std_ulogic; + dsi_dig_div_0 : IN std_ulogic; + dsi_dig_div_1 : IN std_ulogic; + dsi_dig_div_2 : IN std_ulogic; + dsi_dig_div_3 : IN std_ulogic; + dsi_dig_div_4 : IN std_ulogic; + dsi_dig_div_5 : IN std_ulogic; + dsi_dig_div_6 : IN std_ulogic; + dsi_dig_div_7 : IN std_ulogic; + dsi_ana_div_0 : IN std_ulogic; + dsi_ana_div_1 : IN std_ulogic; + dsi_ana_div_2 : IN std_ulogic; + dsi_ana_div_3 : IN std_ulogic; + dsi_glb_div : IN std_ulogic; + dsi_clkin_div : IN std_ulogic; + imo : OUT std_ulogic; + ilo : OUT std_ulogic; + xtal : OUT std_ulogic; + pllout : OUT std_ulogic; + clk_bus_glb_ff : OUT std_ulogic; + aclk_glb_ff_0 : OUT std_ulogic; + clk_a_dig_glb_ff_0 : OUT std_ulogic; + aclk_glb_ff_1 : OUT std_ulogic; + clk_a_dig_glb_ff_1 : OUT std_ulogic; + aclk_glb_ff_2 : OUT std_ulogic; + clk_a_dig_glb_ff_2 : OUT std_ulogic; + aclk_glb_ff_3 : OUT std_ulogic; + clk_a_dig_glb_ff_3 : OUT std_ulogic; + dclk_glb_ff_0 : OUT std_ulogic; + dclk_glb_ff_1 : OUT std_ulogic; + dclk_glb_ff_2 : OUT std_ulogic; + dclk_glb_ff_3 : OUT std_ulogic; + dclk_glb_ff_4 : OUT std_ulogic; + dclk_glb_ff_5 : OUT std_ulogic; + dclk_glb_ff_6 : OUT std_ulogic; + dclk_glb_ff_7 : OUT std_ulogic); + END COMPONENT; + COMPONENT comparatorcell + PORT ( + out : OUT std_ulogic; + clk_udb : IN std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT controlcell + PORT ( + control_0 : OUT std_ulogic; + control_1 : OUT std_ulogic; + control_2 : OUT std_ulogic; + control_3 : OUT std_ulogic; + control_4 : OUT std_ulogic; + control_5 : OUT std_ulogic; + control_6 : OUT std_ulogic; + control_7 : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + clk_en : IN std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF controlcell : COMPONENT IS "clock"; + ATTRIBUTE udb_clken OF controlcell : COMPONENT IS "clk_en"; + ATTRIBUTE udb_reset OF controlcell : COMPONENT IS "reset"; + COMPONENT count7cell + PORT ( + clock : IN std_ulogic; + reset : IN std_ulogic; + load : IN std_ulogic; + enable : IN std_ulogic; + clk_en : IN std_ulogic; + count_0 : OUT std_ulogic; + count_1 : OUT std_ulogic; + count_2 : OUT std_ulogic; + count_3 : OUT std_ulogic; + count_4 : OUT std_ulogic; + count_5 : OUT std_ulogic; + count_6 : OUT std_ulogic; + tc : OUT std_ulogic; + clock_n : IN std_ulogic; + extclk : IN std_ulogic; + extclk_n : IN std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF count7cell : COMPONENT IS "clock,clock_n,extclk,extclk_n"; + ATTRIBUTE udb_clken OF count7cell : COMPONENT IS "clk_en"; + ATTRIBUTE udb_reset OF count7cell : COMPONENT IS "reset"; + COMPONENT csabufcell + PORT ( + swon : IN std_ulogic); + END COMPONENT; + COMPONENT datapathcell + PORT ( + clock : IN std_ulogic; + clk_en : IN std_ulogic; + reset : IN std_ulogic; + cs_addr_0 : IN std_ulogic; + cs_addr_1 : IN std_ulogic; + cs_addr_2 : IN std_ulogic; + route_si : IN std_ulogic; + route_ci : IN std_ulogic; + f0_load : IN std_ulogic; + f1_load : IN std_ulogic; + d0_load : IN std_ulogic; + d1_load : IN std_ulogic; + ce0_reg : OUT std_ulogic; + cl0_reg : OUT std_ulogic; + z0_reg : OUT std_ulogic; + f0_reg : OUT std_ulogic; + ce1_reg : OUT std_ulogic; + cl1_reg : OUT std_ulogic; + z1_reg : OUT std_ulogic; + f1_reg : OUT std_ulogic; + ov_msb_reg : OUT std_ulogic; + co_msb_reg : OUT std_ulogic; + cmsb_reg : OUT std_ulogic; + so_reg : OUT std_ulogic; + f0_bus_stat_reg : OUT std_ulogic; + f0_blk_stat_reg : OUT std_ulogic; + f1_bus_stat_reg : OUT std_ulogic; + f1_blk_stat_reg : OUT std_ulogic; + ce0_comb : OUT std_ulogic; + cl0_comb : OUT std_ulogic; + z0_comb : OUT std_ulogic; + f0_comb : OUT std_ulogic; + ce1_comb : OUT std_ulogic; + cl1_comb : OUT std_ulogic; + z1_comb : OUT std_ulogic; + f1_comb : OUT std_ulogic; + ov_msb_comb : OUT std_ulogic; + co_msb_comb : OUT std_ulogic; + cmsb_comb : OUT std_ulogic; + so_comb : OUT std_ulogic; + f0_bus_stat_comb : OUT std_ulogic; + f0_blk_stat_comb : OUT std_ulogic; + f1_bus_stat_comb : OUT std_ulogic; + f1_blk_stat_comb : OUT std_ulogic; + ce0 : OUT std_ulogic; + ce0i : IN std_ulogic; + p_in_0 : IN std_ulogic; + p_in_1 : IN std_ulogic; + p_in_2 : IN std_ulogic; + p_in_3 : IN std_ulogic; + p_in_4 : IN std_ulogic; + p_in_5 : IN std_ulogic; + p_in_6 : IN std_ulogic; + p_in_7 : IN std_ulogic; + p_out_0 : OUT std_ulogic; + p_out_1 : OUT std_ulogic; + p_out_2 : OUT std_ulogic; + p_out_3 : OUT std_ulogic; + p_out_4 : OUT std_ulogic; + p_out_5 : OUT std_ulogic; + p_out_6 : OUT std_ulogic; + p_out_7 : OUT std_ulogic; + cl0i : IN std_ulogic; + cl0 : OUT std_ulogic; + z0i : IN std_ulogic; + z0 : OUT std_ulogic; + ff0i : IN std_ulogic; + ff0 : OUT std_ulogic; + ce1i : IN std_ulogic; + ce1 : OUT std_ulogic; + cl1i : IN std_ulogic; + cl1 : OUT std_ulogic; + z1i : IN std_ulogic; + z1 : OUT std_ulogic; + ff1i : IN std_ulogic; + ff1 : OUT std_ulogic; + cap0i : IN std_ulogic; + cap0 : OUT std_ulogic; + cap1i : IN std_ulogic; + cap1 : OUT std_ulogic; + ci : IN std_ulogic; + co_msb : OUT std_ulogic; + sir : IN std_ulogic; + sol_msb : OUT std_ulogic; + cfbi : IN std_ulogic; + cfbo : OUT std_ulogic; + sil : IN std_ulogic; + sor : OUT std_ulogic; + cmsbi : IN std_ulogic; + cmsbo : OUT std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF datapathcell : COMPONENT IS "clock"; + ATTRIBUTE udb_clken OF datapathcell : COMPONENT IS "clk_en"; + ATTRIBUTE udb_reset OF datapathcell : COMPONENT IS "reset"; + ATTRIBUTE udb_chain OF datapathcell : COMPONENT IS "ce0i,ce0,cl0i,cl0,z0i,z0,ff0i,ff0,ce1i,ce1,cl1i,cl1,z1i,z1,ff1i,ff1,cap0i,cap0,cap1i,cap1,ci,co_msb,sir,sol_msb,cfbi,cfbo,sil,sor,cmsbi,cmsbo"; + ATTRIBUTE chain_lsb OF datapathcell : COMPONENT IS "ce0i,cl0i,z0i,ff0i,ce1i,cl1i,z1i,ff1i,cap0i,cap1i,ci,sir,cfbi,sor,cmsbo"; + ATTRIBUTE chain_msb OF datapathcell : COMPONENT IS "ce0,cl0,z0,ff0,ce1,cl1,z1,ff1,cap0,cap1,co_msb,sol_msb,cfbo,sil,cmsbi"; + COMPONENT decimatorcell + PORT ( + aclock : IN std_ulogic; + mod_dat_0 : IN std_ulogic; + mod_dat_1 : IN std_ulogic; + mod_dat_2 : IN std_ulogic; + mod_dat_3 : IN std_ulogic; + ext_start : IN std_ulogic; + modrst : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT dfbcell + PORT ( + clock : IN std_ulogic; + in_1 : IN std_ulogic; + in_2 : IN std_ulogic; + out_1 : OUT std_ulogic; + out_2 : OUT std_ulogic; + dmareq_1 : OUT std_ulogic; + dmareq_2 : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT drqcell + PORT ( + dmareq : IN std_ulogic; + termin : IN std_ulogic; + termout : OUT std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT dsmodcell + PORT ( + aclock : IN std_ulogic; + modbitin_udb : IN std_ulogic; + reset_udb : IN std_ulogic; + reset_dec : IN std_ulogic; + dec_clock : OUT std_ulogic; + mod_dat_0 : OUT std_ulogic; + mod_dat_1 : OUT std_ulogic; + mod_dat_2 : OUT std_ulogic; + mod_dat_3 : OUT std_ulogic; + dout_udb_0 : OUT std_ulogic; + dout_udb_1 : OUT std_ulogic; + dout_udb_2 : OUT std_ulogic; + dout_udb_3 : OUT std_ulogic; + dout_udb_4 : OUT std_ulogic; + dout_udb_5 : OUT std_ulogic; + dout_udb_6 : OUT std_ulogic; + dout_udb_7 : OUT std_ulogic; + extclk_cp_udb : IN std_ulogic; + clk_udb : IN std_ulogic); + END COMPONENT; + COMPONENT emifcell + PORT ( + EM_clock : OUT std_ulogic; + EM_CEn : OUT std_ulogic; + EM_OEn : OUT std_ulogic; + EM_ADSCn : OUT std_ulogic; + EM_sleep : OUT std_ulogic; + EM_WRn : OUT std_ulogic; + dataport_OE : OUT std_ulogic; + dataport_OEn : OUT std_ulogic; + wr : OUT std_ulogic; + rd : OUT std_ulogic; + udb_stall : IN std_ulogic; + udb_ready : IN std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT i2ccell + PORT ( + clock : IN std_ulogic; + scl_in : IN std_ulogic; + sda_in : IN std_ulogic; + scl_out : OUT std_ulogic; + sda_out : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT interrupt + PORT ( + interrupt : IN std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT iocell + PORT ( + pin_input : IN std_ulogic; + oe : IN std_ulogic; + fb : OUT std_ulogic; + pad_in : IN std_ulogic; + pa_out : OUT std_ulogic; + pad_out : OUT std_ulogic; + oe_reg : OUT std_ulogic; + oe_internal : IN std_ulogic; + in_clock : IN std_ulogic; + in_clock_en : IN std_ulogic; + in_reset : IN std_ulogic; + out_clock : IN std_ulogic; + out_clock_en : IN std_ulogic; + out_reset : IN std_ulogic); + END COMPONENT; + COMPONENT lcdctrlcell + PORT ( + drive_en : IN std_ulogic; + frame : IN std_ulogic; + data_clk : IN std_ulogic; + en_hi : IN std_ulogic; + dac_dis : IN std_ulogic; + chop_clk : IN std_ulogic; + int_clr : IN std_ulogic; + lp_ack_udb : IN std_ulogic; + mode_1 : IN std_ulogic; + mode_2 : IN std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT logicalport + PORT ( + interrupt : OUT std_ulogic; + precharge : IN std_ulogic; + in_clock : IN std_ulogic; + in_clock_en : IN std_ulogic; + in_reset : IN std_ulogic; + out_clock : IN std_ulogic; + out_clock_en : IN std_ulogic; + out_reset : IN std_ulogic); + END COMPONENT; + COMPONENT lpfcell + END COMPONENT; + COMPONENT lvdcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8clockblockcell + PORT ( + imo : OUT std_ulogic; + ext : OUT std_ulogic; + eco : OUT std_ulogic; + ilo : OUT std_ulogic; + wco : OUT std_ulogic; + dbl : OUT std_ulogic; + pll : OUT std_ulogic; + dpll : OUT std_ulogic; + dsi_out_0 : OUT std_ulogic; + dsi_out_1 : OUT std_ulogic; + dsi_out_2 : OUT std_ulogic; + dsi_out_3 : OUT std_ulogic; + lfclk : OUT std_ulogic; + hfclk : OUT std_ulogic; + sysclk : OUT std_ulogic; + halfsysclk : OUT std_ulogic; + udb_div_0 : OUT std_ulogic; + udb_div_1 : OUT std_ulogic; + udb_div_2 : OUT std_ulogic; + udb_div_3 : OUT std_ulogic; + udb_div_4 : OUT std_ulogic; + udb_div_5 : OUT std_ulogic; + udb_div_6 : OUT std_ulogic; + udb_div_7 : OUT std_ulogic; + udb_div_8 : OUT std_ulogic; + udb_div_9 : OUT std_ulogic; + udb_div_10 : OUT std_ulogic; + udb_div_11 : OUT std_ulogic; + udb_div_12 : OUT std_ulogic; + udb_div_13 : OUT std_ulogic; + udb_div_14 : OUT std_ulogic; + udb_div_15 : OUT std_ulogic; + uab_div_0 : OUT std_ulogic; + uab_div_1 : OUT std_ulogic; + uab_div_2 : OUT std_ulogic; + uab_div_3 : OUT std_ulogic; + ff_div_0 : OUT std_ulogic; + ff_div_1 : OUT std_ulogic; + ff_div_2 : OUT std_ulogic; + ff_div_3 : OUT std_ulogic; + ff_div_4 : OUT std_ulogic; + ff_div_5 : OUT std_ulogic; + ff_div_6 : OUT std_ulogic; + ff_div_7 : OUT std_ulogic; + ff_div_8 : OUT std_ulogic; + ff_div_9 : OUT std_ulogic; + ff_div_10 : OUT std_ulogic; + ff_div_11 : OUT std_ulogic; + ff_div_12 : OUT std_ulogic; + ff_div_13 : OUT std_ulogic; + ff_div_14 : OUT std_ulogic; + ff_div_15 : OUT std_ulogic; + dsi_in_0 : IN std_ulogic; + dsi_in_1 : IN std_ulogic; + dsi_in_2 : IN std_ulogic; + dsi_in_3 : IN std_ulogic); + END COMPONENT; + COMPONENT m0s8clockgenblockcell + PORT ( + gen_clk_in_0 : IN std_ulogic; + gen_clk_in_1 : IN std_ulogic; + gen_clk_in_2 : IN std_ulogic; + gen_clk_in_3 : IN std_ulogic; + gen_clk_in_4 : IN std_ulogic; + gen_clk_in_5 : IN std_ulogic; + gen_clk_in_6 : IN std_ulogic; + gen_clk_in_7 : IN std_ulogic; + gen_clk_out_0 : OUT std_ulogic; + gen_clk_out_1 : OUT std_ulogic; + gen_clk_out_2 : OUT std_ulogic; + gen_clk_out_3 : OUT std_ulogic; + gen_clk_out_4 : OUT std_ulogic; + gen_clk_out_5 : OUT std_ulogic; + gen_clk_out_6 : OUT std_ulogic; + gen_clk_out_7 : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8lcdcell + PORT ( + common_0 : OUT std_ulogic; + common_1 : OUT std_ulogic; + common_2 : OUT std_ulogic; + common_3 : OUT std_ulogic; + common_4 : OUT std_ulogic; + common_5 : OUT std_ulogic; + common_6 : OUT std_ulogic; + common_7 : OUT std_ulogic; + common_8 : OUT std_ulogic; + common_9 : OUT std_ulogic; + common_10 : OUT std_ulogic; + common_11 : OUT std_ulogic; + common_12 : OUT std_ulogic; + common_13 : OUT std_ulogic; + common_14 : OUT std_ulogic; + common_15 : OUT std_ulogic; + segment_0 : OUT std_ulogic; + segment_1 : OUT std_ulogic; + segment_2 : OUT std_ulogic; + segment_3 : OUT std_ulogic; + segment_4 : OUT std_ulogic; + segment_5 : OUT std_ulogic; + segment_6 : OUT std_ulogic; + segment_7 : OUT std_ulogic; + segment_8 : OUT std_ulogic; + segment_9 : OUT std_ulogic; + segment_10 : OUT std_ulogic; + segment_11 : OUT std_ulogic; + segment_12 : OUT std_ulogic; + segment_13 : OUT std_ulogic; + segment_14 : OUT std_ulogic; + segment_15 : OUT std_ulogic; + segment_16 : OUT std_ulogic; + segment_17 : OUT std_ulogic; + segment_18 : OUT std_ulogic; + segment_19 : OUT std_ulogic; + segment_20 : OUT std_ulogic; + segment_21 : OUT std_ulogic; + segment_22 : OUT std_ulogic; + segment_23 : OUT std_ulogic; + segment_24 : OUT std_ulogic; + segment_25 : OUT std_ulogic; + segment_26 : OUT std_ulogic; + segment_27 : OUT std_ulogic; + segment_28 : OUT std_ulogic; + segment_29 : OUT std_ulogic; + segment_30 : OUT std_ulogic; + segment_31 : OUT std_ulogic; + segment_32 : OUT std_ulogic; + segment_33 : OUT std_ulogic; + segment_34 : OUT std_ulogic; + segment_35 : OUT std_ulogic; + segment_36 : OUT std_ulogic; + segment_37 : OUT std_ulogic; + segment_38 : OUT std_ulogic; + segment_39 : OUT std_ulogic; + segment_40 : OUT std_ulogic; + segment_41 : OUT std_ulogic; + segment_42 : OUT std_ulogic; + segment_43 : OUT std_ulogic; + segment_44 : OUT std_ulogic; + segment_45 : OUT std_ulogic; + segment_46 : OUT std_ulogic; + segment_47 : OUT std_ulogic; + segment_48 : OUT std_ulogic; + segment_49 : OUT std_ulogic; + segment_50 : OUT std_ulogic; + segment_51 : OUT std_ulogic; + segment_52 : OUT std_ulogic; + segment_53 : OUT std_ulogic; + segment_54 : OUT std_ulogic; + segment_55 : OUT std_ulogic; + segment_56 : OUT std_ulogic; + segment_57 : OUT std_ulogic; + segment_58 : OUT std_ulogic; + segment_59 : OUT std_ulogic; + segment_60 : OUT std_ulogic; + segment_61 : OUT std_ulogic; + segment_62 : OUT std_ulogic; + segment_63 : OUT std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT m0s8pmcell + PORT ( + pm_int : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8scbcell + PORT ( + clock : IN std_ulogic; + interrupt : OUT std_ulogic; + rx : IN std_ulogic; + tx : OUT std_ulogic; + mosi_m : OUT std_ulogic; + miso_m : IN std_ulogic; + select_m_0 : OUT std_ulogic; + select_m_1 : OUT std_ulogic; + select_m_2 : OUT std_ulogic; + select_m_3 : OUT std_ulogic; + sclk_m : OUT std_ulogic; + mosi_s : IN std_ulogic; + miso_s : OUT std_ulogic; + select_s : IN std_ulogic; + sclk_s : IN std_ulogic; + scl : INOUT std_ulogic; + sda : INOUT std_ulogic); + END COMPONENT; + COMPONENT m0s8spcifcell + PORT ( + spcif_int : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8tcpwmcell + PORT ( + clock : IN std_ulogic; + capture : IN std_ulogic; + count : IN std_ulogic; + reload : IN std_ulogic; + stop : IN std_ulogic; + start : IN std_ulogic; + tr_underflow : OUT std_ulogic; + tr_overflow : OUT std_ulogic; + tr_compare_match : OUT std_ulogic; + line_out : OUT std_ulogic; + line_out_compl : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8tsscell + PORT ( + clk_seq : IN std_ulogic; + clk_adc : IN std_ulogic; + ext_reject : IN std_ulogic; + ext_sync : IN std_ulogic; + tx_sync : IN std_ulogic; + reject_in : IN std_ulogic; + start_in : IN std_ulogic; + lx_det_hi : OUT std_ulogic; + lx_det_lo : OUT std_ulogic; + rej_window : OUT std_ulogic; + tx_hilo : OUT std_ulogic; + phase_end : OUT std_ulogic; + phase_num_0 : OUT std_ulogic; + phase_num_1 : OUT std_ulogic; + phase_num_2 : OUT std_ulogic; + phase_num_3 : OUT std_ulogic; + ipq_reject : OUT std_ulogic; + ipq_start : OUT std_ulogic; + epq_reject : OUT std_ulogic; + epq_start : OUT std_ulogic; + mcs_reject : OUT std_ulogic; + mcs_start : OUT std_ulogic; + do_switch : OUT std_ulogic; + adc_start : OUT std_ulogic; + adc_done : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8wdtcell + PORT ( + wdt_int : OUT std_ulogic); + END COMPONENT; + COMPONENT macrocell + PORT ( + main_0 : IN std_ulogic; + main_1 : IN std_ulogic; + main_2 : IN std_ulogic; + main_3 : IN std_ulogic; + main_4 : IN std_ulogic; + main_5 : IN std_ulogic; + main_6 : IN std_ulogic; + main_7 : IN std_ulogic; + main_8 : IN std_ulogic; + main_9 : IN std_ulogic; + main_10 : IN std_ulogic; + main_11 : IN std_ulogic; + ar_0 : IN std_ulogic; + ap_0 : IN std_ulogic; + clock_0 : IN std_ulogic; + clk_en : IN std_ulogic; + cin : IN std_ulogic; + cpt0_0 : IN std_ulogic; + cpt0_1 : IN std_ulogic; + cpt0_2 : IN std_ulogic; + cpt0_3 : IN std_ulogic; + cpt0_4 : IN std_ulogic; + cpt0_5 : IN std_ulogic; + cpt0_6 : IN std_ulogic; + cpt0_7 : IN std_ulogic; + cpt0_8 : IN std_ulogic; + cpt0_9 : IN std_ulogic; + cpt0_10 : IN std_ulogic; + cpt0_11 : IN std_ulogic; + cpt1_0 : IN std_ulogic; + cpt1_1 : IN std_ulogic; + cpt1_2 : IN std_ulogic; + cpt1_3 : IN std_ulogic; + cpt1_4 : IN std_ulogic; + cpt1_5 : IN std_ulogic; + cpt1_6 : IN std_ulogic; + cpt1_7 : IN std_ulogic; + cpt1_8 : IN std_ulogic; + cpt1_9 : IN std_ulogic; + cpt1_10 : IN std_ulogic; + cpt1_11 : IN std_ulogic; + cout : OUT std_ulogic; + q : OUT std_ulogic; + q_fixed : OUT std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF macrocell : COMPONENT IS "clock_0"; + ATTRIBUTE udb_clken OF macrocell : COMPONENT IS "clk_en"; + ATTRIBUTE udb_reset OF macrocell : COMPONENT IS "ar_0"; + ATTRIBUTE udb_preset OF macrocell : COMPONENT IS "ap_0"; + ATTRIBUTE udb_chain OF macrocell : COMPONENT IS "cin,cout"; + ATTRIBUTE chain_lsb OF macrocell : COMPONENT IS "cin"; + ATTRIBUTE chain_msb OF macrocell : COMPONENT IS "cout"; + COMPONENT p4abufcell + PORT ( + ctb_dsi_comp : OUT std_ulogic; + dsi_out : IN std_ulogic); + END COMPONENT; + COMPONENT p4anapumpcell + PORT ( + pump_clock : IN std_ulogic); + END COMPONENT; + COMPONENT p4csdcell + PORT ( + sense_out : OUT std_ulogic; + sample_out : OUT std_ulogic; + sense_in : IN std_ulogic; + sample_in : IN std_ulogic; + clk1 : IN std_ulogic; + clk2 : IN std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT p4csidac7cell + PORT ( + en : IN std_ulogic); + END COMPONENT; + COMPONENT p4csidac8cell + PORT ( + en : IN std_ulogic); + END COMPONENT; + COMPONENT p4ctbmblockcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT p4halfuabcell + PORT ( + clock : IN std_ulogic; + comp : OUT std_ulogic; + ctrl : IN std_ulogic); + END COMPONENT; + COMPONENT p4lpcompblockcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT p4lpcompcell + PORT ( + cmpout : OUT std_ulogic); + END COMPONENT; + COMPONENT p4rsbcell + END COMPONENT; + COMPONENT p4sarcell + PORT ( + clock : IN std_ulogic; + sample_done : OUT std_ulogic; + chan_id_valid : OUT std_ulogic; + chan_id_0 : OUT std_ulogic; + chan_id_1 : OUT std_ulogic; + chan_id_2 : OUT std_ulogic; + chan_id_3 : OUT std_ulogic; + data_valid : OUT std_ulogic; + data_0 : OUT std_ulogic; + data_1 : OUT std_ulogic; + data_2 : OUT std_ulogic; + data_3 : OUT std_ulogic; + data_4 : OUT std_ulogic; + data_5 : OUT std_ulogic; + data_6 : OUT std_ulogic; + data_7 : OUT std_ulogic; + data_8 : OUT std_ulogic; + data_9 : OUT std_ulogic; + data_10 : OUT std_ulogic; + data_11 : OUT std_ulogic; + eos_intr : OUT std_ulogic; + irq : OUT std_ulogic; + sw_negvref : IN std_ulogic; + cfg_st_sel_0 : IN std_ulogic; + cfg_st_sel_1 : IN std_ulogic; + cfg_average : IN std_ulogic; + cfg_resolution : IN std_ulogic; + cfg_differential : IN std_ulogic; + trigger : IN std_ulogic; + data_hilo_sel : IN std_ulogic; + swctrl0 : IN std_ulogic; + swctrl1 : IN std_ulogic); + END COMPONENT; + COMPONENT p4sarmuxcell + END COMPONENT; + COMPONENT p4tempcell + END COMPONENT; + COMPONENT p4vrefcell + END COMPONENT; + COMPONENT pmcell + PORT ( + ctw_int : OUT std_ulogic; + ftw_int : OUT std_ulogic; + limact_int : OUT std_ulogic; + onepps_int : OUT std_ulogic; + pm_int : OUT std_ulogic); + END COMPONENT; + COMPONENT sarcell + PORT ( + clock : IN std_ulogic; + pump_clock : IN std_ulogic; + clk_udb : IN std_ulogic; + sof_udb : IN std_ulogic; + vp_ctl_udb_0 : IN std_ulogic; + vp_ctl_udb_1 : IN std_ulogic; + vp_ctl_udb_2 : IN std_ulogic; + vp_ctl_udb_3 : IN std_ulogic; + vn_ctl_udb_0 : IN std_ulogic; + vn_ctl_udb_1 : IN std_ulogic; + vn_ctl_udb_2 : IN std_ulogic; + vn_ctl_udb_3 : IN std_ulogic; + data_out_udb_0 : OUT std_ulogic; + data_out_udb_1 : OUT std_ulogic; + data_out_udb_2 : OUT std_ulogic; + data_out_udb_3 : OUT std_ulogic; + data_out_udb_4 : OUT std_ulogic; + data_out_udb_5 : OUT std_ulogic; + data_out_udb_6 : OUT std_ulogic; + data_out_udb_7 : OUT std_ulogic; + data_out_udb_8 : OUT std_ulogic; + data_out_udb_9 : OUT std_ulogic; + data_out_udb_10 : OUT std_ulogic; + data_out_udb_11 : OUT std_ulogic; + eof_udb : OUT std_ulogic; + irq : OUT std_ulogic; + next : OUT std_ulogic); + END COMPONENT; + COMPONENT sccell + PORT ( + aclk : IN std_ulogic; + bst_clk : IN std_ulogic; + clk_udb : IN std_ulogic; + modout : OUT std_ulogic; + dyn_cntl_udb : IN std_ulogic); + END COMPONENT; + COMPONENT spccell + PORT ( + data_ready : OUT std_ulogic; + eeprom_fault_int : OUT std_ulogic; + idle : OUT std_ulogic); + END COMPONENT; + COMPONENT ssccell + PORT ( + rst_n : IN std_ulogic; + scli : IN std_ulogic; + sdai : IN std_ulogic; + csel : IN std_ulogic; + sclo : OUT std_ulogic; + sdao : OUT std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT statuscell + PORT ( + status_0 : IN std_ulogic; + status_1 : IN std_ulogic; + status_2 : IN std_ulogic; + status_3 : IN std_ulogic; + status_4 : IN std_ulogic; + status_5 : IN std_ulogic; + status_6 : IN std_ulogic; + status_7 : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + clk_en : IN std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF statuscell : COMPONENT IS "clock"; + ATTRIBUTE udb_clken OF statuscell : COMPONENT IS "clk_en"; + ATTRIBUTE udb_reset OF statuscell : COMPONENT IS "reset"; + COMPONENT statusicell + PORT ( + status_0 : IN std_ulogic; + status_1 : IN std_ulogic; + status_2 : IN std_ulogic; + status_3 : IN std_ulogic; + status_4 : IN std_ulogic; + status_5 : IN std_ulogic; + status_6 : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + interrupt : OUT std_ulogic; + clk_en : IN std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF statusicell : COMPONENT IS "clock"; + ATTRIBUTE udb_clken OF statusicell : COMPONENT IS "clk_en"; + ATTRIBUTE udb_reset OF statusicell : COMPONENT IS "reset"; + COMPONENT synccell + PORT ( + in : IN std_ulogic; + clock : IN std_ulogic; + out : OUT std_ulogic; + clk_en : IN std_ulogic; + clock_n : IN std_ulogic; + extclk : IN std_ulogic; + extclk_n : IN std_ulogic); + END COMPONENT; + ATTRIBUTE udb_clk OF synccell : COMPONENT IS "clock,clock_n,extclk,extclk_n"; + ATTRIBUTE udb_clken OF synccell : COMPONENT IS "clk_en"; + COMPONENT tfaultcell + PORT ( + tfault_dsi : OUT std_ulogic); + END COMPONENT; + COMPONENT timercell + PORT ( + clock : IN std_ulogic; + kill : IN std_ulogic; + enable : IN std_ulogic; + capture : IN std_ulogic; + timer_reset : IN std_ulogic; + tc : OUT std_ulogic; + cmp : OUT std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT udbclockencell + PORT ( + clock_in : IN std_ulogic; + enable : IN std_ulogic; + clock_out : OUT std_ulogic); + END COMPONENT; + COMPONENT usbcell + PORT ( + sof_int : OUT std_ulogic; + arb_int : OUT std_ulogic; + usb_int : OUT std_ulogic; + ord_int : OUT std_ulogic; + ept_int_0 : OUT std_ulogic; + ept_int_1 : OUT std_ulogic; + ept_int_2 : OUT std_ulogic; + ept_int_3 : OUT std_ulogic; + ept_int_4 : OUT std_ulogic; + ept_int_5 : OUT std_ulogic; + ept_int_6 : OUT std_ulogic; + ept_int_7 : OUT std_ulogic; + ept_int_8 : OUT std_ulogic; + dma_req_0 : OUT std_ulogic; + dma_req_1 : OUT std_ulogic; + dma_req_2 : OUT std_ulogic; + dma_req_3 : OUT std_ulogic; + dma_req_4 : OUT std_ulogic; + dma_req_5 : OUT std_ulogic; + dma_req_6 : OUT std_ulogic; + dma_req_7 : OUT std_ulogic; + dma_termin : OUT std_ulogic); + END COMPONENT; + COMPONENT vidaccell + PORT ( + data_0 : IN std_ulogic; + data_1 : IN std_ulogic; + data_2 : IN std_ulogic; + data_3 : IN std_ulogic; + data_4 : IN std_ulogic; + data_5 : IN std_ulogic; + data_6 : IN std_ulogic; + data_7 : IN std_ulogic; + strobe : IN std_ulogic; + strobe_udb : IN std_ulogic; + reset : IN std_ulogic; + idir : IN std_ulogic; + ioff : IN std_ulogic); + END COMPONENT; +BEGIN + + ClockBlock:clockblockcell + PORT MAP( + clk_bus_glb => ClockBlock_BUS_CLK, + clk_bus => ClockBlock_BUS_CLK_local, + clk_sync => ClockBlock_MASTER_CLK, + clk_32k_xtal => ClockBlock_XTAL_32KHZ, + xtal => ClockBlock_XTAL, + ilo => ClockBlock_ILO, + clk_100k => ClockBlock_100k, + clk_1k => ClockBlock_1k, + clk_32k => ClockBlock_32k, + pllout => ClockBlock_PLL_OUT, + imo => ClockBlock_IMO, + dsi_clkin_div => open, + dsi_glb_div => open); + + SCSI_Out:logicalport + GENERIC MAP( + drive_mode => "110110110110110110110110110110", + ibuf_enabled => "1111111111", + id => "11f071e8-9c92-47e0-872a-3f48765a75b8", + init_dr_st => "0000000000", + input_clk_en => 0, + input_sync => "1111111111", + input_sync_mode => "0000000000", + intr_mode => "00000000000000000000", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "5, 5, 5, 5, 5, 5, 5, 5, 5, 5", + layout_mode => "NONCONTIGUOUS", + oe_conn => "0000000000", + oe_reset => 0, + oe_sync => "0000000000", + output_clk_en => 0, + output_clock_mode => "0000000000", + output_conn => "0000000000", + output_mode => "0000000000", + output_reset => 0, + output_sync => "0000000000", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "DBP_raw,ATN,BSY,ACK,RST,MSG,SEL,CD,REQ,IO_raw", + pin_mode => "OOOOOOOOOO", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0000000000", + sio_ibuf => "00000000", + sio_info => "00000000000000000000", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0000000000", + spanning => 1, + sw_only => 0, + use_annotation => "1111111111", + vtrip => "10101010101010101010", + width => 10, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + SCSI_Out(0):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(0)__PA, + oe => open, + pad_in => SCSI_Out(0)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(1):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 1, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(1)__PA, + oe => open, + pad_in => SCSI_Out(1)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(2):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 2, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(2)__PA, + oe => open, + pad_in => SCSI_Out(2)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(3):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 3, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(3)__PA, + oe => open, + pad_in => SCSI_Out(3)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(4):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 4, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(4)__PA, + oe => open, + pad_in => SCSI_Out(4)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(5):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 5, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(5)__PA, + oe => open, + pad_in => SCSI_Out(5)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(6):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 6, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(6)__PA, + oe => open, + pad_in => SCSI_Out(6)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(7):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 7, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(7)__PA, + oe => open, + pad_in => SCSI_Out(7)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(8):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 8, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(8)__PA, + oe => open, + pad_in => SCSI_Out(8)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(9):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 9, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(9)__PA, + oe => open, + pad_in => SCSI_Out(9)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx:logicalport + GENERIC MAP( + drive_mode => "110110110110110110110110", + ibuf_enabled => "11111111", + id => "52f31aa9-2f0a-497d-9a1f-1424095e13e6", + init_dr_st => "00000000", + input_clk_en => 0, + input_sync => "11111111", + input_sync_mode => "00000000", + intr_mode => "0000000000000000", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => ", , , , , , , 5", + layout_mode => "NONCONTIGUOUS", + oe_conn => "00000000", + oe_reset => 0, + oe_sync => "00000000", + output_clk_en => 0, + output_clock_mode => "00000000", + output_conn => "00000000", + output_mode => "00000000", + output_reset => 0, + output_sync => "00000000", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "DB0,DB1,DB2,DB3,DB4,DB5,DB6,DB7", + pin_mode => "OOOOOOOO", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "00000000", + sio_ibuf => "00000000", + sio_info => "0000000000000000", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "00000000", + spanning => 1, + sw_only => 0, + use_annotation => "11111111", + vtrip => "1010101010101010", + width => 8, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + SCSI_Out_DBx(0):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(0)__PA, + oe => open, + pad_in => SCSI_Out_DBx(0)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(1):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 1, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(1)__PA, + oe => open, + pad_in => SCSI_Out_DBx(1)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(2):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 2, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(2)__PA, + oe => open, + pad_in => SCSI_Out_DBx(2)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(3):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 3, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(3)__PA, + oe => open, + pad_in => SCSI_Out_DBx(3)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(4):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 4, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(4)__PA, + oe => open, + pad_in => SCSI_Out_DBx(4)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(5):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 5, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(5)__PA, + oe => open, + pad_in => SCSI_Out_DBx(5)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(6):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 6, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(6)__PA, + oe => open, + pad_in => SCSI_Out_DBx(6)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(7):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 7, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(7)__PA, + oe => open, + pad_in => SCSI_Out_DBx(7)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dm(0)\:iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "\USBFS:Dm\", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000010000000000000000") + PORT MAP( + pa_out => \\\USBFS:Dm(0)\\__PA\, + oe => open, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dm\:logicalport + GENERIC MAP( + drive_mode => "000", + ibuf_enabled => "0", + id => "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/8b77a6c4-10a0-4390-971c-672353e2a49c", + init_dr_st => "0", + input_clk_en => 0, + input_sync => "1", + input_sync_mode => "0", + intr_mode => "00", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "", + layout_mode => "NONCONTIGUOUS", + oe_conn => "0", + oe_reset => 0, + oe_sync => "0", + output_clk_en => 0, + output_clock_mode => "0", + output_conn => "0", + output_mode => "0", + output_reset => 0, + output_sync => "0", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "", + pin_mode => "A", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0", + sio_ibuf => "00000000", + sio_info => "00", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0", + spanning => 1, + sw_only => 0, + use_annotation => "0", + vtrip => "10", + width => 1, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + \USBFS:Dp(0)\:iocell + GENERIC MAP( + in_sync_mode => 2, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "\USBFS:Dp\", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000001000000000000000") + PORT MAP( + pa_out => \\\USBFS:Dp(0)\\__PA\, + oe => open, + in_clock => ClockBlock_BUS_CLK, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dp\:logicalport + GENERIC MAP( + drive_mode => "000", + ibuf_enabled => "0", + id => "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42", + init_dr_st => "0", + input_clk_en => 0, + input_sync => "1", + input_sync_mode => "0", + intr_mode => "10", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "", + layout_mode => "CONTIGUOUS", + oe_conn => "0", + oe_reset => 0, + oe_sync => "0", + output_clk_en => 0, + output_clock_mode => "0", + output_conn => "0", + output_mode => "0", + output_reset => 0, + output_sync => "0", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "", + pin_mode => "I", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0", + sio_ibuf => "00000000", + sio_info => "00", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0", + spanning => 0, + sw_only => 0, + use_annotation => "0", + vtrip => "00", + width => 1, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open, + interrupt => \USBFS:Net_1010\, + in_clock => open); + + \USBFS:USB\:usbcell + GENERIC MAP( + cy_registers => "") + PORT MAP( + sof_int => Net_40, + arb_int => \USBFS:Net_79\, + usb_int => \USBFS:Net_81\, + ept_int_8 => \USBFS:ept_int_8\, + ept_int_7 => \USBFS:ept_int_7\, + ept_int_6 => \USBFS:ept_int_6\, + ept_int_5 => \USBFS:ept_int_5\, + ept_int_4 => \USBFS:ept_int_4\, + ept_int_3 => \USBFS:ept_int_3\, + ept_int_2 => \USBFS:ept_int_2\, + ept_int_1 => \USBFS:ept_int_1\, + ept_int_0 => \USBFS:ept_int_0\, + ord_int => \USBFS:Net_95\, + dma_req_7 => \USBFS:dma_req_7\, + dma_req_6 => \USBFS:dma_req_6\, + dma_req_5 => \USBFS:dma_req_5\, + dma_req_4 => \USBFS:dma_req_4\, + dma_req_3 => \USBFS:dma_req_3\, + dma_req_2 => \USBFS:dma_req_2\, + dma_req_1 => \USBFS:dma_req_1\, + dma_req_0 => \USBFS:dma_req_0\, + dma_termin => \USBFS:Net_824\); + + \USBFS:arb_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_79\, + clock => ClockBlock_BUS_CLK); + + \USBFS:bus_reset\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_81\, + clock => ClockBlock_BUS_CLK); + + \USBFS:dp_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_1010\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_0\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_0\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_1\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_1\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_2\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_2\, + clock => ClockBlock_BUS_CLK); + + \USBFS:sof_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => Net_40, + clock => ClockBlock_BUS_CLK); + +END __DEFAULT__; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.lib b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.lib new file mode 100755 index 0000000..ddcbe3a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.lib @@ -0,0 +1,1364 @@ +library (timing) { + timescale : 1ns; + capacitive_load_unit (1,ff); + include_file(device.lib); + cell (iocell1) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.445; + intrinsic_fall : 16.445; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.445; + intrinsic_fall : 16.445; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.093; + intrinsic_fall : 15.093; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.033; + intrinsic_fall : 7.033; + } + } + } + cell (iocell2) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.297; + intrinsic_fall : 17.297; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.297; + intrinsic_fall : 17.297; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.111; + intrinsic_fall : 15.111; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.255; + intrinsic_fall : 7.255; + } + } + } + cell (iocell3) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.269; + intrinsic_fall : 17.269; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.269; + intrinsic_fall : 17.269; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.495; + intrinsic_fall : 15.495; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.644; + intrinsic_fall : 7.644; + } + } + } + cell (iocell4) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.371; + intrinsic_fall : 17.371; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.371; + intrinsic_fall : 17.371; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.561; + intrinsic_fall : 15.561; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.354; + intrinsic_fall : 7.354; + } + } + } + cell (iocell5) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.182; + intrinsic_fall : 17.182; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.182; + intrinsic_fall : 17.182; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.023; + intrinsic_fall : 15.023; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 8.264; + intrinsic_fall : 8.264; + } + } + } + cell (iocell6) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.718; + intrinsic_fall : 17.718; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.718; + intrinsic_fall : 17.718; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.880; + intrinsic_fall : 14.880; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.563; + intrinsic_fall : 7.563; + } + } + } + cell (iocell7) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.610; + intrinsic_fall : 17.610; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.610; + intrinsic_fall : 17.610; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.744; + intrinsic_fall : 15.744; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.958; + intrinsic_fall : 7.958; + } + } + } + cell (iocell8) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.428; + intrinsic_fall : 17.428; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.428; + intrinsic_fall : 17.428; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.459; + intrinsic_fall : 15.459; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.950; + intrinsic_fall : 7.950; + } + } + } + cell (iocell9) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.434; + intrinsic_fall : 17.434; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.434; + intrinsic_fall : 17.434; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.802; + intrinsic_fall : 15.802; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.962; + intrinsic_fall : 7.962; + } + } + } + cell (iocell10) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.161; + intrinsic_fall : 17.161; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.161; + intrinsic_fall : 17.161; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.251; + intrinsic_fall : 15.251; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.922; + intrinsic_fall : 7.922; + } + } + } + cell (iocell11) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.840; + intrinsic_fall : 17.840; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.840; + intrinsic_fall : 17.840; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.011; + intrinsic_fall : 15.011; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.576; + intrinsic_fall : 7.576; + } + } + } + cell (iocell12) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.165; + intrinsic_fall : 17.165; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.165; + intrinsic_fall : 17.165; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.746; + intrinsic_fall : 15.746; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.331; + intrinsic_fall : 7.331; + } + } + } + cell (iocell13) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.973; + intrinsic_fall : 16.973; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.973; + intrinsic_fall : 16.973; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.880; + intrinsic_fall : 14.880; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.065; + intrinsic_fall : 7.065; + } + } + } + cell (iocell14) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.979; + intrinsic_fall : 16.979; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.979; + intrinsic_fall : 16.979; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.914; + intrinsic_fall : 14.914; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.816; + intrinsic_fall : 7.816; + } + } + } + cell (iocell15) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.374; + intrinsic_fall : 17.374; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.374; + intrinsic_fall : 17.374; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.222; + intrinsic_fall : 15.222; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.459; + intrinsic_fall : 7.459; + } + } + } + cell (iocell16) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.157; + intrinsic_fall : 17.157; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.157; + intrinsic_fall : 17.157; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.976; + intrinsic_fall : 15.976; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.582; + intrinsic_fall : 7.582; + } + } + } + cell (iocell17) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.578; + intrinsic_fall : 16.578; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.578; + intrinsic_fall : 16.578; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.347; + intrinsic_fall : 15.347; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.368; + intrinsic_fall : 7.368; + } + } + } + cell (iocell18) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.786; + intrinsic_fall : 17.786; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.786; + intrinsic_fall : 17.786; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 16.004; + intrinsic_fall : 16.004; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 6.974; + intrinsic_fall : 6.974; + } + } + } + cell (iocell19) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 19.053; + intrinsic_fall : 19.053; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 9.497; + intrinsic_fall : 9.497; + } + } + } + cell (iocell20) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 19.129; + intrinsic_fall : 19.129; + } + } + pin (fb) { + direction : output; + timing () { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 2.717; + intrinsic_fall : 2.717; + } + } + } +} diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.vh2 b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.vh2 new file mode 100755 index 0000000..6618319 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_r.vh2 @@ -0,0 +1,1790 @@ +-- Project: USB_Bootloader +-- Generated: 10/26/2013 18:55:21 +-- + +ENTITY USB_Bootloader IS + PORT( + SCSI_Out(0)_PAD : OUT std_ulogic; + SCSI_Out(1)_PAD : OUT std_ulogic; + SCSI_Out(2)_PAD : OUT std_ulogic; + SCSI_Out(3)_PAD : OUT std_ulogic; + SCSI_Out(4)_PAD : OUT std_ulogic; + SCSI_Out(5)_PAD : OUT std_ulogic; + SCSI_Out(6)_PAD : OUT std_ulogic; + SCSI_Out(7)_PAD : OUT std_ulogic; + SCSI_Out(8)_PAD : OUT std_ulogic; + SCSI_Out(9)_PAD : OUT std_ulogic; + SCSI_Out_DBx(0)_PAD : OUT std_ulogic; + SCSI_Out_DBx(1)_PAD : OUT std_ulogic; + SCSI_Out_DBx(2)_PAD : OUT std_ulogic; + SCSI_Out_DBx(3)_PAD : OUT std_ulogic; + SCSI_Out_DBx(4)_PAD : OUT std_ulogic; + SCSI_Out_DBx(5)_PAD : OUT std_ulogic; + SCSI_Out_DBx(6)_PAD : OUT std_ulogic; + SCSI_Out_DBx(7)_PAD : OUT std_ulogic); + ATTRIBUTE voltage_Vio1 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vusb OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vddd OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio3 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vdda OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio0 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio2 OF __DEFAULT__ : ENTITY IS 5e0; +END USB_Bootloader; + +ARCHITECTURE __DEFAULT__ OF USB_Bootloader IS + SIGNAL ClockBlock_100k : bit; + SIGNAL ClockBlock_1k : bit; + SIGNAL ClockBlock_32k : bit; + SIGNAL ClockBlock_BUS_CLK : bit; + ATTRIBUTE global_signal OF ClockBlock_BUS_CLK : SIGNAL IS true; + SIGNAL ClockBlock_BUS_CLK_local : bit; + SIGNAL ClockBlock_ILO : bit; + SIGNAL ClockBlock_IMO : bit; + SIGNAL ClockBlock_MASTER_CLK : bit; + SIGNAL ClockBlock_PLL_OUT : bit; + SIGNAL ClockBlock_XTAL : bit; + SIGNAL ClockBlock_XTAL_32KHZ : bit; + SIGNAL Net_40 : bit; + SIGNAL SCSI_Out(0)__PA : bit; + SIGNAL SCSI_Out(1)__PA : bit; + SIGNAL SCSI_Out(2)__PA : bit; + SIGNAL SCSI_Out(3)__PA : bit; + SIGNAL SCSI_Out(4)__PA : bit; + SIGNAL SCSI_Out(5)__PA : bit; + SIGNAL SCSI_Out(6)__PA : bit; + SIGNAL SCSI_Out(7)__PA : bit; + SIGNAL SCSI_Out(8)__PA : bit; + SIGNAL SCSI_Out(9)__PA : bit; + SIGNAL SCSI_Out_DBx(0)__PA : bit; + SIGNAL SCSI_Out_DBx(1)__PA : bit; + SIGNAL SCSI_Out_DBx(2)__PA : bit; + SIGNAL SCSI_Out_DBx(3)__PA : bit; + SIGNAL SCSI_Out_DBx(4)__PA : bit; + SIGNAL SCSI_Out_DBx(5)__PA : bit; + SIGNAL SCSI_Out_DBx(6)__PA : bit; + SIGNAL SCSI_Out_DBx(7)__PA : bit; + SIGNAL \\\USBFS:Dm(0)\\__PA\ : bit; + SIGNAL \\\USBFS:Dp(0)\\__PA\ : bit; + SIGNAL \USBFS:Net_1010\ : bit; + SIGNAL \USBFS:Net_79\ : bit; + SIGNAL \USBFS:Net_81\ : bit; + SIGNAL \USBFS:Net_824\ : bit; + SIGNAL \USBFS:Net_95\ : bit; + SIGNAL \USBFS:dma_req_0\ : bit; + SIGNAL \USBFS:dma_req_1\ : bit; + SIGNAL \USBFS:dma_req_2\ : bit; + SIGNAL \USBFS:dma_req_3\ : bit; + SIGNAL \USBFS:dma_req_4\ : bit; + SIGNAL \USBFS:dma_req_5\ : bit; + SIGNAL \USBFS:dma_req_6\ : bit; + SIGNAL \USBFS:dma_req_7\ : bit; + SIGNAL \USBFS:ept_int_0\ : bit; + SIGNAL \USBFS:ept_int_1\ : bit; + SIGNAL \USBFS:ept_int_2\ : bit; + SIGNAL \USBFS:ept_int_3\ : bit; + SIGNAL \USBFS:ept_int_4\ : bit; + SIGNAL \USBFS:ept_int_5\ : bit; + SIGNAL \USBFS:ept_int_6\ : bit; + SIGNAL \USBFS:ept_int_7\ : bit; + SIGNAL \USBFS:ept_int_8\ : bit; + SIGNAL __ONE__ : bit; + ATTRIBUTE POWER OF __ONE__ : SIGNAL IS true; + SIGNAL __ZERO__ : bit; + ATTRIBUTE GROUND OF __ZERO__ : SIGNAL IS true; + SIGNAL one : bit; + ATTRIBUTE POWER OF one : SIGNAL IS true; + SIGNAL zero : bit; + ATTRIBUTE GROUND OF zero : SIGNAL IS true; + ATTRIBUTE Location OF ClockBlock : LABEL IS "F(Clock,0)"; + ATTRIBUTE lib_model OF SCSI_Out(0) : LABEL IS "iocell1"; + ATTRIBUTE Location OF SCSI_Out(0) : LABEL IS "P4[3]"; + ATTRIBUTE lib_model OF SCSI_Out(1) : LABEL IS "iocell2"; + ATTRIBUTE Location OF SCSI_Out(1) : LABEL IS "P4[2]"; + ATTRIBUTE lib_model OF SCSI_Out(2) : LABEL IS "iocell3"; + ATTRIBUTE Location OF SCSI_Out(2) : LABEL IS "P0[7]"; + ATTRIBUTE lib_model OF SCSI_Out(3) : LABEL IS "iocell4"; + ATTRIBUTE Location OF SCSI_Out(3) : LABEL IS "P0[6]"; + ATTRIBUTE lib_model OF SCSI_Out(4) : LABEL IS "iocell5"; + ATTRIBUTE Location OF SCSI_Out(4) : LABEL IS "P0[5]"; + ATTRIBUTE lib_model OF SCSI_Out(5) : LABEL IS "iocell6"; + ATTRIBUTE Location OF SCSI_Out(5) : LABEL IS "P0[4]"; + ATTRIBUTE lib_model OF SCSI_Out(6) : LABEL IS "iocell7"; + ATTRIBUTE Location OF SCSI_Out(6) : LABEL IS "P0[3]"; + ATTRIBUTE lib_model OF SCSI_Out(7) : LABEL IS "iocell8"; + ATTRIBUTE Location OF SCSI_Out(7) : LABEL IS "P0[2]"; + ATTRIBUTE lib_model OF SCSI_Out(8) : LABEL IS "iocell9"; + ATTRIBUTE Location OF SCSI_Out(8) : LABEL IS "P0[1]"; + ATTRIBUTE lib_model OF SCSI_Out(9) : LABEL IS "iocell10"; + ATTRIBUTE Location OF SCSI_Out(9) : LABEL IS "P0[0]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(0) : LABEL IS "iocell11"; + ATTRIBUTE Location OF SCSI_Out_DBx(0) : LABEL IS "P6[3]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(1) : LABEL IS "iocell12"; + ATTRIBUTE Location OF SCSI_Out_DBx(1) : LABEL IS "P6[2]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(2) : LABEL IS "iocell13"; + ATTRIBUTE Location OF SCSI_Out_DBx(2) : LABEL IS "P6[1]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(3) : LABEL IS "iocell14"; + ATTRIBUTE Location OF SCSI_Out_DBx(3) : LABEL IS "P6[0]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(4) : LABEL IS "iocell15"; + ATTRIBUTE Location OF SCSI_Out_DBx(4) : LABEL IS "P4[7]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(5) : LABEL IS "iocell16"; + ATTRIBUTE Location OF SCSI_Out_DBx(5) : LABEL IS "P4[6]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(6) : LABEL IS "iocell17"; + ATTRIBUTE Location OF SCSI_Out_DBx(6) : LABEL IS "P4[5]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(7) : LABEL IS "iocell18"; + ATTRIBUTE Location OF SCSI_Out_DBx(7) : LABEL IS "P4[4]"; + ATTRIBUTE lib_model OF \USBFS:Dm(0)\ : LABEL IS "iocell19"; + ATTRIBUTE Location OF \USBFS:Dm(0)\ : LABEL IS "P15[7]"; + ATTRIBUTE lib_model OF \USBFS:Dp(0)\ : LABEL IS "iocell20"; + ATTRIBUTE Location OF \USBFS:Dp(0)\ : LABEL IS "P15[6]"; + ATTRIBUTE Location OF \USBFS:Dp\ : LABEL IS "F(PICU,8)"; + ATTRIBUTE Location OF \USBFS:USB\ : LABEL IS "F(USB,0)"; + ATTRIBUTE Location OF \USBFS:arb_int\ : LABEL IS "[IntrHod=(0)][IntrId=(22)]"; + ATTRIBUTE Location OF \USBFS:bus_reset\ : LABEL IS "[IntrHod=(0)][IntrId=(23)]"; + ATTRIBUTE Location OF \USBFS:dp_int\ : LABEL IS "[IntrHod=(0)][IntrId=(12)]"; + ATTRIBUTE Location OF \USBFS:ep_0\ : LABEL IS "[IntrHod=(0)][IntrId=(24)]"; + ATTRIBUTE Location OF \USBFS:ep_1\ : LABEL IS "[IntrHod=(0)][IntrId=(0)]"; + ATTRIBUTE Location OF \USBFS:ep_2\ : LABEL IS "[IntrHod=(0)][IntrId=(1)]"; + ATTRIBUTE Location OF \USBFS:sof_int\ : LABEL IS "[IntrHod=(0)][IntrId=(21)]"; + COMPONENT abufcell + END COMPONENT; + COMPONENT boostcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT cachecell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT cancell + PORT ( + clock : IN std_ulogic; + can_rx : IN std_ulogic; + can_tx : OUT std_ulogic; + can_tx_en : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT capsensecell + PORT ( + lft : IN std_ulogic; + rt : IN std_ulogic); + END COMPONENT; + COMPONENT clockblockcell + PORT ( + dclk_0 : OUT std_ulogic; + dclk_1 : OUT std_ulogic; + dclk_2 : OUT std_ulogic; + dclk_3 : OUT std_ulogic; + dclk_4 : OUT std_ulogic; + dclk_5 : OUT std_ulogic; + dclk_6 : OUT std_ulogic; + dclk_7 : OUT std_ulogic; + dclk_glb_0 : OUT std_ulogic; + dclk_glb_1 : OUT std_ulogic; + dclk_glb_2 : OUT std_ulogic; + dclk_glb_3 : OUT std_ulogic; + dclk_glb_4 : OUT std_ulogic; + dclk_glb_5 : OUT std_ulogic; + dclk_glb_6 : OUT std_ulogic; + dclk_glb_7 : OUT std_ulogic; + aclk_0 : OUT std_ulogic; + aclk_1 : OUT std_ulogic; + aclk_2 : OUT std_ulogic; + aclk_3 : OUT std_ulogic; + aclk_glb_0 : OUT std_ulogic; + aclk_glb_1 : OUT std_ulogic; + aclk_glb_2 : OUT std_ulogic; + aclk_glb_3 : OUT std_ulogic; + clk_a_dig_0 : OUT std_ulogic; + clk_a_dig_1 : OUT std_ulogic; + clk_a_dig_2 : OUT std_ulogic; + clk_a_dig_3 : OUT std_ulogic; + clk_a_dig_glb_0 : OUT std_ulogic; + clk_a_dig_glb_1 : OUT std_ulogic; + clk_a_dig_glb_2 : OUT std_ulogic; + clk_a_dig_glb_3 : OUT std_ulogic; + clk_bus : OUT std_ulogic; + clk_bus_glb : OUT std_ulogic; + clk_sync : OUT std_ulogic; + clk_32k_xtal : OUT std_ulogic; + clk_100k : OUT std_ulogic; + clk_32k : OUT std_ulogic; + clk_1k : OUT std_ulogic; + clk_usb : OUT std_ulogic; + xmhz_xerr : OUT std_ulogic; + pll_lock_out : OUT std_ulogic; + dsi_dig_div_0 : IN std_ulogic; + dsi_dig_div_1 : IN std_ulogic; + dsi_dig_div_2 : IN std_ulogic; + dsi_dig_div_3 : IN std_ulogic; + dsi_dig_div_4 : IN std_ulogic; + dsi_dig_div_5 : IN std_ulogic; + dsi_dig_div_6 : IN std_ulogic; + dsi_dig_div_7 : IN std_ulogic; + dsi_ana_div_0 : IN std_ulogic; + dsi_ana_div_1 : IN std_ulogic; + dsi_ana_div_2 : IN std_ulogic; + dsi_ana_div_3 : IN std_ulogic; + dsi_glb_div : IN std_ulogic; + dsi_clkin_div : IN std_ulogic; + imo : OUT std_ulogic; + ilo : OUT std_ulogic; + xtal : OUT std_ulogic; + pllout : OUT std_ulogic; + clk_bus_glb_ff : OUT std_ulogic; + aclk_glb_ff_0 : OUT std_ulogic; + clk_a_dig_glb_ff_0 : OUT std_ulogic; + aclk_glb_ff_1 : OUT std_ulogic; + clk_a_dig_glb_ff_1 : OUT std_ulogic; + aclk_glb_ff_2 : OUT std_ulogic; + clk_a_dig_glb_ff_2 : OUT std_ulogic; + aclk_glb_ff_3 : OUT std_ulogic; + clk_a_dig_glb_ff_3 : OUT std_ulogic; + dclk_glb_ff_0 : OUT std_ulogic; + dclk_glb_ff_1 : OUT std_ulogic; + dclk_glb_ff_2 : OUT std_ulogic; + dclk_glb_ff_3 : OUT std_ulogic; + dclk_glb_ff_4 : OUT std_ulogic; + dclk_glb_ff_5 : OUT std_ulogic; + dclk_glb_ff_6 : OUT std_ulogic; + dclk_glb_ff_7 : OUT std_ulogic); + END COMPONENT; + COMPONENT comparatorcell + PORT ( + out : OUT std_ulogic; + clk_udb : IN std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT controlcell + PORT ( + control_0 : OUT std_ulogic; + control_1 : OUT std_ulogic; + control_2 : OUT std_ulogic; + control_3 : OUT std_ulogic; + control_4 : OUT std_ulogic; + control_5 : OUT std_ulogic; + control_6 : OUT std_ulogic; + control_7 : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + clk_en : IN std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT count7cell + PORT ( + clock : IN std_ulogic; + reset : IN std_ulogic; + load : IN std_ulogic; + enable : IN std_ulogic; + clk_en : IN std_ulogic; + count_0 : OUT std_ulogic; + count_1 : OUT std_ulogic; + count_2 : OUT std_ulogic; + count_3 : OUT std_ulogic; + count_4 : OUT std_ulogic; + count_5 : OUT std_ulogic; + count_6 : OUT std_ulogic; + tc : OUT std_ulogic; + clock_n : IN std_ulogic; + extclk : IN std_ulogic; + extclk_n : IN std_ulogic); + END COMPONENT; + COMPONENT csabufcell + PORT ( + swon : IN std_ulogic); + END COMPONENT; + COMPONENT datapathcell + PORT ( + clock : IN std_ulogic; + clk_en : IN std_ulogic; + reset : IN std_ulogic; + cs_addr_0 : IN std_ulogic; + cs_addr_1 : IN std_ulogic; + cs_addr_2 : IN std_ulogic; + route_si : IN std_ulogic; + route_ci : IN std_ulogic; + f0_load : IN std_ulogic; + f1_load : IN std_ulogic; + d0_load : IN std_ulogic; + d1_load : IN std_ulogic; + ce0_reg : OUT std_ulogic; + cl0_reg : OUT std_ulogic; + z0_reg : OUT std_ulogic; + f0_reg : OUT std_ulogic; + ce1_reg : OUT std_ulogic; + cl1_reg : OUT std_ulogic; + z1_reg : OUT std_ulogic; + f1_reg : OUT std_ulogic; + ov_msb_reg : OUT std_ulogic; + co_msb_reg : OUT std_ulogic; + cmsb_reg : OUT std_ulogic; + so_reg : OUT std_ulogic; + f0_bus_stat_reg : OUT std_ulogic; + f0_blk_stat_reg : OUT std_ulogic; + f1_bus_stat_reg : OUT std_ulogic; + f1_blk_stat_reg : OUT std_ulogic; + ce0_comb : OUT std_ulogic; + cl0_comb : OUT std_ulogic; + z0_comb : OUT std_ulogic; + f0_comb : OUT std_ulogic; + ce1_comb : OUT std_ulogic; + cl1_comb : OUT std_ulogic; + z1_comb : OUT std_ulogic; + f1_comb : OUT std_ulogic; + ov_msb_comb : OUT std_ulogic; + co_msb_comb : OUT std_ulogic; + cmsb_comb : OUT std_ulogic; + so_comb : OUT std_ulogic; + f0_bus_stat_comb : OUT std_ulogic; + f0_blk_stat_comb : OUT std_ulogic; + f1_bus_stat_comb : OUT std_ulogic; + f1_blk_stat_comb : OUT std_ulogic; + ce0 : OUT std_ulogic; + ce0i : IN std_ulogic; + p_in_0 : IN std_ulogic; + p_in_1 : IN std_ulogic; + p_in_2 : IN std_ulogic; + p_in_3 : IN std_ulogic; + p_in_4 : IN std_ulogic; + p_in_5 : IN std_ulogic; + p_in_6 : IN std_ulogic; + p_in_7 : IN std_ulogic; + p_out_0 : OUT std_ulogic; + p_out_1 : OUT std_ulogic; + p_out_2 : OUT std_ulogic; + p_out_3 : OUT std_ulogic; + p_out_4 : OUT std_ulogic; + p_out_5 : OUT std_ulogic; + p_out_6 : OUT std_ulogic; + p_out_7 : OUT std_ulogic; + cl0i : IN std_ulogic; + cl0 : OUT std_ulogic; + z0i : IN std_ulogic; + z0 : OUT std_ulogic; + ff0i : IN std_ulogic; + ff0 : OUT std_ulogic; + ce1i : IN std_ulogic; + ce1 : OUT std_ulogic; + cl1i : IN std_ulogic; + cl1 : OUT std_ulogic; + z1i : IN std_ulogic; + z1 : OUT std_ulogic; + ff1i : IN std_ulogic; + ff1 : OUT std_ulogic; + cap0i : IN std_ulogic; + cap0 : OUT std_ulogic; + cap1i : IN std_ulogic; + cap1 : OUT std_ulogic; + ci : IN std_ulogic; + co_msb : OUT std_ulogic; + sir : IN std_ulogic; + sol_msb : OUT std_ulogic; + cfbi : IN std_ulogic; + cfbo : OUT std_ulogic; + sil : IN std_ulogic; + sor : OUT std_ulogic; + cmsbi : IN std_ulogic; + cmsbo : OUT std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT decimatorcell + PORT ( + aclock : IN std_ulogic; + mod_dat_0 : IN std_ulogic; + mod_dat_1 : IN std_ulogic; + mod_dat_2 : IN std_ulogic; + mod_dat_3 : IN std_ulogic; + ext_start : IN std_ulogic; + modrst : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT dfbcell + PORT ( + clock : IN std_ulogic; + in_1 : IN std_ulogic; + in_2 : IN std_ulogic; + out_1 : OUT std_ulogic; + out_2 : OUT std_ulogic; + dmareq_1 : OUT std_ulogic; + dmareq_2 : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT drqcell + PORT ( + dmareq : IN std_ulogic; + termin : IN std_ulogic; + termout : OUT std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT dsmodcell + PORT ( + aclock : IN std_ulogic; + modbitin_udb : IN std_ulogic; + reset_udb : IN std_ulogic; + reset_dec : IN std_ulogic; + dec_clock : OUT std_ulogic; + mod_dat_0 : OUT std_ulogic; + mod_dat_1 : OUT std_ulogic; + mod_dat_2 : OUT std_ulogic; + mod_dat_3 : OUT std_ulogic; + dout_udb_0 : OUT std_ulogic; + dout_udb_1 : OUT std_ulogic; + dout_udb_2 : OUT std_ulogic; + dout_udb_3 : OUT std_ulogic; + dout_udb_4 : OUT std_ulogic; + dout_udb_5 : OUT std_ulogic; + dout_udb_6 : OUT std_ulogic; + dout_udb_7 : OUT std_ulogic; + extclk_cp_udb : IN std_ulogic; + clk_udb : IN std_ulogic); + END COMPONENT; + COMPONENT emifcell + PORT ( + EM_clock : OUT std_ulogic; + EM_CEn : OUT std_ulogic; + EM_OEn : OUT std_ulogic; + EM_ADSCn : OUT std_ulogic; + EM_sleep : OUT std_ulogic; + EM_WRn : OUT std_ulogic; + dataport_OE : OUT std_ulogic; + dataport_OEn : OUT std_ulogic; + wr : OUT std_ulogic; + rd : OUT std_ulogic; + udb_stall : IN std_ulogic; + udb_ready : IN std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT i2ccell + PORT ( + clock : IN std_ulogic; + scl_in : IN std_ulogic; + sda_in : IN std_ulogic; + scl_out : OUT std_ulogic; + sda_out : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT interrupt + PORT ( + interrupt : IN std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT iocell + PORT ( + pin_input : IN std_ulogic; + oe : IN std_ulogic; + fb : OUT std_ulogic; + pad_in : IN std_ulogic; + pa_out : OUT std_ulogic; + pad_out : OUT std_ulogic; + oe_reg : OUT std_ulogic; + oe_internal : IN std_ulogic; + in_clock : IN std_ulogic; + in_clock_en : IN std_ulogic; + in_reset : IN std_ulogic; + out_clock : IN std_ulogic; + out_clock_en : IN std_ulogic; + out_reset : IN std_ulogic); + END COMPONENT; + COMPONENT lcdctrlcell + PORT ( + drive_en : IN std_ulogic; + frame : IN std_ulogic; + data_clk : IN std_ulogic; + en_hi : IN std_ulogic; + dac_dis : IN std_ulogic; + chop_clk : IN std_ulogic; + int_clr : IN std_ulogic; + lp_ack_udb : IN std_ulogic; + mode_1 : IN std_ulogic; + mode_2 : IN std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT logicalport + PORT ( + interrupt : OUT std_ulogic; + precharge : IN std_ulogic; + in_clock : IN std_ulogic; + in_clock_en : IN std_ulogic; + in_reset : IN std_ulogic; + out_clock : IN std_ulogic; + out_clock_en : IN std_ulogic; + out_reset : IN std_ulogic); + END COMPONENT; + COMPONENT lpfcell + END COMPONENT; + COMPONENT lvdcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8clockblockcell + PORT ( + imo : OUT std_ulogic; + ext : OUT std_ulogic; + eco : OUT std_ulogic; + ilo : OUT std_ulogic; + wco : OUT std_ulogic; + dbl : OUT std_ulogic; + pll : OUT std_ulogic; + dpll : OUT std_ulogic; + dsi_out_0 : OUT std_ulogic; + dsi_out_1 : OUT std_ulogic; + dsi_out_2 : OUT std_ulogic; + dsi_out_3 : OUT std_ulogic; + lfclk : OUT std_ulogic; + hfclk : OUT std_ulogic; + sysclk : OUT std_ulogic; + halfsysclk : OUT std_ulogic; + udb_div_0 : OUT std_ulogic; + udb_div_1 : OUT std_ulogic; + udb_div_2 : OUT std_ulogic; + udb_div_3 : OUT std_ulogic; + udb_div_4 : OUT std_ulogic; + udb_div_5 : OUT std_ulogic; + udb_div_6 : OUT std_ulogic; + udb_div_7 : OUT std_ulogic; + udb_div_8 : OUT std_ulogic; + udb_div_9 : OUT std_ulogic; + udb_div_10 : OUT std_ulogic; + udb_div_11 : OUT std_ulogic; + udb_div_12 : OUT std_ulogic; + udb_div_13 : OUT std_ulogic; + udb_div_14 : OUT std_ulogic; + udb_div_15 : OUT std_ulogic; + uab_div_0 : OUT std_ulogic; + uab_div_1 : OUT std_ulogic; + uab_div_2 : OUT std_ulogic; + uab_div_3 : OUT std_ulogic; + ff_div_0 : OUT std_ulogic; + ff_div_1 : OUT std_ulogic; + ff_div_2 : OUT std_ulogic; + ff_div_3 : OUT std_ulogic; + ff_div_4 : OUT std_ulogic; + ff_div_5 : OUT std_ulogic; + ff_div_6 : OUT std_ulogic; + ff_div_7 : OUT std_ulogic; + ff_div_8 : OUT std_ulogic; + ff_div_9 : OUT std_ulogic; + ff_div_10 : OUT std_ulogic; + ff_div_11 : OUT std_ulogic; + ff_div_12 : OUT std_ulogic; + ff_div_13 : OUT std_ulogic; + ff_div_14 : OUT std_ulogic; + ff_div_15 : OUT std_ulogic; + dsi_in_0 : IN std_ulogic; + dsi_in_1 : IN std_ulogic; + dsi_in_2 : IN std_ulogic; + dsi_in_3 : IN std_ulogic); + END COMPONENT; + COMPONENT m0s8clockgenblockcell + PORT ( + gen_clk_in_0 : IN std_ulogic; + gen_clk_in_1 : IN std_ulogic; + gen_clk_in_2 : IN std_ulogic; + gen_clk_in_3 : IN std_ulogic; + gen_clk_in_4 : IN std_ulogic; + gen_clk_in_5 : IN std_ulogic; + gen_clk_in_6 : IN std_ulogic; + gen_clk_in_7 : IN std_ulogic; + gen_clk_out_0 : OUT std_ulogic; + gen_clk_out_1 : OUT std_ulogic; + gen_clk_out_2 : OUT std_ulogic; + gen_clk_out_3 : OUT std_ulogic; + gen_clk_out_4 : OUT std_ulogic; + gen_clk_out_5 : OUT std_ulogic; + gen_clk_out_6 : OUT std_ulogic; + gen_clk_out_7 : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8lcdcell + PORT ( + common_0 : OUT std_ulogic; + common_1 : OUT std_ulogic; + common_2 : OUT std_ulogic; + common_3 : OUT std_ulogic; + common_4 : OUT std_ulogic; + common_5 : OUT std_ulogic; + common_6 : OUT std_ulogic; + common_7 : OUT std_ulogic; + common_8 : OUT std_ulogic; + common_9 : OUT std_ulogic; + common_10 : OUT std_ulogic; + common_11 : OUT std_ulogic; + common_12 : OUT std_ulogic; + common_13 : OUT std_ulogic; + common_14 : OUT std_ulogic; + common_15 : OUT std_ulogic; + segment_0 : OUT std_ulogic; + segment_1 : OUT std_ulogic; + segment_2 : OUT std_ulogic; + segment_3 : OUT std_ulogic; + segment_4 : OUT std_ulogic; + segment_5 : OUT std_ulogic; + segment_6 : OUT std_ulogic; + segment_7 : OUT std_ulogic; + segment_8 : OUT std_ulogic; + segment_9 : OUT std_ulogic; + segment_10 : OUT std_ulogic; + segment_11 : OUT std_ulogic; + segment_12 : OUT std_ulogic; + segment_13 : OUT std_ulogic; + segment_14 : OUT std_ulogic; + segment_15 : OUT std_ulogic; + segment_16 : OUT std_ulogic; + segment_17 : OUT std_ulogic; + segment_18 : OUT std_ulogic; + segment_19 : OUT std_ulogic; + segment_20 : OUT std_ulogic; + segment_21 : OUT std_ulogic; + segment_22 : OUT std_ulogic; + segment_23 : OUT std_ulogic; + segment_24 : OUT std_ulogic; + segment_25 : OUT std_ulogic; + segment_26 : OUT std_ulogic; + segment_27 : OUT std_ulogic; + segment_28 : OUT std_ulogic; + segment_29 : OUT std_ulogic; + segment_30 : OUT std_ulogic; + segment_31 : OUT std_ulogic; + segment_32 : OUT std_ulogic; + segment_33 : OUT std_ulogic; + segment_34 : OUT std_ulogic; + segment_35 : OUT std_ulogic; + segment_36 : OUT std_ulogic; + segment_37 : OUT std_ulogic; + segment_38 : OUT std_ulogic; + segment_39 : OUT std_ulogic; + segment_40 : OUT std_ulogic; + segment_41 : OUT std_ulogic; + segment_42 : OUT std_ulogic; + segment_43 : OUT std_ulogic; + segment_44 : OUT std_ulogic; + segment_45 : OUT std_ulogic; + segment_46 : OUT std_ulogic; + segment_47 : OUT std_ulogic; + segment_48 : OUT std_ulogic; + segment_49 : OUT std_ulogic; + segment_50 : OUT std_ulogic; + segment_51 : OUT std_ulogic; + segment_52 : OUT std_ulogic; + segment_53 : OUT std_ulogic; + segment_54 : OUT std_ulogic; + segment_55 : OUT std_ulogic; + segment_56 : OUT std_ulogic; + segment_57 : OUT std_ulogic; + segment_58 : OUT std_ulogic; + segment_59 : OUT std_ulogic; + segment_60 : OUT std_ulogic; + segment_61 : OUT std_ulogic; + segment_62 : OUT std_ulogic; + segment_63 : OUT std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT m0s8pmcell + PORT ( + pm_int : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8scbcell + PORT ( + clock : IN std_ulogic; + interrupt : OUT std_ulogic; + rx : IN std_ulogic; + tx : OUT std_ulogic; + mosi_m : OUT std_ulogic; + miso_m : IN std_ulogic; + select_m_0 : OUT std_ulogic; + select_m_1 : OUT std_ulogic; + select_m_2 : OUT std_ulogic; + select_m_3 : OUT std_ulogic; + sclk_m : OUT std_ulogic; + mosi_s : IN std_ulogic; + miso_s : OUT std_ulogic; + select_s : IN std_ulogic; + sclk_s : IN std_ulogic; + scl : INOUT std_ulogic; + sda : INOUT std_ulogic); + END COMPONENT; + COMPONENT m0s8spcifcell + PORT ( + spcif_int : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8tcpwmcell + PORT ( + clock : IN std_ulogic; + capture : IN std_ulogic; + count : IN std_ulogic; + reload : IN std_ulogic; + stop : IN std_ulogic; + start : IN std_ulogic; + tr_underflow : OUT std_ulogic; + tr_overflow : OUT std_ulogic; + tr_compare_match : OUT std_ulogic; + line_out : OUT std_ulogic; + line_out_compl : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8tsscell + PORT ( + clk_seq : IN std_ulogic; + clk_adc : IN std_ulogic; + ext_reject : IN std_ulogic; + ext_sync : IN std_ulogic; + tx_sync : IN std_ulogic; + reject_in : IN std_ulogic; + start_in : IN std_ulogic; + lx_det_hi : OUT std_ulogic; + lx_det_lo : OUT std_ulogic; + rej_window : OUT std_ulogic; + tx_hilo : OUT std_ulogic; + phase_end : OUT std_ulogic; + phase_num_0 : OUT std_ulogic; + phase_num_1 : OUT std_ulogic; + phase_num_2 : OUT std_ulogic; + phase_num_3 : OUT std_ulogic; + ipq_reject : OUT std_ulogic; + ipq_start : OUT std_ulogic; + epq_reject : OUT std_ulogic; + epq_start : OUT std_ulogic; + mcs_reject : OUT std_ulogic; + mcs_start : OUT std_ulogic; + do_switch : OUT std_ulogic; + adc_start : OUT std_ulogic; + adc_done : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8wdtcell + PORT ( + wdt_int : OUT std_ulogic); + END COMPONENT; + COMPONENT macrocell + PORT ( + main_0 : IN std_ulogic; + main_1 : IN std_ulogic; + main_2 : IN std_ulogic; + main_3 : IN std_ulogic; + main_4 : IN std_ulogic; + main_5 : IN std_ulogic; + main_6 : IN std_ulogic; + main_7 : IN std_ulogic; + main_8 : IN std_ulogic; + main_9 : IN std_ulogic; + main_10 : IN std_ulogic; + main_11 : IN std_ulogic; + ar_0 : IN std_ulogic; + ap_0 : IN std_ulogic; + clock_0 : IN std_ulogic; + clk_en : IN std_ulogic; + cin : IN std_ulogic; + cpt0_0 : IN std_ulogic; + cpt0_1 : IN std_ulogic; + cpt0_2 : IN std_ulogic; + cpt0_3 : IN std_ulogic; + cpt0_4 : IN std_ulogic; + cpt0_5 : IN std_ulogic; + cpt0_6 : IN std_ulogic; + cpt0_7 : IN std_ulogic; + cpt0_8 : IN std_ulogic; + cpt0_9 : IN std_ulogic; + cpt0_10 : IN std_ulogic; + cpt0_11 : IN std_ulogic; + cpt1_0 : IN std_ulogic; + cpt1_1 : IN std_ulogic; + cpt1_2 : IN std_ulogic; + cpt1_3 : IN std_ulogic; + cpt1_4 : IN std_ulogic; + cpt1_5 : IN std_ulogic; + cpt1_6 : IN std_ulogic; + cpt1_7 : IN std_ulogic; + cpt1_8 : IN std_ulogic; + cpt1_9 : IN std_ulogic; + cpt1_10 : IN std_ulogic; + cpt1_11 : IN std_ulogic; + cout : OUT std_ulogic; + q : OUT std_ulogic; + q_fixed : OUT std_ulogic); + END COMPONENT; + COMPONENT p4abufcell + PORT ( + ctb_dsi_comp : OUT std_ulogic; + dsi_out : IN std_ulogic); + END COMPONENT; + COMPONENT p4anapumpcell + PORT ( + pump_clock : IN std_ulogic); + END COMPONENT; + COMPONENT p4csdcell + PORT ( + sense_out : OUT std_ulogic; + sample_out : OUT std_ulogic; + sense_in : IN std_ulogic; + sample_in : IN std_ulogic; + clk1 : IN std_ulogic; + clk2 : IN std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT p4csidac7cell + PORT ( + en : IN std_ulogic); + END COMPONENT; + COMPONENT p4csidac8cell + PORT ( + en : IN std_ulogic); + END COMPONENT; + COMPONENT p4ctbmblockcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT p4halfuabcell + PORT ( + clock : IN std_ulogic; + comp : OUT std_ulogic; + ctrl : IN std_ulogic); + END COMPONENT; + COMPONENT p4lpcompblockcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT p4lpcompcell + PORT ( + cmpout : OUT std_ulogic); + END COMPONENT; + COMPONENT p4rsbcell + END COMPONENT; + COMPONENT p4sarcell + PORT ( + clock : IN std_ulogic; + sample_done : OUT std_ulogic; + chan_id_valid : OUT std_ulogic; + chan_id_0 : OUT std_ulogic; + chan_id_1 : OUT std_ulogic; + chan_id_2 : OUT std_ulogic; + chan_id_3 : OUT std_ulogic; + data_valid : OUT std_ulogic; + data_0 : OUT std_ulogic; + data_1 : OUT std_ulogic; + data_2 : OUT std_ulogic; + data_3 : OUT std_ulogic; + data_4 : OUT std_ulogic; + data_5 : OUT std_ulogic; + data_6 : OUT std_ulogic; + data_7 : OUT std_ulogic; + data_8 : OUT std_ulogic; + data_9 : OUT std_ulogic; + data_10 : OUT std_ulogic; + data_11 : OUT std_ulogic; + eos_intr : OUT std_ulogic; + irq : OUT std_ulogic; + sw_negvref : IN std_ulogic; + cfg_st_sel_0 : IN std_ulogic; + cfg_st_sel_1 : IN std_ulogic; + cfg_average : IN std_ulogic; + cfg_resolution : IN std_ulogic; + cfg_differential : IN std_ulogic; + trigger : IN std_ulogic; + data_hilo_sel : IN std_ulogic; + swctrl0 : IN std_ulogic; + swctrl1 : IN std_ulogic); + END COMPONENT; + COMPONENT p4sarmuxcell + END COMPONENT; + COMPONENT p4tempcell + END COMPONENT; + COMPONENT p4vrefcell + END COMPONENT; + COMPONENT pmcell + PORT ( + ctw_int : OUT std_ulogic; + ftw_int : OUT std_ulogic; + limact_int : OUT std_ulogic; + onepps_int : OUT std_ulogic; + pm_int : OUT std_ulogic); + END COMPONENT; + COMPONENT sarcell + PORT ( + clock : IN std_ulogic; + pump_clock : IN std_ulogic; + clk_udb : IN std_ulogic; + sof_udb : IN std_ulogic; + vp_ctl_udb_0 : IN std_ulogic; + vp_ctl_udb_1 : IN std_ulogic; + vp_ctl_udb_2 : IN std_ulogic; + vp_ctl_udb_3 : IN std_ulogic; + vn_ctl_udb_0 : IN std_ulogic; + vn_ctl_udb_1 : IN std_ulogic; + vn_ctl_udb_2 : IN std_ulogic; + vn_ctl_udb_3 : IN std_ulogic; + data_out_udb_0 : OUT std_ulogic; + data_out_udb_1 : OUT std_ulogic; + data_out_udb_2 : OUT std_ulogic; + data_out_udb_3 : OUT std_ulogic; + data_out_udb_4 : OUT std_ulogic; + data_out_udb_5 : OUT std_ulogic; + data_out_udb_6 : OUT std_ulogic; + data_out_udb_7 : OUT std_ulogic; + data_out_udb_8 : OUT std_ulogic; + data_out_udb_9 : OUT std_ulogic; + data_out_udb_10 : OUT std_ulogic; + data_out_udb_11 : OUT std_ulogic; + eof_udb : OUT std_ulogic; + irq : OUT std_ulogic; + next : OUT std_ulogic); + END COMPONENT; + COMPONENT sccell + PORT ( + aclk : IN std_ulogic; + bst_clk : IN std_ulogic; + clk_udb : IN std_ulogic; + modout : OUT std_ulogic; + dyn_cntl_udb : IN std_ulogic); + END COMPONENT; + COMPONENT spccell + PORT ( + data_ready : OUT std_ulogic; + eeprom_fault_int : OUT std_ulogic; + idle : OUT std_ulogic); + END COMPONENT; + COMPONENT ssccell + PORT ( + rst_n : IN std_ulogic; + scli : IN std_ulogic; + sdai : IN std_ulogic; + csel : IN std_ulogic; + sclo : OUT std_ulogic; + sdao : OUT std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT statuscell + PORT ( + status_0 : IN std_ulogic; + status_1 : IN std_ulogic; + status_2 : IN std_ulogic; + status_3 : IN std_ulogic; + status_4 : IN std_ulogic; + status_5 : IN std_ulogic; + status_6 : IN std_ulogic; + status_7 : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + clk_en : IN std_ulogic); + END COMPONENT; + COMPONENT statusicell + PORT ( + status_0 : IN std_ulogic; + status_1 : IN std_ulogic; + status_2 : IN std_ulogic; + status_3 : IN std_ulogic; + status_4 : IN std_ulogic; + status_5 : IN std_ulogic; + status_6 : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + interrupt : OUT std_ulogic; + clk_en : IN std_ulogic); + END COMPONENT; + COMPONENT synccell + PORT ( + in : IN std_ulogic; + clock : IN std_ulogic; + out : OUT std_ulogic; + clk_en : IN std_ulogic; + clock_n : IN std_ulogic; + extclk : IN std_ulogic; + extclk_n : IN std_ulogic); + END COMPONENT; + COMPONENT tfaultcell + PORT ( + tfault_dsi : OUT std_ulogic); + END COMPONENT; + COMPONENT timercell + PORT ( + clock : IN std_ulogic; + kill : IN std_ulogic; + enable : IN std_ulogic; + capture : IN std_ulogic; + timer_reset : IN std_ulogic; + tc : OUT std_ulogic; + cmp : OUT std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT udbclockencell + PORT ( + clock_in : IN std_ulogic; + enable : IN std_ulogic; + clock_out : OUT std_ulogic); + END COMPONENT; + COMPONENT usbcell + PORT ( + sof_int : OUT std_ulogic; + arb_int : OUT std_ulogic; + usb_int : OUT std_ulogic; + ord_int : OUT std_ulogic; + ept_int_0 : OUT std_ulogic; + ept_int_1 : OUT std_ulogic; + ept_int_2 : OUT std_ulogic; + ept_int_3 : OUT std_ulogic; + ept_int_4 : OUT std_ulogic; + ept_int_5 : OUT std_ulogic; + ept_int_6 : OUT std_ulogic; + ept_int_7 : OUT std_ulogic; + ept_int_8 : OUT std_ulogic; + dma_req_0 : OUT std_ulogic; + dma_req_1 : OUT std_ulogic; + dma_req_2 : OUT std_ulogic; + dma_req_3 : OUT std_ulogic; + dma_req_4 : OUT std_ulogic; + dma_req_5 : OUT std_ulogic; + dma_req_6 : OUT std_ulogic; + dma_req_7 : OUT std_ulogic; + dma_termin : OUT std_ulogic); + END COMPONENT; + COMPONENT vidaccell + PORT ( + data_0 : IN std_ulogic; + data_1 : IN std_ulogic; + data_2 : IN std_ulogic; + data_3 : IN std_ulogic; + data_4 : IN std_ulogic; + data_5 : IN std_ulogic; + data_6 : IN std_ulogic; + data_7 : IN std_ulogic; + strobe : IN std_ulogic; + strobe_udb : IN std_ulogic; + reset : IN std_ulogic; + idir : IN std_ulogic; + ioff : IN std_ulogic); + END COMPONENT; +BEGIN + + ClockBlock:clockblockcell + PORT MAP( + clk_bus_glb => ClockBlock_BUS_CLK, + clk_bus => ClockBlock_BUS_CLK_local, + clk_sync => ClockBlock_MASTER_CLK, + clk_32k_xtal => ClockBlock_XTAL_32KHZ, + xtal => ClockBlock_XTAL, + ilo => ClockBlock_ILO, + clk_100k => ClockBlock_100k, + clk_1k => ClockBlock_1k, + clk_32k => ClockBlock_32k, + pllout => ClockBlock_PLL_OUT, + imo => ClockBlock_IMO, + dsi_clkin_div => open, + dsi_glb_div => open); + + SCSI_Out:logicalport + GENERIC MAP( + drive_mode => "110110110110110110110110110110", + ibuf_enabled => "1111111111", + id => "11f071e8-9c92-47e0-872a-3f48765a75b8", + init_dr_st => "0000000000", + input_clk_en => 0, + input_sync => "1111111111", + input_sync_mode => "0000000000", + intr_mode => "00000000000000000000", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "5, 5, 5, 5, 5, 5, 5, 5, 5, 5", + layout_mode => "NONCONTIGUOUS", + oe_conn => "0000000000", + oe_reset => 0, + oe_sync => "0000000000", + output_clk_en => 0, + output_clock_mode => "0000000000", + output_conn => "0000000000", + output_mode => "0000000000", + output_reset => 0, + output_sync => "0000000000", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "DBP_raw,ATN,BSY,ACK,RST,MSG,SEL,CD,REQ,IO_raw", + pin_mode => "OOOOOOOOOO", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0000000000", + sio_ibuf => "00000000", + sio_info => "00000000000000000000", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0000000000", + spanning => 1, + sw_only => 0, + use_annotation => "1111111111", + vtrip => "10101010101010101010", + width => 10, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + SCSI_Out(0):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(0)__PA, + oe => open, + pad_in => SCSI_Out(0)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(1):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 1, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(1)__PA, + oe => open, + pad_in => SCSI_Out(1)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(2):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 2, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(2)__PA, + oe => open, + pad_in => SCSI_Out(2)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(3):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 3, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(3)__PA, + oe => open, + pad_in => SCSI_Out(3)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(4):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 4, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(4)__PA, + oe => open, + pad_in => SCSI_Out(4)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(5):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 5, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(5)__PA, + oe => open, + pad_in => SCSI_Out(5)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(6):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 6, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(6)__PA, + oe => open, + pad_in => SCSI_Out(6)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(7):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 7, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(7)__PA, + oe => open, + pad_in => SCSI_Out(7)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(8):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 8, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(8)__PA, + oe => open, + pad_in => SCSI_Out(8)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(9):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 9, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(9)__PA, + oe => open, + pad_in => SCSI_Out(9)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx:logicalport + GENERIC MAP( + drive_mode => "110110110110110110110110", + ibuf_enabled => "11111111", + id => "52f31aa9-2f0a-497d-9a1f-1424095e13e6", + init_dr_st => "00000000", + input_clk_en => 0, + input_sync => "11111111", + input_sync_mode => "00000000", + intr_mode => "0000000000000000", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => ", , , , , , , 5", + layout_mode => "NONCONTIGUOUS", + oe_conn => "00000000", + oe_reset => 0, + oe_sync => "00000000", + output_clk_en => 0, + output_clock_mode => "00000000", + output_conn => "00000000", + output_mode => "00000000", + output_reset => 0, + output_sync => "00000000", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "DB0,DB1,DB2,DB3,DB4,DB5,DB6,DB7", + pin_mode => "OOOOOOOO", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "00000000", + sio_ibuf => "00000000", + sio_info => "0000000000000000", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "00000000", + spanning => 1, + sw_only => 0, + use_annotation => "11111111", + vtrip => "1010101010101010", + width => 8, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + SCSI_Out_DBx(0):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(0)__PA, + oe => open, + pad_in => SCSI_Out_DBx(0)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(1):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 1, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(1)__PA, + oe => open, + pad_in => SCSI_Out_DBx(1)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(2):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 2, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(2)__PA, + oe => open, + pad_in => SCSI_Out_DBx(2)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(3):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 3, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(3)__PA, + oe => open, + pad_in => SCSI_Out_DBx(3)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(4):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 4, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(4)__PA, + oe => open, + pad_in => SCSI_Out_DBx(4)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(5):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 5, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(5)__PA, + oe => open, + pad_in => SCSI_Out_DBx(5)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(6):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 6, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(6)__PA, + oe => open, + pad_in => SCSI_Out_DBx(6)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(7):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 7, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(7)__PA, + oe => open, + pad_in => SCSI_Out_DBx(7)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dm(0)\:iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "\USBFS:Dm\", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000010000000000000000") + PORT MAP( + pa_out => \\\USBFS:Dm(0)\\__PA\, + oe => open, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dm\:logicalport + GENERIC MAP( + drive_mode => "000", + ibuf_enabled => "0", + id => "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/8b77a6c4-10a0-4390-971c-672353e2a49c", + init_dr_st => "0", + input_clk_en => 0, + input_sync => "1", + input_sync_mode => "0", + intr_mode => "00", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "", + layout_mode => "NONCONTIGUOUS", + oe_conn => "0", + oe_reset => 0, + oe_sync => "0", + output_clk_en => 0, + output_clock_mode => "0", + output_conn => "0", + output_mode => "0", + output_reset => 0, + output_sync => "0", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "", + pin_mode => "A", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0", + sio_ibuf => "00000000", + sio_info => "00", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0", + spanning => 1, + sw_only => 0, + use_annotation => "0", + vtrip => "10", + width => 1, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + \USBFS:Dp(0)\:iocell + GENERIC MAP( + in_sync_mode => 2, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "\USBFS:Dp\", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000001000000000000000") + PORT MAP( + pa_out => \\\USBFS:Dp(0)\\__PA\, + oe => open, + in_clock => ClockBlock_BUS_CLK, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dp\:logicalport + GENERIC MAP( + drive_mode => "000", + ibuf_enabled => "0", + id => "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42", + init_dr_st => "0", + input_clk_en => 0, + input_sync => "1", + input_sync_mode => "0", + intr_mode => "10", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "", + layout_mode => "CONTIGUOUS", + oe_conn => "0", + oe_reset => 0, + oe_sync => "0", + output_clk_en => 0, + output_clock_mode => "0", + output_conn => "0", + output_mode => "0", + output_reset => 0, + output_sync => "0", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "", + pin_mode => "I", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0", + sio_ibuf => "00000000", + sio_info => "00", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0", + spanning => 0, + sw_only => 0, + use_annotation => "0", + vtrip => "00", + width => 1, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open, + interrupt => \USBFS:Net_1010\, + in_clock => open); + + \USBFS:USB\:usbcell + GENERIC MAP( + cy_registers => "") + PORT MAP( + sof_int => Net_40, + arb_int => \USBFS:Net_79\, + usb_int => \USBFS:Net_81\, + ept_int_8 => \USBFS:ept_int_8\, + ept_int_7 => \USBFS:ept_int_7\, + ept_int_6 => \USBFS:ept_int_6\, + ept_int_5 => \USBFS:ept_int_5\, + ept_int_4 => \USBFS:ept_int_4\, + ept_int_3 => \USBFS:ept_int_3\, + ept_int_2 => \USBFS:ept_int_2\, + ept_int_1 => \USBFS:ept_int_1\, + ept_int_0 => \USBFS:ept_int_0\, + ord_int => \USBFS:Net_95\, + dma_req_7 => \USBFS:dma_req_7\, + dma_req_6 => \USBFS:dma_req_6\, + dma_req_5 => \USBFS:dma_req_5\, + dma_req_4 => \USBFS:dma_req_4\, + dma_req_3 => \USBFS:dma_req_3\, + dma_req_2 => \USBFS:dma_req_2\, + dma_req_1 => \USBFS:dma_req_1\, + dma_req_0 => \USBFS:dma_req_0\, + dma_termin => \USBFS:Net_824\); + + \USBFS:arb_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_79\, + clock => ClockBlock_BUS_CLK); + + \USBFS:bus_reset\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_81\, + clock => ClockBlock_BUS_CLK); + + \USBFS:dp_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_1010\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_0\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_0\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_1\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_1\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_2\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_2\, + clock => ClockBlock_BUS_CLK); + + \USBFS:sof_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => Net_40, + clock => ClockBlock_BUS_CLK); + +END __DEFAULT__; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.lib b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.lib new file mode 100755 index 0000000..ddcbe3a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.lib @@ -0,0 +1,1364 @@ +library (timing) { + timescale : 1ns; + capacitive_load_unit (1,ff); + include_file(device.lib); + cell (iocell1) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.445; + intrinsic_fall : 16.445; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.445; + intrinsic_fall : 16.445; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.093; + intrinsic_fall : 15.093; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.033; + intrinsic_fall : 7.033; + } + } + } + cell (iocell2) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.297; + intrinsic_fall : 17.297; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.297; + intrinsic_fall : 17.297; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.111; + intrinsic_fall : 15.111; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.255; + intrinsic_fall : 7.255; + } + } + } + cell (iocell3) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.269; + intrinsic_fall : 17.269; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.269; + intrinsic_fall : 17.269; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.495; + intrinsic_fall : 15.495; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.644; + intrinsic_fall : 7.644; + } + } + } + cell (iocell4) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.371; + intrinsic_fall : 17.371; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.371; + intrinsic_fall : 17.371; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.561; + intrinsic_fall : 15.561; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.354; + intrinsic_fall : 7.354; + } + } + } + cell (iocell5) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.182; + intrinsic_fall : 17.182; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.182; + intrinsic_fall : 17.182; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.023; + intrinsic_fall : 15.023; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 8.264; + intrinsic_fall : 8.264; + } + } + } + cell (iocell6) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.718; + intrinsic_fall : 17.718; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.718; + intrinsic_fall : 17.718; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.880; + intrinsic_fall : 14.880; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.563; + intrinsic_fall : 7.563; + } + } + } + cell (iocell7) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.610; + intrinsic_fall : 17.610; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.610; + intrinsic_fall : 17.610; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.744; + intrinsic_fall : 15.744; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.958; + intrinsic_fall : 7.958; + } + } + } + cell (iocell8) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.428; + intrinsic_fall : 17.428; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.428; + intrinsic_fall : 17.428; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.459; + intrinsic_fall : 15.459; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.950; + intrinsic_fall : 7.950; + } + } + } + cell (iocell9) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.434; + intrinsic_fall : 17.434; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.434; + intrinsic_fall : 17.434; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.802; + intrinsic_fall : 15.802; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.962; + intrinsic_fall : 7.962; + } + } + } + cell (iocell10) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.161; + intrinsic_fall : 17.161; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.161; + intrinsic_fall : 17.161; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.251; + intrinsic_fall : 15.251; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.922; + intrinsic_fall : 7.922; + } + } + } + cell (iocell11) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.840; + intrinsic_fall : 17.840; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.840; + intrinsic_fall : 17.840; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.011; + intrinsic_fall : 15.011; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.576; + intrinsic_fall : 7.576; + } + } + } + cell (iocell12) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.165; + intrinsic_fall : 17.165; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.165; + intrinsic_fall : 17.165; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.746; + intrinsic_fall : 15.746; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.331; + intrinsic_fall : 7.331; + } + } + } + cell (iocell13) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.973; + intrinsic_fall : 16.973; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.973; + intrinsic_fall : 16.973; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.880; + intrinsic_fall : 14.880; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.065; + intrinsic_fall : 7.065; + } + } + } + cell (iocell14) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.979; + intrinsic_fall : 16.979; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.979; + intrinsic_fall : 16.979; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 14.914; + intrinsic_fall : 14.914; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.816; + intrinsic_fall : 7.816; + } + } + } + cell (iocell15) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.374; + intrinsic_fall : 17.374; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.374; + intrinsic_fall : 17.374; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.222; + intrinsic_fall : 15.222; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.459; + intrinsic_fall : 7.459; + } + } + } + cell (iocell16) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.157; + intrinsic_fall : 17.157; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.157; + intrinsic_fall : 17.157; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.976; + intrinsic_fall : 15.976; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.582; + intrinsic_fall : 7.582; + } + } + } + cell (iocell17) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 16.578; + intrinsic_fall : 16.578; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 16.578; + intrinsic_fall : 16.578; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 15.347; + intrinsic_fall : 15.347; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 7.368; + intrinsic_fall : 7.368; + } + } + } + cell (iocell18) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 17.786; + intrinsic_fall : 17.786; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 17.786; + intrinsic_fall : 17.786; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 16.004; + intrinsic_fall : 16.004; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 6.974; + intrinsic_fall : 6.974; + } + } + } + cell (iocell19) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 19.053; + intrinsic_fall : 19.053; + } + } + pin (fb) { + direction : output; + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pad_in"; + intrinsic_rise : 9.497; + intrinsic_fall : 9.497; + } + } + } + cell (iocell20) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : output; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing () { + timing_sense : negative_unate; + timing_type : three_state_disable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : three_state_enable; + related_pin : "oe"; + intrinsic_rise : 52.000; + intrinsic_fall : 52.000; + } + timing () { + timing_sense : positive_unate; + timing_type : combinational; + related_pin : "pin_input"; + intrinsic_rise : 19.129; + intrinsic_fall : 19.129; + } + } + pin (fb) { + direction : output; + timing () { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 2.717; + intrinsic_fall : 2.717; + } + } + } +} diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.vh2 b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.vh2 new file mode 100755 index 0000000..ea0fe50 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_t.vh2 @@ -0,0 +1,1790 @@ +-- Project: W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj +-- Generated: 10/26/2013 18:55:25 +-- + +ENTITY USB_Bootloader IS + PORT( + SCSI_Out(0)_PAD : OUT std_ulogic; + SCSI_Out(1)_PAD : OUT std_ulogic; + SCSI_Out(2)_PAD : OUT std_ulogic; + SCSI_Out(3)_PAD : OUT std_ulogic; + SCSI_Out(4)_PAD : OUT std_ulogic; + SCSI_Out(5)_PAD : OUT std_ulogic; + SCSI_Out(6)_PAD : OUT std_ulogic; + SCSI_Out(7)_PAD : OUT std_ulogic; + SCSI_Out(8)_PAD : OUT std_ulogic; + SCSI_Out(9)_PAD : OUT std_ulogic; + SCSI_Out_DBx(0)_PAD : OUT std_ulogic; + SCSI_Out_DBx(1)_PAD : OUT std_ulogic; + SCSI_Out_DBx(2)_PAD : OUT std_ulogic; + SCSI_Out_DBx(3)_PAD : OUT std_ulogic; + SCSI_Out_DBx(4)_PAD : OUT std_ulogic; + SCSI_Out_DBx(5)_PAD : OUT std_ulogic; + SCSI_Out_DBx(6)_PAD : OUT std_ulogic; + SCSI_Out_DBx(7)_PAD : OUT std_ulogic); + ATTRIBUTE voltage_Vio1 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vusb OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vddd OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio3 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vdda OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio0 OF __DEFAULT__ : ENTITY IS 5e0; + ATTRIBUTE voltage_Vio2 OF __DEFAULT__ : ENTITY IS 5e0; +END USB_Bootloader; + +ARCHITECTURE __DEFAULT__ OF USB_Bootloader IS + SIGNAL ClockBlock_100k : bit; + SIGNAL ClockBlock_1k : bit; + SIGNAL ClockBlock_32k : bit; + SIGNAL ClockBlock_BUS_CLK : bit; + ATTRIBUTE global_signal OF ClockBlock_BUS_CLK : SIGNAL IS true; + SIGNAL ClockBlock_BUS_CLK_local : bit; + SIGNAL ClockBlock_ILO : bit; + SIGNAL ClockBlock_IMO : bit; + SIGNAL ClockBlock_MASTER_CLK : bit; + SIGNAL ClockBlock_PLL_OUT : bit; + SIGNAL ClockBlock_XTAL : bit; + SIGNAL ClockBlock_XTAL_32KHZ : bit; + SIGNAL Net_40 : bit; + SIGNAL SCSI_Out(0)__PA : bit; + SIGNAL SCSI_Out(1)__PA : bit; + SIGNAL SCSI_Out(2)__PA : bit; + SIGNAL SCSI_Out(3)__PA : bit; + SIGNAL SCSI_Out(4)__PA : bit; + SIGNAL SCSI_Out(5)__PA : bit; + SIGNAL SCSI_Out(6)__PA : bit; + SIGNAL SCSI_Out(7)__PA : bit; + SIGNAL SCSI_Out(8)__PA : bit; + SIGNAL SCSI_Out(9)__PA : bit; + SIGNAL SCSI_Out_DBx(0)__PA : bit; + SIGNAL SCSI_Out_DBx(1)__PA : bit; + SIGNAL SCSI_Out_DBx(2)__PA : bit; + SIGNAL SCSI_Out_DBx(3)__PA : bit; + SIGNAL SCSI_Out_DBx(4)__PA : bit; + SIGNAL SCSI_Out_DBx(5)__PA : bit; + SIGNAL SCSI_Out_DBx(6)__PA : bit; + SIGNAL SCSI_Out_DBx(7)__PA : bit; + SIGNAL \\\USBFS:Dm(0)\\__PA\ : bit; + SIGNAL \\\USBFS:Dp(0)\\__PA\ : bit; + SIGNAL \USBFS:Net_1010\ : bit; + SIGNAL \USBFS:Net_79\ : bit; + SIGNAL \USBFS:Net_81\ : bit; + SIGNAL \USBFS:Net_824\ : bit; + SIGNAL \USBFS:Net_95\ : bit; + SIGNAL \USBFS:dma_req_0\ : bit; + SIGNAL \USBFS:dma_req_1\ : bit; + SIGNAL \USBFS:dma_req_2\ : bit; + SIGNAL \USBFS:dma_req_3\ : bit; + SIGNAL \USBFS:dma_req_4\ : bit; + SIGNAL \USBFS:dma_req_5\ : bit; + SIGNAL \USBFS:dma_req_6\ : bit; + SIGNAL \USBFS:dma_req_7\ : bit; + SIGNAL \USBFS:ept_int_0\ : bit; + SIGNAL \USBFS:ept_int_1\ : bit; + SIGNAL \USBFS:ept_int_2\ : bit; + SIGNAL \USBFS:ept_int_3\ : bit; + SIGNAL \USBFS:ept_int_4\ : bit; + SIGNAL \USBFS:ept_int_5\ : bit; + SIGNAL \USBFS:ept_int_6\ : bit; + SIGNAL \USBFS:ept_int_7\ : bit; + SIGNAL \USBFS:ept_int_8\ : bit; + SIGNAL __ONE__ : bit; + ATTRIBUTE POWER OF __ONE__ : SIGNAL IS true; + SIGNAL __ZERO__ : bit; + ATTRIBUTE GROUND OF __ZERO__ : SIGNAL IS true; + SIGNAL one : bit; + ATTRIBUTE POWER OF one : SIGNAL IS true; + SIGNAL zero : bit; + ATTRIBUTE GROUND OF zero : SIGNAL IS true; + ATTRIBUTE Location OF ClockBlock : LABEL IS "F(Clock,0)"; + ATTRIBUTE lib_model OF SCSI_Out(0) : LABEL IS "iocell1"; + ATTRIBUTE Location OF SCSI_Out(0) : LABEL IS "P4[3]"; + ATTRIBUTE lib_model OF SCSI_Out(1) : LABEL IS "iocell2"; + ATTRIBUTE Location OF SCSI_Out(1) : LABEL IS "P4[2]"; + ATTRIBUTE lib_model OF SCSI_Out(2) : LABEL IS "iocell3"; + ATTRIBUTE Location OF SCSI_Out(2) : LABEL IS "P0[7]"; + ATTRIBUTE lib_model OF SCSI_Out(3) : LABEL IS "iocell4"; + ATTRIBUTE Location OF SCSI_Out(3) : LABEL IS "P0[6]"; + ATTRIBUTE lib_model OF SCSI_Out(4) : LABEL IS "iocell5"; + ATTRIBUTE Location OF SCSI_Out(4) : LABEL IS "P0[5]"; + ATTRIBUTE lib_model OF SCSI_Out(5) : LABEL IS "iocell6"; + ATTRIBUTE Location OF SCSI_Out(5) : LABEL IS "P0[4]"; + ATTRIBUTE lib_model OF SCSI_Out(6) : LABEL IS "iocell7"; + ATTRIBUTE Location OF SCSI_Out(6) : LABEL IS "P0[3]"; + ATTRIBUTE lib_model OF SCSI_Out(7) : LABEL IS "iocell8"; + ATTRIBUTE Location OF SCSI_Out(7) : LABEL IS "P0[2]"; + ATTRIBUTE lib_model OF SCSI_Out(8) : LABEL IS "iocell9"; + ATTRIBUTE Location OF SCSI_Out(8) : LABEL IS "P0[1]"; + ATTRIBUTE lib_model OF SCSI_Out(9) : LABEL IS "iocell10"; + ATTRIBUTE Location OF SCSI_Out(9) : LABEL IS "P0[0]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(0) : LABEL IS "iocell11"; + ATTRIBUTE Location OF SCSI_Out_DBx(0) : LABEL IS "P6[3]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(1) : LABEL IS "iocell12"; + ATTRIBUTE Location OF SCSI_Out_DBx(1) : LABEL IS "P6[2]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(2) : LABEL IS "iocell13"; + ATTRIBUTE Location OF SCSI_Out_DBx(2) : LABEL IS "P6[1]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(3) : LABEL IS "iocell14"; + ATTRIBUTE Location OF SCSI_Out_DBx(3) : LABEL IS "P6[0]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(4) : LABEL IS "iocell15"; + ATTRIBUTE Location OF SCSI_Out_DBx(4) : LABEL IS "P4[7]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(5) : LABEL IS "iocell16"; + ATTRIBUTE Location OF SCSI_Out_DBx(5) : LABEL IS "P4[6]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(6) : LABEL IS "iocell17"; + ATTRIBUTE Location OF SCSI_Out_DBx(6) : LABEL IS "P4[5]"; + ATTRIBUTE lib_model OF SCSI_Out_DBx(7) : LABEL IS "iocell18"; + ATTRIBUTE Location OF SCSI_Out_DBx(7) : LABEL IS "P4[4]"; + ATTRIBUTE lib_model OF \USBFS:Dm(0)\ : LABEL IS "iocell19"; + ATTRIBUTE Location OF \USBFS:Dm(0)\ : LABEL IS "P15[7]"; + ATTRIBUTE lib_model OF \USBFS:Dp(0)\ : LABEL IS "iocell20"; + ATTRIBUTE Location OF \USBFS:Dp(0)\ : LABEL IS "P15[6]"; + ATTRIBUTE Location OF \USBFS:Dp\ : LABEL IS "F(PICU,8)"; + ATTRIBUTE Location OF \USBFS:USB\ : LABEL IS "F(USB,0)"; + ATTRIBUTE Location OF \USBFS:arb_int\ : LABEL IS "[IntrHod=(0)][IntrId=(22)]"; + ATTRIBUTE Location OF \USBFS:bus_reset\ : LABEL IS "[IntrHod=(0)][IntrId=(23)]"; + ATTRIBUTE Location OF \USBFS:dp_int\ : LABEL IS "[IntrHod=(0)][IntrId=(12)]"; + ATTRIBUTE Location OF \USBFS:ep_0\ : LABEL IS "[IntrHod=(0)][IntrId=(24)]"; + ATTRIBUTE Location OF \USBFS:ep_1\ : LABEL IS "[IntrHod=(0)][IntrId=(0)]"; + ATTRIBUTE Location OF \USBFS:ep_2\ : LABEL IS "[IntrHod=(0)][IntrId=(1)]"; + ATTRIBUTE Location OF \USBFS:sof_int\ : LABEL IS "[IntrHod=(0)][IntrId=(21)]"; + COMPONENT abufcell + END COMPONENT; + COMPONENT boostcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT cachecell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT cancell + PORT ( + clock : IN std_ulogic; + can_rx : IN std_ulogic; + can_tx : OUT std_ulogic; + can_tx_en : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT capsensecell + PORT ( + lft : IN std_ulogic; + rt : IN std_ulogic); + END COMPONENT; + COMPONENT clockblockcell + PORT ( + dclk_0 : OUT std_ulogic; + dclk_1 : OUT std_ulogic; + dclk_2 : OUT std_ulogic; + dclk_3 : OUT std_ulogic; + dclk_4 : OUT std_ulogic; + dclk_5 : OUT std_ulogic; + dclk_6 : OUT std_ulogic; + dclk_7 : OUT std_ulogic; + dclk_glb_0 : OUT std_ulogic; + dclk_glb_1 : OUT std_ulogic; + dclk_glb_2 : OUT std_ulogic; + dclk_glb_3 : OUT std_ulogic; + dclk_glb_4 : OUT std_ulogic; + dclk_glb_5 : OUT std_ulogic; + dclk_glb_6 : OUT std_ulogic; + dclk_glb_7 : OUT std_ulogic; + aclk_0 : OUT std_ulogic; + aclk_1 : OUT std_ulogic; + aclk_2 : OUT std_ulogic; + aclk_3 : OUT std_ulogic; + aclk_glb_0 : OUT std_ulogic; + aclk_glb_1 : OUT std_ulogic; + aclk_glb_2 : OUT std_ulogic; + aclk_glb_3 : OUT std_ulogic; + clk_a_dig_0 : OUT std_ulogic; + clk_a_dig_1 : OUT std_ulogic; + clk_a_dig_2 : OUT std_ulogic; + clk_a_dig_3 : OUT std_ulogic; + clk_a_dig_glb_0 : OUT std_ulogic; + clk_a_dig_glb_1 : OUT std_ulogic; + clk_a_dig_glb_2 : OUT std_ulogic; + clk_a_dig_glb_3 : OUT std_ulogic; + clk_bus : OUT std_ulogic; + clk_bus_glb : OUT std_ulogic; + clk_sync : OUT std_ulogic; + clk_32k_xtal : OUT std_ulogic; + clk_100k : OUT std_ulogic; + clk_32k : OUT std_ulogic; + clk_1k : OUT std_ulogic; + clk_usb : OUT std_ulogic; + xmhz_xerr : OUT std_ulogic; + pll_lock_out : OUT std_ulogic; + dsi_dig_div_0 : IN std_ulogic; + dsi_dig_div_1 : IN std_ulogic; + dsi_dig_div_2 : IN std_ulogic; + dsi_dig_div_3 : IN std_ulogic; + dsi_dig_div_4 : IN std_ulogic; + dsi_dig_div_5 : IN std_ulogic; + dsi_dig_div_6 : IN std_ulogic; + dsi_dig_div_7 : IN std_ulogic; + dsi_ana_div_0 : IN std_ulogic; + dsi_ana_div_1 : IN std_ulogic; + dsi_ana_div_2 : IN std_ulogic; + dsi_ana_div_3 : IN std_ulogic; + dsi_glb_div : IN std_ulogic; + dsi_clkin_div : IN std_ulogic; + imo : OUT std_ulogic; + ilo : OUT std_ulogic; + xtal : OUT std_ulogic; + pllout : OUT std_ulogic; + clk_bus_glb_ff : OUT std_ulogic; + aclk_glb_ff_0 : OUT std_ulogic; + clk_a_dig_glb_ff_0 : OUT std_ulogic; + aclk_glb_ff_1 : OUT std_ulogic; + clk_a_dig_glb_ff_1 : OUT std_ulogic; + aclk_glb_ff_2 : OUT std_ulogic; + clk_a_dig_glb_ff_2 : OUT std_ulogic; + aclk_glb_ff_3 : OUT std_ulogic; + clk_a_dig_glb_ff_3 : OUT std_ulogic; + dclk_glb_ff_0 : OUT std_ulogic; + dclk_glb_ff_1 : OUT std_ulogic; + dclk_glb_ff_2 : OUT std_ulogic; + dclk_glb_ff_3 : OUT std_ulogic; + dclk_glb_ff_4 : OUT std_ulogic; + dclk_glb_ff_5 : OUT std_ulogic; + dclk_glb_ff_6 : OUT std_ulogic; + dclk_glb_ff_7 : OUT std_ulogic); + END COMPONENT; + COMPONENT comparatorcell + PORT ( + out : OUT std_ulogic; + clk_udb : IN std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT controlcell + PORT ( + control_0 : OUT std_ulogic; + control_1 : OUT std_ulogic; + control_2 : OUT std_ulogic; + control_3 : OUT std_ulogic; + control_4 : OUT std_ulogic; + control_5 : OUT std_ulogic; + control_6 : OUT std_ulogic; + control_7 : OUT std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + clk_en : IN std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT count7cell + PORT ( + clock : IN std_ulogic; + reset : IN std_ulogic; + load : IN std_ulogic; + enable : IN std_ulogic; + clk_en : IN std_ulogic; + count_0 : OUT std_ulogic; + count_1 : OUT std_ulogic; + count_2 : OUT std_ulogic; + count_3 : OUT std_ulogic; + count_4 : OUT std_ulogic; + count_5 : OUT std_ulogic; + count_6 : OUT std_ulogic; + tc : OUT std_ulogic; + clock_n : IN std_ulogic; + extclk : IN std_ulogic; + extclk_n : IN std_ulogic); + END COMPONENT; + COMPONENT csabufcell + PORT ( + swon : IN std_ulogic); + END COMPONENT; + COMPONENT datapathcell + PORT ( + clock : IN std_ulogic; + clk_en : IN std_ulogic; + reset : IN std_ulogic; + cs_addr_0 : IN std_ulogic; + cs_addr_1 : IN std_ulogic; + cs_addr_2 : IN std_ulogic; + route_si : IN std_ulogic; + route_ci : IN std_ulogic; + f0_load : IN std_ulogic; + f1_load : IN std_ulogic; + d0_load : IN std_ulogic; + d1_load : IN std_ulogic; + ce0_reg : OUT std_ulogic; + cl0_reg : OUT std_ulogic; + z0_reg : OUT std_ulogic; + f0_reg : OUT std_ulogic; + ce1_reg : OUT std_ulogic; + cl1_reg : OUT std_ulogic; + z1_reg : OUT std_ulogic; + f1_reg : OUT std_ulogic; + ov_msb_reg : OUT std_ulogic; + co_msb_reg : OUT std_ulogic; + cmsb_reg : OUT std_ulogic; + so_reg : OUT std_ulogic; + f0_bus_stat_reg : OUT std_ulogic; + f0_blk_stat_reg : OUT std_ulogic; + f1_bus_stat_reg : OUT std_ulogic; + f1_blk_stat_reg : OUT std_ulogic; + ce0_comb : OUT std_ulogic; + cl0_comb : OUT std_ulogic; + z0_comb : OUT std_ulogic; + f0_comb : OUT std_ulogic; + ce1_comb : OUT std_ulogic; + cl1_comb : OUT std_ulogic; + z1_comb : OUT std_ulogic; + f1_comb : OUT std_ulogic; + ov_msb_comb : OUT std_ulogic; + co_msb_comb : OUT std_ulogic; + cmsb_comb : OUT std_ulogic; + so_comb : OUT std_ulogic; + f0_bus_stat_comb : OUT std_ulogic; + f0_blk_stat_comb : OUT std_ulogic; + f1_bus_stat_comb : OUT std_ulogic; + f1_blk_stat_comb : OUT std_ulogic; + ce0 : OUT std_ulogic; + ce0i : IN std_ulogic; + p_in_0 : IN std_ulogic; + p_in_1 : IN std_ulogic; + p_in_2 : IN std_ulogic; + p_in_3 : IN std_ulogic; + p_in_4 : IN std_ulogic; + p_in_5 : IN std_ulogic; + p_in_6 : IN std_ulogic; + p_in_7 : IN std_ulogic; + p_out_0 : OUT std_ulogic; + p_out_1 : OUT std_ulogic; + p_out_2 : OUT std_ulogic; + p_out_3 : OUT std_ulogic; + p_out_4 : OUT std_ulogic; + p_out_5 : OUT std_ulogic; + p_out_6 : OUT std_ulogic; + p_out_7 : OUT std_ulogic; + cl0i : IN std_ulogic; + cl0 : OUT std_ulogic; + z0i : IN std_ulogic; + z0 : OUT std_ulogic; + ff0i : IN std_ulogic; + ff0 : OUT std_ulogic; + ce1i : IN std_ulogic; + ce1 : OUT std_ulogic; + cl1i : IN std_ulogic; + cl1 : OUT std_ulogic; + z1i : IN std_ulogic; + z1 : OUT std_ulogic; + ff1i : IN std_ulogic; + ff1 : OUT std_ulogic; + cap0i : IN std_ulogic; + cap0 : OUT std_ulogic; + cap1i : IN std_ulogic; + cap1 : OUT std_ulogic; + ci : IN std_ulogic; + co_msb : OUT std_ulogic; + sir : IN std_ulogic; + sol_msb : OUT std_ulogic; + cfbi : IN std_ulogic; + cfbo : OUT std_ulogic; + sil : IN std_ulogic; + sor : OUT std_ulogic; + cmsbi : IN std_ulogic; + cmsbo : OUT std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT decimatorcell + PORT ( + aclock : IN std_ulogic; + mod_dat_0 : IN std_ulogic; + mod_dat_1 : IN std_ulogic; + mod_dat_2 : IN std_ulogic; + mod_dat_3 : IN std_ulogic; + ext_start : IN std_ulogic; + modrst : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT dfbcell + PORT ( + clock : IN std_ulogic; + in_1 : IN std_ulogic; + in_2 : IN std_ulogic; + out_1 : OUT std_ulogic; + out_2 : OUT std_ulogic; + dmareq_1 : OUT std_ulogic; + dmareq_2 : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT drqcell + PORT ( + dmareq : IN std_ulogic; + termin : IN std_ulogic; + termout : OUT std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT dsmodcell + PORT ( + aclock : IN std_ulogic; + modbitin_udb : IN std_ulogic; + reset_udb : IN std_ulogic; + reset_dec : IN std_ulogic; + dec_clock : OUT std_ulogic; + mod_dat_0 : OUT std_ulogic; + mod_dat_1 : OUT std_ulogic; + mod_dat_2 : OUT std_ulogic; + mod_dat_3 : OUT std_ulogic; + dout_udb_0 : OUT std_ulogic; + dout_udb_1 : OUT std_ulogic; + dout_udb_2 : OUT std_ulogic; + dout_udb_3 : OUT std_ulogic; + dout_udb_4 : OUT std_ulogic; + dout_udb_5 : OUT std_ulogic; + dout_udb_6 : OUT std_ulogic; + dout_udb_7 : OUT std_ulogic; + extclk_cp_udb : IN std_ulogic; + clk_udb : IN std_ulogic); + END COMPONENT; + COMPONENT emifcell + PORT ( + EM_clock : OUT std_ulogic; + EM_CEn : OUT std_ulogic; + EM_OEn : OUT std_ulogic; + EM_ADSCn : OUT std_ulogic; + EM_sleep : OUT std_ulogic; + EM_WRn : OUT std_ulogic; + dataport_OE : OUT std_ulogic; + dataport_OEn : OUT std_ulogic; + wr : OUT std_ulogic; + rd : OUT std_ulogic; + udb_stall : IN std_ulogic; + udb_ready : IN std_ulogic; + busclk : IN std_ulogic); + END COMPONENT; + COMPONENT i2ccell + PORT ( + clock : IN std_ulogic; + scl_in : IN std_ulogic; + sda_in : IN std_ulogic; + scl_out : OUT std_ulogic; + sda_out : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT interrupt + PORT ( + interrupt : IN std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT iocell + PORT ( + pin_input : IN std_ulogic; + oe : IN std_ulogic; + fb : OUT std_ulogic; + pad_in : IN std_ulogic; + pa_out : OUT std_ulogic; + pad_out : OUT std_ulogic; + oe_reg : OUT std_ulogic; + oe_internal : IN std_ulogic; + in_clock : IN std_ulogic; + in_clock_en : IN std_ulogic; + in_reset : IN std_ulogic; + out_clock : IN std_ulogic; + out_clock_en : IN std_ulogic; + out_reset : IN std_ulogic); + END COMPONENT; + COMPONENT lcdctrlcell + PORT ( + drive_en : IN std_ulogic; + frame : IN std_ulogic; + data_clk : IN std_ulogic; + en_hi : IN std_ulogic; + dac_dis : IN std_ulogic; + chop_clk : IN std_ulogic; + int_clr : IN std_ulogic; + lp_ack_udb : IN std_ulogic; + mode_1 : IN std_ulogic; + mode_2 : IN std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT logicalport + PORT ( + interrupt : OUT std_ulogic; + precharge : IN std_ulogic; + in_clock : IN std_ulogic; + in_clock_en : IN std_ulogic; + in_reset : IN std_ulogic; + out_clock : IN std_ulogic; + out_clock_en : IN std_ulogic; + out_reset : IN std_ulogic); + END COMPONENT; + COMPONENT lpfcell + END COMPONENT; + COMPONENT lvdcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8clockblockcell + PORT ( + imo : OUT std_ulogic; + ext : OUT std_ulogic; + eco : OUT std_ulogic; + ilo : OUT std_ulogic; + wco : OUT std_ulogic; + dbl : OUT std_ulogic; + pll : OUT std_ulogic; + dpll : OUT std_ulogic; + dsi_out_0 : OUT std_ulogic; + dsi_out_1 : OUT std_ulogic; + dsi_out_2 : OUT std_ulogic; + dsi_out_3 : OUT std_ulogic; + lfclk : OUT std_ulogic; + hfclk : OUT std_ulogic; + sysclk : OUT std_ulogic; + halfsysclk : OUT std_ulogic; + udb_div_0 : OUT std_ulogic; + udb_div_1 : OUT std_ulogic; + udb_div_2 : OUT std_ulogic; + udb_div_3 : OUT std_ulogic; + udb_div_4 : OUT std_ulogic; + udb_div_5 : OUT std_ulogic; + udb_div_6 : OUT std_ulogic; + udb_div_7 : OUT std_ulogic; + udb_div_8 : OUT std_ulogic; + udb_div_9 : OUT std_ulogic; + udb_div_10 : OUT std_ulogic; + udb_div_11 : OUT std_ulogic; + udb_div_12 : OUT std_ulogic; + udb_div_13 : OUT std_ulogic; + udb_div_14 : OUT std_ulogic; + udb_div_15 : OUT std_ulogic; + uab_div_0 : OUT std_ulogic; + uab_div_1 : OUT std_ulogic; + uab_div_2 : OUT std_ulogic; + uab_div_3 : OUT std_ulogic; + ff_div_0 : OUT std_ulogic; + ff_div_1 : OUT std_ulogic; + ff_div_2 : OUT std_ulogic; + ff_div_3 : OUT std_ulogic; + ff_div_4 : OUT std_ulogic; + ff_div_5 : OUT std_ulogic; + ff_div_6 : OUT std_ulogic; + ff_div_7 : OUT std_ulogic; + ff_div_8 : OUT std_ulogic; + ff_div_9 : OUT std_ulogic; + ff_div_10 : OUT std_ulogic; + ff_div_11 : OUT std_ulogic; + ff_div_12 : OUT std_ulogic; + ff_div_13 : OUT std_ulogic; + ff_div_14 : OUT std_ulogic; + ff_div_15 : OUT std_ulogic; + dsi_in_0 : IN std_ulogic; + dsi_in_1 : IN std_ulogic; + dsi_in_2 : IN std_ulogic; + dsi_in_3 : IN std_ulogic); + END COMPONENT; + COMPONENT m0s8clockgenblockcell + PORT ( + gen_clk_in_0 : IN std_ulogic; + gen_clk_in_1 : IN std_ulogic; + gen_clk_in_2 : IN std_ulogic; + gen_clk_in_3 : IN std_ulogic; + gen_clk_in_4 : IN std_ulogic; + gen_clk_in_5 : IN std_ulogic; + gen_clk_in_6 : IN std_ulogic; + gen_clk_in_7 : IN std_ulogic; + gen_clk_out_0 : OUT std_ulogic; + gen_clk_out_1 : OUT std_ulogic; + gen_clk_out_2 : OUT std_ulogic; + gen_clk_out_3 : OUT std_ulogic; + gen_clk_out_4 : OUT std_ulogic; + gen_clk_out_5 : OUT std_ulogic; + gen_clk_out_6 : OUT std_ulogic; + gen_clk_out_7 : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8lcdcell + PORT ( + common_0 : OUT std_ulogic; + common_1 : OUT std_ulogic; + common_2 : OUT std_ulogic; + common_3 : OUT std_ulogic; + common_4 : OUT std_ulogic; + common_5 : OUT std_ulogic; + common_6 : OUT std_ulogic; + common_7 : OUT std_ulogic; + common_8 : OUT std_ulogic; + common_9 : OUT std_ulogic; + common_10 : OUT std_ulogic; + common_11 : OUT std_ulogic; + common_12 : OUT std_ulogic; + common_13 : OUT std_ulogic; + common_14 : OUT std_ulogic; + common_15 : OUT std_ulogic; + segment_0 : OUT std_ulogic; + segment_1 : OUT std_ulogic; + segment_2 : OUT std_ulogic; + segment_3 : OUT std_ulogic; + segment_4 : OUT std_ulogic; + segment_5 : OUT std_ulogic; + segment_6 : OUT std_ulogic; + segment_7 : OUT std_ulogic; + segment_8 : OUT std_ulogic; + segment_9 : OUT std_ulogic; + segment_10 : OUT std_ulogic; + segment_11 : OUT std_ulogic; + segment_12 : OUT std_ulogic; + segment_13 : OUT std_ulogic; + segment_14 : OUT std_ulogic; + segment_15 : OUT std_ulogic; + segment_16 : OUT std_ulogic; + segment_17 : OUT std_ulogic; + segment_18 : OUT std_ulogic; + segment_19 : OUT std_ulogic; + segment_20 : OUT std_ulogic; + segment_21 : OUT std_ulogic; + segment_22 : OUT std_ulogic; + segment_23 : OUT std_ulogic; + segment_24 : OUT std_ulogic; + segment_25 : OUT std_ulogic; + segment_26 : OUT std_ulogic; + segment_27 : OUT std_ulogic; + segment_28 : OUT std_ulogic; + segment_29 : OUT std_ulogic; + segment_30 : OUT std_ulogic; + segment_31 : OUT std_ulogic; + segment_32 : OUT std_ulogic; + segment_33 : OUT std_ulogic; + segment_34 : OUT std_ulogic; + segment_35 : OUT std_ulogic; + segment_36 : OUT std_ulogic; + segment_37 : OUT std_ulogic; + segment_38 : OUT std_ulogic; + segment_39 : OUT std_ulogic; + segment_40 : OUT std_ulogic; + segment_41 : OUT std_ulogic; + segment_42 : OUT std_ulogic; + segment_43 : OUT std_ulogic; + segment_44 : OUT std_ulogic; + segment_45 : OUT std_ulogic; + segment_46 : OUT std_ulogic; + segment_47 : OUT std_ulogic; + segment_48 : OUT std_ulogic; + segment_49 : OUT std_ulogic; + segment_50 : OUT std_ulogic; + segment_51 : OUT std_ulogic; + segment_52 : OUT std_ulogic; + segment_53 : OUT std_ulogic; + segment_54 : OUT std_ulogic; + segment_55 : OUT std_ulogic; + segment_56 : OUT std_ulogic; + segment_57 : OUT std_ulogic; + segment_58 : OUT std_ulogic; + segment_59 : OUT std_ulogic; + segment_60 : OUT std_ulogic; + segment_61 : OUT std_ulogic; + segment_62 : OUT std_ulogic; + segment_63 : OUT std_ulogic; + clock : IN std_ulogic); + END COMPONENT; + COMPONENT m0s8pmcell + PORT ( + pm_int : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8scbcell + PORT ( + clock : IN std_ulogic; + interrupt : OUT std_ulogic; + rx : IN std_ulogic; + tx : OUT std_ulogic; + mosi_m : OUT std_ulogic; + miso_m : IN std_ulogic; + select_m_0 : OUT std_ulogic; + select_m_1 : OUT std_ulogic; + select_m_2 : OUT std_ulogic; + select_m_3 : OUT std_ulogic; + sclk_m : OUT std_ulogic; + mosi_s : IN std_ulogic; + miso_s : OUT std_ulogic; + select_s : IN std_ulogic; + sclk_s : IN std_ulogic; + scl : INOUT std_ulogic; + sda : INOUT std_ulogic); + END COMPONENT; + COMPONENT m0s8spcifcell + PORT ( + spcif_int : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8tcpwmcell + PORT ( + clock : IN std_ulogic; + capture : IN std_ulogic; + count : IN std_ulogic; + reload : IN std_ulogic; + stop : IN std_ulogic; + start : IN std_ulogic; + tr_underflow : OUT std_ulogic; + tr_overflow : OUT std_ulogic; + tr_compare_match : OUT std_ulogic; + line_out : OUT std_ulogic; + line_out_compl : OUT std_ulogic; + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8tsscell + PORT ( + clk_seq : IN std_ulogic; + clk_adc : IN std_ulogic; + ext_reject : IN std_ulogic; + ext_sync : IN std_ulogic; + tx_sync : IN std_ulogic; + reject_in : IN std_ulogic; + start_in : IN std_ulogic; + lx_det_hi : OUT std_ulogic; + lx_det_lo : OUT std_ulogic; + rej_window : OUT std_ulogic; + tx_hilo : OUT std_ulogic; + phase_end : OUT std_ulogic; + phase_num_0 : OUT std_ulogic; + phase_num_1 : OUT std_ulogic; + phase_num_2 : OUT std_ulogic; + phase_num_3 : OUT std_ulogic; + ipq_reject : OUT std_ulogic; + ipq_start : OUT std_ulogic; + epq_reject : OUT std_ulogic; + epq_start : OUT std_ulogic; + mcs_reject : OUT std_ulogic; + mcs_start : OUT std_ulogic; + do_switch : OUT std_ulogic; + adc_start : OUT std_ulogic; + adc_done : OUT std_ulogic); + END COMPONENT; + COMPONENT m0s8wdtcell + PORT ( + wdt_int : OUT std_ulogic); + END COMPONENT; + COMPONENT macrocell + PORT ( + main_0 : IN std_ulogic; + main_1 : IN std_ulogic; + main_2 : IN std_ulogic; + main_3 : IN std_ulogic; + main_4 : IN std_ulogic; + main_5 : IN std_ulogic; + main_6 : IN std_ulogic; + main_7 : IN std_ulogic; + main_8 : IN std_ulogic; + main_9 : IN std_ulogic; + main_10 : IN std_ulogic; + main_11 : IN std_ulogic; + ar_0 : IN std_ulogic; + ap_0 : IN std_ulogic; + clock_0 : IN std_ulogic; + clk_en : IN std_ulogic; + cin : IN std_ulogic; + cpt0_0 : IN std_ulogic; + cpt0_1 : IN std_ulogic; + cpt0_2 : IN std_ulogic; + cpt0_3 : IN std_ulogic; + cpt0_4 : IN std_ulogic; + cpt0_5 : IN std_ulogic; + cpt0_6 : IN std_ulogic; + cpt0_7 : IN std_ulogic; + cpt0_8 : IN std_ulogic; + cpt0_9 : IN std_ulogic; + cpt0_10 : IN std_ulogic; + cpt0_11 : IN std_ulogic; + cpt1_0 : IN std_ulogic; + cpt1_1 : IN std_ulogic; + cpt1_2 : IN std_ulogic; + cpt1_3 : IN std_ulogic; + cpt1_4 : IN std_ulogic; + cpt1_5 : IN std_ulogic; + cpt1_6 : IN std_ulogic; + cpt1_7 : IN std_ulogic; + cpt1_8 : IN std_ulogic; + cpt1_9 : IN std_ulogic; + cpt1_10 : IN std_ulogic; + cpt1_11 : IN std_ulogic; + cout : OUT std_ulogic; + q : OUT std_ulogic; + q_fixed : OUT std_ulogic); + END COMPONENT; + COMPONENT p4abufcell + PORT ( + ctb_dsi_comp : OUT std_ulogic; + dsi_out : IN std_ulogic); + END COMPONENT; + COMPONENT p4anapumpcell + PORT ( + pump_clock : IN std_ulogic); + END COMPONENT; + COMPONENT p4csdcell + PORT ( + sense_out : OUT std_ulogic; + sample_out : OUT std_ulogic; + sense_in : IN std_ulogic; + sample_in : IN std_ulogic; + clk1 : IN std_ulogic; + clk2 : IN std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT p4csidac7cell + PORT ( + en : IN std_ulogic); + END COMPONENT; + COMPONENT p4csidac8cell + PORT ( + en : IN std_ulogic); + END COMPONENT; + COMPONENT p4ctbmblockcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT p4halfuabcell + PORT ( + clock : IN std_ulogic; + comp : OUT std_ulogic; + ctrl : IN std_ulogic); + END COMPONENT; + COMPONENT p4lpcompblockcell + PORT ( + interrupt : OUT std_ulogic); + END COMPONENT; + COMPONENT p4lpcompcell + PORT ( + cmpout : OUT std_ulogic); + END COMPONENT; + COMPONENT p4rsbcell + END COMPONENT; + COMPONENT p4sarcell + PORT ( + clock : IN std_ulogic; + sample_done : OUT std_ulogic; + chan_id_valid : OUT std_ulogic; + chan_id_0 : OUT std_ulogic; + chan_id_1 : OUT std_ulogic; + chan_id_2 : OUT std_ulogic; + chan_id_3 : OUT std_ulogic; + data_valid : OUT std_ulogic; + data_0 : OUT std_ulogic; + data_1 : OUT std_ulogic; + data_2 : OUT std_ulogic; + data_3 : OUT std_ulogic; + data_4 : OUT std_ulogic; + data_5 : OUT std_ulogic; + data_6 : OUT std_ulogic; + data_7 : OUT std_ulogic; + data_8 : OUT std_ulogic; + data_9 : OUT std_ulogic; + data_10 : OUT std_ulogic; + data_11 : OUT std_ulogic; + eos_intr : OUT std_ulogic; + irq : OUT std_ulogic; + sw_negvref : IN std_ulogic; + cfg_st_sel_0 : IN std_ulogic; + cfg_st_sel_1 : IN std_ulogic; + cfg_average : IN std_ulogic; + cfg_resolution : IN std_ulogic; + cfg_differential : IN std_ulogic; + trigger : IN std_ulogic; + data_hilo_sel : IN std_ulogic; + swctrl0 : IN std_ulogic; + swctrl1 : IN std_ulogic); + END COMPONENT; + COMPONENT p4sarmuxcell + END COMPONENT; + COMPONENT p4tempcell + END COMPONENT; + COMPONENT p4vrefcell + END COMPONENT; + COMPONENT pmcell + PORT ( + ctw_int : OUT std_ulogic; + ftw_int : OUT std_ulogic; + limact_int : OUT std_ulogic; + onepps_int : OUT std_ulogic; + pm_int : OUT std_ulogic); + END COMPONENT; + COMPONENT sarcell + PORT ( + clock : IN std_ulogic; + pump_clock : IN std_ulogic; + clk_udb : IN std_ulogic; + sof_udb : IN std_ulogic; + vp_ctl_udb_0 : IN std_ulogic; + vp_ctl_udb_1 : IN std_ulogic; + vp_ctl_udb_2 : IN std_ulogic; + vp_ctl_udb_3 : IN std_ulogic; + vn_ctl_udb_0 : IN std_ulogic; + vn_ctl_udb_1 : IN std_ulogic; + vn_ctl_udb_2 : IN std_ulogic; + vn_ctl_udb_3 : IN std_ulogic; + data_out_udb_0 : OUT std_ulogic; + data_out_udb_1 : OUT std_ulogic; + data_out_udb_2 : OUT std_ulogic; + data_out_udb_3 : OUT std_ulogic; + data_out_udb_4 : OUT std_ulogic; + data_out_udb_5 : OUT std_ulogic; + data_out_udb_6 : OUT std_ulogic; + data_out_udb_7 : OUT std_ulogic; + data_out_udb_8 : OUT std_ulogic; + data_out_udb_9 : OUT std_ulogic; + data_out_udb_10 : OUT std_ulogic; + data_out_udb_11 : OUT std_ulogic; + eof_udb : OUT std_ulogic; + irq : OUT std_ulogic; + next : OUT std_ulogic); + END COMPONENT; + COMPONENT sccell + PORT ( + aclk : IN std_ulogic; + bst_clk : IN std_ulogic; + clk_udb : IN std_ulogic; + modout : OUT std_ulogic; + dyn_cntl_udb : IN std_ulogic); + END COMPONENT; + COMPONENT spccell + PORT ( + data_ready : OUT std_ulogic; + eeprom_fault_int : OUT std_ulogic; + idle : OUT std_ulogic); + END COMPONENT; + COMPONENT ssccell + PORT ( + rst_n : IN std_ulogic; + scli : IN std_ulogic; + sdai : IN std_ulogic; + csel : IN std_ulogic; + sclo : OUT std_ulogic; + sdao : OUT std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT statuscell + PORT ( + status_0 : IN std_ulogic; + status_1 : IN std_ulogic; + status_2 : IN std_ulogic; + status_3 : IN std_ulogic; + status_4 : IN std_ulogic; + status_5 : IN std_ulogic; + status_6 : IN std_ulogic; + status_7 : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + clk_en : IN std_ulogic); + END COMPONENT; + COMPONENT statusicell + PORT ( + status_0 : IN std_ulogic; + status_1 : IN std_ulogic; + status_2 : IN std_ulogic; + status_3 : IN std_ulogic; + status_4 : IN std_ulogic; + status_5 : IN std_ulogic; + status_6 : IN std_ulogic; + clock : IN std_ulogic; + reset : IN std_ulogic; + interrupt : OUT std_ulogic; + clk_en : IN std_ulogic); + END COMPONENT; + COMPONENT synccell + PORT ( + in : IN std_ulogic; + clock : IN std_ulogic; + out : OUT std_ulogic; + clk_en : IN std_ulogic; + clock_n : IN std_ulogic; + extclk : IN std_ulogic; + extclk_n : IN std_ulogic); + END COMPONENT; + COMPONENT tfaultcell + PORT ( + tfault_dsi : OUT std_ulogic); + END COMPONENT; + COMPONENT timercell + PORT ( + clock : IN std_ulogic; + kill : IN std_ulogic; + enable : IN std_ulogic; + capture : IN std_ulogic; + timer_reset : IN std_ulogic; + tc : OUT std_ulogic; + cmp : OUT std_ulogic; + irq : OUT std_ulogic); + END COMPONENT; + COMPONENT udbclockencell + PORT ( + clock_in : IN std_ulogic; + enable : IN std_ulogic; + clock_out : OUT std_ulogic); + END COMPONENT; + COMPONENT usbcell + PORT ( + sof_int : OUT std_ulogic; + arb_int : OUT std_ulogic; + usb_int : OUT std_ulogic; + ord_int : OUT std_ulogic; + ept_int_0 : OUT std_ulogic; + ept_int_1 : OUT std_ulogic; + ept_int_2 : OUT std_ulogic; + ept_int_3 : OUT std_ulogic; + ept_int_4 : OUT std_ulogic; + ept_int_5 : OUT std_ulogic; + ept_int_6 : OUT std_ulogic; + ept_int_7 : OUT std_ulogic; + ept_int_8 : OUT std_ulogic; + dma_req_0 : OUT std_ulogic; + dma_req_1 : OUT std_ulogic; + dma_req_2 : OUT std_ulogic; + dma_req_3 : OUT std_ulogic; + dma_req_4 : OUT std_ulogic; + dma_req_5 : OUT std_ulogic; + dma_req_6 : OUT std_ulogic; + dma_req_7 : OUT std_ulogic; + dma_termin : OUT std_ulogic); + END COMPONENT; + COMPONENT vidaccell + PORT ( + data_0 : IN std_ulogic; + data_1 : IN std_ulogic; + data_2 : IN std_ulogic; + data_3 : IN std_ulogic; + data_4 : IN std_ulogic; + data_5 : IN std_ulogic; + data_6 : IN std_ulogic; + data_7 : IN std_ulogic; + strobe : IN std_ulogic; + strobe_udb : IN std_ulogic; + reset : IN std_ulogic; + idir : IN std_ulogic; + ioff : IN std_ulogic); + END COMPONENT; +BEGIN + + ClockBlock:clockblockcell + PORT MAP( + clk_bus_glb => ClockBlock_BUS_CLK, + clk_bus => ClockBlock_BUS_CLK_local, + clk_sync => ClockBlock_MASTER_CLK, + clk_32k_xtal => ClockBlock_XTAL_32KHZ, + xtal => ClockBlock_XTAL, + ilo => ClockBlock_ILO, + clk_100k => ClockBlock_100k, + clk_1k => ClockBlock_1k, + clk_32k => ClockBlock_32k, + pllout => ClockBlock_PLL_OUT, + imo => ClockBlock_IMO, + dsi_clkin_div => open, + dsi_glb_div => open); + + SCSI_Out:logicalport + GENERIC MAP( + drive_mode => "110110110110110110110110110110", + ibuf_enabled => "1111111111", + id => "11f071e8-9c92-47e0-872a-3f48765a75b8", + init_dr_st => "0000000000", + input_clk_en => 0, + input_sync => "1111111111", + input_sync_mode => "0000000000", + intr_mode => "00000000000000000000", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "5, 5, 5, 5, 5, 5, 5, 5, 5, 5", + layout_mode => "NONCONTIGUOUS", + oe_conn => "0000000000", + oe_reset => 0, + oe_sync => "0000000000", + output_clk_en => 0, + output_clock_mode => "0000000000", + output_conn => "0000000000", + output_mode => "0000000000", + output_reset => 0, + output_sync => "0000000000", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "DBP_raw,ATN,BSY,ACK,RST,MSG,SEL,CD,REQ,IO_raw", + pin_mode => "OOOOOOOOOO", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0000000000", + sio_ibuf => "00000000", + sio_info => "00000000000000000000", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0000000000", + spanning => 1, + sw_only => 0, + use_annotation => "1111111111", + vtrip => "10101010101010101010", + width => 10, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + SCSI_Out(0):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(0)__PA, + oe => open, + pad_in => SCSI_Out(0)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(1):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 1, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(1)__PA, + oe => open, + pad_in => SCSI_Out(1)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(2):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 2, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(2)__PA, + oe => open, + pad_in => SCSI_Out(2)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(3):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 3, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(3)__PA, + oe => open, + pad_in => SCSI_Out(3)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(4):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 4, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(4)__PA, + oe => open, + pad_in => SCSI_Out(4)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(5):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 5, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(5)__PA, + oe => open, + pad_in => SCSI_Out(5)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(6):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 6, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(6)__PA, + oe => open, + pad_in => SCSI_Out(6)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(7):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 7, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(7)__PA, + oe => open, + pad_in => SCSI_Out(7)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(8):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 8, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(8)__PA, + oe => open, + pad_in => SCSI_Out(8)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out(9):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out", + logicalport_pin_id => 9, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out(9)__PA, + oe => open, + pad_in => SCSI_Out(9)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx:logicalport + GENERIC MAP( + drive_mode => "110110110110110110110110", + ibuf_enabled => "11111111", + id => "52f31aa9-2f0a-497d-9a1f-1424095e13e6", + init_dr_st => "00000000", + input_clk_en => 0, + input_sync => "11111111", + input_sync_mode => "00000000", + intr_mode => "0000000000000000", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => ", , , , , , , 5", + layout_mode => "NONCONTIGUOUS", + oe_conn => "00000000", + oe_reset => 0, + oe_sync => "00000000", + output_clk_en => 0, + output_clock_mode => "00000000", + output_conn => "00000000", + output_mode => "00000000", + output_reset => 0, + output_sync => "00000000", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "DB0,DB1,DB2,DB3,DB4,DB5,DB6,DB7", + pin_mode => "OOOOOOOO", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "00000000", + sio_ibuf => "00000000", + sio_info => "0000000000000000", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "00000000", + spanning => 1, + sw_only => 0, + use_annotation => "11111111", + vtrip => "1010101010101010", + width => 8, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + SCSI_Out_DBx(0):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(0)__PA, + oe => open, + pad_in => SCSI_Out_DBx(0)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(1):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 1, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(1)__PA, + oe => open, + pad_in => SCSI_Out_DBx(1)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(2):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 2, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(2)__PA, + oe => open, + pad_in => SCSI_Out_DBx(2)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(3):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 3, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(3)__PA, + oe => open, + pad_in => SCSI_Out_DBx(3)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(4):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 4, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(4)__PA, + oe => open, + pad_in => SCSI_Out_DBx(4)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(5):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 5, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(5)__PA, + oe => open, + pad_in => SCSI_Out_DBx(5)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(6):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 6, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(6)__PA, + oe => open, + pad_in => SCSI_Out_DBx(6)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + SCSI_Out_DBx(7):iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "SCSI_Out_DBx", + logicalport_pin_id => 7, + io_capabilities => "0000000000000000000000000000000000000000000000000000000000000001") + PORT MAP( + pa_out => SCSI_Out_DBx(7)__PA, + oe => open, + pad_in => SCSI_Out_DBx(7)_PAD, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dm(0)\:iocell + GENERIC MAP( + in_sync_mode => 0, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "\USBFS:Dm\", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000010000000000000000") + PORT MAP( + pa_out => \\\USBFS:Dm(0)\\__PA\, + oe => open, + in_clock => open, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dm\:logicalport + GENERIC MAP( + drive_mode => "000", + ibuf_enabled => "0", + id => "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/8b77a6c4-10a0-4390-971c-672353e2a49c", + init_dr_st => "0", + input_clk_en => 0, + input_sync => "1", + input_sync_mode => "0", + intr_mode => "00", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "", + layout_mode => "NONCONTIGUOUS", + oe_conn => "0", + oe_reset => 0, + oe_sync => "0", + output_clk_en => 0, + output_clock_mode => "0", + output_conn => "0", + output_mode => "0", + output_reset => 0, + output_sync => "0", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "", + pin_mode => "A", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0", + sio_ibuf => "00000000", + sio_info => "00", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0", + spanning => 1, + sw_only => 0, + use_annotation => "0", + vtrip => "10", + width => 1, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open); + + \USBFS:Dp(0)\:iocell + GENERIC MAP( + in_sync_mode => 2, + out_sync_mode => 0, + oe_sync_mode => 0, + logicalport => "\USBFS:Dp\", + logicalport_pin_id => 0, + io_capabilities => "0000000000000000000000000000000000000000000000001000000000000000") + PORT MAP( + pa_out => \\\USBFS:Dp(0)\\__PA\, + oe => open, + in_clock => ClockBlock_BUS_CLK, + in_clock_en => '1', + in_reset => '0', + out_clock => open, + out_clock_en => '1', + out_reset => '0'); + + \USBFS:Dp\:logicalport + GENERIC MAP( + drive_mode => "000", + ibuf_enabled => "0", + id => "f9248435-5d3e-4e4d-bbae-bdae8795c3dd/618a72fc-5ddd-4df5-958f-a3d55102db42", + init_dr_st => "0", + input_clk_en => 0, + input_sync => "1", + input_sync_mode => "0", + intr_mode => "10", + invert_in_clock => 0, + invert_in_clock_en => 0, + invert_in_reset => 0, + invert_out_clock => 0, + invert_out_clock_en => 0, + invert_out_reset => 0, + io_voltage => "", + layout_mode => "CONTIGUOUS", + oe_conn => "0", + oe_reset => 0, + oe_sync => "0", + output_clk_en => 0, + output_clock_mode => "0", + output_conn => "0", + output_mode => "0", + output_reset => 0, + output_sync => "0", + pa_in_clock => -1, + pa_in_clock_en => -1, + pa_in_reset => -1, + pa_out_clock => -1, + pa_out_clock_en => -1, + pa_out_reset => -1, + pin_aliases => "", + pin_mode => "I", + por_state => 4, + port_alias_group => "", + port_alias_required => 0, + sio_group_cnt => 0, + sio_hifreq => "", + sio_hyst => "0", + sio_ibuf => "00000000", + sio_info => "00", + sio_obuf => "00000000", + sio_refsel => "00000000", + sio_vtrip => "00000000", + slew_rate => "0", + spanning => 0, + sw_only => 0, + use_annotation => "0", + vtrip => "00", + width => 1, + in_clk_inv => 0, + in_clken_inv => 0, + in_clken_mode => 1, + in_rst_inv => 0, + out_clk_inv => 0, + out_clken_inv => 0, + out_clken_mode => 1, + out_rst_inv => 0) + PORT MAP( + in_clock_en => open, + in_reset => open, + out_clock_en => open, + out_reset => open, + interrupt => \USBFS:Net_1010\, + in_clock => open); + + \USBFS:USB\:usbcell + GENERIC MAP( + cy_registers => "") + PORT MAP( + sof_int => Net_40, + arb_int => \USBFS:Net_79\, + usb_int => \USBFS:Net_81\, + ept_int_8 => \USBFS:ept_int_8\, + ept_int_7 => \USBFS:ept_int_7\, + ept_int_6 => \USBFS:ept_int_6\, + ept_int_5 => \USBFS:ept_int_5\, + ept_int_4 => \USBFS:ept_int_4\, + ept_int_3 => \USBFS:ept_int_3\, + ept_int_2 => \USBFS:ept_int_2\, + ept_int_1 => \USBFS:ept_int_1\, + ept_int_0 => \USBFS:ept_int_0\, + ord_int => \USBFS:Net_95\, + dma_req_7 => \USBFS:dma_req_7\, + dma_req_6 => \USBFS:dma_req_6\, + dma_req_5 => \USBFS:dma_req_5\, + dma_req_4 => \USBFS:dma_req_4\, + dma_req_3 => \USBFS:dma_req_3\, + dma_req_2 => \USBFS:dma_req_2\, + dma_req_1 => \USBFS:dma_req_1\, + dma_req_0 => \USBFS:dma_req_0\, + dma_termin => \USBFS:Net_824\); + + \USBFS:arb_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_79\, + clock => ClockBlock_BUS_CLK); + + \USBFS:bus_reset\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_81\, + clock => ClockBlock_BUS_CLK); + + \USBFS:dp_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:Net_1010\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_0\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_0\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_1\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_1\, + clock => ClockBlock_BUS_CLK); + + \USBFS:ep_2\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => \USBFS:ept_int_2\, + clock => ClockBlock_BUS_CLK); + + \USBFS:sof_int\:interrupt + GENERIC MAP( + int_type => "10") + PORT MAP( + interrupt => Net_40, + clock => ClockBlock_BUS_CLK); + +END __DEFAULT__; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_timing.html b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_timing.html new file mode 100755 index 0000000..517ee04 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_timing.html @@ -0,0 +1,642 @@ + + + + +Static Timing Analysis Report + + + + + +

    View this file with a JavaScript-enabled browser to enable all features.

    + +

    Static Timing Analysis

    + + + + + + + + + + + + + + + + + + + + + + + + + +
    Project : USB_Bootloader
    Build Time : 10/26/13 18:55:25
    Device : CY8C5267AXI-LP051
    Temperature : -40C - 85/125C
    Vdda : 5.00
    Vddd : 5.00
    Vio0 : 5.00
    Vio1 : 5.00
    Vio2 : 5.00
    Vio3 : 5.00
    Voltage : 5.0
    Vusb : 5.00
    +
    +
    +
    No Timing Violations
    +
    +
    +
    +
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    ClockDomainNominal FrequencyRequired FrequencyMaximum FrequencyViolation
    CyILOCyILO100.000 kHz100.000 kHz N/A
    CyIMOCyIMO24.000 MHz24.000 MHz N/A
    CyMASTER_CLKCyMASTER_CLK64.000 MHz64.000 MHz N/A
    CyBUS_CLKCyMASTER_CLK64.000 MHz64.000 MHz N/A
    CyPLL_OUTCyPLL_OUT64.000 MHz64.000 MHz N/A
    +
    +
    + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_u.sdc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_u.sdc new file mode 100755 index 0000000..4ce34e2 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/USB_Bootloader_u.sdc @@ -0,0 +1,3 @@ +# Component constraints for W:\SCSI2SD\USB_Bootloader.cydsn\TopDesign\TopDesign.cysch +# Project: W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cyprj +# Date: Sat, 26 Oct 2013 08:55:15 GMT diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/bitstream.txt b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/bitstream.txt new file mode 100755 index 0000000..b4c0404 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/bitstream.txt @@ -0,0 +1,824 @@ +===========Generating Bitstream=========== +# IDMUX (count=6) +00000000: 00 00 00 00 00 00 +# IOPORT_0 (count=7) +00000006: 00 00 00 00 00 00 00 +# IOPINS0_0 (count=8) +0000000d: 00 ff ff 00 00 00 00 00 +# IOPINS1_0 + 0x00000009 (count=5) +00000015: 00 00 00 00 00 +# IOPORT_1 (count=7) +0000001a: 00 00 00 00 00 00 00 +# IOPINS0_1 (count=16) +00000021: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# IOPORT_2 (count=7) +00000031: 00 00 00 00 00 00 00 +# IOPINS0_2 (count=16) +00000038: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# IOPORT_3 (count=7) +00000048: 00 00 00 00 00 00 00 +# IOPINS0_3 (count=16) +0000004f: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# IOPORT_4 (count=7) +0000005f: 00 00 00 00 00 00 00 +# IOPINS0_4 (count=8) +00000066: 00 fc fc 00 00 00 00 00 +# IOPINS1_4 + 0x00000009 (count=5) +0000006e: 00 00 00 00 00 +# IOPORT_5 (count=7) +00000073: 00 00 00 00 00 00 00 +# IOPINS0_5 (count=16) +0000007a: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# IOPORT_6 (count=7) +0000008a: 00 00 00 00 00 00 00 +# IOPINS0_6 (count=8) +00000091: 00 0f 0f 00 00 00 00 00 +# IOPINS1_6 + 0x00000009 (count=5) +00000099: 00 00 00 00 00 +# IOPORT_7 (count=6) +0000009e: 00 00 00 00 00 00 +# IOPINS0_7 (count=16) +000000a4: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# IOPORT_8 (count=7) +000000b4: 00 00 00 00 40 00 00 +# IOPINS0_8 (count=10) +000000bb: 00 00 00 00 00 00 00 00 c0 00 +# IOPINS1_8 + 0x0000000B (count=5) +000000c5: 00 00 00 00 00 +# IDMUX_IRQ (count=8) +000000ca: 0a 00 00 00 00 00 00 00 +# CYDEV_SLOWCLK_ILO_CR0 (count=1) +000000d2: 06 +# CYDEV_FASTCLK_IMO_CR (count=1) +000000d3: 52 +# CYDEV_FASTCLK_PLL_P (count=2) +000000d4: 18 08 +# CYDEV_FASTCLK_PLL_CFG0 (count=2) +000000d6: 51 12 +# CYDEV_CLKDIST_MSTR0 (count=2) +000000d8: 00 01 +# CYDEV_CLKDIST_MSTR0 (count=1) +000000da: 07 +# CYDEV_CLKDIST_BCFG0 (count=1) +000000db: 00 +# CYDEV_CLKDIST_BCFG2 (count=1) +000000dc: 48 +# CYDEV_CLKDIST_MSTR0 (count=1) +000000dd: 00 +# CYDEV_CLKDIST_UCFG (count=1) +000000de: 00 +# CYDEV_CLKDIST_LD (count=1) +000000df: 02 +# PICU_8 (count=8) +000000e0: 00 00 00 00 00 00 02 00 +# UDB_1_5_0_CONFIG (count=128) +000000e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000000f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000108: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000118: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000128: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000138: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000148: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000158: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_5_1_CONFIG (count=128) +00000168: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000178: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000188: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000198: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000001a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000001b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000001c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000001d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_4_1_CONFIG (count=128) +000001e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000001f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000208: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000218: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000228: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000238: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000248: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000258: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_4_0_CONFIG (count=128) +00000268: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000278: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000288: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000298: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000002a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000002b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000002c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000002d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_3_0_CONFIG (count=128) +000002e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000002f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000308: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000318: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000328: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000338: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000348: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000358: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_3_1_CONFIG (count=128) +00000368: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000378: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000388: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000398: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000003a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000003b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000003c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000003d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_2_1_CONFIG (count=128) +000003e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000003f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000408: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000418: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000428: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000438: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000448: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000458: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_2_0_CONFIG (count=128) +00000468: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000478: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000488: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000498: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000004a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000004b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000004c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000004d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_2_1_CONFIG (count=128) +000004e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000004f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000508: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000518: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000528: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000538: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000548: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000558: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_2_0_CONFIG (count=128) +00000568: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000578: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000588: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000598: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000005a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000005b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000005c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000005d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_3_0_CONFIG (count=128) +000005e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000005f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000608: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000618: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000628: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000638: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000648: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000658: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_3_1_CONFIG (count=128) +00000668: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000678: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000688: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000698: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000006a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000006b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000006c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000006d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_4_1_CONFIG (count=128) +000006e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000006f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000708: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000718: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000728: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000738: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000748: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000758: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_4_0_CONFIG (count=128) +00000768: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000778: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000788: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000798: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000007a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000007b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000007c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000007d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_5_0_CONFIG (count=128) +000007e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000007f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000808: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000818: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000828: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000838: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000848: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000858: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_5_1_CONFIG (count=128) +00000868: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000878: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000888: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000898: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000008a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000008b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000008c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000008d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_0_0_CONFIG (count=128) +000008e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000008f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000908: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000918: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000928: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000938: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000948: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000958: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_0_1_CONFIG (count=128) +00000968: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000978: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000988: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000998: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000009a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000009b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000009c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000009d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_1_1_CONFIG (count=128) +000009e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000009f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_1_0_CONFIG (count=128) +00000a68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000a98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000aa8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ab8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ac8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ad8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_1_1_CONFIG (count=128) +00000ae8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000af8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_1_0_CONFIG (count=128) +00000b68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000b98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ba8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000bb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000bc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000bd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_0_0_CONFIG (count=128) +00000be8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000bf8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_0_0_1_CONFIG (count=128) +00000c68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000c98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ca8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000cb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000cc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000cd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UWRK_B0_WRK_DP_BITS (count=64) +00000ce8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000cf8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UWRK_B0_WRK_STATCTL_BITS + 0x00000070 (count=32) +00000d28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UWRK_B1_WRK_DP_BITS (count=64) +00000d48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UWRK_B1_WRK_STATCTL_BITS + 0x00000070 (count=32) +00000d88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000d98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UCFG_BCTL1 (count=16) +00000da8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UCFG_BCTL0 (count=16) +00000db8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_0_0 (count=128) +00000dc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000dd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000de8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000df8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI0_0_HV_ROUTING + 0x00000080 (count=128) +00000e48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000e98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ea8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000eb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_0_1 (count=128) +00000ec8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ed8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ee8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ef8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI0_1_HV_ROUTING + 0x00000080 (count=128) +00000f48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f68: 00 00 00 00 00 00 00 00 00 00 00 00 08 00 00 40 +00000f78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000f98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000fa8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000fb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_0_2 (count=128) +00000fc8: 00 00 00 00 00 00 00 00 08 00 00 00 00 00 00 40 +00000fd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000fe8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00000ff8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001008: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001018: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001028: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001038: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI0_2_HV_ROUTING + 0x00000080 (count=128) +00001048: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001058: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001068: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001078: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001088: 00 00 0c 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001098: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000010a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000010b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_0_3 (count=128) +000010c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000010d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000010e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000010f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001108: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001118: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001128: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001138: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI0_3_HV_ROUTING + 0x00000080 (count=128) +00001148: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001158: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001168: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001178: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001188: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001198: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000011a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000011b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_0_4 (count=128) +000011c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000011d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000011e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000011f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001208: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001218: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001228: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001238: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI0_4_HV_ROUTING + 0x00000080 (count=128) +00001248: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001258: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001268: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001278: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001288: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001298: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000012a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000012b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_0_5 (count=128) +000012c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000012d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000012e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000012f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001308: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001318: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001328: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001338: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI0_5_HV_ROUTING + 0x00000080 (count=128) +00001348: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001358: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001368: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001378: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001388: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001398: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000013a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000013b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_0_0 (count=128) +000013c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000013d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000013e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000013f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001408: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001418: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001428: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001438: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_0_HV_ROUTING + 0x00000080 (count=128) +00001448: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001458: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001468: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001478: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001488: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001498: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000014a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000014b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_1_0 (count=128) +000014c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000014d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000014e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000014f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001508: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001518: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001528: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001538: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_2_0_HV_ROUTING + 0x00000080 (count=128) +00001548: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001558: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001568: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001578: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001588: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001598: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000015a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000015b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_0_1 (count=128) +000015c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000015d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000015e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000015f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001608: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001618: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001628: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001638: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_1_HV_ROUTING + 0x00000080 (count=128) +00001648: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001658: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001668: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001678: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001688: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001698: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000016a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 0a 00 +000016b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_1_1 (count=128) +000016c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000016d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000016e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000016f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001708: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001718: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001728: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001738: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_2_1_HV_ROUTING + 0x00000080 (count=128) +00001748: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001758: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001768: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001778: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001788: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001798: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000017a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 0a 00 +000017b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_0_2 (count=128) +000017c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000017d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000017e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000017f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001808: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001818: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001828: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001838: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_2_HV_ROUTING + 0x00000080 (count=128) +00001848: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001858: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001868: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001878: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001888: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001898: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000018a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000018b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_1_2 (count=128) +000018c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000018d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000018e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000018f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001908: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001918: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001928: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001938: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_2_2_HV_ROUTING + 0x00000080 (count=128) +00001948: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001958: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001968: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001978: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001988: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001998: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000019a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000019b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_0_3 (count=128) +000019c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000019d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000019e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000019f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_3_HV_ROUTING + 0x00000080 (count=128) +00001a48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001a98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001aa8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ab8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_1_3 (count=128) +00001ac8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ad8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ae8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001af8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_2_3_HV_ROUTING + 0x00000080 (count=128) +00001b48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001b98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ba8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001bb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_0_4 (count=128) +00001bc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001bd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001be8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001bf8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_4_HV_ROUTING + 0x00000080 (count=128) +00001c48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001c98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ca8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001cb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_1_4 (count=128) +00001cc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001cd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ce8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001cf8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_2_4_HV_ROUTING + 0x00000080 (count=128) +00001d48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001d98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001da8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001db8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_0_5 (count=128) +00001dc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001dd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001de8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001df8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_1_5_HV_ROUTING + 0x00000080 (count=128) +00001e48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001e98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ea8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001eb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDBSWITCH_1_5 (count=128) +00001ec8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ed8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ee8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ef8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f08: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f18: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f28: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f38: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# UDB_2_5_HV_ROUTING + 0x00000080 (count=128) +00001f48: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f58: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f68: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f78: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f88: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001f98: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001fa8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001fb8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_1_0 (count=128) +00001fc8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001fd8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001fe8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00001ff8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002008: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002018: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002028: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002038: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI3_0_HV_ROUTING + 0x00000080 (count=128) +00002048: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002058: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002068: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002078: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002088: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002098: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000020a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000020b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_1_1 (count=128) +000020c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000020d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000020e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000020f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002108: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002118: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002128: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002138: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI3_1_HV_ROUTING + 0x00000080 (count=128) +00002148: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002158: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002168: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 40 80 +00002178: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002188: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002198: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000021a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 50 00 +000021b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_1_2 (count=128) +000021c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000021d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000021e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000021f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002208: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002218: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002228: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002238: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI3_2_HV_ROUTING + 0x00000080 (count=128) +00002248: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002258: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002268: 00 00 00 00 00 00 40 80 00 00 00 00 00 00 00 00 +00002278: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002288: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002298: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000022a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000022b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_1_3 (count=128) +000022c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000022d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000022e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000022f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002308: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002318: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002328: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002338: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI3_3_HV_ROUTING + 0x00000080 (count=128) +00002348: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002358: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002368: 00 00 00 00 00 00 40 80 00 00 00 00 00 00 00 00 +00002378: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002388: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002398: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000023a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000023b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_1_4 (count=128) +000023c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000023d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000023e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000023f8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002408: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002418: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002428: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002438: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI3_4_HV_ROUTING + 0x00000080 (count=128) +00002448: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002458: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002468: 00 00 00 00 00 00 40 80 00 00 00 00 00 00 00 00 +00002478: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002488: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002498: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000024a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000024b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSISWITCH_1_5 (count=128) +000024c8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000024d8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000024e8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000024f8: 00 00 00 80 00 00 40 00 00 00 00 00 00 00 00 00 +00002508: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002518: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002528: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002538: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + +# DSI3_5_HV_ROUTING + 0x00000080 (count=128) +00002548: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002558: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002568: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002578: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00002588: 00 00 00 00 00 00 00 00 00 00 00 00 30 00 00 00 +00002598: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000025a8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +000025b8: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cm3gcc.ld b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cm3gcc.ld new file mode 100755 index 0000000..6427452 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cm3gcc.ld @@ -0,0 +1,295 @@ +/* Linker script for ARM M-profile Simulator + * + * Version: Sourcery G++ Lite 2010q1-188 + * Support: https://support.codesourcery.com/GNUToolchain/ + * + * Copyright (c) 2007, 2008, 2009, 2010 CodeSourcery, Inc. + * + * The authors hereby grant permission to use, copy, modify, distribute, + * and license this software and its documentation for any purpose, provided + * that existing copyright notices are retained in all copies and that this + * notice is included verbatim in any distributions. No written agreement, + * license, or royalty fee is required for any of the authorized uses. + * Modifications to this software may be copyrighted by their authors + * and need not follow the licensing terms described here, provided that + * the new terms are clearly indicated on the first page of each file where + * they apply. + */ +OUTPUT_FORMAT ("elf32-littlearm", "elf32-bigarm", "elf32-littlearm") +ENTRY(__cy_reset) +SEARCH_DIR(.) +GROUP(-lgcc -lc -lnosys) + + +MEMORY +{ + rom (rx) : ORIGIN = 0x0, LENGTH = 131072 + ram (rwx) : ORIGIN = 0x20000000 - (32768 / 2), LENGTH = 32768 +} + + +CY_APPL_ORIGIN = 0; +CY_FLASH_ROW_SIZE = 256; +CY_ECC_ROW_SIZE = 32; +CY_EE_IN_BTLDR = 0x0; +CY_APPL_LOADABLE = 0; +CY_EE_SIZE = 2048; +CY_APPL_NUM = 1; +CY_APPL_MAX = 1; +CY_METADATA_SIZE = 64; + + +/* These force the linker to search for particular symbols from + * the start of the link process and thus ensure the user's + * overrides are picked up + */ +EXTERN(Reset) + +/* Bring in the interrupt routines & vector */ +EXTERN(main) + +/* Bring in the meta data */ +EXTERN(cy_meta_loader cy_bootloader cy_meta_loadable cy_meta_bootloader) +EXTERN(cy_meta_custnvl cy_meta_wolatch cy_meta_flashprotect cy_metadata) + +/* Provide fall-back values */ +PROVIDE(__cy_heap_start = _end); +PROVIDE(__cy_region_num = (__cy_regions_end - __cy_regions) / 16); +PROVIDE(__cy_stack = ORIGIN(ram) + LENGTH(ram)); +PROVIDE(__cy_heap_end = __cy_stack - 0x2000); + + +SECTIONS +{ + /* The bootloader location */ + .cybootloader 0x0 : { KEEP(*(.cybootloader)) } >rom + + /* Calculate where the loadables should start */ + appl1_start = CY_APPL_ORIGIN ? CY_APPL_ORIGIN : ALIGN(CY_FLASH_ROW_SIZE); + appl2_start = appl1_start + ALIGN((LENGTH(rom) - appl1_start - 2 * CY_FLASH_ROW_SIZE) / 2, CY_FLASH_ROW_SIZE); + appl_start = (CY_APPL_NUM == 1) ? appl1_start : appl2_start; + ecc_offset = (appl_start / CY_FLASH_ROW_SIZE) * CY_ECC_ROW_SIZE; + ee_offset = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? ((CY_EE_SIZE / CY_APPL_MAX) * (CY_APPL_NUM - 1)) : 0; + ee_size = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? (CY_EE_SIZE / CY_APPL_MAX) : CY_EE_SIZE; + PROVIDE(CY_ECC_OFFSET = ecc_offset); + + .text appl_start : + { + CREATE_OBJECT_SYMBOLS + PROVIDE(__cy_interrupt_vector = RomVectors); + + *(.romvectors) + + /* Make sure we pulled in an interrupt vector. */ + ASSERT (. != __cy_interrupt_vector, "No interrupt vector"); + + ASSERT (CY_APPL_ORIGIN ? (SIZEOF(.cybootloader) <= CY_APPL_ORIGIN) : 1, "Wrong image location"); + + PROVIDE(__cy_reset = Reset); + *(.text.Reset) + /* Make sure we pulled in some reset code. */ + ASSERT (. != __cy_reset, "No reset code"); + + /* Place the DMA initialization before text to ensure it gets placed in first 64K of flash */ + *(.dma_init) + ASSERT(appl_start + . <= 0x10000 || !0, "DMA Init must be within the first 64k of flash"); + + *(.text .text.* .gnu.linkonce.t.*) + *(.plt) + *(.gnu.warning) + *(.glue_7t) *(.glue_7) *(.vfp11_veneer) + + KEEP(*(.bootloader)) /* necessary for bootloader's, but doesn't impact non-bootloaders */ + + *(.ARM.extab* .gnu.linkonce.armextab.*) + *(.gcc_except_table) + } >rom + .eh_frame_hdr : ALIGN (4) + { + KEEP (*(.eh_frame_hdr)) + } >rom + .eh_frame : ALIGN (4) + { + KEEP (*(.eh_frame)) + } >rom + /* .ARM.exidx is sorted, so has to go in its own output section. */ + PROVIDE_HIDDEN (__exidx_start = .); + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } >rom + __exidx_end = .; + .rodata : ALIGN (4) + { + *(.rodata .rodata.* .gnu.linkonce.r.*) + + . = ALIGN(4); + KEEP(*(.init)) + + . = ALIGN(4); + __preinit_array_start = .; + KEEP (*(.preinit_array)) + __preinit_array_end = .; + + . = ALIGN(4); + __init_array_start = .; + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array)) + __init_array_end = .; + + . = ALIGN(4); + KEEP(*(.fini)) + + . = ALIGN(4); + __fini_array_start = .; + KEEP (*(.fini_array)) + KEEP (*(SORT(.fini_array.*))) + __fini_array_end = .; + + . = ALIGN(0x4); + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*crtend.o(.ctors)) + + . = ALIGN(0x4); + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*crtend.o(.dtors)) + + . = ALIGN(4); + __cy_regions = .; + LONG (__cy_region_init_ram) + LONG (__cy_region_start_data) + LONG (__cy_region_init_size_ram) + LONG (__cy_region_zero_size_ram) + __cy_regions_end = .; + + . = ALIGN (8); + _etext = .; + } >rom + + .ramvectors (NOLOAD) : ALIGN(8) + { + __cy_region_start_ram = .; + KEEP(*(.ramvectors)) + } + + .noinit (NOLOAD) : ALIGN(8) + { + KEEP(*(.noinit)) + } + + .data : ALIGN(8) + { + __cy_region_start_data = .; + + KEEP(*(.jcr)) + *(.got.plt) *(.got) + *(.shdata) + *(.data .data.* .gnu.linkonce.d.*) + . = ALIGN (8); + *(.ram) + _edata = .; + } >ram AT>rom + .bss : ALIGN(8) + { + PROVIDE(__bss_start__ = .); + *(.shbss) + *(.bss .bss.* .gnu.linkonce.b.*) + *(COMMON) + . = ALIGN (8); + *(.ram.b) + _end = .; + __end = .; + } >ram AT>rom + PROVIDE(end = .); + PROVIDE(__bss_end__ = .); + + __cy_region_init_ram = LOADADDR (.data); + __cy_region_init_size_ram = _edata - ADDR (.data); + __cy_region_zero_size_ram = _end - _edata; + + /* The .stack and .heap sections don't contain any symbols. + * They are only used for linker to calculate RAM utilization. + */ + .heap (NOLOAD) : + { + . = _end; + . += 0x0800; + __cy_heap_limit = .; + } >ram + + .stack (__cy_stack - 0x2000) (NOLOAD) : + { + __cy_stack_limit = .; + . += 0x2000; + } >ram + + /* Check if data + heap + stack exceeds RAM limit */ + ASSERT(__cy_stack_limit >= __cy_heap_limit, "region RAM overflowed with stack") + + .cyloadermeta ((appl_start == 0) ? (LENGTH(rom) - CY_METADATA_SIZE) : 0xF0000000) : + { + KEEP(*(.cyloadermeta)) + } :NONE + + .cyloadablemeta (LENGTH(rom) - CY_FLASH_ROW_SIZE * (CY_APPL_NUM - 1) - CY_METADATA_SIZE) : + { + KEEP(*(.cyloadablemeta)) + } >rom + + .cyconfigecc (0x80000000 + ecc_offset) : + { + KEEP(*(.cyconfigecc)) + } :NONE + + .cycustnvl 0x90000000 : { KEEP(*(.cycustnvl)) } :NONE + .cywolatch 0x90100000 : { KEEP(*(.cywolatch)) } :NONE + + .cyeeprom (0x90200000 + ee_offset) : + { + KEEP(*(.cyeeprom)) + ASSERT(. <= (0x90200000 + ee_offset + ee_size), ".cyeeprom data will not fit in EEPROM"); + } :NONE + + .cyflashprotect 0x90400000 : { KEEP(*(.cyflashprotect)) } :NONE + .cymeta 0x90500000 : { KEEP(*(.cymeta)) } :NONE + + .stab 0 (NOLOAD) : { *(.stab) } + .stabstr 0 (NOLOAD) : { *(.stabstr) } + /* DWARF debug sections. + * Symbols in the DWARF debugging sections are relative to the beginning + * of the section so we begin them at 0. + */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* DWARF 2.1 */ + .debug_ranges 0 : { *(.debug_ranges) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .note.gnu.arm.ident 0 : { KEEP (*(.note.gnu.arm.ident)) } + .ARM.attributes 0 : { KEEP (*(.ARM.attributes)) } + /DISCARD/ : { *(.note.GNU-stack) } +} + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3.h new file mode 100755 index 0000000..0e215fc --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3.h @@ -0,0 +1,1627 @@ +/**************************************************************************//** + * @file core_cm3.h + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Header File + * @version V3.20 + * @date 25. February 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM3_H_GENERIC +#define __CORE_CM3_H_GENERIC + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
    + Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
    + Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
    + Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M3 + @{ + */ + +/* CMSIS CM3 definitions */ +#define __CM3_CMSIS_VERSION_MAIN (0x03) /*!< [31:16] CMSIS HAL main version */ +#define __CM3_CMSIS_VERSION_SUB (0x20) /*!< [15:0] CMSIS HAL sub version */ +#define __CM3_CMSIS_VERSION ((__CM3_CMSIS_VERSION_MAIN << 16) | \ + __CM3_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x03) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. This core does not support an FPU at all +*/ +#define __FPU_USED 0 + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI__VFP_SUPPORT____ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ + +#endif /* __CORE_CM3_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM3_H_DEPENDANT +#define __CORE_CM3_H_DEPENDANT + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM3_REV + #define __CM3_REV 0x0200 + #warning "__CM3_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M3 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#if (__CM3_REV < 0x0201) /* core r2p1 */ +#define SCB_VTOR_TBLBASE_Pos 29 /*!< SCB VTOR: TBLBASE Position */ +#define SCB_VTOR_TBLBASE_Msk (1UL << SCB_VTOR_TBLBASE_Pos) /*!< SCB VTOR: TBLBASE Mask */ + +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x3FFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#else +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ +#if ((defined __CM3_REV) && (__CM3_REV >= 0x200)) + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +#else + uint32_t RESERVED1[1]; +#endif +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M3 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the samllest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + +#endif /* __CORE_CM3_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ + +#ifdef __cplusplus +} +#endif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3_psoc5.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3_psoc5.h new file mode 100755 index 0000000..a7c7be7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cm3_psoc5.h @@ -0,0 +1,54 @@ +/******************************************************************************* +* File Name: core_cm3_psoc5.h +* Version 4.0 +* +* Description: +* Provides important type information for the PSoC5. This includes types +* necessary for core_cm3.h. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + + +#if !defined(__CORE_CM3_PSOC5_H__) +#define __CORE_CM3_PSOC5_H__ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ +/****** Cortex-M3 Processor Exceptions Numbers ***************************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13, /*!< 3 Cortex-M3 Hard Fault Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M3 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M3 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M3 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M3 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M3 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M3 Pend SV Interrupt */ + SysTick_IRQn = -1 /*!< 15 Cortex-M3 System Tick Interrupt */ +/****** PSoC5 Peripheral Interrupt Numbers *******************************************************/ + /* Not relevant. All peripheral interrupts are defined by the user */ +} IRQn_Type; + +#include + +#define __CHECK_DEVICE_DEFINES + +#define __CM3_REV 0x0201 + +#define __MPU_PRESENT 0 +#define __NVIC_PRIO_BITS 3 +#define __Vendor_SysTickConfig 0 + +#include + + +#endif /* __CORE_CM3_PSOC5_H__ */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmFunc.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmFunc.h new file mode 100755 index 0000000..139bc3c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmFunc.h @@ -0,0 +1,636 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V3.20 + * @date 25. February 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xff); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** \brief Enable IRQ Interrupts + + This function enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i" : : : "memory"); +} + + +/** \brief Disable IRQ Interrupts + + This function disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i" : : : "memory"); +} + + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) : "memory"); +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, psp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n" : : "r" (topOfProcStack) : "sp"); +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, msp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n" : : "r" (topOfMainStack) : "sp"); +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory"); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f" : : : "memory"); +} + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f" : : : "memory"); +} + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri_max" : "=r" (result) ); + return(result); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) : "memory"); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory"); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + uint32_t result; + + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + __ASM volatile (""); + return(result); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) : "vfpcc"); + __ASM volatile (""); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all instrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +#endif /* __CORE_CMFUNC_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmInstr.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmInstr.h new file mode 100755 index 0000000..0d75f40 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/core_cmInstr.h @@ -0,0 +1,688 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V3.20 + * @date 05. March 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +#define __ISB() __isb(0xF) + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() __dsb(0xF) + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() __dmb(0xF) + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} +#endif + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} +#endif + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __breakpoint(value) + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __RBIT __rbit + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXB(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXH(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXW(value, ptr) __strex(value, ptr) + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +#define __CLREX __clrex + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + +#endif /* (__CORTEX_M >= 0x03) */ + + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/* Define macros for porting to both thumb1 and thumb2. + * For thumb1, use low register (r0-r7), specified by constrant "l" + * Otherwise, use general registers, specified by constrant "r" */ +#if defined (__thumb__) && !defined (__thumb2__) +#define __CMSIS_GCC_OUT_REG(r) "=l" (r) +#define __CMSIS_GCC_USE_REG(r) "l" (r) +#else +#define __CMSIS_GCC_OUT_REG(r) "=r" (r) +#define __CMSIS_GCC_USE_REG(r) "r" (r) +#endif + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __NOP(void) +{ + __ASM volatile ("nop"); +} + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFI(void) +{ + __ASM volatile ("wfi"); +} + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFE(void) +{ + __ASM volatile ("wfe"); +} + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __SEV(void) +{ + __ASM volatile ("sev"); +} + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __ISB(void) +{ + __ASM volatile ("isb"); +} + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DSB(void) +{ + __ASM volatile ("dsb"); +} + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DMB(void) +{ + __ASM volatile ("dmb"); +} + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV(uint32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 5) + return __builtin_bswap32(value); +#else + uint32_t result; + + __ASM volatile ("rev %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __REVSH(int32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + return (short)__builtin_bswap16(value); +#else + uint32_t result; + + __ASM volatile ("revsh %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + return (op1 >> op2) | (op1 << (32 - op2)); +} + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __ASM volatile ("bkpt "#value) + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexb %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return(result); +} + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexh %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return(result); +} + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __CLREX(void) +{ + __ASM volatile ("clrex" ::: "memory"); +} + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __CLZ(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("clz %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.c new file mode 100755 index 0000000..01f0794 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.c @@ -0,0 +1,1819 @@ +/******************************************************************************* +* File Name: cyPm.c +* Version 4.0 +* +* Description: +* Provides an API for the power management. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cyPm.h" + + +/******************************************************************* +* Place your includes, defines and code here. Do not use merge +* region below unless any component datasheet suggest to do so. +*******************************************************************/ +/* `#START CY_PM_HEADER_INCLUDE` */ + +/* `#END` */ + + +static CY_PM_BACKUP_STRUCT cyPmBackup; +static CY_PM_CLOCK_BACKUP_STRUCT cyPmClockBackup; + +/* Convertion table between register's values and frequency in MHz */ +static const uint8 CYCODE cyPmImoFreqReg2Mhz[7u] = {12u, 6u, 24u, 3u, 48u, 62u, 74u}; + +/* Function Prototypes */ +static void CyPmHibSaveSet(void); +static void CyPmHibRestore(void) ; + +static void CyPmHibSlpSaveSet(void) ; +static void CyPmHibSlpRestore(void) ; + +static void CyPmHviLviSaveDisable(void) ; +static void CyPmHviLviRestore(void) ; + + +/******************************************************************************* +* Function Name: CyPmSaveClocks +******************************************************************************** +* +* Summary: +* This function is called in preparation for entering sleep or hibernate low +* power modes. Saves all state of the clocking system that does not persist +* during sleep/hibernate or that needs to be altered in preparation for +* sleep/hibernate. Shutdowns all the digital and analog clock dividers for the +* active power mode configuration. +* +* Switches the master clock over to the IMO and shuts down the PLL and MHz +* Crystal. The IMO frequency is set to either 12 MHz or 48 MHz to match the +* Design-Wide Resources System Editor "Enable Fast IMO During Startup" setting. +* The ILO and 32 KHz oscillators are not impacted. The current Flash wait state +* setting is saved and the Flash wait state setting is set for the current IMO +* speed. +* +* Note If the Master Clock source is routed through the DSI inputs, then it +* must be set manually to another source before using the +* CyPmSaveClocks()/CyPmRestoreClocks() functions. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* All peripheral clocks are going to be off after this API method call. +* +*******************************************************************************/ +void CyPmSaveClocks(void) +{ + /* Digital and analog clocks - save enable state and disable them all */ + cyPmClockBackup.enClkA = CY_PM_ACT_CFG1_REG & CY_PM_ACT_EN_CLK_A_MASK; + cyPmClockBackup.enClkD = CY_PM_ACT_CFG2_REG; + CY_PM_ACT_CFG1_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_A_MASK)); + CY_PM_ACT_CFG2_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_D_MASK)); + + /* Save current flash wait cycles and set the maximum value */ + cyPmClockBackup.flashWaitCycles = CY_PM_CACHE_CR_CYCLES_MASK & CY_PM_CACHE_CR_REG; + CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + + /* IMO - save current IMO MHz OSC frequency and USB mode is on bit */ + cyPmClockBackup.imoFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + cyPmClockBackup.imoUsbClk = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_USB; + + /* IMO doubler - save enable state */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + { + /* IMO doubler enabled - save and disable */ + cyPmClockBackup.imo2x = CY_PM_ENABLED; + } + else + { + /* IMO doubler disabled */ + cyPmClockBackup.imo2x = CY_PM_DISABLED; + } + + /* IMO - set appropriate frequency for LPM */ + CyIMO_SetFreq(CY_PM_IMO_FREQ_LPM); + + /* IMO - save enable state and enable without wait to settle */ + if(0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG)) + { + /* IMO - save enabled state */ + cyPmClockBackup.imoEnable = CY_PM_ENABLED; + } + else + { + /* IMO - save disabled state */ + cyPmClockBackup.imoEnable = CY_PM_DISABLED; + + /* IMO - enable */ + CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + } + + /* IMO - save the current IMOCLK source and set to IMO if not yet */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_XCLKEN)) + { + /* DSI or XTAL CLK */ + cyPmClockBackup.imoClkSrc = + (0u == (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO2X_SRC)) ? CY_IMO_SOURCE_DSI : CY_IMO_SOURCE_XTAL; + + /* IMO - set IMOCLK source to MHz OSC */ + CyIMO_SetSource(CY_IMO_SOURCE_IMO); + } + else + { + /* IMO */ + cyPmClockBackup.imoClkSrc = CY_IMO_SOURCE_IMO; + } + + /* Save clk_imo source */ + cyPmClockBackup.clkImoSrc = CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK; + + /* If IMOCLK2X or SPC OSC is source for clk_imo, set it to IMOCLK */ + if(CY_PM_CLKDIST_IMO_OUT_IMO != cyPmClockBackup.clkImoSrc) + { + /* Set IMOCLK to source for clk_imo */ + CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + CY_PM_CLKDIST_IMO_OUT_IMO; + } /* Need to change nothing if IMOCLK is source clk_imo */ + + /* IMO doubler - disable it (saved above) */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + { + CyIMO_DisableDoubler(); + } + + /* Master clock - save divider and set it to divide-by-one (if no yet) */ + cyPmClockBackup.clkSyncDiv = CY_PM_CLKDIST_MSTR0_REG; + if(CY_PM_DIV_BY_ONE != cyPmClockBackup.clkSyncDiv) + { + CyMasterClk_SetDivider(CY_PM_DIV_BY_ONE); + } /* Need to change nothing if master clock divider is 1 */ + + /* Master clock - save current source */ + cyPmClockBackup.masterClkSrc = CY_PM_CLKDIST_MSTR1_REG & CY_PM_MASTER_CLK_SRC_MASK; + + /* Master clock source - set it to IMO if not yet. */ + if(CY_MASTER_SOURCE_IMO != cyPmClockBackup.masterClkSrc) + { + CyMasterClk_SetSource(CY_MASTER_SOURCE_IMO); + } /* Need to change nothing if master clock source is IMO */ + + /* Bus clock - save divider and set it, if needed, to divide-by-one */ + cyPmClockBackup.clkBusDiv = (uint16) ((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + cyPmClockBackup.clkBusDiv |= CY_PM_CLK_BUS_LSB_DIV_REG; + if(CY_PM_BUS_CLK_DIV_BY_ONE != cyPmClockBackup.clkBusDiv) + { + CyBusClk_SetDivider(CY_PM_BUS_CLK_DIV_BY_ONE); + } /* Do nothing if saved and actual values are equal */ + + /* Set number of wait cycles for the flash according CPU frequency in MHz */ + CyFlash_SetWaitCycles((uint8)CY_PM_GET_CPU_FREQ_MHZ); + + /* PLL - check enable state, disable if needed */ + if(0u != (CY_PM_FASTCLK_PLL_CFG0_REG & CY_PM_PLL_CFG0_ENABLE)) + { + /* PLL is enabled - save state and disable */ + cyPmClockBackup.pllEnableState = CY_PM_ENABLED; + CyPLL_OUT_Stop(); + } + else + { + /* PLL is disabled - save state */ + cyPmClockBackup.pllEnableState = CY_PM_DISABLED; + } + + /* MHz ECO - check enable state and disable if needed */ + if(0u != (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_ENABLE)) + { + /* MHz ECO is enabled - save state and disable */ + cyPmClockBackup.xmhzEnableState = CY_PM_ENABLED; + CyXTAL_Stop(); + } + else + { + /* MHz ECO is disabled - save state */ + cyPmClockBackup.xmhzEnableState = CY_PM_DISABLED; + } + + + /*************************************************************************** + * Save enable state of delay between the system bus clock and each of the + * 4 individual analog clocks. This bit non-retention and it's value should + * be restored on wakeup. + ***************************************************************************/ + if(0u != (CY_PM_CLKDIST_DELAY_REG & CY_PM_CLKDIST_DELAY_EN)) + { + cyPmClockBackup.clkDistDelay = CY_PM_ENABLED; + } + else + { + cyPmClockBackup.clkDistDelay = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmRestoreClocks +******************************************************************************** +* +* Summary: +* Restores any state that was preserved by the last call to CyPmSaveClocks(). +* The Flash wait state setting is also restored. +* +* Note If the Master Clock source is routed through the DSI inputs, then it +* must be set manually to another source before using the +* CyPmSaveClocks()/CyPmRestoreClocks() functions. +* +* PSoC 3 and PSoC 5LP: +* The merge region could be used to process state when the megahertz crystal is +* not ready after the hold-off timeout. +* +* PSoC 5: +* The 130 ms is given for the megahertz crystal to stabilize. It's readiness is +* not verified after the hold-off timeout. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPmRestoreClocks(void) +{ + cystatus status = CYRET_TIMEOUT; + uint16 i; + uint16 clkBusDivTmp; + + + /* Convertion table between CyIMO_SetFreq() parameters and register's value */ + const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + CY_IMO_FREQ_12MHZ, CY_IMO_FREQ_6MHZ, CY_IMO_FREQ_24MHZ, CY_IMO_FREQ_3MHZ, + CY_IMO_FREQ_48MHZ, 5u, 6u}; + + /* Restore enable state of delay between the system bus clock and ACLKs. */ + if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + { + /* Delay for both the bandgap and the delay line to settle out */ + CyDelayCycles((uint32)(CY_PM_CLK_DELAY_BANDGAP_SETTLE_US + CY_PM_CLK_DELAY_BIAS_SETTLE_US) * + CY_PM_GET_CPU_FREQ_MHZ); + + CY_PM_CLKDIST_DELAY_REG |= CY_PM_CLKDIST_DELAY_EN; + } + + /* MHz ECO restore state */ + if(CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) + { + /*********************************************************************** + * Enabling XMHZ XTAL. The actual CyXTAL_Start() with non zero wait + * period uses FTW for period measurement. This could cause a problem + * if CTW/FTW is used as a wake up time in the low power modes APIs. + * So, the XTAL wait procedure is implemented with a software delay. + ***********************************************************************/ + + /* Enable XMHZ XTAL with no wait */ + (void) CyXTAL_Start(CY_PM_XTAL_MHZ_NO_WAIT); + + /* Read XERR bit to clear it */ + (void) CY_PM_FASTCLK_XMHZ_CSR_REG; + + /* Wait */ + for(i = CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US; i > 0u; i--) + { + /* Make a 200 microseconds delay */ + CyDelayCycles((uint32)CY_PM_WAIT_200_US * CY_PM_GET_CPU_FREQ_MHZ); + + /* High output indicates oscillator failure */ + if(0u == (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_XERR)) + { + status = CYRET_SUCCESS; + break; + } + } + + if(CYRET_TIMEOUT == status) + { + /******************************************************************* + * Process the situation when megahertz crystal is not ready. + * Time to stabialize value is crystal specific. + *******************************************************************/ + /* `#START_MHZ_ECO_TIMEOUT` */ + + /* `#END` */ + } + } /* (CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) */ + + + /* Temprorary set the maximum flash wait cycles */ + CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + + /* The XTAL and DSI clocks are ready to be source for Master clock. */ + if((CY_PM_MASTER_CLK_SRC_XTAL == cyPmClockBackup.masterClkSrc) || + (CY_PM_MASTER_CLK_SRC_DSI == cyPmClockBackup.masterClkSrc)) + { + /* Restore Master clock's divider */ + if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + { + /* Restore Master clock divider */ + CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + } + + /* Restore Master clock source */ + CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + } + + /* IMO - restore IMO frequency */ + if((0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) && + (CY_IMO_FREQ_24MHZ == cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq])) + { + /* Restore IMO frequency (24 MHz) and trim it for USB */ + CyIMO_SetFreq(CY_IMO_FREQ_USB); + } + else + { + /* Restore IMO frequency */ + CyIMO_SetFreq(cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq]); + + if(0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) + { + CY_PM_FASTCLK_IMO_CR_REG |= CY_PM_FASTCLK_IMO_CR_USB; + } + else + { + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_PM_FASTCLK_IMO_CR_USB)); + } + } + + /* IMO - restore enable state if needed */ + if((CY_PM_ENABLED == cyPmClockBackup.imoEnable) && + (0u == (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + { + /* IMO - restore enabled state */ + CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + } + + /* IMO - restore disable state if needed */ + if((CY_PM_DISABLED == cyPmClockBackup.imoEnable) && + (0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + { + CyIMO_Stop(); + } + + /* IMO - restore IMOCLK source */ + CyIMO_SetSource(cyPmClockBackup.imoClkSrc); + + /* Restore IMO doubler enable state (turned off by CyPmSaveClocks()) */ + if(CY_PM_ENABLED == cyPmClockBackup.imo2x) + { + CyIMO_EnableDoubler(); + } + + /* IMO - restore clk_imo source, if needed */ + if(cyPmClockBackup.clkImoSrc != (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK)) + { + CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + cyPmClockBackup.clkImoSrc; + } + + /* PLL restore state */ + if(CY_PM_ENABLED == cyPmClockBackup.pllEnableState) + { + /*********************************************************************** + * Enable PLL. The actual CyPLL_OUT_Start() without wait period uses FTW + * for period measurement. This could cause a problem if CTW/FTW is used + * as a wakeup time in the low power modes APIs. To omit this issue PLL + * wait procedure is implemented with a software delay. + ***********************************************************************/ + + /* Enable PLL */ + (void) CyPLL_OUT_Start(CY_PM_PLL_OUT_NO_WAIT); + + /* Make a 250 us delay */ + CyDelayCycles((uint32)CY_PM_WAIT_250_US * CY_PM_GET_CPU_FREQ_MHZ); + } /* (CY_PM_ENABLED == cyPmClockBackup.pllEnableState) */ + + + /* PLL and IMO is ready to be source for Master clock */ + if((CY_PM_MASTER_CLK_SRC_IMO == cyPmClockBackup.masterClkSrc) || + (CY_PM_MASTER_CLK_SRC_PLL == cyPmClockBackup.masterClkSrc)) + { + /* Restore Master clock divider */ + if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + { + CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + } + + /* Restore Master clock source */ + CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + } + + /* Bus clock - restore divider, if needed */ + clkBusDivTmp = (uint16) ((uint16)CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + clkBusDivTmp |= CY_PM_CLK_BUS_LSB_DIV_REG; + if(cyPmClockBackup.clkBusDiv != clkBusDivTmp) + { + CyBusClk_SetDivider(cyPmClockBackup.clkBusDiv); + } + + /* Restore flash wait cycles */ + CY_PM_CACHE_CR_REG = ((CY_PM_CACHE_CR_REG & ((uint8)(~CY_PM_CACHE_CR_CYCLES_MASK))) | + cyPmClockBackup.flashWaitCycles); + + /* Digital and analog clocks - restore state */ + CY_PM_ACT_CFG1_REG = cyPmClockBackup.enClkA; + CY_PM_ACT_CFG2_REG = cyPmClockBackup.enClkD; +} + + +/******************************************************************************* +* Function Name: CyPmAltAct +******************************************************************************** +* +* Summary: +* Puts the part into the Alternate Active (Standby) state. The Alternate Active +* state can allow for any of the capabilities of the device to be active, but +* the operation of this function is dependent on the CPU being disabled during +* the Alternate Active state. The configuration code and the component APIs +* will configure the template for the Alternate Active state to be the same as +* the Active state with the exception that the CPU will be disabled during +* Alternate Active. +* +* Note Before calling this function, you must manually configure the power mode +* of the source clocks for the timer that is used as the wakeup timer. +* +* PSoC 3: +* Before switching to Alternate Active, if a wakeupTime other than NONE is +* specified, then the appropriate timer state is configured as specified with +* the interrupt for that timer disabled. The wakeup source will be the +* combination of the values specified in the wakeupSource and any timer +* specified in the wakeupTime argument. Once the wakeup condition is +* satisfied, then all saved state is restored and the function returns in the +* Active state. +* +* Note that if the wakeupTime is made with a different value, the period before +* the wakeup occurs can be significantly shorter than the specified time. If +* the next call is made with the same wakeupTime value, then the wakeup will +* occur the specified period after the previous wakeup occurred. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. If the CTW, FTW or One PPS is already +* configured for wakeup, for example with the SleepTimer or RTC components, +* then specify NONE for the wakeupTime and include the appropriate source for +* wakeupSource. +* +* PSoC 5LP: +* This function is used to both enter the Alternate Active mode and halt the +* processor. For PSoC 3 these two actions must be paired together. With PSoC +* 5LP the processor can be halted independently with the __WFI() function from +* the CMSIS library that is included in Creator. This function should be used +* instead when the action required is just to halt the processor until an +* enabled interrupt occurs. +* +* The wakeupTime parameter is not used for this device. It must be set to zero +* (PM_ALT_ACT_TIME_NONE). The wake up time configuration can be done by a +* separate component: the CTW wakeup interval should be configured with the +* Sleep Timer component and one second interval should be configured with the +* RTC component. +* +* The wakeup behavior depends on wakeupSource parameter in the following +* manner: upon function execution the device will be switched from Active to +* Alternate Active mode and then the CPU will be halted. When an enabled wakeup +* event occurs the device will return to Active mode. Similarly when an +* enabled interrupt occurs the CPU will be started. These two actions will +* occur together provided that the event that occurs is an enabled wakeup +* source and also generates an interrupt. If just the wakeup event occurs then +* the device will be in Active mode, but the CPU will remain halted waiting for +* an interrupt. If an interrupt occurs from something other than a wakeup +* source, then the CPU will restart with the device in Alternate Active mode +* until a wakeup event occurs. +* +* For example, if CyPmAltAct(PM_ALT_ACT_TIME_NONE, PM_ALT_ACT_SRC_PICU) is +* called and PICU interrupt occurs, the CPU will be started and device will be +* switched into Active mode. And if CyPmAltAct(PM_ALT_ACT_TIME_NONE, +* PM_ALT_ACT_SRC_NONE) is called and PICU interrupt occurs, the CPU will be +* started while device remains in Alternate Active mode. +* +* Parameters: +* wakeupTime: Specifies a timer wakeup source and the frequency of that +* source. For PSoC 5LP this parameter is ignored. +* +* Define Time +* PM_ALT_ACT_TIME_NONE None +* PM_ALT_ACT_TIME_ONE_PPS One PPS: 1 second +* PM_ALT_ACT_TIME_CTW_2MS CTW: 2 ms +* PM_ALT_ACT_TIME_CTW_4MS CTW: 4 ms +* PM_ALT_ACT_TIME_CTW_8MS CTW: 8 ms +* PM_ALT_ACT_TIME_CTW_16MS CTW: 16 ms +* PM_ALT_ACT_TIME_CTW_32MS CTW: 32 ms +* PM_ALT_ACT_TIME_CTW_64MS CTW: 64 ms +* PM_ALT_ACT_TIME_CTW_128MS CTW: 128 ms +* PM_ALT_ACT_TIME_CTW_256MS CTW: 256 ms +* PM_ALT_ACT_TIME_CTW_512MS CTW: 512 ms +* PM_ALT_ACT_TIME_CTW_1024MS CTW: 1024 ms +* PM_ALT_ACT_TIME_CTW_2048MS CTW: 2048 ms +* PM_ALT_ACT_TIME_CTW_4096MS CTW: 4096 ms +* PM_ALT_ACT_TIME_FTW(1-256)* FTW: 10us to 2.56 ms +* +* *Note: PM_ALT_ACT_TIME_FTW() is a macro that takes an argument that +* specifies how many increments of 10 us to delay. + For PSoC 3 silicon the valid range of values is 1 to 256. +* +* wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if +* a wakeupTime has been specified the associated timer will be +* included as a wakeup source. +* +* Define Source +* PM_ALT_ACT_SRC_NONE None +* PM_ALT_ACT_SRC_COMPARATOR0 Comparator 0 +* PM_ALT_ACT_SRC_COMPARATOR1 Comparator 1 +* PM_ALT_ACT_SRC_COMPARATOR2 Comparator 2 +* PM_ALT_ACT_SRC_COMPARATOR3 Comparator 3 +* PM_ALT_ACT_SRC_INTERRUPT Interrupt +* PM_ALT_ACT_SRC_PICU PICU +* PM_ALT_ACT_SRC_I2C I2C +* PM_ALT_ACT_SRC_BOOSTCONVERTER Boost Converter +* PM_ALT_ACT_SRC_FTW Fast Timewheel* +* PM_ALT_ACT_SRC_VD High and Low Voltage Detection (HVI, LVI)* +* PM_ALT_ACT_SRC_CTW Central Timewheel** +* PM_ALT_ACT_SRC_ONE_PPS One PPS** +* PM_ALT_ACT_SRC_LCD LCD +* +* *Note : FTW and HVI/LVI wakeup signals are in the same mask bit. +* **Note: CTW and One PPS wakeup signals are in the same mask bit. +* +* When specifying a Comparator as the wakeupSource an instance specific define +* should be used that will track with the specific comparator that the instance +* is placed into. As an example, for a Comparator instance named MyComp the +* value to OR into the mask is: MyComp_ctComp__CMP_MASK. +* +* When CTW, FTW or One PPS is used as a wakeup source, the CyPmReadStatus() +* function must be called upon wakeup with corresponding parameter. Please +* refer to the CyPmReadStatus() API in the System Reference Guide for more +* information. +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects: +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is +* used as wakeup time) or ILO 100 KHz (if FTW timer is used as wakeup time) +* will be left started. +* +*******************************************************************************/ +void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) +{ + #if(CY_PSOC5) + + /* Arguments expected to be 0 */ + CYASSERT(PM_ALT_ACT_TIME_NONE == wakeupTime); + + if(0u != wakeupTime) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5) */ + + + #if(CY_PSOC3) + + /* FTW - save current and set new configuration */ + if((wakeupTime >= PM_ALT_ACT_TIME_FTW(1u)) && (wakeupTime <= PM_ALT_ACT_TIME_FTW(256u))) + { + CyPmFtwSetInterval(PM_ALT_ACT_FTW_INTERVAL(wakeupTime)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_FTW; + } + + /* CTW - save current and set new configuration */ + if((wakeupTime >= PM_ALT_ACT_TIME_CTW_2MS) && (wakeupTime <= PM_ALT_ACT_TIME_CTW_4096MS)) + { + /* Save current CTW configuration and set new one */ + CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_CTW; + } + + /* 1PPS - save current and set new configuration */ + if(PM_ALT_ACT_TIME_ONE_PPS == wakeupTime) + { + /* Save current 1PPS configuration and set new one */ + CyPmOppsSet(); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_ONE_PPS; + } + + #endif /* (CY_PSOC3) */ + + + /* Save and set new wake up configuration */ + + /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + + /* Comparators */ + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + + /* LCD */ + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + + + /* Switch to the Alternate Active mode */ + CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_ALT_ACT); + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Alternate Active Mode */ + + /* Restore wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; +} + + +/******************************************************************************* +* Function Name: CyPmSleep +******************************************************************************** +* +* Summary: +* Puts the part into the Sleep state. +* +* Note Before calling this function, you must manually configure the power +* mode of the source clocks for the timer that is used as wakeup timer. +* +* Note Before calling this function, you must prepare clock tree configuration +* for the low power mode by calling CyPmSaveClocks(). And restore clock +* configuration after CyPmSleep() execution by calling CyPmRestoreClocks(). See +* Power Management section, Clock Configuration subsection of the System +* Reference Guide for more information. +* +* PSoC 3: +* Before switching to Sleep, if a wakeupTime other than NONE is specified, +* then the appropriate timer state is configured as specified with the +* interrupt for that timer disabled. The wakeup source will be the combination +* of the values specified in the wakeupSource and any timer specified in the +* wakeupTime argument. Once the wakeup condition is satisfied, then all saved +* state is restored and the function returns in the Active state. +* +* Note that if the wakeupTime is made with a different value, the period before +* the wakeup occurs can be significantly shorter than the specified time. If +* the next call is made with the same wakeupTime value, then the wakeup will +* occur the specified period after the previous wakeup occurred. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. If the CTW or One PPS is already +* configured for wakeup, for example with the SleepTimer or RTC components, +* then specify NONE for the wakeupTime and include the appropriate source for +* wakeupSource. +* +* PSoC 5LP: +* The wakeupTime parameter is not used and the only NONE can be specified. +* The wakeup time must be configured with the component, SleepTimer for CTW +* intervals and RTC for 1PPS interval. The component must be configured to +* generate an interrrupt. +* +* Parameters: +* wakeupTime: Specifies a timer wakeup source and the frequency of that +* source. For PSoC 5LP, this parameter is ignored. +* +* Define Time +* PM_SLEEP_TIME_NONE None +* PM_SLEEP_TIME_ONE_PPS One PPS: 1 second +* PM_SLEEP_TIME_CTW_2MS CTW: 2 ms +* PM_SLEEP_TIME_CTW_4MS CTW: 4 ms +* PM_SLEEP_TIME_CTW_8MS CTW: 8 ms +* PM_SLEEP_TIME_CTW_16MS CTW: 16 ms +* PM_SLEEP_TIME_CTW_32MS CTW: 32 ms +* PM_SLEEP_TIME_CTW_64MS CTW: 64 ms +* PM_SLEEP_TIME_CTW_128MS CTW: 128 ms +* PM_SLEEP_TIME_CTW_256MS CTW: 256 ms +* PM_SLEEP_TIME_CTW_512MS CTW: 512 ms +* PM_SLEEP_TIME_CTW_1024MS CTW: 1024 ms +* PM_SLEEP_TIME_CTW_2048MS CTW: 2048 ms +* PM_SLEEP_TIME_CTW_4096MS CTW: 4096 ms +* +* wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if +* a wakeupTime has been specified the associated timer will be +* included as a wakeup source. +* +* Define Source +* PM_SLEEP_SRC_NONE None +* PM_SLEEP_SRC_COMPARATOR0 Comparator 0 +* PM_SLEEP_SRC_COMPARATOR1 Comparator 1 +* PM_SLEEP_SRC_COMPARATOR2 Comparator 2 +* PM_SLEEP_SRC_COMPARATOR3 Comparator 3 +* PM_SLEEP_SRC_PICU PICU +* PM_SLEEP_SRC_I2C I2C +* PM_SLEEP_SRC_BOOSTCONVERTER Boost Converter +* PM_SLEEP_SRC_VD High and Low Voltage Detection (HVI, LVI) +* PM_SLEEP_SRC_CTW Central Timewheel* +* PM_SLEEP_SRC_ONE_PPS One PPS* +* PM_SLEEP_SRC_LCD LCD +* +* *Note: CTW and One PPS wakeup signals are in the same mask bit. +* +* When specifying a Comparator as the wakeupSource an instance specific define +* should be used that will track with the specific comparator that the instance +* is placed into. As an example for a Comparator instance named MyComp the +* value to OR into the mask is: MyComp_ctComp__CMP_MASK. +* +* When CTW or One PPS is used as a wakeup source, the CyPmReadStatus() +* function must be called upon wakeup with corresponding parameter. Please +* refer to the CyPmReadStatus() API in the System Reference Guide for more +* information. +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects and Restrictions: +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is +* used as wake up time) will be left started. +* +* The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +* measure Hibernate/Sleep regulator settling time after a reset. The holdoff +* delay is measured using rising edges of the 1 kHz ILO. +* +* For PSoC 3 silicon hardware buzz should be disabled before entering a sleep +* power mode. It is disabled by PSoC Creator during startup. +* If a Low Voltage Interrupt (LVI), High Voltage Interrupt (HVI) or Brown Out +* detect (power supply supervising capabilities) are required in a design +* during sleep, use the Central Time Wheel (CTW) to periodically wake the +* device, perform software buzz, and refresh the supervisory services. If LVI, +* HVI, or Brown Out is not required, then use of the CTW is not required. +* Refer to the device errata for more information. +* +*******************************************************************************/ +void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + + /*********************************************************************** + * The Hibernate/Sleep regulator has a settling time after a reset. + * During this time, the system ignores requests to enter Sleep and + * Hibernate modes. The holdoff delay is measured using rising edges of + * the 1 kHz ILO. + ***********************************************************************/ + if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + { + /* Disable hold off - no action on restore */ + CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + } + else + { + /* Abort, device is not ready for low power mode entry */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + + return; + } + + + /*********************************************************************** + * PSoC3 < TO6: + * - Hardware buzz must be disabled before sleep mode entry. + * - Voltage supervision (HVI/LVI) requires hardware buzz, so they must + * be aslo disabled. + * + * PSoC3 >= TO6: + * - Voltage supervision (HVI/LVI) requires hardware buzz, so hardware buzz must be + * enabled before sleep mode entry and restored on wakeup. + ***********************************************************************/ + #if(CY_PSOC3) + + /* Silicon Revision ID is below TO6 */ + if(CYDEV_CHIP_REV_ACTUAL < 5u) + { + /* Hardware buzz expected to be disabled in Sleep mode */ + CYASSERT(0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)); + } + + + if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + { + if(CYDEV_CHIP_REV_ACTUAL < 5u) + { + /* LVI/HVI requires hardware buzz to be enabled */ + CYASSERT(0u != 0u); + } + else + { + if (0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)) + { + cyPmBackup.hardwareBuzz = CY_PM_DISABLED; + CY_PM_PWRSYS_WAKE_TR2_REG |= CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ; + } + else + { + cyPmBackup.hardwareBuzz = CY_PM_ENABLED; + } + } + } + + #endif /* (CY_PSOC3) */ + + + /******************************************************************************* + * For ARM-based devices, an interrupt is required for the CPU to wake up. The + * Power Management implementation assumes that wakeup time is configured with a + * separate component (component-based wakeup time configuration) for an + * interrupt to be issued on terminal count. For more information, refer to the + * Wakeup Time Configuration section of System Reference Guide. + *******************************************************************************/ + #if(CY_PSOC5) + + /* Arguments expected to be 0 */ + CYASSERT(PM_SLEEP_TIME_NONE == wakeupTime); + + if(0u != wakeupTime) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5) */ + + + CyPmHibSlpSaveSet(); + + + #if(CY_PSOC3) + + /* CTW - save current and set new configuration */ + if((wakeupTime >= PM_SLEEP_TIME_CTW_2MS) && (wakeupTime <= PM_SLEEP_TIME_CTW_4096MS)) + { + /* Save current and set new configuration of the CTW */ + CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_SLEEP_SRC_CTW; + } + + /* 1PPS - save current and set new configuration */ + if(PM_SLEEP_TIME_ONE_PPS == wakeupTime) + { + /* Save current and set new configuration of the 1PPS */ + CyPmOppsSet(); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_SLEEP_SRC_ONE_PPS; + } + + #endif /* (CY_PSOC3) */ + + + /* Save and set new wake up configuration */ + + /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + + /* Comparators */ + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + + /* LCD */ + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + + + /******************************************************************* + * Do not use merge region below unless any component datasheet + * suggest to do so. + *******************************************************************/ + /* `#START CY_PM_JUST_BEFORE_SLEEP` */ + + /* `#END` */ + + + /* Last moment IMO frequency change */ + if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + { + /* IMO frequency is 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + } + else + { + /* IMO frequency is not 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + + /* Save IMO frequency */ + cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + + /* Set IMO frequency to 12 MHz */ + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + } + + /* Switch to the Sleep mode */ + CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_SLEEP); + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Sleep Mode */ + + /* Restore last moment IMO frequency change */ + if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + { + CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ_MASK))) | + cyPmBackup.imoActFreq; + } + + + /******************************************************************* + * Do not use merge region below unless any component datasheet + * suggest to do so. + *******************************************************************/ + /* `#START CY_PM_JUST_AFTER_WAKEUP_FROM_SLEEP` */ + + /* `#END` */ + + + /* Restore hardware configuration */ + CyPmHibSlpRestore(); + + + /* Disable hardware buzz, if it was previously enabled */ + #if(CY_PSOC3) + + if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + { + if(CYDEV_CHIP_REV_ACTUAL >= 5u) + { + if (CY_PM_DISABLED == cyPmBackup.hardwareBuzz) + { + CY_PM_PWRSYS_WAKE_TR2_REG &= (uint8)(~CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ); + } + } + } + + #endif /* (CY_PSOC3) */ + + + /* Restore current wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyPmHibernate +******************************************************************************** +* +* Summary: +* Puts the part into the Hibernate state. +* +* PSoC 3 and PSoC 5LP: +* Before switching to Hibernate, the current status of the PICU wakeup source +* bit is saved and then set. This configures the device to wake up from the +* PICU. Make sure you have at least one pin configured to generate a PICU +* interrupt. For pin Px.y, the register "PICU_INTTYPE_PICUx_INTTYPEy" controls +* the PICU behavior. In the TRM, this register is "PICU[0..15]_INTTYPE[0..7]." +* In the Pins component datasheet, this register is referred to as the IRQ +* option. Once the wakeup occurs, the PICU wakeup source bit is restored and +* the PSoC returns to the Active state. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects: +* Applications must wait 20 us before re-entering hibernate or sleep after +* waking up from hibernate. The 20 us allows the sleep regulator time to +* stabilize before the next hibernate / sleep event occurs. The 20 us +* requirement begins when the device wakes up. There is no hardware check that +* this requirement is met. The specified delay should be done on ISR entry. +* +* After wakeup PICU interrupt occurs, the Pin_ClearInterrupt() (where Pin is +* instance name of the Pins component) function must be called to clear the +* latched pin events to allow proper Hibernate mode entry andd to enable +* detection of future events. +* +* The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +* measure Hibernate/Sleep regulator settling time after a reset. The holdoff +* delay is measured using rising edges of the 1 kHz ILO. +* +*******************************************************************************/ +void CyPmHibernate(void) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /*********************************************************************** + * The Hibernate/Sleep regulator has a settling time after a reset. + * During this time, the system ignores requests to enter Sleep and + * Hibernate modes. The holdoff delay is measured using rising edges of + * the 1 kHz ILO. + ***********************************************************************/ + if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + { + /* Disable hold off - no action on restore */ + CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + } + else + { + /* Abort, device is not ready for low power mode entry */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + + return; + } + + CyPmHibSaveSet(); + + + /* Save and enable only wakeup on PICU */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = CY_PM_WAKEUP_PICU; + + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = 0x00u; + + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = 0x00u; + + + /* Last moment IMO frequency change */ + if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + { + /* IMO frequency is 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + } + else + { + /* IMO frequency is not 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + + /* Save IMO frequency */ + cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + + /* Set IMO frequency to 12 MHz */ + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + } + + + /* Switch to Hibernate Mode */ + CY_PM_MODE_CSR_REG = (CY_PM_MODE_CSR_REG & ((uint8) (~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_HIBERNATE; + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + + /* Point of return from Hibernate mode */ + + + /* Restore last moment IMO frequency change */ + if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + { + CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ_MASK))) | + cyPmBackup.imoActFreq; + } + + + /* Restore device for proper Hibernate mode exit*/ + CyPmHibRestore(); + + /* Restore current wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyPmReadStatus +******************************************************************************** +* +* Summary: +* Manages the Power Manager Interrupt Status Register. This register has the +* interrupt status for the one pulse per second, central timewheel and fast +* timewheel timers. This hardware register clears on read. To allow for only +* clearing the bits of interest and preserving the other bits, this function +* uses a shadow register that retains the state. This function reads the +* status register and ORs that value with the shadow register. That is the +* value that is returned. Then the bits in the mask that are set are cleared +* from this value and written back to the shadow register. +* +* Note You must call this function within 1 ms (1 clock cycle of the ILO) +* after a CTW event has occurred. +* +* Parameters: +* mask: Bits in the shadow register to clear. +* +* Define Source +* CY_PM_FTW_INT Fast Timewheel +* CY_PM_CTW_INT Central Timewheel +* CY_PM_ONEPPS_INT One Pulse Per Second +* +* Return: +* Status. Same bits values as the mask parameter. +* +*******************************************************************************/ +uint8 CyPmReadStatus(uint8 mask) +{ + static uint8 interruptStatus; + uint8 interruptState; + uint8 tmpStatus; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Save value of the register, copy it and clear desired bit */ + interruptStatus |= CY_PM_INT_SR_REG; + tmpStatus = interruptStatus; + interruptStatus &= ((uint8)(~mask)); + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: CyPmHibSaveSet +******************************************************************************** +* +* Summary: +* Prepare device for proper Hibernate low power mode entry: +* - Disables I2C backup regulator +* - Saves ILO power down mode state and enable it +* - Saves state of 1 kHz and 100 kHz ILO and disable them +* - Disables sleep regulator and shorts vccd to vpwrsleep +* - Save LVI/HVI configuration and disable them - CyPmHviLviSaveDisable() +* - CyPmHibSlpSaveSet() function is called +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHibSaveSet(void) +{ + /* I2C backup reg must be off when the sleep regulator is unavailable */ + if(0u != (CY_PM_PWRSYS_CR1_REG & CY_PM_PWRSYS_CR1_I2CREG_BACKUP)) + { + /*********************************************************************** + * If I2C backup regulator is enabled, all the fixed-function registers + * store their values while device is in low power mode, otherwise their + * configuration is lost. The I2C API makes a decision to restore or not + * to restore I2C registers based on this. If this regulator will be + * disabled and then enabled, I2C API will suppose that I2C block + * registers preserved their values, while this is not true. So, the + * backup regulator is disabled. The I2C sleep APIs is responsible for + * restoration. + ***********************************************************************/ + + /* Disable I2C backup register */ + CY_PM_PWRSYS_CR1_REG &= ((uint8)(~CY_PM_PWRSYS_CR1_I2CREG_BACKUP)); + } + + + /* Save current ILO power mode and ensure low power mode */ + cyPmBackup.iloPowerMode = CyILO_SetPowerMode(CY_PM_POWERDOWN_MODE); + + /* Save current 1kHz ILO enable state. Disabled automatically. */ + cyPmBackup.ilo1kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_1K)) ? + CY_PM_DISABLED : CY_PM_ENABLED; + + /* Save current 100kHz ILO enable state. Disabled automatically. */ + cyPmBackup.ilo100kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_100K)) ? + CY_PM_DISABLED : CY_PM_ENABLED; + + + /* Disable the sleep regulator and shorts vccd to vpwrsleep */ + if(0u == (CY_PM_PWRSYS_SLP_TR_REG & CY_PM_PWRSYS_SLP_TR_BYPASS)) + { + /* Save current bypass state */ + cyPmBackup.slpTrBypass = CY_PM_DISABLED; + CY_PM_PWRSYS_SLP_TR_REG |= CY_PM_PWRSYS_SLP_TR_BYPASS; + } + else + { + cyPmBackup.slpTrBypass = CY_PM_ENABLED; + } + + /* LPCOMPs are always enabled (even when BOTH ext_vccd=1 and ext_vcca=1)*/ + + + /*************************************************************************** + * LVI/HVI must be disabled in Hibernate + ***************************************************************************/ + + /* Save LVI/HVI configuration and disable them */ + CyPmHviLviSaveDisable(); + + + /* Make the same preparations for Hibernate and Sleep modes */ + CyPmHibSlpSaveSet(); + + + /*************************************************************************** + * Save and set power mode wakeup trim registers + ***************************************************************************/ + cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; + cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; + + CY_PM_PWRSYS_WAKE_TR0_REG = CY_PM_PWRSYS_WAKE_TR0; + CY_PM_PWRSYS_WAKE_TR1_REG = CY_PM_PWRSYS_WAKE_TR1; +} + + +/******************************************************************************* +* Function Name: CyPmHibRestore +******************************************************************************** +* +* Summary: +* Restore device for proper Hibernate mode exit: +* - Restore LVI/HVI configuration - call CyPmHviLviRestore() +* - CyPmHibSlpSaveRestore() function is called +* - Restores ILO power down mode state and enable it +* - Restores state of 1 kHz and 100 kHz ILO and disable them +* - Restores sleep regulator settings +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmHibRestore(void) +{ + /* Restore LVI/HVI configuration */ + CyPmHviLviRestore(); + + /* Restore the same configuration for Hibernate and Sleep modes */ + CyPmHibSlpRestore(); + + /* Restore 1kHz ILO enable state */ + if(CY_PM_ENABLED == cyPmBackup.ilo1kEnable) + { + /* Enable 1kHz ILO */ + CyILO_Start1K(); + } + + /* Restore 100kHz ILO enable state */ + if(CY_PM_ENABLED == cyPmBackup.ilo100kEnable) + { + /* Enable 100kHz ILO */ + CyILO_Start100K(); + } + + /* Restore ILO power mode */ + (void) CyILO_SetPowerMode(cyPmBackup.iloPowerMode); + + + if(CY_PM_DISABLED == cyPmBackup.slpTrBypass) + { + /* Enable the sleep regulator */ + CY_PM_PWRSYS_SLP_TR_REG &= ((uint8)(~CY_PM_PWRSYS_SLP_TR_BYPASS)); + } + + + /*************************************************************************** + * Restore power mode wakeup trim registers + ***************************************************************************/ + CY_PM_PWRSYS_WAKE_TR0_REG = cyPmBackup.wakeupTrim0; + CY_PM_PWRSYS_WAKE_TR1_REG = cyPmBackup.wakeupTrim1; +} + + +/******************************************************************************* +* Function Name: CyPmCtwSetInterval +******************************************************************************** +* +* Summary: +* Performs CTW configuration: +* - Disables CTW interrupt +* - Enables 1 kHz ILO +* - Sets new CTW interval +* +* Parameters: +* ctwInterval: the CTW interval to be set. +* +* Return: +* None +* +* Side Effects: +* Enables ILO 1 KHz clock and leaves it enabled. +* +*******************************************************************************/ +void CyPmCtwSetInterval(uint8 ctwInterval) +{ + /* Disable CTW interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_IE)); + + /* Enable 1kHz ILO (required for CTW operation) */ + CyILO_Start1K(); + + /* Interval could be set only while CTW is disabled */ + if(0u != (CY_PM_TW_CFG2_REG & CY_PM_CTW_EN)) + { + /* Set CTW interval if needed */ + if(CY_PM_TW_CFG1_REG != ctwInterval) + { + /* Disable the CTW, set new CTW interval and enable it again */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_EN)); + CY_PM_TW_CFG1_REG = ctwInterval; + CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + } /* Required interval is already set */ + } + else + { + /* Set CTW interval if needed */ + if(CY_PM_TW_CFG1_REG != ctwInterval) + { + /* Set the new CTW interval. Could be changed if CTW is disabled */ + CY_PM_TW_CFG1_REG = ctwInterval; + } /* Required interval is already set */ + + /* Enable the CTW */ + CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + } +} + + +/******************************************************************************* +* Function Name: CyPmOppsSet +******************************************************************************** +* +* Summary: +* Performs 1PPS configuration: +* - Starts 32 KHz XTAL +* - Disables 1PPS interupts +* - Enables 1PPS +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPmOppsSet(void) +{ + /* Enable 32kHz XTAL if needed */ + if(0u == (CY_PM_SLOWCLK_X32_CR_REG & CY_PM_X32_CR_X32EN)) + { + /* Enable 32kHz XTAL */ + CyXTAL_32KHZ_Start(); + } + + /* Disable 1PPS interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_1PPS_IE)); + + /* Enable 1PPS operation */ + CY_PM_TW_CFG2_REG |= CY_PM_1PPS_EN; +} + + +/******************************************************************************* +* Function Name: CyPmFtwSetInterval +******************************************************************************** +* +* Summary: +* Performs FTW configuration: +* - Disables FTW interrupt +* - Enables 100 kHz ILO +* - Sets new FTW interval. +* +* Parameters: +* ftwInterval - FTW counter interval. +* +* Return: +* None +* +* Side Effects: +* Enables ILO 100 KHz clock and leaves it enabled. +* +*******************************************************************************/ +void CyPmFtwSetInterval(uint8 ftwInterval) +{ + /* Disable FTW interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_IE)); + + /* Enable 100kHz ILO */ + CyILO_Start100K(); + + /* Iterval could be set only while FTW is disabled */ + if(0u != (CY_PM_TW_CFG2_REG & CY_PM_FTW_EN)) + { + /* Disable FTW, set new FTW interval if needed and enable it again */ + if(CY_PM_TW_CFG0_REG != ftwInterval) + { + /* Disable the CTW, set new CTW interval and enable it again */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_EN)); + CY_PM_TW_CFG0_REG = ftwInterval; + CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + } /* Required interval is already set */ + } + else + { + /* Set new FTW counter interval if needed. FTW is disabled. */ + if(CY_PM_TW_CFG0_REG != ftwInterval) + { + /* Set the new CTW interval. Could be changed if CTW is disabled */ + CY_PM_TW_CFG0_REG = ftwInterval; + } /* Required interval is already set */ + + /* Enable the FTW */ + CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + } +} + + +/******************************************************************************* +* Function Name: CyPmHibSlpSaveSet +******************************************************************************** +* +* Summary: +* This API is used for preparing device for Sleep and Hibernate low power +* modes entry: +* - Saves COMP, VIDAC, DSM and SAR routing connections (PSoC 5) +* - Saves SC/CT routing connections (PSoC 3/5/5LP) +* - Disables Serial Wire Viewer (SWV) (PSoC 3) +* - Save boost reference selection and set it to internal +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHibSlpSaveSet(void) +{ + /* Save SC/CT routing registers */ + cyPmBackup.scctData[0u] = CY_GET_REG8(CYREG_SC0_SW0 ); + cyPmBackup.scctData[1u] = CY_GET_REG8(CYREG_SC0_SW2 ); + cyPmBackup.scctData[2u] = CY_GET_REG8(CYREG_SC0_SW3 ); + cyPmBackup.scctData[3u] = CY_GET_REG8(CYREG_SC0_SW4 ); + cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); + cyPmBackup.scctData[5u] = CY_GET_REG8(CYREG_SC0_SW8 ); + cyPmBackup.scctData[6u] = CY_GET_REG8(CYREG_SC0_SW10); + + cyPmBackup.scctData[7u] = CY_GET_REG8(CYREG_SC1_SW0 ); + cyPmBackup.scctData[8u] = CY_GET_REG8(CYREG_SC1_SW2 ); + cyPmBackup.scctData[9u] = CY_GET_REG8(CYREG_SC1_SW3 ); + cyPmBackup.scctData[10u] = CY_GET_REG8(CYREG_SC1_SW4 ); + cyPmBackup.scctData[11u] = CY_GET_REG8(CYREG_SC1_SW6 ); + cyPmBackup.scctData[12u] = CY_GET_REG8(CYREG_SC1_SW8 ); + cyPmBackup.scctData[13u] = CY_GET_REG8(CYREG_SC1_SW10); + + cyPmBackup.scctData[14u] = CY_GET_REG8(CYREG_SC2_SW0 ); + cyPmBackup.scctData[15u] = CY_GET_REG8(CYREG_SC2_SW2 ); + cyPmBackup.scctData[16u] = CY_GET_REG8(CYREG_SC2_SW3 ); + cyPmBackup.scctData[17u] = CY_GET_REG8(CYREG_SC2_SW4 ); + cyPmBackup.scctData[18u] = CY_GET_REG8(CYREG_SC2_SW6 ); + cyPmBackup.scctData[19u] = CY_GET_REG8(CYREG_SC2_SW8 ); + cyPmBackup.scctData[20u] = CY_GET_REG8(CYREG_SC2_SW10); + + cyPmBackup.scctData[21u] = CY_GET_REG8(CYREG_SC3_SW0 ); + cyPmBackup.scctData[22u] = CY_GET_REG8(CYREG_SC3_SW2 ); + cyPmBackup.scctData[23u] = CY_GET_REG8(CYREG_SC3_SW3 ); + cyPmBackup.scctData[24u] = CY_GET_REG8(CYREG_SC3_SW4 ); + cyPmBackup.scctData[25u] = CY_GET_REG8(CYREG_SC3_SW6 ); + cyPmBackup.scctData[26u] = CY_GET_REG8(CYREG_SC3_SW8 ); + cyPmBackup.scctData[27u] = CY_GET_REG8(CYREG_SC3_SW10); + + CY_SET_REG8(CYREG_SC0_SW0 , 0u); + CY_SET_REG8(CYREG_SC0_SW2 , 0u); + CY_SET_REG8(CYREG_SC0_SW3 , 0u); + CY_SET_REG8(CYREG_SC0_SW4 , 0u); + CY_SET_REG8(CYREG_SC0_SW6 , 0u); + CY_SET_REG8(CYREG_SC0_SW8 , 0u); + CY_SET_REG8(CYREG_SC0_SW10, 0u); + + CY_SET_REG8(CYREG_SC1_SW0 , 0u); + CY_SET_REG8(CYREG_SC1_SW2 , 0u); + CY_SET_REG8(CYREG_SC1_SW3 , 0u); + CY_SET_REG8(CYREG_SC1_SW4 , 0u); + CY_SET_REG8(CYREG_SC1_SW6 , 0u); + CY_SET_REG8(CYREG_SC1_SW8 , 0u); + CY_SET_REG8(CYREG_SC1_SW10, 0u); + + CY_SET_REG8(CYREG_SC2_SW0 , 0u); + CY_SET_REG8(CYREG_SC2_SW2 , 0u); + CY_SET_REG8(CYREG_SC2_SW3 , 0u); + CY_SET_REG8(CYREG_SC2_SW4 , 0u); + CY_SET_REG8(CYREG_SC2_SW6 , 0u); + CY_SET_REG8(CYREG_SC2_SW8 , 0u); + CY_SET_REG8(CYREG_SC2_SW10, 0u); + + CY_SET_REG8(CYREG_SC3_SW0 , 0u); + CY_SET_REG8(CYREG_SC3_SW2 , 0u); + CY_SET_REG8(CYREG_SC3_SW3 , 0u); + CY_SET_REG8(CYREG_SC3_SW4 , 0u); + CY_SET_REG8(CYREG_SC3_SW6 , 0u); + CY_SET_REG8(CYREG_SC3_SW8 , 0u); + CY_SET_REG8(CYREG_SC3_SW10, 0u); + + + #if(CY_PSOC3) + + /* Serial Wire Viewer (SWV) workaround */ + + /* Disable SWV before entering low power mode */ + if(0u != (CY_PM_MLOGIC_DBG_REG & CY_PM_MLOGIC_DBG_SWV_CLK_EN)) + { + /* Save SWV clock enabled state */ + cyPmBackup.swvClkEnabled = CY_PM_ENABLED; + + /* Save current ports drive mode settings */ + cyPmBackup.prt1Dm = CY_PM_PRT1_PC3_REG & ((uint8)(~CY_PM_PRT1_PC3_DM_MASK)); + + /* Set drive mode to strong output */ + CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | + CY_PM_PRT1_PC3_DM_STRONG; + + /* Disable SWV clocks */ + CY_PM_MLOGIC_DBG_REG &= ((uint8)(~CY_PM_MLOGIC_DBG_SWV_CLK_EN)); + } + else + { + /* Save SWV clock disabled state */ + cyPmBackup.swvClkEnabled = CY_PM_DISABLED; + } + + #endif /* (CY_PSOC3) */ + + + /*************************************************************************** + * Save boost reference and set it to boost's internal by clearing the bit. + * External (chip bandgap) reference is not available in Sleep and Hibernate. + ***************************************************************************/ + if(0u != (CY_PM_BOOST_CR2_REG & CY_PM_BOOST_CR2_EREFSEL_EXT)) + { + cyPmBackup.boostRefExt = CY_PM_ENABLED; + CY_PM_BOOST_CR2_REG &= ((uint8)(~CY_PM_BOOST_CR2_EREFSEL_EXT)); + } + else + { + cyPmBackup.boostRefExt = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmHibSlpRestore +******************************************************************************** +* +* Summary: +* This API is used for restoring device configurations after wakeup from Sleep +* and Hibernate low power modes: +* - Restores SC/CT routing connections +* - Restores enable state of Serial Wire Viewer (SWV) (PSoC 3) +* - Restore boost reference selection +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmHibSlpRestore(void) +{ + /* Restore SC/CT routing registers */ + CY_SET_REG8(CYREG_SC0_SW0 , cyPmBackup.scctData[0u] ); + CY_SET_REG8(CYREG_SC0_SW2 , cyPmBackup.scctData[1u] ); + CY_SET_REG8(CYREG_SC0_SW3 , cyPmBackup.scctData[2u] ); + CY_SET_REG8(CYREG_SC0_SW4 , cyPmBackup.scctData[3u] ); + CY_SET_REG8(CYREG_SC0_SW6 , cyPmBackup.scctData[4u] ); + CY_SET_REG8(CYREG_SC0_SW8 , cyPmBackup.scctData[5u] ); + CY_SET_REG8(CYREG_SC0_SW10, cyPmBackup.scctData[6u] ); + + CY_SET_REG8(CYREG_SC1_SW0 , cyPmBackup.scctData[7u] ); + CY_SET_REG8(CYREG_SC1_SW2 , cyPmBackup.scctData[8u] ); + CY_SET_REG8(CYREG_SC1_SW3 , cyPmBackup.scctData[9u] ); + CY_SET_REG8(CYREG_SC1_SW4 , cyPmBackup.scctData[10u]); + CY_SET_REG8(CYREG_SC1_SW6 , cyPmBackup.scctData[11u]); + CY_SET_REG8(CYREG_SC1_SW8 , cyPmBackup.scctData[12u]); + CY_SET_REG8(CYREG_SC1_SW10, cyPmBackup.scctData[13u]); + + CY_SET_REG8(CYREG_SC2_SW0 , cyPmBackup.scctData[14u]); + CY_SET_REG8(CYREG_SC2_SW2 , cyPmBackup.scctData[15u]); + CY_SET_REG8(CYREG_SC2_SW3 , cyPmBackup.scctData[16u]); + CY_SET_REG8(CYREG_SC2_SW4 , cyPmBackup.scctData[17u]); + CY_SET_REG8(CYREG_SC2_SW6 , cyPmBackup.scctData[18u]); + CY_SET_REG8(CYREG_SC2_SW8 , cyPmBackup.scctData[19u]); + CY_SET_REG8(CYREG_SC2_SW10, cyPmBackup.scctData[20u]); + + CY_SET_REG8(CYREG_SC3_SW0 , cyPmBackup.scctData[21u]); + CY_SET_REG8(CYREG_SC3_SW2 , cyPmBackup.scctData[22u]); + CY_SET_REG8(CYREG_SC3_SW3 , cyPmBackup.scctData[23u]); + CY_SET_REG8(CYREG_SC3_SW4 , cyPmBackup.scctData[24u]); + CY_SET_REG8(CYREG_SC3_SW6 , cyPmBackup.scctData[25u]); + CY_SET_REG8(CYREG_SC3_SW8 , cyPmBackup.scctData[26u]); + CY_SET_REG8(CYREG_SC3_SW10, cyPmBackup.scctData[27u]); + + + #if(CY_PSOC3) + + /* Serial Wire Viewer (SWV) workaround */ + if(CY_PM_ENABLED == cyPmBackup.swvClkEnabled) + { + /* Restore ports drive mode */ + CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | + cyPmBackup.prt1Dm; + + /* Enable SWV clocks */ + CY_PM_MLOGIC_DBG_REG |= CY_PM_MLOGIC_DBG_SWV_CLK_EN; + } + + #endif /* (CY_PSOC3) */ + + + /* Restore boost reference */ + if(CY_PM_ENABLED == cyPmBackup.boostRefExt) + { + CY_PM_BOOST_CR2_REG |= CY_PM_BOOST_CR2_EREFSEL_EXT; + } +} + + +/******************************************************************************* +* Function Name: CyPmHviLviSaveDisable +******************************************************************************** +* +* Summary: +* Saves analog and digital LVI and HVI configuration and disables them. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHviLviSaveDisable(void) +{ + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVID_EN)) + { + cyPmBackup.lvidEn = CY_PM_ENABLED; + cyPmBackup.lvidTrip = CY_VD_LVI_TRIP_REG & CY_VD_LVI_TRIP_LVID_MASK; + + /* Save state of reset device at a specified Vddd threshold */ + cyPmBackup.lvidRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESD_EN)) ? \ + CY_PM_DISABLED : CY_PM_ENABLED; + + CyVdLvDigitDisable(); + } + else + { + cyPmBackup.lvidEn = CY_PM_DISABLED; + } + + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVIA_EN)) + { + cyPmBackup.lviaEn = CY_PM_ENABLED; + cyPmBackup.lviaTrip = CY_VD_LVI_TRIP_REG >> 4u; + + /* Save state of reset device at a specified Vdda threshold */ + cyPmBackup.lviaRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESA_EN)) ? \ + CY_PM_DISABLED : CY_PM_ENABLED; + + CyVdLvAnalogDisable(); + } + else + { + cyPmBackup.lviaEn = CY_PM_DISABLED; + } + + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_HVIA_EN)) + { + cyPmBackup.hviaEn = CY_PM_ENABLED; + CyVdHvAnalogDisable(); + } + else + { + cyPmBackup.hviaEn = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmHviLviRestore +******************************************************************************** +* +* Summary: +* Restores analog and digital LVI and HVI configuration. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHviLviRestore(void) +{ + /* Restore LVI/HVI configuration */ + if(CY_PM_ENABLED == cyPmBackup.lvidEn) + { + CyVdLvDigitEnable(cyPmBackup.lvidRst, cyPmBackup.lvidTrip); + } + + if(CY_PM_ENABLED == cyPmBackup.lviaEn) + { + CyVdLvAnalogEnable(cyPmBackup.lviaRst, cyPmBackup.lviaTrip); + } + + if(CY_PM_ENABLED == cyPmBackup.hviaEn) + { + CyVdHvAnalogEnable(); + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.h new file mode 100755 index 0000000..bfa2214 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyPm.h @@ -0,0 +1,635 @@ +/******************************************************************************* +* File Name: cyPm.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the power management API. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYPM_H) +#define CY_BOOT_CYPM_H + +#include "cytypes.h" /* Register access API */ +#include "cydevice_trm.h" /* Registers addresses */ +#include "cyfitter.h" /* Comparators placement */ +#include "CyLib.h" /* Clock API */ +#include "CyFlash.h" /* Flash API - CyFlash_SetWaitCycles() */ + + +/*************************************** +* Function Prototypes +***************************************/ +void CyPmSaveClocks(void) ; +void CyPmRestoreClocks(void) ; +void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) ; +void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) ; +void CyPmHibernate(void) ; + +uint8 CyPmReadStatus(uint8 mask) ; + +/* Internal APIs and are not meant to be called directly by the user */ +void CyPmCtwSetInterval(uint8 ctwInterval) ; +void CyPmFtwSetInterval(uint8 ftwInterval) ; +void CyPmOppsSet(void) ; + + +/*************************************** +* API Constants +***************************************/ + +#define PM_SLEEP_SRC_NONE (0x0000u) +#define PM_SLEEP_TIME_NONE (0x00u) +#define PM_ALT_ACT_SRC_NONE (0x0000u) +#define PM_ALT_ACT_TIME_NONE (0x0000u) + +#if(CY_PSOC3) + + /* Wake up time for the Sleep mode */ + #define PM_SLEEP_TIME_ONE_PPS (0x01u) + #define PM_SLEEP_TIME_CTW_2MS (0x02u) + #define PM_SLEEP_TIME_CTW_4MS (0x03u) + #define PM_SLEEP_TIME_CTW_8MS (0x04u) + #define PM_SLEEP_TIME_CTW_16MS (0x05u) + #define PM_SLEEP_TIME_CTW_32MS (0x06u) + #define PM_SLEEP_TIME_CTW_64MS (0x07u) + #define PM_SLEEP_TIME_CTW_128MS (0x08u) + #define PM_SLEEP_TIME_CTW_256MS (0x09u) + #define PM_SLEEP_TIME_CTW_512MS (0x0Au) + #define PM_SLEEP_TIME_CTW_1024MS (0x0Bu) + #define PM_SLEEP_TIME_CTW_2048MS (0x0Cu) + #define PM_SLEEP_TIME_CTW_4096MS (0x0Du) + + /* Difference between parameter's value and register's one */ + #define CY_PM_FTW_INTERVAL_SHIFT (0x000Eu) + + /* Wake up time for the Alternate Active mode */ + #define PM_ALT_ACT_TIME_ONE_PPS (0x0001u) + #define PM_ALT_ACT_TIME_CTW_2MS (0x0002u) + #define PM_ALT_ACT_TIME_CTW_4MS (0x0003u) + #define PM_ALT_ACT_TIME_CTW_8MS (0x0004u) + #define PM_ALT_ACT_TIME_CTW_16MS (0x0005u) + #define PM_ALT_ACT_TIME_CTW_32MS (0x0006u) + #define PM_ALT_ACT_TIME_CTW_64MS (0x0007u) + #define PM_ALT_ACT_TIME_CTW_128MS (0x0008u) + #define PM_ALT_ACT_TIME_CTW_256MS (0x0009u) + #define PM_ALT_ACT_TIME_CTW_512MS (0x000Au) + #define PM_ALT_ACT_TIME_CTW_1024MS (0x000Bu) + #define PM_ALT_ACT_TIME_CTW_2048MS (0x000Cu) + #define PM_ALT_ACT_TIME_CTW_4096MS (0x000Du) + #define PM_ALT_ACT_TIME_FTW(x) ((x) + CY_PM_FTW_INTERVAL_SHIFT) + +#endif /* (CY_PSOC3) */ + + +/* Wake up sources for the Sleep mode */ +#define PM_SLEEP_SRC_COMPARATOR0 (0x0001u) +#define PM_SLEEP_SRC_COMPARATOR1 (0x0002u) +#define PM_SLEEP_SRC_COMPARATOR2 (0x0004u) +#define PM_SLEEP_SRC_COMPARATOR3 (0x0008u) +#define PM_SLEEP_SRC_PICU (0x0040u) +#define PM_SLEEP_SRC_I2C (0x0080u) +#define PM_SLEEP_SRC_BOOSTCONVERTER (0x0200u) +#define PM_SLEEP_SRC_VD (0x0400u) +#define PM_SLEEP_SRC_CTW (0x0800u) +#define PM_SLEEP_SRC_ONE_PPS (0x0800u) +#define PM_SLEEP_SRC_LCD (0x1000u) + +/* Wake up sources for the Alternate Active mode */ +#define PM_ALT_ACT_SRC_COMPARATOR0 (0x0001u) +#define PM_ALT_ACT_SRC_COMPARATOR1 (0x0002u) +#define PM_ALT_ACT_SRC_COMPARATOR2 (0x0004u) +#define PM_ALT_ACT_SRC_COMPARATOR3 (0x0008u) +#define PM_ALT_ACT_SRC_INTERRUPT (0x0010u) +#define PM_ALT_ACT_SRC_PICU (0x0040u) +#define PM_ALT_ACT_SRC_I2C (0x0080u) +#define PM_ALT_ACT_SRC_BOOSTCONVERTER (0x0200u) +#define PM_ALT_ACT_SRC_FTW (0x0400u) +#define PM_ALT_ACT_SRC_VD (0x0400u) +#define PM_ALT_ACT_SRC_CTW (0x0800u) +#define PM_ALT_ACT_SRC_ONE_PPS (0x0800u) +#define PM_ALT_ACT_SRC_LCD (0x1000u) + + +#define CY_PM_WAKEUP_PICU (0x04u) +#define CY_PM_IMO_NO_WAIT_TO_SETTLE (0x00u) +#define CY_PM_POWERDOWN_MODE (0x01u) +#define CY_PM_HIGHPOWER_MODE (0x00u) /* Deprecated */ +#define CY_PM_ENABLED (0x01u) +#define CY_PM_DISABLED (0x00u) + +/* No wait for PLL to stabilize, used in CyPLL_OUT_Start() */ +#define CY_PM_PLL_OUT_NO_WAIT (0u) + +/* No wait for MHZ XTAL to stabilize, used in CyXTAL_Start() */ +#define CY_PM_XTAL_MHZ_NO_WAIT (0u) + +#define CY_PM_WAIT_200_US (200u) +#define CY_PM_WAIT_250_US (250u) +#define CY_PM_WAIT_20_US (20u) + +#define CY_PM_FREQ_3MHZ (3u) +#define CY_PM_FREQ_12MHZ (12u) +#define CY_PM_FREQ_48MHZ (48u) + + +#define CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US (5u) + + +/* Delay line bandgap current settling time starting from a wakeup event */ +#define CY_PM_CLK_DELAY_BANDGAP_SETTLE_US (50u) + +/* Delay line internal bias settling */ +#define CY_PM_CLK_DELAY_BIAS_SETTLE_US (25u) + + +/* Max flash wait cycles for each device */ +#if(CY_PSOC3) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (45u) +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (55u) +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* This marco is used to obtain the CPU frequency in MHz. It should be only used +* when the clock distribution system is prepared for the low power mode entry. +* This macro is silicon dependent as PSoC 5 devices have no CPU clock divider +* and PSoC 3 devices have different placement of the CPU clock divider register +* bitfield. +*******************************************************************************/ +#if(CY_PSOC3) + #define CY_PM_GET_CPU_FREQ_MHZ \ + ((uint32)(cyPmImoFreqReg2Mhz[CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK]) / \ + ((uint8)(((CY_PM_CLKDIST_MSTR1_REG & CY_PM_CLKDIST_CPU_DIV_MASK) >> 4u) + 1u))) +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + + /* The CPU clock is directly derived from bus clock */ + #define CY_PM_GET_CPU_FREQ_MHZ (cyPmImoFreqReg2Mhz[CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK]) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* The low power mode entry is different for PSoC 3 and PSoC 5 devices. The low +* power modes in PSoC 5 devices are invoked by Wait-For-Interrupt (WFI) +* instruction. The ARM compilers has __wfi() instristic that inserts a WFI +* instruction into the instruction stream generated by the compiler. The GCC +* compiler has to execute assembly language instruction. +*******************************************************************************/ +#if(CY_PSOC5) + + #if defined(__ARMCC_VERSION) /* Instristic for Keil compilers */ + #define CY_PM_WFI __wfi() + #else /* ASM for GCC & IAR */ + #define CY_PM_WFI asm volatile ("WFI \n") + #endif /* (__ARMCC_VERSION) */ + +#else + + #define CY_PM_WFI CY_NOP + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Macro for the wakeupTime argument of the CyPmAltAct() function. The FTW should +* be programmed manually for non PSoC 3 devices. +*******************************************************************************/ +#if(CY_PSOC3) + + #define PM_ALT_ACT_FTW_INTERVAL(x) ((uint8)((x) - CY_PM_FTW_INTERVAL_SHIFT)) + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* This macro defines the IMO frequency that will be set by CyPmSaveClocks() +* function based on Enable Fast IMO during Startup option from the DWR file. +* The CyPmSleep()/CyPmHibernate() will set IMO 12 MHz just before entering +* low power mode and restore IMO back to the value set by CyPmSaveClocks() +* immediately on wakeup. +*******************************************************************************/ + +/* Enable Fast IMO during Startup - enabled */ +#if(1u == CYDEV_CONFIGURATION_IMOENABLED) + + /* IMO will be configured to 48 MHz */ + #define CY_PM_IMO_FREQ_LPM (CY_IMO_FREQ_48MHZ) + +#else + + /* IMO will be configured to 12 MHz */ + #define CY_PM_IMO_FREQ_LPM (CY_IMO_FREQ_12MHZ) + +#endif /* (1u == CYDEV_CONFIGURATION_IMOENABLED) */ + + +typedef struct cyPmClockBackupStruct +{ + /* CyPmSaveClocks()/CyPmRestoreClocks() */ + uint8 enClkA; /* Analog clocks enable */ + uint8 enClkD; /* Digital clocks enable */ + uint8 masterClkSrc; /* The Master clock source */ + uint8 imoFreq; /* IMO frequency (reg's value) */ + uint8 imoUsbClk; /* IMO USB CLK (reg's value) */ + uint8 flashWaitCycles; /* Flash wait cycles */ + uint8 imoEnable; /* IMO enable in Active mode */ + uint8 imoClkSrc; /* The IMO output */ + uint8 clkImoSrc; + uint8 imo2x; /* IMO doubler enable state */ + uint8 clkSyncDiv; /* Master clk divider */ + uint16 clkBusDiv; /* The clk_bus divider */ + uint8 pllEnableState; /* PLL enable state */ + uint8 xmhzEnableState; /* XM HZ enable state */ + uint8 clkDistDelay; /* Delay for clk_bus and ACLKs */ + +} CY_PM_CLOCK_BACKUP_STRUCT; + + +typedef struct cyPmBackupStruct +{ + uint8 iloPowerMode; /* ILO power mode */ + uint8 ilo1kEnable; /* ILO 1K enable state */ + uint8 ilo100kEnable; /* ILO 100K enable state */ + + uint8 slpTrBypass; /* Sleep Trim Bypass */ + + #if(CY_PSOC3) + + uint8 swvClkEnabled; /* SWV clock enable state */ + uint8 prt1Dm; /* Ports drive mode configuration */ + uint8 hardwareBuzz; + + #endif /* (CY_PSOC3) */ + + uint8 wakeupCfg0; /* Wake up configuration 0 */ + uint8 wakeupCfg1; /* Wake up configuration 1 */ + uint8 wakeupCfg2; /* Wake up configuration 2 */ + + uint8 wakeupTrim0; + uint8 wakeupTrim1; + + uint8 scctData[28u]; /* SC/CT routing registers */ + + /* CyPmHviLviSaveDisable()/CyPmHviLviRestore() */ + uint8 lvidEn; + uint8 lvidTrip; + uint8 lviaEn; + uint8 lviaTrip; + uint8 hviaEn; + uint8 lvidRst; + uint8 lviaRst; + + uint8 imoActFreq; /* Last moment IMO change */ + uint8 imoActFreq12Mhz; /* 12 MHz or not */ + + uint8 boostRefExt; /* Boost reference selection */ + +} CY_PM_BACKUP_STRUCT; + + +/*************************************** +* Registers +***************************************/ + +/* Power Mode Wakeup Trim Register 1 */ +#define CY_PM_PWRSYS_WAKE_TR1_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR1 ) +#define CY_PM_PWRSYS_WAKE_TR1_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR1 ) + +/* Master clock Divider Value Register */ +#define CY_PM_CLKDIST_MSTR0_REG (* (reg8 *) CYREG_CLKDIST_MSTR0 ) +#define CY_PM_CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0 ) + +/* Master Clock Configuration Register/CPU Divider Value */ +#define CY_PM_CLKDIST_MSTR1_REG (* (reg8 *) CYREG_CLKDIST_MSTR1 ) +#define CY_PM_CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1 ) + +/* Clock distribution configuration Register */ +#define CY_PM_CLKDIST_CR_REG (* (reg8 *) CYREG_CLKDIST_CR ) +#define CY_PM_CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR ) + +/* CLK_BUS LSB Divider Value Register */ +#define CY_PM_CLK_BUS_LSB_DIV_REG (* (reg8 *) CYREG_CLKDIST_BCFG0 ) +#define CY_PM_CLK_BUS_LSB_DIV_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0 ) + +/* CLK_BUS MSB Divider Value Register */ +#define CY_PM_CLK_BUS_MSB_DIV_REG (* (reg8 *) CYREG_CLKDIST_BCFG1 ) +#define CY_PM_CLK_BUS_MSB_DIV_PTR ( (reg8 *) CYREG_CLKDIST_BCFG1 ) + +/* CLK_BUS Configuration Register */ +#define CLK_BUS_CFG_REG (* (reg8 *) CYREG_CLKDIST_BCFG2 ) +#define CLK_BUS_CFG_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2 ) + +/* Power Mode Control/Status Register */ +#define CY_PM_MODE_CSR_REG (* (reg8 *) CYREG_PM_MODE_CSR ) +#define CY_PM_MODE_CSR_PTR ( (reg8 *) CYREG_PM_MODE_CSR ) + +/* Power System Control Register 1 */ +#define CY_PM_PWRSYS_CR1_REG (* (reg8 *) CYREG_PWRSYS_CR1 ) +#define CY_PM_PWRSYS_CR1_PTR ( (reg8 *) CYREG_PWRSYS_CR1 ) + +/* Power System Control Register 0 */ +#define CY_PM_PWRSYS_CR0_REG (* (reg8 *) CYREG_PWRSYS_CR0 ) +#define CY_PM_PWRSYS_CR0_PTR ( (reg8 *) CYREG_PWRSYS_CR0 ) + +/* Internal Low-speed Oscillator Control Register 0 */ +#define CY_PM_SLOWCLK_ILO_CR0_REG (* (reg8 *) CYREG_SLOWCLK_ILO_CR0 ) +#define CY_PM_SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0 ) + +/* External 32kHz Crystal Oscillator Control Register */ +#define CY_PM_SLOWCLK_X32_CR_REG (* (reg8 *) CYREG_SLOWCLK_X32_CR ) +#define CY_PM_SLOWCLK_X32_CR_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CR ) + +#if(CY_PSOC3) + + /* MLOGIC Debug Register */ + #define CY_PM_MLOGIC_DBG_REG (* (reg8 *) CYREG_MLOGIC_DEBUG ) + #define CY_PM_MLOGIC_DBG_PTR ( (reg8 *) CYREG_MLOGIC_DEBUG ) + + /* Port Pin Configuration Register */ + #define CY_PM_PRT1_PC3_REG (* (reg8 *) CYREG_PRT1_PC3 ) + #define CY_PM_PRT1_PC3_PTR ( (reg8 *) CYREG_PRT1_PC3 ) + +#endif /* (CY_PSOC3) */ + + +/* Sleep Regulator Trim Register */ +#define CY_PM_PWRSYS_SLP_TR_REG (* (reg8 *) CYREG_PWRSYS_SLP_TR ) +#define CY_PM_PWRSYS_SLP_TR_PTR ( (reg8 *) CYREG_PWRSYS_SLP_TR ) + + +/* Reset System Control Register */ +#define CY_PM_RESET_CR1_REG (* (reg8 *) CYREG_RESET_CR1 ) +#define CY_PM_RESET_CR1_PTR ( (reg8 *) CYREG_RESET_CR1 ) + +/* Power Mode Wakeup Trim Register 0 */ +#define CY_PM_PWRSYS_WAKE_TR0_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR0 ) +#define CY_PM_PWRSYS_WAKE_TR0_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR0 ) + +#if(CY_PSOC3) + + /* Power Mode Wakeup Trim Register 2 */ + #define CY_PM_PWRSYS_WAKE_TR2_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR2 ) + #define CY_PM_PWRSYS_WAKE_TR2_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR2 ) + +#endif /* (CY_PSOC3) */ + +/* Power Manager Interrupt Status Register */ +#define CY_PM_INT_SR_REG (* (reg8 *) CYREG_PM_INT_SR ) +#define CY_PM_INT_SR_PTR ( (reg8 *) CYREG_PM_INT_SR ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_PM_ACT_CFG0_REG (* (reg8 *) CYREG_PM_ACT_CFG0 ) +#define CY_PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0 ) + +/* Active Power Mode Configuration Register 1 */ +#define CY_PM_ACT_CFG1_REG (* (reg8 *) CYREG_PM_ACT_CFG1 ) +#define CY_PM_ACT_CFG1_PTR ( (reg8 *) CYREG_PM_ACT_CFG1 ) + +/* Active Power Mode Configuration Register 2 */ +#define CY_PM_ACT_CFG2_REG (* (reg8 *) CYREG_PM_ACT_CFG2 ) +#define CY_PM_ACT_CFG2_PTR ( (reg8 *) CYREG_PM_ACT_CFG2 ) + +/* Boost Control 1 */ +#define CY_PM_BOOST_CR1_REG (* (reg8 *) CYREG_BOOST_CR1 ) +#define CY_PM_BOOST_CR1_PTR ( (reg8 *) CYREG_BOOST_CR1 ) + +/* Timewheel Configuration Register 0 */ +#define CY_PM_TW_CFG0_REG (* (reg8 *) CYREG_PM_TW_CFG0 ) +#define CY_PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0 ) + +/* Timewheel Configuration Register 1 */ +#define CY_PM_TW_CFG1_REG (* (reg8 *) CYREG_PM_TW_CFG1 ) +#define CY_PM_TW_CFG1_PTR ( (reg8 *) CYREG_PM_TW_CFG1 ) + +/* Timewheel Configuration Register 2 */ +#define CY_PM_TW_CFG2_REG (* (reg8 *) CYREG_PM_TW_CFG2 ) +#define CY_PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2 ) + +/* PLL Status Register */ +#define CY_PM_FASTCLK_PLL_SR_REG (*(reg8 *) CYREG_FASTCLK_PLL_SR ) +#define CY_PM_FASTCLK_PLL_SR_PTR ( (reg8 *) CYREG_FASTCLK_PLL_SR ) + +/* Internal Main Oscillator Control Register */ +#define CY_PM_FASTCLK_IMO_CR_REG (* (reg8 *) CYREG_FASTCLK_IMO_CR ) +#define CY_PM_FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR ) + +/* PLL Configuration Register */ +#define CY_PM_FASTCLK_PLL_CFG0_REG (* (reg8 *) CYREG_FASTCLK_PLL_CFG0 ) +#define CY_PM_FASTCLK_PLL_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG0 ) + +/* External 4-33 MHz Crystal Oscillator Status and Control Register */ +#define CY_PM_FASTCLK_XMHZ_CSR_REG (* (reg8 *) CYREG_FASTCLK_XMHZ_CSR ) +#define CY_PM_FASTCLK_XMHZ_CSR_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CSR ) + +/* Delay block Configuration Register */ +#define CY_PM_CLKDIST_DELAY_REG (* (reg8 *) CYREG_CLKDIST_DLY1 ) +#define CY_PM_CLKDIST_DELAY_PTR ( (reg8 *) CYREG_CLKDIST_DLY1 ) + + +#if(CY_PSOC3) + + /* Cache Control Register */ + #define CY_PM_CACHE_CR_REG (* (reg8 *) CYREG_CACHE_CR ) + #define CY_PM_CACHE_CR_PTR ( (reg8 *) CYREG_CACHE_CR ) + +#else /* Device is PSoC 5 */ + + /* Cache Control Register */ + #define CY_PM_CACHE_CR_REG (* (reg8 *) CYREG_CACHE_CC_CTL ) + #define CY_PM_CACHE_CR_PTR ( (reg8 *) CYREG_CACHE_CC_CTL ) + +#endif /* (CY_PSOC3) */ + + +/* Power Mode Wakeup Mask Configuration Register 0 */ +#define CY_PM_WAKEUP_CFG0_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG0 ) +#define CY_PM_WAKEUP_CFG0_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG0 ) + +/* Power Mode Wakeup Mask Configuration Register 1 */ +#define CY_PM_WAKEUP_CFG1_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG1 ) +#define CY_PM_WAKEUP_CFG1_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG1 ) + +/* Power Mode Wakeup Mask Configuration Register 2 */ +#define CY_PM_WAKEUP_CFG2_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG2 ) +#define CY_PM_WAKEUP_CFG2_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG2 ) + +/* Boost Control 2 */ +#define CY_PM_BOOST_CR2_REG (* (reg8 *) CYREG_BOOST_CR2 ) +#define CY_PM_BOOST_CR2_PTR ( (reg8 *) CYREG_BOOST_CR2 ) + + +/*************************************** +* Register Constants +***************************************/ + +/* Internal Main Oscillator Control Register */ + +#define CY_PM_FASTCLK_IMO_CR_FREQ_MASK (0x07u) /* IMO frequency mask */ +#define CY_PM_FASTCLK_IMO_CR_FREQ_12MHZ (0x00u) /* IMO frequency 12 MHz */ +#define CY_PM_FASTCLK_IMO_CR_F2XON (0x10u) /* IMO doubler enable */ +#define CY_PM_FASTCLK_IMO_CR_USB (0x40u) /* IMO is in USB mode */ + +#define CY_PM_MASTER_CLK_SRC_IMO (0u) +#define CY_PM_MASTER_CLK_SRC_PLL (1u) +#define CY_PM_MASTER_CLK_SRC_XTAL (2u) +#define CY_PM_MASTER_CLK_SRC_DSI (3u) +#define CY_PM_MASTER_CLK_SRC_MASK (3u) + +#define CY_PM_PLL_CFG0_ENABLE (0x01u) /* PLL enable */ +#define CY_PM_PLL_STATUS_LOCK (0x01u) /* PLL Lock Status */ +#define CY_PM_XMHZ_CSR_ENABLE (0x01u) /* Enable X MHz OSC */ +#define CY_PM_XMHZ_CSR_XERR (0x80u) /* High indicates failure */ +#define CY_PM_BOOST_ENABLE (0x08u) /* Boost enable */ +#define CY_PM_ILO_CR0_EN_1K (0x02u) /* Enable 1kHz ILO */ +#define CY_PM_ILO_CR0_EN_100K (0x04u) /* Enable 100kHz ILO */ +#define CY_PM_ILO_CR0_PD_MODE (0x10u) /* Power down mode for ILO*/ +#define CY_PM_X32_CR_X32EN (0x01u) /* Enable 32kHz OSC */ + +#define CY_PM_CTW_IE (0x08u) /* CTW interrupt enable */ +#define CY_PM_CTW_EN (0x04u) /* CTW enable */ +#define CY_PM_FTW_IE (0x02u) /* FTW interrupt enable */ +#define CY_PM_FTW_EN (0x01u) /* FTW enable */ +#define CY_PM_1PPS_EN (0x10u) /* 1PPS enable */ +#define CY_PM_1PPS_IE (0x20u) /* 1PPS interrupt enable */ + + +#define CY_PM_ACT_EN_CLK_A_MASK (0x0Fu) +#define CY_PM_ACT_EN_CLK_D_MASK (0xFFu) + +#define CY_PM_DIV_BY_ONE (0x00u) + +/* Internal Main Oscillator Control Register */ +#define CY_PM_FASTCLK_IMO_CR_XCLKEN (0x20u) + +/* Clock distribution configuration Register */ +#define CY_PM_CLKDIST_IMO_OUT_MASK (0x30u) +#define CY_PM_CLKDIST_IMO_OUT_IMO (0x00u) +#define CY_PM_CLKDIST_IMO2X_SRC (0x40u) + +/* Waiting for the hibernate/sleep regulator to stabilize */ +#define CY_PM_MODE_CSR_PWRUP_PULSE_Q (0x08u) + +#define CY_PM_MODE_CSR_ACTIVE (0x00u) /* Active power mode */ +#define CY_PM_MODE_CSR_ALT_ACT (0x01u) /* Alternate Active power */ +#define CY_PM_MODE_CSR_SLEEP (0x03u) /* Sleep power mode */ +#define CY_PM_MODE_CSR_HIBERNATE (0x04u) /* Hibernate power mode */ +#define CY_PM_MODE_CSR_MASK (0x07u) + +/* I2C regulator backup enable */ +#define CY_PM_PWRSYS_CR1_I2CREG_BACKUP (0x04u) + +/* When set, prepares the system to disable the LDO-A */ +#define CY_PM_PWRSYS_CR1_LDOA_ISO (0x01u) + +/* When set, disables the analog LDO regulator */ +#define CY_PM_PWRSYS_CR1_LDOA_DIS (0x02u) + +#define CY_PM_PWRSYS_WAKE_TR2_VCCD_CLK_DET (0x04u) + +#define CY_PM_FTW_INT (0x01u) /* FTW event has occured */ +#define CY_PM_CTW_INT (0x02u) /* CTW event has occured */ +#define CY_PM_ONEPPS_INT (0x04u) /* 1PPS event has occured */ + +/* Active Power Mode Configuration Register 0 */ +#define CY_PM_ACT_CFG0_IMO (0x10u) /* IMO enable in Active */ + +/* Cache Control Register (same mask for all device revisions) */ +#define CY_PM_CACHE_CR_CYCLES_MASK (0xC0u) + +/* Bus Clock divider to divide-by-one */ +#define CY_PM_BUS_CLK_DIV_BY_ONE (0x00u) + +/* HVI/LVI feature on the external analog and digital supply mask */ +#define CY_PM_RESET_CR1_HVI_LVI_EN_MASK (0x07u) + +/* The high-voltage-interrupt feature on the external analog supply */ +#define CY_PM_RESET_CR1_HVIA_EN (0x04u) + +/* The low-voltage-interrupt feature on the external analog supply */ +#define CY_PM_RESET_CR1_LVIA_EN (0x02u) + +/* The low-voltage-interrupt feature on the external digital supply */ +#define CY_PM_RESET_CR1_LVID_EN (0x01u) + +/* Allows the system to program delays on clk_sync_d */ +#define CY_PM_CLKDIST_DELAY_EN (0x04u) + + +#define CY_PM_WAKEUP_SRC_CMPS_MASK (0x000Fu) + +/* Holdoff mask sleep trim */ +#define CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK (0x1Fu) + +#if(CY_PSOC3) + + /* CPU clock divider mask */ + #define CY_PM_CLKDIST_CPU_DIV_MASK (0xF0u) + + /* Serial Wire View (SWV) clock enable */ + #define CY_PM_MLOGIC_DBG_SWV_CLK_EN (0x04u) + + /* Port drive mode */ + #define CY_PM_PRT1_PC3_DM_MASK (0xf1u) + + /* Mode 6, stong pull-up, strong pull-down */ + #define CY_PM_PRT1_PC3_DM_STRONG (0x0Cu) + + /* When set, enables buzz wakeups */ + #define CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ (0x01u) + +#endif /* (CY_PSOC3) */ + + +/* Disable the sleep regulator and shorts vccd to vpwrsleep */ +#define CY_PM_PWRSYS_SLP_TR_BYPASS (0x10u) + +/* Boost Control 2: Select external precision reference */ +#define CY_PM_BOOST_CR2_EREFSEL_EXT (0x08u) + +#if(CY_PSOC3) + + #define CY_PM_PWRSYS_WAKE_TR0 (0xFFu) + #define CY_PM_PWRSYS_WAKE_TR1 (0x90u) + +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + + #define CY_PM_PWRSYS_WAKE_TR0 (0xFFu) + #define CY_PM_PWRSYS_WAKE_TR1 (0xB0u) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#if(CY_PSOC3) + + /* Was removed as redundant */ + #define CY_PM_FTW_INTERVAL_MASK (0xFFu) + +#endif /* (CY_PSOC3) */ + +/* Was removed as redundant */ +#define CY_PM_CTW_INTERVAL_MASK (0x0Fu) + +#endif /* (CY_BOOT_CYPM_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice.h new file mode 100755 index 0000000..8263e30 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice.h @@ -0,0 +1,5360 @@ +/******************************************************************************* +* FILENAME: cydevice.h +* OBSOLETE: Do not use this file. Use the _trm version instead. +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#if !defined(CYDEVICE_H) +#define CYDEVICE_H +#define CYDEV_FLASH_BASE 0x00000000u +#define CYDEV_FLASH_SIZE 0x00020000u +#define CYDEV_FLASH_DATA_MBASE 0x00000000u +#define CYDEV_FLASH_DATA_MSIZE 0x00020000u +#define CYDEV_SRAM_BASE 0x1fffc000u +#define CYDEV_SRAM_SIZE 0x00008000u +#define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000u +#define CYDEV_SRAM_CODE64K_MSIZE 0x00004000u +#define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000u +#define CYDEV_SRAM_CODE32K_MSIZE 0x00002000u +#define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000u +#define CYDEV_SRAM_CODE16K_MSIZE 0x00001000u +#define CYDEV_SRAM_CODE_MBASE 0x1fffc000u +#define CYDEV_SRAM_CODE_MSIZE 0x00004000u +#define CYDEV_SRAM_DATA_MBASE 0x20000000u +#define CYDEV_SRAM_DATA_MSIZE 0x00004000u +#define CYDEV_SRAM_DATA16K_MBASE 0x20001000u +#define CYDEV_SRAM_DATA16K_MSIZE 0x00001000u +#define CYDEV_SRAM_DATA32K_MBASE 0x20002000u +#define CYDEV_SRAM_DATA32K_MSIZE 0x00002000u +#define CYDEV_SRAM_DATA64K_MBASE 0x20004000u +#define CYDEV_SRAM_DATA64K_MSIZE 0x00004000u +#define CYDEV_DMA_BASE 0x20008000u +#define CYDEV_DMA_SIZE 0x00008000u +#define CYDEV_DMA_SRAM64K_MBASE 0x20008000u +#define CYDEV_DMA_SRAM64K_MSIZE 0x00004000u +#define CYDEV_DMA_SRAM32K_MBASE 0x2000c000u +#define CYDEV_DMA_SRAM32K_MSIZE 0x00002000u +#define CYDEV_DMA_SRAM16K_MBASE 0x2000e000u +#define CYDEV_DMA_SRAM16K_MSIZE 0x00001000u +#define CYDEV_DMA_SRAM_MBASE 0x2000f000u +#define CYDEV_DMA_SRAM_MSIZE 0x00001000u +#define CYDEV_CLKDIST_BASE 0x40004000u +#define CYDEV_CLKDIST_SIZE 0x00000110u +#define CYDEV_CLKDIST_CR 0x40004000u +#define CYDEV_CLKDIST_LD 0x40004001u +#define CYDEV_CLKDIST_WRK0 0x40004002u +#define CYDEV_CLKDIST_WRK1 0x40004003u +#define CYDEV_CLKDIST_MSTR0 0x40004004u +#define CYDEV_CLKDIST_MSTR1 0x40004005u +#define CYDEV_CLKDIST_BCFG0 0x40004006u +#define CYDEV_CLKDIST_BCFG1 0x40004007u +#define CYDEV_CLKDIST_BCFG2 0x40004008u +#define CYDEV_CLKDIST_UCFG 0x40004009u +#define CYDEV_CLKDIST_DLY0 0x4000400au +#define CYDEV_CLKDIST_DLY1 0x4000400bu +#define CYDEV_CLKDIST_DMASK 0x40004010u +#define CYDEV_CLKDIST_AMASK 0x40004014u +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080u +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG0_CFG0 0x40004080u +#define CYDEV_CLKDIST_DCFG0_CFG1 0x40004081u +#define CYDEV_CLKDIST_DCFG0_CFG2 0x40004082u +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084u +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG1_CFG0 0x40004084u +#define CYDEV_CLKDIST_DCFG1_CFG1 0x40004085u +#define CYDEV_CLKDIST_DCFG1_CFG2 0x40004086u +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088u +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG2_CFG0 0x40004088u +#define CYDEV_CLKDIST_DCFG2_CFG1 0x40004089u +#define CYDEV_CLKDIST_DCFG2_CFG2 0x4000408au +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG3_CFG0 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_CFG1 0x4000408du +#define CYDEV_CLKDIST_DCFG3_CFG2 0x4000408eu +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090u +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG4_CFG0 0x40004090u +#define CYDEV_CLKDIST_DCFG4_CFG1 0x40004091u +#define CYDEV_CLKDIST_DCFG4_CFG2 0x40004092u +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094u +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG5_CFG0 0x40004094u +#define CYDEV_CLKDIST_DCFG5_CFG1 0x40004095u +#define CYDEV_CLKDIST_DCFG5_CFG2 0x40004096u +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098u +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG6_CFG0 0x40004098u +#define CYDEV_CLKDIST_DCFG6_CFG1 0x40004099u +#define CYDEV_CLKDIST_DCFG6_CFG2 0x4000409au +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG7_CFG0 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_CFG1 0x4000409du +#define CYDEV_CLKDIST_DCFG7_CFG2 0x4000409eu +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100u +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG0_CFG0 0x40004100u +#define CYDEV_CLKDIST_ACFG0_CFG1 0x40004101u +#define CYDEV_CLKDIST_ACFG0_CFG2 0x40004102u +#define CYDEV_CLKDIST_ACFG0_CFG3 0x40004103u +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104u +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG1_CFG0 0x40004104u +#define CYDEV_CLKDIST_ACFG1_CFG1 0x40004105u +#define CYDEV_CLKDIST_ACFG1_CFG2 0x40004106u +#define CYDEV_CLKDIST_ACFG1_CFG3 0x40004107u +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108u +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG2_CFG0 0x40004108u +#define CYDEV_CLKDIST_ACFG2_CFG1 0x40004109u +#define CYDEV_CLKDIST_ACFG2_CFG2 0x4000410au +#define CYDEV_CLKDIST_ACFG2_CFG3 0x4000410bu +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG3_CFG0 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_CFG1 0x4000410du +#define CYDEV_CLKDIST_ACFG3_CFG2 0x4000410eu +#define CYDEV_CLKDIST_ACFG3_CFG3 0x4000410fu +#define CYDEV_FASTCLK_BASE 0x40004200u +#define CYDEV_FASTCLK_SIZE 0x00000026u +#define CYDEV_FASTCLK_IMO_BASE 0x40004200u +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001u +#define CYDEV_FASTCLK_IMO_CR 0x40004200u +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210u +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004u +#define CYDEV_FASTCLK_XMHZ_CSR 0x40004210u +#define CYDEV_FASTCLK_XMHZ_CFG0 0x40004212u +#define CYDEV_FASTCLK_XMHZ_CFG1 0x40004213u +#define CYDEV_FASTCLK_PLL_BASE 0x40004220u +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006u +#define CYDEV_FASTCLK_PLL_CFG0 0x40004220u +#define CYDEV_FASTCLK_PLL_CFG1 0x40004221u +#define CYDEV_FASTCLK_PLL_P 0x40004222u +#define CYDEV_FASTCLK_PLL_Q 0x40004223u +#define CYDEV_FASTCLK_PLL_SR 0x40004225u +#define CYDEV_SLOWCLK_BASE 0x40004300u +#define CYDEV_SLOWCLK_SIZE 0x0000000bu +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300u +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002u +#define CYDEV_SLOWCLK_ILO_CR0 0x40004300u +#define CYDEV_SLOWCLK_ILO_CR1 0x40004301u +#define CYDEV_SLOWCLK_X32_BASE 0x40004308u +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003u +#define CYDEV_SLOWCLK_X32_CR 0x40004308u +#define CYDEV_SLOWCLK_X32_CFG 0x40004309u +#define CYDEV_SLOWCLK_X32_TST 0x4000430au +#define CYDEV_BOOST_BASE 0x40004320u +#define CYDEV_BOOST_SIZE 0x00000007u +#define CYDEV_BOOST_CR0 0x40004320u +#define CYDEV_BOOST_CR1 0x40004321u +#define CYDEV_BOOST_CR2 0x40004322u +#define CYDEV_BOOST_CR3 0x40004323u +#define CYDEV_BOOST_SR 0x40004324u +#define CYDEV_BOOST_CR4 0x40004325u +#define CYDEV_BOOST_SR2 0x40004326u +#define CYDEV_PWRSYS_BASE 0x40004330u +#define CYDEV_PWRSYS_SIZE 0x00000002u +#define CYDEV_PWRSYS_CR0 0x40004330u +#define CYDEV_PWRSYS_CR1 0x40004331u +#define CYDEV_PM_BASE 0x40004380u +#define CYDEV_PM_SIZE 0x00000057u +#define CYDEV_PM_TW_CFG0 0x40004380u +#define CYDEV_PM_TW_CFG1 0x40004381u +#define CYDEV_PM_TW_CFG2 0x40004382u +#define CYDEV_PM_WDT_CFG 0x40004383u +#define CYDEV_PM_WDT_CR 0x40004384u +#define CYDEV_PM_INT_SR 0x40004390u +#define CYDEV_PM_MODE_CFG0 0x40004391u +#define CYDEV_PM_MODE_CFG1 0x40004392u +#define CYDEV_PM_MODE_CSR 0x40004393u +#define CYDEV_PM_USB_CR0 0x40004394u +#define CYDEV_PM_WAKEUP_CFG0 0x40004398u +#define CYDEV_PM_WAKEUP_CFG1 0x40004399u +#define CYDEV_PM_WAKEUP_CFG2 0x4000439au +#define CYDEV_PM_ACT_BASE 0x400043a0u +#define CYDEV_PM_ACT_SIZE 0x0000000eu +#define CYDEV_PM_ACT_CFG0 0x400043a0u +#define CYDEV_PM_ACT_CFG1 0x400043a1u +#define CYDEV_PM_ACT_CFG2 0x400043a2u +#define CYDEV_PM_ACT_CFG3 0x400043a3u +#define CYDEV_PM_ACT_CFG4 0x400043a4u +#define CYDEV_PM_ACT_CFG5 0x400043a5u +#define CYDEV_PM_ACT_CFG6 0x400043a6u +#define CYDEV_PM_ACT_CFG7 0x400043a7u +#define CYDEV_PM_ACT_CFG8 0x400043a8u +#define CYDEV_PM_ACT_CFG9 0x400043a9u +#define CYDEV_PM_ACT_CFG10 0x400043aau +#define CYDEV_PM_ACT_CFG11 0x400043abu +#define CYDEV_PM_ACT_CFG12 0x400043acu +#define CYDEV_PM_ACT_CFG13 0x400043adu +#define CYDEV_PM_STBY_BASE 0x400043b0u +#define CYDEV_PM_STBY_SIZE 0x0000000eu +#define CYDEV_PM_STBY_CFG0 0x400043b0u +#define CYDEV_PM_STBY_CFG1 0x400043b1u +#define CYDEV_PM_STBY_CFG2 0x400043b2u +#define CYDEV_PM_STBY_CFG3 0x400043b3u +#define CYDEV_PM_STBY_CFG4 0x400043b4u +#define CYDEV_PM_STBY_CFG5 0x400043b5u +#define CYDEV_PM_STBY_CFG6 0x400043b6u +#define CYDEV_PM_STBY_CFG7 0x400043b7u +#define CYDEV_PM_STBY_CFG8 0x400043b8u +#define CYDEV_PM_STBY_CFG9 0x400043b9u +#define CYDEV_PM_STBY_CFG10 0x400043bau +#define CYDEV_PM_STBY_CFG11 0x400043bbu +#define CYDEV_PM_STBY_CFG12 0x400043bcu +#define CYDEV_PM_STBY_CFG13 0x400043bdu +#define CYDEV_PM_AVAIL_BASE 0x400043c0u +#define CYDEV_PM_AVAIL_SIZE 0x00000017u +#define CYDEV_PM_AVAIL_CR0 0x400043c0u +#define CYDEV_PM_AVAIL_CR1 0x400043c1u +#define CYDEV_PM_AVAIL_CR2 0x400043c2u +#define CYDEV_PM_AVAIL_CR3 0x400043c3u +#define CYDEV_PM_AVAIL_CR4 0x400043c4u +#define CYDEV_PM_AVAIL_CR5 0x400043c5u +#define CYDEV_PM_AVAIL_CR6 0x400043c6u +#define CYDEV_PM_AVAIL_SR0 0x400043d0u +#define CYDEV_PM_AVAIL_SR1 0x400043d1u +#define CYDEV_PM_AVAIL_SR2 0x400043d2u +#define CYDEV_PM_AVAIL_SR3 0x400043d3u +#define CYDEV_PM_AVAIL_SR4 0x400043d4u +#define CYDEV_PM_AVAIL_SR5 0x400043d5u +#define CYDEV_PM_AVAIL_SR6 0x400043d6u +#define CYDEV_PICU_BASE 0x40004500u +#define CYDEV_PICU_SIZE 0x000000b0u +#define CYDEV_PICU_INTTYPE_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080u +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 0x40004501u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 0x40004502u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 0x40004503u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 0x40004504u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 0x40004505u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 0x40004506u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 0x40004507u +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 0x40004509u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 0x4000450au +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 0x4000450bu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 0x4000450cu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 0x4000450du +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 0x4000450eu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 0x4000450fu +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 0x40004511u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 0x40004512u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 0x40004513u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 0x40004514u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 0x40004515u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 0x40004516u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 0x40004517u +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 0x40004519u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 0x4000451au +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 0x4000451bu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 0x4000451cu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 0x4000451du +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 0x4000451eu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 0x4000451fu +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 0x40004521u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 0x40004522u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 0x40004523u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 0x40004524u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 0x40004525u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 0x40004526u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 0x40004527u +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 0x40004529u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 0x4000452au +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 0x4000452bu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 0x4000452cu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 0x4000452du +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 0x4000452eu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 0x4000452fu +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 0x40004531u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 0x40004532u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 0x40004533u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 0x40004534u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 0x40004535u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 0x40004536u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 0x40004537u +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 0x40004561u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 0x40004562u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 0x40004563u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 0x40004564u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 0x40004565u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 0x40004566u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 0x40004567u +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 0x40004579u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 0x4000457au +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 0x4000457bu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 0x4000457cu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 0x4000457du +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 0x4000457eu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 0x4000457fu +#define CYDEV_PICU_STAT_BASE 0x40004580u +#define CYDEV_PICU_STAT_SIZE 0x00000010u +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580u +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU0_INTSTAT 0x40004580u +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581u +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU1_INTSTAT 0x40004581u +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582u +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU2_INTSTAT 0x40004582u +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583u +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU3_INTSTAT 0x40004583u +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584u +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU4_INTSTAT 0x40004584u +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585u +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU5_INTSTAT 0x40004585u +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586u +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU6_INTSTAT 0x40004586u +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458cu +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU12_INTSTAT 0x4000458cu +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458fu +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU15_INTSTAT 0x4000458fu +#define CYDEV_PICU_SNAP_BASE 0x40004590u +#define CYDEV_PICU_SNAP_SIZE 0x00000010u +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590u +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU0_SNAP 0x40004590u +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591u +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU1_SNAP 0x40004591u +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592u +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU2_SNAP 0x40004592u +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593u +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU3_SNAP 0x40004593u +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594u +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU4_SNAP 0x40004594u +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595u +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU5_SNAP 0x40004595u +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596u +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU6_SNAP 0x40004596u +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459cu +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU12_SNAP 0x4000459cu +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459fu +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU_15_SNAP_15 0x4000459fu +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010u +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045afu +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR 0x400045afu +#define CYDEV_MFGCFG_BASE 0x40004600u +#define CYDEV_MFGCFG_SIZE 0x000000edu +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600u +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038u +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC0_TR 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC1_TR 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC2_TR 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC3_TR 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 0x40004612u +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_SAR0_TR0 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616u +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_SAR1_TR0 0x40004616u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 0x40004621u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 0x40004623u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 0x40004625u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 0x40004627u +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP0_TR0 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_TR1 0x40004631u +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP1_TR0 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_TR1 0x40004633u +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP2_TR0 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_TR1 0x40004635u +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP3_TR0 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_TR1 0x40004637u +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000bu +#define CYDEV_MFGCFG_PWRSYS_HIB_TR0 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_HIB_TR1 0x40004681u +#define CYDEV_MFGCFG_PWRSYS_I2C_TR 0x40004682u +#define CYDEV_MFGCFG_PWRSYS_SLP_TR 0x40004683u +#define CYDEV_MFGCFG_PWRSYS_BUZZ_TR 0x40004684u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR0 0x40004685u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR1 0x40004686u +#define CYDEV_MFGCFG_PWRSYS_BREF_TR 0x40004687u +#define CYDEV_MFGCFG_PWRSYS_BG_TR 0x40004688u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR2 0x40004689u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR3 0x4000468au +#define CYDEV_MFGCFG_ILO_BASE 0x40004690u +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002u +#define CYDEV_MFGCFG_ILO_TR0 0x40004690u +#define CYDEV_MFGCFG_ILO_TR1 0x40004691u +#define CYDEV_MFGCFG_X32_BASE 0x40004698u +#define CYDEV_MFGCFG_X32_SIZE 0x00000001u +#define CYDEV_MFGCFG_X32_TR 0x40004698u +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0u +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005u +#define CYDEV_MFGCFG_IMO_TR0 0x400046a0u +#define CYDEV_MFGCFG_IMO_TR1 0x400046a1u +#define CYDEV_MFGCFG_IMO_GAIN 0x400046a2u +#define CYDEV_MFGCFG_IMO_C36M 0x400046a3u +#define CYDEV_MFGCFG_IMO_TR2 0x400046a4u +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8u +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001u +#define CYDEV_MFGCFG_XMHZ_TR 0x400046a8u +#define CYDEV_MFGCFG_DLY 0x400046c0u +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0u +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000du +#define CYDEV_MFGCFG_MLOGIC_DMPSTR 0x400046e2u +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002u +#define CYDEV_MFGCFG_MLOGIC_SEG_CR 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_CFG0 0x400046e5u +#define CYDEV_MFGCFG_MLOGIC_DEBUG 0x400046e8u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_REV_ID 0x400046ecu +#define CYDEV_RESET_BASE 0x400046f0u +#define CYDEV_RESET_SIZE 0x0000000fu +#define CYDEV_RESET_IPOR_CR0 0x400046f0u +#define CYDEV_RESET_IPOR_CR1 0x400046f1u +#define CYDEV_RESET_IPOR_CR2 0x400046f2u +#define CYDEV_RESET_IPOR_CR3 0x400046f3u +#define CYDEV_RESET_CR0 0x400046f4u +#define CYDEV_RESET_CR1 0x400046f5u +#define CYDEV_RESET_CR2 0x400046f6u +#define CYDEV_RESET_CR3 0x400046f7u +#define CYDEV_RESET_CR4 0x400046f8u +#define CYDEV_RESET_CR5 0x400046f9u +#define CYDEV_RESET_SR0 0x400046fau +#define CYDEV_RESET_SR1 0x400046fbu +#define CYDEV_RESET_SR2 0x400046fcu +#define CYDEV_RESET_SR3 0x400046fdu +#define CYDEV_RESET_TR 0x400046feu +#define CYDEV_SPC_BASE 0x40004700u +#define CYDEV_SPC_SIZE 0x00000100u +#define CYDEV_SPC_FM_EE_CR 0x40004700u +#define CYDEV_SPC_FM_EE_WAKE_CNT 0x40004701u +#define CYDEV_SPC_EE_SCR 0x40004702u +#define CYDEV_SPC_EE_ERR 0x40004703u +#define CYDEV_SPC_CPU_DATA 0x40004720u +#define CYDEV_SPC_DMA_DATA 0x40004721u +#define CYDEV_SPC_SR 0x40004722u +#define CYDEV_SPC_CR 0x40004723u +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080u +#define CYDEV_SPC_DMM_MAP_SRAM_MBASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SRAM_MSIZE 0x00000080u +#define CYDEV_CACHE_BASE 0x40004800u +#define CYDEV_CACHE_SIZE 0x0000009cu +#define CYDEV_CACHE_CC_CTL 0x40004800u +#define CYDEV_CACHE_ECC_CORR 0x40004880u +#define CYDEV_CACHE_ECC_ERR 0x40004888u +#define CYDEV_CACHE_FLASH_ERR 0x40004890u +#define CYDEV_CACHE_HITMISS 0x40004898u +#define CYDEV_I2C_BASE 0x40004900u +#define CYDEV_I2C_SIZE 0x000000e1u +#define CYDEV_I2C_XCFG 0x400049c8u +#define CYDEV_I2C_ADR 0x400049cau +#define CYDEV_I2C_CFG 0x400049d6u +#define CYDEV_I2C_CSR 0x400049d7u +#define CYDEV_I2C_D 0x400049d8u +#define CYDEV_I2C_MCSR 0x400049d9u +#define CYDEV_I2C_CLK_DIV1 0x400049dbu +#define CYDEV_I2C_CLK_DIV2 0x400049dcu +#define CYDEV_I2C_TMOUT_CSR 0x400049ddu +#define CYDEV_I2C_TMOUT_SR 0x400049deu +#define CYDEV_I2C_TMOUT_CFG0 0x400049dfu +#define CYDEV_I2C_TMOUT_CFG1 0x400049e0u +#define CYDEV_DEC_BASE 0x40004e00u +#define CYDEV_DEC_SIZE 0x00000015u +#define CYDEV_DEC_CR 0x40004e00u +#define CYDEV_DEC_SR 0x40004e01u +#define CYDEV_DEC_SHIFT1 0x40004e02u +#define CYDEV_DEC_SHIFT2 0x40004e03u +#define CYDEV_DEC_DR2 0x40004e04u +#define CYDEV_DEC_DR2H 0x40004e05u +#define CYDEV_DEC_DR1 0x40004e06u +#define CYDEV_DEC_OCOR 0x40004e08u +#define CYDEV_DEC_OCORM 0x40004e09u +#define CYDEV_DEC_OCORH 0x40004e0au +#define CYDEV_DEC_GCOR 0x40004e0cu +#define CYDEV_DEC_GCORH 0x40004e0du +#define CYDEV_DEC_GVAL 0x40004e0eu +#define CYDEV_DEC_OUTSAMP 0x40004e10u +#define CYDEV_DEC_OUTSAMPM 0x40004e11u +#define CYDEV_DEC_OUTSAMPH 0x40004e12u +#define CYDEV_DEC_OUTSAMPS 0x40004e13u +#define CYDEV_DEC_COHER 0x40004e14u +#define CYDEV_TMR0_BASE 0x40004f00u +#define CYDEV_TMR0_SIZE 0x0000000cu +#define CYDEV_TMR0_CFG0 0x40004f00u +#define CYDEV_TMR0_CFG1 0x40004f01u +#define CYDEV_TMR0_CFG2 0x40004f02u +#define CYDEV_TMR0_SR0 0x40004f03u +#define CYDEV_TMR0_PER0 0x40004f04u +#define CYDEV_TMR0_PER1 0x40004f05u +#define CYDEV_TMR0_CNT_CMP0 0x40004f06u +#define CYDEV_TMR0_CNT_CMP1 0x40004f07u +#define CYDEV_TMR0_CAP0 0x40004f08u +#define CYDEV_TMR0_CAP1 0x40004f09u +#define CYDEV_TMR0_RT0 0x40004f0au +#define CYDEV_TMR0_RT1 0x40004f0bu +#define CYDEV_TMR1_BASE 0x40004f0cu +#define CYDEV_TMR1_SIZE 0x0000000cu +#define CYDEV_TMR1_CFG0 0x40004f0cu +#define CYDEV_TMR1_CFG1 0x40004f0du +#define CYDEV_TMR1_CFG2 0x40004f0eu +#define CYDEV_TMR1_SR0 0x40004f0fu +#define CYDEV_TMR1_PER0 0x40004f10u +#define CYDEV_TMR1_PER1 0x40004f11u +#define CYDEV_TMR1_CNT_CMP0 0x40004f12u +#define CYDEV_TMR1_CNT_CMP1 0x40004f13u +#define CYDEV_TMR1_CAP0 0x40004f14u +#define CYDEV_TMR1_CAP1 0x40004f15u +#define CYDEV_TMR1_RT0 0x40004f16u +#define CYDEV_TMR1_RT1 0x40004f17u +#define CYDEV_TMR2_BASE 0x40004f18u +#define CYDEV_TMR2_SIZE 0x0000000cu +#define CYDEV_TMR2_CFG0 0x40004f18u +#define CYDEV_TMR2_CFG1 0x40004f19u +#define CYDEV_TMR2_CFG2 0x40004f1au +#define CYDEV_TMR2_SR0 0x40004f1bu +#define CYDEV_TMR2_PER0 0x40004f1cu +#define CYDEV_TMR2_PER1 0x40004f1du +#define CYDEV_TMR2_CNT_CMP0 0x40004f1eu +#define CYDEV_TMR2_CNT_CMP1 0x40004f1fu +#define CYDEV_TMR2_CAP0 0x40004f20u +#define CYDEV_TMR2_CAP1 0x40004f21u +#define CYDEV_TMR2_RT0 0x40004f22u +#define CYDEV_TMR2_RT1 0x40004f23u +#define CYDEV_TMR3_BASE 0x40004f24u +#define CYDEV_TMR3_SIZE 0x0000000cu +#define CYDEV_TMR3_CFG0 0x40004f24u +#define CYDEV_TMR3_CFG1 0x40004f25u +#define CYDEV_TMR3_CFG2 0x40004f26u +#define CYDEV_TMR3_SR0 0x40004f27u +#define CYDEV_TMR3_PER0 0x40004f28u +#define CYDEV_TMR3_PER1 0x40004f29u +#define CYDEV_TMR3_CNT_CMP0 0x40004f2au +#define CYDEV_TMR3_CNT_CMP1 0x40004f2bu +#define CYDEV_TMR3_CAP0 0x40004f2cu +#define CYDEV_TMR3_CAP1 0x40004f2du +#define CYDEV_TMR3_RT0 0x40004f2eu +#define CYDEV_TMR3_RT1 0x40004f2fu +#define CYDEV_IO_BASE 0x40005000u +#define CYDEV_IO_SIZE 0x00000200u +#define CYDEV_IO_PC_BASE 0x40005000u +#define CYDEV_IO_PC_SIZE 0x00000080u +#define CYDEV_IO_PC_PRT0_BASE 0x40005000u +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT0_PC0 0x40005000u +#define CYDEV_IO_PC_PRT0_PC1 0x40005001u +#define CYDEV_IO_PC_PRT0_PC2 0x40005002u +#define CYDEV_IO_PC_PRT0_PC3 0x40005003u +#define CYDEV_IO_PC_PRT0_PC4 0x40005004u +#define CYDEV_IO_PC_PRT0_PC5 0x40005005u +#define CYDEV_IO_PC_PRT0_PC6 0x40005006u +#define CYDEV_IO_PC_PRT0_PC7 0x40005007u +#define CYDEV_IO_PC_PRT1_BASE 0x40005008u +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT1_PC0 0x40005008u +#define CYDEV_IO_PC_PRT1_PC1 0x40005009u +#define CYDEV_IO_PC_PRT1_PC2 0x4000500au +#define CYDEV_IO_PC_PRT1_PC3 0x4000500bu +#define CYDEV_IO_PC_PRT1_PC4 0x4000500cu +#define CYDEV_IO_PC_PRT1_PC5 0x4000500du +#define CYDEV_IO_PC_PRT1_PC6 0x4000500eu +#define CYDEV_IO_PC_PRT1_PC7 0x4000500fu +#define CYDEV_IO_PC_PRT2_BASE 0x40005010u +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT2_PC0 0x40005010u +#define CYDEV_IO_PC_PRT2_PC1 0x40005011u +#define CYDEV_IO_PC_PRT2_PC2 0x40005012u +#define CYDEV_IO_PC_PRT2_PC3 0x40005013u +#define CYDEV_IO_PC_PRT2_PC4 0x40005014u +#define CYDEV_IO_PC_PRT2_PC5 0x40005015u +#define CYDEV_IO_PC_PRT2_PC6 0x40005016u +#define CYDEV_IO_PC_PRT2_PC7 0x40005017u +#define CYDEV_IO_PC_PRT3_BASE 0x40005018u +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT3_PC0 0x40005018u +#define CYDEV_IO_PC_PRT3_PC1 0x40005019u +#define CYDEV_IO_PC_PRT3_PC2 0x4000501au +#define CYDEV_IO_PC_PRT3_PC3 0x4000501bu +#define CYDEV_IO_PC_PRT3_PC4 0x4000501cu +#define CYDEV_IO_PC_PRT3_PC5 0x4000501du +#define CYDEV_IO_PC_PRT3_PC6 0x4000501eu +#define CYDEV_IO_PC_PRT3_PC7 0x4000501fu +#define CYDEV_IO_PC_PRT4_BASE 0x40005020u +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT4_PC0 0x40005020u +#define CYDEV_IO_PC_PRT4_PC1 0x40005021u +#define CYDEV_IO_PC_PRT4_PC2 0x40005022u +#define CYDEV_IO_PC_PRT4_PC3 0x40005023u +#define CYDEV_IO_PC_PRT4_PC4 0x40005024u +#define CYDEV_IO_PC_PRT4_PC5 0x40005025u +#define CYDEV_IO_PC_PRT4_PC6 0x40005026u +#define CYDEV_IO_PC_PRT4_PC7 0x40005027u +#define CYDEV_IO_PC_PRT5_BASE 0x40005028u +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT5_PC0 0x40005028u +#define CYDEV_IO_PC_PRT5_PC1 0x40005029u +#define CYDEV_IO_PC_PRT5_PC2 0x4000502au +#define CYDEV_IO_PC_PRT5_PC3 0x4000502bu +#define CYDEV_IO_PC_PRT5_PC4 0x4000502cu +#define CYDEV_IO_PC_PRT5_PC5 0x4000502du +#define CYDEV_IO_PC_PRT5_PC6 0x4000502eu +#define CYDEV_IO_PC_PRT5_PC7 0x4000502fu +#define CYDEV_IO_PC_PRT6_BASE 0x40005030u +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT6_PC0 0x40005030u +#define CYDEV_IO_PC_PRT6_PC1 0x40005031u +#define CYDEV_IO_PC_PRT6_PC2 0x40005032u +#define CYDEV_IO_PC_PRT6_PC3 0x40005033u +#define CYDEV_IO_PC_PRT6_PC4 0x40005034u +#define CYDEV_IO_PC_PRT6_PC5 0x40005035u +#define CYDEV_IO_PC_PRT6_PC6 0x40005036u +#define CYDEV_IO_PC_PRT6_PC7 0x40005037u +#define CYDEV_IO_PC_PRT12_BASE 0x40005060u +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT12_PC0 0x40005060u +#define CYDEV_IO_PC_PRT12_PC1 0x40005061u +#define CYDEV_IO_PC_PRT12_PC2 0x40005062u +#define CYDEV_IO_PC_PRT12_PC3 0x40005063u +#define CYDEV_IO_PC_PRT12_PC4 0x40005064u +#define CYDEV_IO_PC_PRT12_PC5 0x40005065u +#define CYDEV_IO_PC_PRT12_PC6 0x40005066u +#define CYDEV_IO_PC_PRT12_PC7 0x40005067u +#define CYDEV_IO_PC_PRT15_BASE 0x40005078u +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006u +#define CYDEV_IO_PC_PRT15_PC0 0x40005078u +#define CYDEV_IO_PC_PRT15_PC1 0x40005079u +#define CYDEV_IO_PC_PRT15_PC2 0x4000507au +#define CYDEV_IO_PC_PRT15_PC3 0x4000507bu +#define CYDEV_IO_PC_PRT15_PC4 0x4000507cu +#define CYDEV_IO_PC_PRT15_PC5 0x4000507du +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002u +#define CYDEV_IO_PC_PRT15_7_6_PC0 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_PC1 0x4000507fu +#define CYDEV_IO_DR_BASE 0x40005080u +#define CYDEV_IO_DR_SIZE 0x00000010u +#define CYDEV_IO_DR_PRT0_BASE 0x40005080u +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT0_DR_ALIAS 0x40005080u +#define CYDEV_IO_DR_PRT1_BASE 0x40005081u +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT1_DR_ALIAS 0x40005081u +#define CYDEV_IO_DR_PRT2_BASE 0x40005082u +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT2_DR_ALIAS 0x40005082u +#define CYDEV_IO_DR_PRT3_BASE 0x40005083u +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT3_DR_ALIAS 0x40005083u +#define CYDEV_IO_DR_PRT4_BASE 0x40005084u +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT4_DR_ALIAS 0x40005084u +#define CYDEV_IO_DR_PRT5_BASE 0x40005085u +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT5_DR_ALIAS 0x40005085u +#define CYDEV_IO_DR_PRT6_BASE 0x40005086u +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT6_DR_ALIAS 0x40005086u +#define CYDEV_IO_DR_PRT12_BASE 0x4000508cu +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT12_DR_ALIAS 0x4000508cu +#define CYDEV_IO_DR_PRT15_BASE 0x4000508fu +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT15_DR_15_ALIAS 0x4000508fu +#define CYDEV_IO_PS_BASE 0x40005090u +#define CYDEV_IO_PS_SIZE 0x00000010u +#define CYDEV_IO_PS_PRT0_BASE 0x40005090u +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT0_PS_ALIAS 0x40005090u +#define CYDEV_IO_PS_PRT1_BASE 0x40005091u +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT1_PS_ALIAS 0x40005091u +#define CYDEV_IO_PS_PRT2_BASE 0x40005092u +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT2_PS_ALIAS 0x40005092u +#define CYDEV_IO_PS_PRT3_BASE 0x40005093u +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT3_PS_ALIAS 0x40005093u +#define CYDEV_IO_PS_PRT4_BASE 0x40005094u +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT4_PS_ALIAS 0x40005094u +#define CYDEV_IO_PS_PRT5_BASE 0x40005095u +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT5_PS_ALIAS 0x40005095u +#define CYDEV_IO_PS_PRT6_BASE 0x40005096u +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT6_PS_ALIAS 0x40005096u +#define CYDEV_IO_PS_PRT12_BASE 0x4000509cu +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT12_PS_ALIAS 0x4000509cu +#define CYDEV_IO_PS_PRT15_BASE 0x4000509fu +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT15_PS15_ALIAS 0x4000509fu +#define CYDEV_IO_PRT_BASE 0x40005100u +#define CYDEV_IO_PRT_SIZE 0x00000100u +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100u +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT0_DR 0x40005100u +#define CYDEV_IO_PRT_PRT0_PS 0x40005101u +#define CYDEV_IO_PRT_PRT0_DM0 0x40005102u +#define CYDEV_IO_PRT_PRT0_DM1 0x40005103u +#define CYDEV_IO_PRT_PRT0_DM2 0x40005104u +#define CYDEV_IO_PRT_PRT0_SLW 0x40005105u +#define CYDEV_IO_PRT_PRT0_BYP 0x40005106u +#define CYDEV_IO_PRT_PRT0_BIE 0x40005107u +#define CYDEV_IO_PRT_PRT0_INP_DIS 0x40005108u +#define CYDEV_IO_PRT_PRT0_CTL 0x40005109u +#define CYDEV_IO_PRT_PRT0_PRT 0x4000510au +#define CYDEV_IO_PRT_PRT0_BIT_MASK 0x4000510bu +#define CYDEV_IO_PRT_PRT0_AMUX 0x4000510cu +#define CYDEV_IO_PRT_PRT0_AG 0x4000510du +#define CYDEV_IO_PRT_PRT0_LCD_COM_SEG 0x4000510eu +#define CYDEV_IO_PRT_PRT0_LCD_EN 0x4000510fu +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110u +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT1_DR 0x40005110u +#define CYDEV_IO_PRT_PRT1_PS 0x40005111u +#define CYDEV_IO_PRT_PRT1_DM0 0x40005112u +#define CYDEV_IO_PRT_PRT1_DM1 0x40005113u +#define CYDEV_IO_PRT_PRT1_DM2 0x40005114u +#define CYDEV_IO_PRT_PRT1_SLW 0x40005115u +#define CYDEV_IO_PRT_PRT1_BYP 0x40005116u +#define CYDEV_IO_PRT_PRT1_BIE 0x40005117u +#define CYDEV_IO_PRT_PRT1_INP_DIS 0x40005118u +#define CYDEV_IO_PRT_PRT1_CTL 0x40005119u +#define CYDEV_IO_PRT_PRT1_PRT 0x4000511au +#define CYDEV_IO_PRT_PRT1_BIT_MASK 0x4000511bu +#define CYDEV_IO_PRT_PRT1_AMUX 0x4000511cu +#define CYDEV_IO_PRT_PRT1_AG 0x4000511du +#define CYDEV_IO_PRT_PRT1_LCD_COM_SEG 0x4000511eu +#define CYDEV_IO_PRT_PRT1_LCD_EN 0x4000511fu +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120u +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT2_DR 0x40005120u +#define CYDEV_IO_PRT_PRT2_PS 0x40005121u +#define CYDEV_IO_PRT_PRT2_DM0 0x40005122u +#define CYDEV_IO_PRT_PRT2_DM1 0x40005123u +#define CYDEV_IO_PRT_PRT2_DM2 0x40005124u +#define CYDEV_IO_PRT_PRT2_SLW 0x40005125u +#define CYDEV_IO_PRT_PRT2_BYP 0x40005126u +#define CYDEV_IO_PRT_PRT2_BIE 0x40005127u +#define CYDEV_IO_PRT_PRT2_INP_DIS 0x40005128u +#define CYDEV_IO_PRT_PRT2_CTL 0x40005129u +#define CYDEV_IO_PRT_PRT2_PRT 0x4000512au +#define CYDEV_IO_PRT_PRT2_BIT_MASK 0x4000512bu +#define CYDEV_IO_PRT_PRT2_AMUX 0x4000512cu +#define CYDEV_IO_PRT_PRT2_AG 0x4000512du +#define CYDEV_IO_PRT_PRT2_LCD_COM_SEG 0x4000512eu +#define CYDEV_IO_PRT_PRT2_LCD_EN 0x4000512fu +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130u +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT3_DR 0x40005130u +#define CYDEV_IO_PRT_PRT3_PS 0x40005131u +#define CYDEV_IO_PRT_PRT3_DM0 0x40005132u +#define CYDEV_IO_PRT_PRT3_DM1 0x40005133u +#define CYDEV_IO_PRT_PRT3_DM2 0x40005134u +#define CYDEV_IO_PRT_PRT3_SLW 0x40005135u +#define CYDEV_IO_PRT_PRT3_BYP 0x40005136u +#define CYDEV_IO_PRT_PRT3_BIE 0x40005137u +#define CYDEV_IO_PRT_PRT3_INP_DIS 0x40005138u +#define CYDEV_IO_PRT_PRT3_CTL 0x40005139u +#define CYDEV_IO_PRT_PRT3_PRT 0x4000513au +#define CYDEV_IO_PRT_PRT3_BIT_MASK 0x4000513bu +#define CYDEV_IO_PRT_PRT3_AMUX 0x4000513cu +#define CYDEV_IO_PRT_PRT3_AG 0x4000513du +#define CYDEV_IO_PRT_PRT3_LCD_COM_SEG 0x4000513eu +#define CYDEV_IO_PRT_PRT3_LCD_EN 0x4000513fu +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140u +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT4_DR 0x40005140u +#define CYDEV_IO_PRT_PRT4_PS 0x40005141u +#define CYDEV_IO_PRT_PRT4_DM0 0x40005142u +#define CYDEV_IO_PRT_PRT4_DM1 0x40005143u +#define CYDEV_IO_PRT_PRT4_DM2 0x40005144u +#define CYDEV_IO_PRT_PRT4_SLW 0x40005145u +#define CYDEV_IO_PRT_PRT4_BYP 0x40005146u +#define CYDEV_IO_PRT_PRT4_BIE 0x40005147u +#define CYDEV_IO_PRT_PRT4_INP_DIS 0x40005148u +#define CYDEV_IO_PRT_PRT4_CTL 0x40005149u +#define CYDEV_IO_PRT_PRT4_PRT 0x4000514au +#define CYDEV_IO_PRT_PRT4_BIT_MASK 0x4000514bu +#define CYDEV_IO_PRT_PRT4_AMUX 0x4000514cu +#define CYDEV_IO_PRT_PRT4_AG 0x4000514du +#define CYDEV_IO_PRT_PRT4_LCD_COM_SEG 0x4000514eu +#define CYDEV_IO_PRT_PRT4_LCD_EN 0x4000514fu +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150u +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT5_DR 0x40005150u +#define CYDEV_IO_PRT_PRT5_PS 0x40005151u +#define CYDEV_IO_PRT_PRT5_DM0 0x40005152u +#define CYDEV_IO_PRT_PRT5_DM1 0x40005153u +#define CYDEV_IO_PRT_PRT5_DM2 0x40005154u +#define CYDEV_IO_PRT_PRT5_SLW 0x40005155u +#define CYDEV_IO_PRT_PRT5_BYP 0x40005156u +#define CYDEV_IO_PRT_PRT5_BIE 0x40005157u +#define CYDEV_IO_PRT_PRT5_INP_DIS 0x40005158u +#define CYDEV_IO_PRT_PRT5_CTL 0x40005159u +#define CYDEV_IO_PRT_PRT5_PRT 0x4000515au +#define CYDEV_IO_PRT_PRT5_BIT_MASK 0x4000515bu +#define CYDEV_IO_PRT_PRT5_AMUX 0x4000515cu +#define CYDEV_IO_PRT_PRT5_AG 0x4000515du +#define CYDEV_IO_PRT_PRT5_LCD_COM_SEG 0x4000515eu +#define CYDEV_IO_PRT_PRT5_LCD_EN 0x4000515fu +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160u +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT6_DR 0x40005160u +#define CYDEV_IO_PRT_PRT6_PS 0x40005161u +#define CYDEV_IO_PRT_PRT6_DM0 0x40005162u +#define CYDEV_IO_PRT_PRT6_DM1 0x40005163u +#define CYDEV_IO_PRT_PRT6_DM2 0x40005164u +#define CYDEV_IO_PRT_PRT6_SLW 0x40005165u +#define CYDEV_IO_PRT_PRT6_BYP 0x40005166u +#define CYDEV_IO_PRT_PRT6_BIE 0x40005167u +#define CYDEV_IO_PRT_PRT6_INP_DIS 0x40005168u +#define CYDEV_IO_PRT_PRT6_CTL 0x40005169u +#define CYDEV_IO_PRT_PRT6_PRT 0x4000516au +#define CYDEV_IO_PRT_PRT6_BIT_MASK 0x4000516bu +#define CYDEV_IO_PRT_PRT6_AMUX 0x4000516cu +#define CYDEV_IO_PRT_PRT6_AG 0x4000516du +#define CYDEV_IO_PRT_PRT6_LCD_COM_SEG 0x4000516eu +#define CYDEV_IO_PRT_PRT6_LCD_EN 0x4000516fu +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0u +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT12_DR 0x400051c0u +#define CYDEV_IO_PRT_PRT12_PS 0x400051c1u +#define CYDEV_IO_PRT_PRT12_DM0 0x400051c2u +#define CYDEV_IO_PRT_PRT12_DM1 0x400051c3u +#define CYDEV_IO_PRT_PRT12_DM2 0x400051c4u +#define CYDEV_IO_PRT_PRT12_SLW 0x400051c5u +#define CYDEV_IO_PRT_PRT12_BYP 0x400051c6u +#define CYDEV_IO_PRT_PRT12_BIE 0x400051c7u +#define CYDEV_IO_PRT_PRT12_INP_DIS 0x400051c8u +#define CYDEV_IO_PRT_PRT12_SIO_HYST_EN 0x400051c9u +#define CYDEV_IO_PRT_PRT12_PRT 0x400051cau +#define CYDEV_IO_PRT_PRT12_BIT_MASK 0x400051cbu +#define CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ 0x400051ccu +#define CYDEV_IO_PRT_PRT12_AG 0x400051cdu +#define CYDEV_IO_PRT_PRT12_SIO_CFG 0x400051ceu +#define CYDEV_IO_PRT_PRT12_SIO_DIFF 0x400051cfu +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0u +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT15_DR 0x400051f0u +#define CYDEV_IO_PRT_PRT15_PS 0x400051f1u +#define CYDEV_IO_PRT_PRT15_DM0 0x400051f2u +#define CYDEV_IO_PRT_PRT15_DM1 0x400051f3u +#define CYDEV_IO_PRT_PRT15_DM2 0x400051f4u +#define CYDEV_IO_PRT_PRT15_SLW 0x400051f5u +#define CYDEV_IO_PRT_PRT15_BYP 0x400051f6u +#define CYDEV_IO_PRT_PRT15_BIE 0x400051f7u +#define CYDEV_IO_PRT_PRT15_INP_DIS 0x400051f8u +#define CYDEV_IO_PRT_PRT15_CTL 0x400051f9u +#define CYDEV_IO_PRT_PRT15_PRT 0x400051fau +#define CYDEV_IO_PRT_PRT15_BIT_MASK 0x400051fbu +#define CYDEV_IO_PRT_PRT15_AMUX 0x400051fcu +#define CYDEV_IO_PRT_PRT15_AG 0x400051fdu +#define CYDEV_IO_PRT_PRT15_LCD_COM_SEG 0x400051feu +#define CYDEV_IO_PRT_PRT15_LCD_EN 0x400051ffu +#define CYDEV_PRTDSI_BASE 0x40005200u +#define CYDEV_PRTDSI_SIZE 0x0000007fu +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200u +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT0_OUT_SEL0 0x40005200u +#define CYDEV_PRTDSI_PRT0_OUT_SEL1 0x40005201u +#define CYDEV_PRTDSI_PRT0_OE_SEL0 0x40005202u +#define CYDEV_PRTDSI_PRT0_OE_SEL1 0x40005203u +#define CYDEV_PRTDSI_PRT0_DBL_SYNC_IN 0x40005204u +#define CYDEV_PRTDSI_PRT0_SYNC_OUT 0x40005205u +#define CYDEV_PRTDSI_PRT0_CAPS_SEL 0x40005206u +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208u +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT1_OUT_SEL0 0x40005208u +#define CYDEV_PRTDSI_PRT1_OUT_SEL1 0x40005209u +#define CYDEV_PRTDSI_PRT1_OE_SEL0 0x4000520au +#define CYDEV_PRTDSI_PRT1_OE_SEL1 0x4000520bu +#define CYDEV_PRTDSI_PRT1_DBL_SYNC_IN 0x4000520cu +#define CYDEV_PRTDSI_PRT1_SYNC_OUT 0x4000520du +#define CYDEV_PRTDSI_PRT1_CAPS_SEL 0x4000520eu +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210u +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT2_OUT_SEL0 0x40005210u +#define CYDEV_PRTDSI_PRT2_OUT_SEL1 0x40005211u +#define CYDEV_PRTDSI_PRT2_OE_SEL0 0x40005212u +#define CYDEV_PRTDSI_PRT2_OE_SEL1 0x40005213u +#define CYDEV_PRTDSI_PRT2_DBL_SYNC_IN 0x40005214u +#define CYDEV_PRTDSI_PRT2_SYNC_OUT 0x40005215u +#define CYDEV_PRTDSI_PRT2_CAPS_SEL 0x40005216u +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218u +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT3_OUT_SEL0 0x40005218u +#define CYDEV_PRTDSI_PRT3_OUT_SEL1 0x40005219u +#define CYDEV_PRTDSI_PRT3_OE_SEL0 0x4000521au +#define CYDEV_PRTDSI_PRT3_OE_SEL1 0x4000521bu +#define CYDEV_PRTDSI_PRT3_DBL_SYNC_IN 0x4000521cu +#define CYDEV_PRTDSI_PRT3_SYNC_OUT 0x4000521du +#define CYDEV_PRTDSI_PRT3_CAPS_SEL 0x4000521eu +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220u +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT4_OUT_SEL0 0x40005220u +#define CYDEV_PRTDSI_PRT4_OUT_SEL1 0x40005221u +#define CYDEV_PRTDSI_PRT4_OE_SEL0 0x40005222u +#define CYDEV_PRTDSI_PRT4_OE_SEL1 0x40005223u +#define CYDEV_PRTDSI_PRT4_DBL_SYNC_IN 0x40005224u +#define CYDEV_PRTDSI_PRT4_SYNC_OUT 0x40005225u +#define CYDEV_PRTDSI_PRT4_CAPS_SEL 0x40005226u +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228u +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT5_OUT_SEL0 0x40005228u +#define CYDEV_PRTDSI_PRT5_OUT_SEL1 0x40005229u +#define CYDEV_PRTDSI_PRT5_OE_SEL0 0x4000522au +#define CYDEV_PRTDSI_PRT5_OE_SEL1 0x4000522bu +#define CYDEV_PRTDSI_PRT5_DBL_SYNC_IN 0x4000522cu +#define CYDEV_PRTDSI_PRT5_SYNC_OUT 0x4000522du +#define CYDEV_PRTDSI_PRT5_CAPS_SEL 0x4000522eu +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230u +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT6_OUT_SEL0 0x40005230u +#define CYDEV_PRTDSI_PRT6_OUT_SEL1 0x40005231u +#define CYDEV_PRTDSI_PRT6_OE_SEL0 0x40005232u +#define CYDEV_PRTDSI_PRT6_OE_SEL1 0x40005233u +#define CYDEV_PRTDSI_PRT6_DBL_SYNC_IN 0x40005234u +#define CYDEV_PRTDSI_PRT6_SYNC_OUT 0x40005235u +#define CYDEV_PRTDSI_PRT6_CAPS_SEL 0x40005236u +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260u +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006u +#define CYDEV_PRTDSI_PRT12_OUT_SEL0 0x40005260u +#define CYDEV_PRTDSI_PRT12_OUT_SEL1 0x40005261u +#define CYDEV_PRTDSI_PRT12_OE_SEL0 0x40005262u +#define CYDEV_PRTDSI_PRT12_OE_SEL1 0x40005263u +#define CYDEV_PRTDSI_PRT12_DBL_SYNC_IN 0x40005264u +#define CYDEV_PRTDSI_PRT12_SYNC_OUT 0x40005265u +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278u +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT15_OUT_SEL0 0x40005278u +#define CYDEV_PRTDSI_PRT15_OUT_SEL1 0x40005279u +#define CYDEV_PRTDSI_PRT15_OE_SEL0 0x4000527au +#define CYDEV_PRTDSI_PRT15_OE_SEL1 0x4000527bu +#define CYDEV_PRTDSI_PRT15_DBL_SYNC_IN 0x4000527cu +#define CYDEV_PRTDSI_PRT15_SYNC_OUT 0x4000527du +#define CYDEV_PRTDSI_PRT15_CAPS_SEL 0x4000527eu +#define CYDEV_EMIF_BASE 0x40005400u +#define CYDEV_EMIF_SIZE 0x00000007u +#define CYDEV_EMIF_NO_UDB 0x40005400u +#define CYDEV_EMIF_RP_WAIT_STATES 0x40005401u +#define CYDEV_EMIF_MEM_DWN 0x40005402u +#define CYDEV_EMIF_MEMCLK_DIV 0x40005403u +#define CYDEV_EMIF_CLOCK_EN 0x40005404u +#define CYDEV_EMIF_EM_TYPE 0x40005405u +#define CYDEV_EMIF_WP_WAIT_STATES 0x40005406u +#define CYDEV_ANAIF_BASE 0x40005800u +#define CYDEV_ANAIF_SIZE 0x000003a9u +#define CYDEV_ANAIF_CFG_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SIZE 0x0000010fu +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC0_CR0 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_CR1 0x40005801u +#define CYDEV_ANAIF_CFG_SC0_CR2 0x40005802u +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC1_CR0 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_CR1 0x40005805u +#define CYDEV_ANAIF_CFG_SC1_CR2 0x40005806u +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC2_CR0 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_CR1 0x40005809u +#define CYDEV_ANAIF_CFG_SC2_CR2 0x4000580au +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC3_CR0 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_CR1 0x4000580du +#define CYDEV_ANAIF_CFG_SC3_CR2 0x4000580eu +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC0_CR0 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_CR1 0x40005821u +#define CYDEV_ANAIF_CFG_DAC0_TST 0x40005822u +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC1_CR0 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_CR1 0x40005825u +#define CYDEV_ANAIF_CFG_DAC1_TST 0x40005826u +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC2_CR0 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_CR1 0x40005829u +#define CYDEV_ANAIF_CFG_DAC2_TST 0x4000582au +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC3_CR0 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_CR1 0x4000582du +#define CYDEV_ANAIF_CFG_DAC3_TST 0x4000582eu +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840u +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP0_CR 0x40005840u +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841u +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP1_CR 0x40005841u +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842u +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP2_CR 0x40005842u +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843u +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP3_CR 0x40005843u +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT0_CR 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_MX 0x40005849u +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT1_CR 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_MX 0x4000584bu +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT2_CR 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_MX 0x4000584du +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT3_CR 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_MX 0x4000584fu +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP0_CR 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_RSVD 0x40005859u +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP1_CR 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_RSVD 0x4000585bu +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP2_CR 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_RSVD 0x4000585du +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP3_CR 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_RSVD 0x4000585fu +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LCDDAC_CR0 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_CR1 0x40005869u +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586au +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_LCDDRV_CR 0x4000586au +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586bu +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_LCDTMR_CFG 0x4000586bu +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004u +#define CYDEV_ANAIF_CFG_BG_CR0 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_RSVD 0x4000586du +#define CYDEV_ANAIF_CFG_BG_DFT0 0x4000586eu +#define CYDEV_ANAIF_CFG_BG_DFT1 0x4000586fu +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_CAPSL_CFG0 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_CFG1 0x40005871u +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_CAPSR_CFG0 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_CFG1 0x40005873u +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_PUMP_CR0 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_CR1 0x40005877u +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LPF0_CR0 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_RSVD 0x40005879u +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LPF1_CR0 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_RSVD 0x4000587bu +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587cu +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_MISC_CR0 0x4000587cu +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020u +#define CYDEV_ANAIF_CFG_DSM0_CR0 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_CR1 0x40005881u +#define CYDEV_ANAIF_CFG_DSM0_CR2 0x40005882u +#define CYDEV_ANAIF_CFG_DSM0_CR3 0x40005883u +#define CYDEV_ANAIF_CFG_DSM0_CR4 0x40005884u +#define CYDEV_ANAIF_CFG_DSM0_CR5 0x40005885u +#define CYDEV_ANAIF_CFG_DSM0_CR6 0x40005886u +#define CYDEV_ANAIF_CFG_DSM0_CR7 0x40005887u +#define CYDEV_ANAIF_CFG_DSM0_CR8 0x40005888u +#define CYDEV_ANAIF_CFG_DSM0_CR9 0x40005889u +#define CYDEV_ANAIF_CFG_DSM0_CR10 0x4000588au +#define CYDEV_ANAIF_CFG_DSM0_CR11 0x4000588bu +#define CYDEV_ANAIF_CFG_DSM0_CR12 0x4000588cu +#define CYDEV_ANAIF_CFG_DSM0_CR13 0x4000588du +#define CYDEV_ANAIF_CFG_DSM0_CR14 0x4000588eu +#define CYDEV_ANAIF_CFG_DSM0_CR15 0x4000588fu +#define CYDEV_ANAIF_CFG_DSM0_CR16 0x40005890u +#define CYDEV_ANAIF_CFG_DSM0_CR17 0x40005891u +#define CYDEV_ANAIF_CFG_DSM0_REF0 0x40005892u +#define CYDEV_ANAIF_CFG_DSM0_REF1 0x40005893u +#define CYDEV_ANAIF_CFG_DSM0_REF2 0x40005894u +#define CYDEV_ANAIF_CFG_DSM0_REF3 0x40005895u +#define CYDEV_ANAIF_CFG_DSM0_DEM0 0x40005896u +#define CYDEV_ANAIF_CFG_DSM0_DEM1 0x40005897u +#define CYDEV_ANAIF_CFG_DSM0_TST0 0x40005898u +#define CYDEV_ANAIF_CFG_DSM0_TST1 0x40005899u +#define CYDEV_ANAIF_CFG_DSM0_BUF0 0x4000589au +#define CYDEV_ANAIF_CFG_DSM0_BUF1 0x4000589bu +#define CYDEV_ANAIF_CFG_DSM0_BUF2 0x4000589cu +#define CYDEV_ANAIF_CFG_DSM0_BUF3 0x4000589du +#define CYDEV_ANAIF_CFG_DSM0_MISC 0x4000589eu +#define CYDEV_ANAIF_CFG_DSM0_RSVD1 0x4000589fu +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007u +#define CYDEV_ANAIF_CFG_SAR0_CSR0 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_CSR1 0x40005901u +#define CYDEV_ANAIF_CFG_SAR0_CSR2 0x40005902u +#define CYDEV_ANAIF_CFG_SAR0_CSR3 0x40005903u +#define CYDEV_ANAIF_CFG_SAR0_CSR4 0x40005904u +#define CYDEV_ANAIF_CFG_SAR0_CSR5 0x40005905u +#define CYDEV_ANAIF_CFG_SAR0_CSR6 0x40005906u +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007u +#define CYDEV_ANAIF_CFG_SAR1_CSR0 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_CSR1 0x40005909u +#define CYDEV_ANAIF_CFG_SAR1_CSR2 0x4000590au +#define CYDEV_ANAIF_CFG_SAR1_CSR3 0x4000590bu +#define CYDEV_ANAIF_CFG_SAR1_CSR4 0x4000590cu +#define CYDEV_ANAIF_CFG_SAR1_CSR5 0x4000590du +#define CYDEV_ANAIF_CFG_SAR1_CSR6 0x4000590eu +#define CYDEV_ANAIF_RT_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SIZE 0x00000162u +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC0_SW0 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SW2 0x40005a02u +#define CYDEV_ANAIF_RT_SC0_SW3 0x40005a03u +#define CYDEV_ANAIF_RT_SC0_SW4 0x40005a04u +#define CYDEV_ANAIF_RT_SC0_SW6 0x40005a06u +#define CYDEV_ANAIF_RT_SC0_SW7 0x40005a07u +#define CYDEV_ANAIF_RT_SC0_SW8 0x40005a08u +#define CYDEV_ANAIF_RT_SC0_SW10 0x40005a0au +#define CYDEV_ANAIF_RT_SC0_CLK 0x40005a0bu +#define CYDEV_ANAIF_RT_SC0_BST 0x40005a0cu +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC1_SW0 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SW2 0x40005a12u +#define CYDEV_ANAIF_RT_SC1_SW3 0x40005a13u +#define CYDEV_ANAIF_RT_SC1_SW4 0x40005a14u +#define CYDEV_ANAIF_RT_SC1_SW6 0x40005a16u +#define CYDEV_ANAIF_RT_SC1_SW7 0x40005a17u +#define CYDEV_ANAIF_RT_SC1_SW8 0x40005a18u +#define CYDEV_ANAIF_RT_SC1_SW10 0x40005a1au +#define CYDEV_ANAIF_RT_SC1_CLK 0x40005a1bu +#define CYDEV_ANAIF_RT_SC1_BST 0x40005a1cu +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC2_SW0 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SW2 0x40005a22u +#define CYDEV_ANAIF_RT_SC2_SW3 0x40005a23u +#define CYDEV_ANAIF_RT_SC2_SW4 0x40005a24u +#define CYDEV_ANAIF_RT_SC2_SW6 0x40005a26u +#define CYDEV_ANAIF_RT_SC2_SW7 0x40005a27u +#define CYDEV_ANAIF_RT_SC2_SW8 0x40005a28u +#define CYDEV_ANAIF_RT_SC2_SW10 0x40005a2au +#define CYDEV_ANAIF_RT_SC2_CLK 0x40005a2bu +#define CYDEV_ANAIF_RT_SC2_BST 0x40005a2cu +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC3_SW0 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SW2 0x40005a32u +#define CYDEV_ANAIF_RT_SC3_SW3 0x40005a33u +#define CYDEV_ANAIF_RT_SC3_SW4 0x40005a34u +#define CYDEV_ANAIF_RT_SC3_SW6 0x40005a36u +#define CYDEV_ANAIF_RT_SC3_SW7 0x40005a37u +#define CYDEV_ANAIF_RT_SC3_SW8 0x40005a38u +#define CYDEV_ANAIF_RT_SC3_SW10 0x40005a3au +#define CYDEV_ANAIF_RT_SC3_CLK 0x40005a3bu +#define CYDEV_ANAIF_RT_SC3_BST 0x40005a3cu +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC0_SW0 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SW2 0x40005a82u +#define CYDEV_ANAIF_RT_DAC0_SW3 0x40005a83u +#define CYDEV_ANAIF_RT_DAC0_SW4 0x40005a84u +#define CYDEV_ANAIF_RT_DAC0_STROBE 0x40005a87u +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC1_SW0 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SW2 0x40005a8au +#define CYDEV_ANAIF_RT_DAC1_SW3 0x40005a8bu +#define CYDEV_ANAIF_RT_DAC1_SW4 0x40005a8cu +#define CYDEV_ANAIF_RT_DAC1_STROBE 0x40005a8fu +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC2_SW0 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SW2 0x40005a92u +#define CYDEV_ANAIF_RT_DAC2_SW3 0x40005a93u +#define CYDEV_ANAIF_RT_DAC2_SW4 0x40005a94u +#define CYDEV_ANAIF_RT_DAC2_STROBE 0x40005a97u +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC3_SW0 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SW2 0x40005a9au +#define CYDEV_ANAIF_RT_DAC3_SW3 0x40005a9bu +#define CYDEV_ANAIF_RT_DAC3_SW4 0x40005a9cu +#define CYDEV_ANAIF_RT_DAC3_STROBE 0x40005a9fu +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP0_SW0 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SW2 0x40005ac2u +#define CYDEV_ANAIF_RT_CMP0_SW3 0x40005ac3u +#define CYDEV_ANAIF_RT_CMP0_SW4 0x40005ac4u +#define CYDEV_ANAIF_RT_CMP0_SW6 0x40005ac6u +#define CYDEV_ANAIF_RT_CMP0_CLK 0x40005ac7u +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP1_SW0 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SW2 0x40005acau +#define CYDEV_ANAIF_RT_CMP1_SW3 0x40005acbu +#define CYDEV_ANAIF_RT_CMP1_SW4 0x40005accu +#define CYDEV_ANAIF_RT_CMP1_SW6 0x40005aceu +#define CYDEV_ANAIF_RT_CMP1_CLK 0x40005acfu +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP2_SW0 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SW2 0x40005ad2u +#define CYDEV_ANAIF_RT_CMP2_SW3 0x40005ad3u +#define CYDEV_ANAIF_RT_CMP2_SW4 0x40005ad4u +#define CYDEV_ANAIF_RT_CMP2_SW6 0x40005ad6u +#define CYDEV_ANAIF_RT_CMP2_CLK 0x40005ad7u +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP3_SW0 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SW2 0x40005adau +#define CYDEV_ANAIF_RT_CMP3_SW3 0x40005adbu +#define CYDEV_ANAIF_RT_CMP3_SW4 0x40005adcu +#define CYDEV_ANAIF_RT_CMP3_SW6 0x40005adeu +#define CYDEV_ANAIF_RT_CMP3_CLK 0x40005adfu +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DSM0_SW0 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SW2 0x40005b02u +#define CYDEV_ANAIF_RT_DSM0_SW3 0x40005b03u +#define CYDEV_ANAIF_RT_DSM0_SW4 0x40005b04u +#define CYDEV_ANAIF_RT_DSM0_SW6 0x40005b06u +#define CYDEV_ANAIF_RT_DSM0_CLK 0x40005b07u +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_SAR0_SW0 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SW2 0x40005b22u +#define CYDEV_ANAIF_RT_SAR0_SW3 0x40005b23u +#define CYDEV_ANAIF_RT_SAR0_SW4 0x40005b24u +#define CYDEV_ANAIF_RT_SAR0_SW6 0x40005b26u +#define CYDEV_ANAIF_RT_SAR0_CLK 0x40005b27u +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_SAR1_SW0 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SW2 0x40005b2au +#define CYDEV_ANAIF_RT_SAR1_SW3 0x40005b2bu +#define CYDEV_ANAIF_RT_SAR1_SW4 0x40005b2cu +#define CYDEV_ANAIF_RT_SAR1_SW6 0x40005b2eu +#define CYDEV_ANAIF_RT_SAR1_CLK 0x40005b2fu +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP0_MX 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SW 0x40005b41u +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP1_MX 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SW 0x40005b43u +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP2_MX 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SW 0x40005b45u +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP3_MX 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SW 0x40005b47u +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005u +#define CYDEV_ANAIF_RT_LCDDAC_SW0 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SW1 0x40005b51u +#define CYDEV_ANAIF_RT_LCDDAC_SW2 0x40005b52u +#define CYDEV_ANAIF_RT_LCDDAC_SW3 0x40005b53u +#define CYDEV_ANAIF_RT_LCDDAC_SW4 0x40005b54u +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56u +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001u +#define CYDEV_ANAIF_RT_SC_MISC 0x40005b56u +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004u +#define CYDEV_ANAIF_RT_BUS_SW0 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SW2 0x40005b5au +#define CYDEV_ANAIF_RT_BUS_SW3 0x40005b5bu +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006u +#define CYDEV_ANAIF_RT_DFT_CR0 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_CR1 0x40005b5du +#define CYDEV_ANAIF_RT_DFT_CR2 0x40005b5eu +#define CYDEV_ANAIF_RT_DFT_CR3 0x40005b5fu +#define CYDEV_ANAIF_RT_DFT_CR4 0x40005b60u +#define CYDEV_ANAIF_RT_DFT_CR5 0x40005b61u +#define CYDEV_ANAIF_WRK_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_SIZE 0x00000029u +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC0_D 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC1_D 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC2_D 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83u +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC3_D 0x40005b83u +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_DSM0_OUT0 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_OUT1 0x40005b89u +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005u +#define CYDEV_ANAIF_WRK_LUT_SR 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_WRK1 0x40005b91u +#define CYDEV_ANAIF_WRK_LUT_MSK 0x40005b92u +#define CYDEV_ANAIF_WRK_LUT_CLK 0x40005b93u +#define CYDEV_ANAIF_WRK_LUT_CPTR 0x40005b94u +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_CMP_WRK 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_TST 0x40005b97u +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005u +#define CYDEV_ANAIF_WRK_SC_SR 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_WRK1 0x40005b99u +#define CYDEV_ANAIF_WRK_SC_MSK 0x40005b9au +#define CYDEV_ANAIF_WRK_SC_CMPINV 0x40005b9bu +#define CYDEV_ANAIF_WRK_SC_CPTR 0x40005b9cu +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_SAR0_WRK0 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_WRK1 0x40005ba1u +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_SAR1_WRK0 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_WRK1 0x40005ba3u +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8u +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_SARS_SOF 0x40005ba8u +#define CYDEV_USB_BASE 0x40006000u +#define CYDEV_USB_SIZE 0x00000300u +#define CYDEV_USB_EP0_DR0 0x40006000u +#define CYDEV_USB_EP0_DR1 0x40006001u +#define CYDEV_USB_EP0_DR2 0x40006002u +#define CYDEV_USB_EP0_DR3 0x40006003u +#define CYDEV_USB_EP0_DR4 0x40006004u +#define CYDEV_USB_EP0_DR5 0x40006005u +#define CYDEV_USB_EP0_DR6 0x40006006u +#define CYDEV_USB_EP0_DR7 0x40006007u +#define CYDEV_USB_CR0 0x40006008u +#define CYDEV_USB_CR1 0x40006009u +#define CYDEV_USB_SIE_EP_INT_EN 0x4000600au +#define CYDEV_USB_SIE_EP_INT_SR 0x4000600bu +#define CYDEV_USB_SIE_EP1_BASE 0x4000600cu +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP1_CNT0 0x4000600cu +#define CYDEV_USB_SIE_EP1_CNT1 0x4000600du +#define CYDEV_USB_SIE_EP1_CR0 0x4000600eu +#define CYDEV_USB_USBIO_CR0 0x40006010u +#define CYDEV_USB_USBIO_CR1 0x40006012u +#define CYDEV_USB_DYN_RECONFIG 0x40006014u +#define CYDEV_USB_SOF0 0x40006018u +#define CYDEV_USB_SOF1 0x40006019u +#define CYDEV_USB_SIE_EP2_BASE 0x4000601cu +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP2_CNT0 0x4000601cu +#define CYDEV_USB_SIE_EP2_CNT1 0x4000601du +#define CYDEV_USB_SIE_EP2_CR0 0x4000601eu +#define CYDEV_USB_EP0_CR 0x40006028u +#define CYDEV_USB_EP0_CNT 0x40006029u +#define CYDEV_USB_SIE_EP3_BASE 0x4000602cu +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP3_CNT0 0x4000602cu +#define CYDEV_USB_SIE_EP3_CNT1 0x4000602du +#define CYDEV_USB_SIE_EP3_CR0 0x4000602eu +#define CYDEV_USB_SIE_EP4_BASE 0x4000603cu +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP4_CNT0 0x4000603cu +#define CYDEV_USB_SIE_EP4_CNT1 0x4000603du +#define CYDEV_USB_SIE_EP4_CR0 0x4000603eu +#define CYDEV_USB_SIE_EP5_BASE 0x4000604cu +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP5_CNT0 0x4000604cu +#define CYDEV_USB_SIE_EP5_CNT1 0x4000604du +#define CYDEV_USB_SIE_EP5_CR0 0x4000604eu +#define CYDEV_USB_SIE_EP6_BASE 0x4000605cu +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP6_CNT0 0x4000605cu +#define CYDEV_USB_SIE_EP6_CNT1 0x4000605du +#define CYDEV_USB_SIE_EP6_CR0 0x4000605eu +#define CYDEV_USB_SIE_EP7_BASE 0x4000606cu +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP7_CNT0 0x4000606cu +#define CYDEV_USB_SIE_EP7_CNT1 0x4000606du +#define CYDEV_USB_SIE_EP7_CR0 0x4000606eu +#define CYDEV_USB_SIE_EP8_BASE 0x4000607cu +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP8_CNT0 0x4000607cu +#define CYDEV_USB_SIE_EP8_CNT1 0x4000607du +#define CYDEV_USB_SIE_EP8_CR0 0x4000607eu +#define CYDEV_USB_ARB_EP1_BASE 0x40006080u +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP1_CFG 0x40006080u +#define CYDEV_USB_ARB_EP1_INT_EN 0x40006081u +#define CYDEV_USB_ARB_EP1_SR 0x40006082u +#define CYDEV_USB_ARB_RW1_BASE 0x40006084u +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW1_WA 0x40006084u +#define CYDEV_USB_ARB_RW1_WA_MSB 0x40006085u +#define CYDEV_USB_ARB_RW1_RA 0x40006086u +#define CYDEV_USB_ARB_RW1_RA_MSB 0x40006087u +#define CYDEV_USB_ARB_RW1_DR 0x40006088u +#define CYDEV_USB_BUF_SIZE 0x4000608cu +#define CYDEV_USB_EP_ACTIVE 0x4000608eu +#define CYDEV_USB_EP_TYPE 0x4000608fu +#define CYDEV_USB_ARB_EP2_BASE 0x40006090u +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP2_CFG 0x40006090u +#define CYDEV_USB_ARB_EP2_INT_EN 0x40006091u +#define CYDEV_USB_ARB_EP2_SR 0x40006092u +#define CYDEV_USB_ARB_RW2_BASE 0x40006094u +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW2_WA 0x40006094u +#define CYDEV_USB_ARB_RW2_WA_MSB 0x40006095u +#define CYDEV_USB_ARB_RW2_RA 0x40006096u +#define CYDEV_USB_ARB_RW2_RA_MSB 0x40006097u +#define CYDEV_USB_ARB_RW2_DR 0x40006098u +#define CYDEV_USB_ARB_CFG 0x4000609cu +#define CYDEV_USB_USB_CLK_EN 0x4000609du +#define CYDEV_USB_ARB_INT_EN 0x4000609eu +#define CYDEV_USB_ARB_INT_SR 0x4000609fu +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0u +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP3_CFG 0x400060a0u +#define CYDEV_USB_ARB_EP3_INT_EN 0x400060a1u +#define CYDEV_USB_ARB_EP3_SR 0x400060a2u +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4u +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW3_WA 0x400060a4u +#define CYDEV_USB_ARB_RW3_WA_MSB 0x400060a5u +#define CYDEV_USB_ARB_RW3_RA 0x400060a6u +#define CYDEV_USB_ARB_RW3_RA_MSB 0x400060a7u +#define CYDEV_USB_ARB_RW3_DR 0x400060a8u +#define CYDEV_USB_CWA 0x400060acu +#define CYDEV_USB_CWA_MSB 0x400060adu +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0u +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP4_CFG 0x400060b0u +#define CYDEV_USB_ARB_EP4_INT_EN 0x400060b1u +#define CYDEV_USB_ARB_EP4_SR 0x400060b2u +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4u +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW4_WA 0x400060b4u +#define CYDEV_USB_ARB_RW4_WA_MSB 0x400060b5u +#define CYDEV_USB_ARB_RW4_RA 0x400060b6u +#define CYDEV_USB_ARB_RW4_RA_MSB 0x400060b7u +#define CYDEV_USB_ARB_RW4_DR 0x400060b8u +#define CYDEV_USB_DMA_THRES 0x400060bcu +#define CYDEV_USB_DMA_THRES_MSB 0x400060bdu +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0u +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP5_CFG 0x400060c0u +#define CYDEV_USB_ARB_EP5_INT_EN 0x400060c1u +#define CYDEV_USB_ARB_EP5_SR 0x400060c2u +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4u +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW5_WA 0x400060c4u +#define CYDEV_USB_ARB_RW5_WA_MSB 0x400060c5u +#define CYDEV_USB_ARB_RW5_RA 0x400060c6u +#define CYDEV_USB_ARB_RW5_RA_MSB 0x400060c7u +#define CYDEV_USB_ARB_RW5_DR 0x400060c8u +#define CYDEV_USB_BUS_RST_CNT 0x400060ccu +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0u +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP6_CFG 0x400060d0u +#define CYDEV_USB_ARB_EP6_INT_EN 0x400060d1u +#define CYDEV_USB_ARB_EP6_SR 0x400060d2u +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4u +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW6_WA 0x400060d4u +#define CYDEV_USB_ARB_RW6_WA_MSB 0x400060d5u +#define CYDEV_USB_ARB_RW6_RA 0x400060d6u +#define CYDEV_USB_ARB_RW6_RA_MSB 0x400060d7u +#define CYDEV_USB_ARB_RW6_DR 0x400060d8u +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0u +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP7_CFG 0x400060e0u +#define CYDEV_USB_ARB_EP7_INT_EN 0x400060e1u +#define CYDEV_USB_ARB_EP7_SR 0x400060e2u +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4u +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW7_WA 0x400060e4u +#define CYDEV_USB_ARB_RW7_WA_MSB 0x400060e5u +#define CYDEV_USB_ARB_RW7_RA 0x400060e6u +#define CYDEV_USB_ARB_RW7_RA_MSB 0x400060e7u +#define CYDEV_USB_ARB_RW7_DR 0x400060e8u +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0u +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP8_CFG 0x400060f0u +#define CYDEV_USB_ARB_EP8_INT_EN 0x400060f1u +#define CYDEV_USB_ARB_EP8_SR 0x400060f2u +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4u +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW8_WA 0x400060f4u +#define CYDEV_USB_ARB_RW8_WA_MSB 0x400060f5u +#define CYDEV_USB_ARB_RW8_RA 0x400060f6u +#define CYDEV_USB_ARB_RW8_RA_MSB 0x400060f7u +#define CYDEV_USB_ARB_RW8_DR 0x400060f8u +#define CYDEV_USB_MEM_BASE 0x40006100u +#define CYDEV_USB_MEM_SIZE 0x00000200u +#define CYDEV_USB_MEM_DATA_MBASE 0x40006100u +#define CYDEV_USB_MEM_DATA_MSIZE 0x00000200u +#define CYDEV_UWRK_BASE 0x40006400u +#define CYDEV_UWRK_SIZE 0x00000b60u +#define CYDEV_UWRK_UWRK8_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0u +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0u +#define CYDEV_UWRK_UWRK8_B0_UDB00_A0 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_UDB01_A0 0x40006401u +#define CYDEV_UWRK_UWRK8_B0_UDB02_A0 0x40006402u +#define CYDEV_UWRK_UWRK8_B0_UDB03_A0 0x40006403u +#define CYDEV_UWRK_UWRK8_B0_UDB04_A0 0x40006404u +#define CYDEV_UWRK_UWRK8_B0_UDB05_A0 0x40006405u +#define CYDEV_UWRK_UWRK8_B0_UDB06_A0 0x40006406u +#define CYDEV_UWRK_UWRK8_B0_UDB07_A0 0x40006407u +#define CYDEV_UWRK_UWRK8_B0_UDB08_A0 0x40006408u +#define CYDEV_UWRK_UWRK8_B0_UDB09_A0 0x40006409u +#define CYDEV_UWRK_UWRK8_B0_UDB10_A0 0x4000640au +#define CYDEV_UWRK_UWRK8_B0_UDB11_A0 0x4000640bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_A0 0x4000640cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_A0 0x4000640du +#define CYDEV_UWRK_UWRK8_B0_UDB14_A0 0x4000640eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_A0 0x4000640fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_A1 0x40006410u +#define CYDEV_UWRK_UWRK8_B0_UDB01_A1 0x40006411u +#define CYDEV_UWRK_UWRK8_B0_UDB02_A1 0x40006412u +#define CYDEV_UWRK_UWRK8_B0_UDB03_A1 0x40006413u +#define CYDEV_UWRK_UWRK8_B0_UDB04_A1 0x40006414u +#define CYDEV_UWRK_UWRK8_B0_UDB05_A1 0x40006415u +#define CYDEV_UWRK_UWRK8_B0_UDB06_A1 0x40006416u +#define CYDEV_UWRK_UWRK8_B0_UDB07_A1 0x40006417u +#define CYDEV_UWRK_UWRK8_B0_UDB08_A1 0x40006418u +#define CYDEV_UWRK_UWRK8_B0_UDB09_A1 0x40006419u +#define CYDEV_UWRK_UWRK8_B0_UDB10_A1 0x4000641au +#define CYDEV_UWRK_UWRK8_B0_UDB11_A1 0x4000641bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_A1 0x4000641cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_A1 0x4000641du +#define CYDEV_UWRK_UWRK8_B0_UDB14_A1 0x4000641eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_A1 0x4000641fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_D0 0x40006420u +#define CYDEV_UWRK_UWRK8_B0_UDB01_D0 0x40006421u +#define CYDEV_UWRK_UWRK8_B0_UDB02_D0 0x40006422u +#define CYDEV_UWRK_UWRK8_B0_UDB03_D0 0x40006423u +#define CYDEV_UWRK_UWRK8_B0_UDB04_D0 0x40006424u +#define CYDEV_UWRK_UWRK8_B0_UDB05_D0 0x40006425u +#define CYDEV_UWRK_UWRK8_B0_UDB06_D0 0x40006426u +#define CYDEV_UWRK_UWRK8_B0_UDB07_D0 0x40006427u +#define CYDEV_UWRK_UWRK8_B0_UDB08_D0 0x40006428u +#define CYDEV_UWRK_UWRK8_B0_UDB09_D0 0x40006429u +#define CYDEV_UWRK_UWRK8_B0_UDB10_D0 0x4000642au +#define CYDEV_UWRK_UWRK8_B0_UDB11_D0 0x4000642bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_D0 0x4000642cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_D0 0x4000642du +#define CYDEV_UWRK_UWRK8_B0_UDB14_D0 0x4000642eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_D0 0x4000642fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_D1 0x40006430u +#define CYDEV_UWRK_UWRK8_B0_UDB01_D1 0x40006431u +#define CYDEV_UWRK_UWRK8_B0_UDB02_D1 0x40006432u +#define CYDEV_UWRK_UWRK8_B0_UDB03_D1 0x40006433u +#define CYDEV_UWRK_UWRK8_B0_UDB04_D1 0x40006434u +#define CYDEV_UWRK_UWRK8_B0_UDB05_D1 0x40006435u +#define CYDEV_UWRK_UWRK8_B0_UDB06_D1 0x40006436u +#define CYDEV_UWRK_UWRK8_B0_UDB07_D1 0x40006437u +#define CYDEV_UWRK_UWRK8_B0_UDB08_D1 0x40006438u +#define CYDEV_UWRK_UWRK8_B0_UDB09_D1 0x40006439u +#define CYDEV_UWRK_UWRK8_B0_UDB10_D1 0x4000643au +#define CYDEV_UWRK_UWRK8_B0_UDB11_D1 0x4000643bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_D1 0x4000643cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_D1 0x4000643du +#define CYDEV_UWRK_UWRK8_B0_UDB14_D1 0x4000643eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_D1 0x4000643fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_F0 0x40006440u +#define CYDEV_UWRK_UWRK8_B0_UDB01_F0 0x40006441u +#define CYDEV_UWRK_UWRK8_B0_UDB02_F0 0x40006442u +#define CYDEV_UWRK_UWRK8_B0_UDB03_F0 0x40006443u +#define CYDEV_UWRK_UWRK8_B0_UDB04_F0 0x40006444u +#define CYDEV_UWRK_UWRK8_B0_UDB05_F0 0x40006445u +#define CYDEV_UWRK_UWRK8_B0_UDB06_F0 0x40006446u +#define CYDEV_UWRK_UWRK8_B0_UDB07_F0 0x40006447u +#define CYDEV_UWRK_UWRK8_B0_UDB08_F0 0x40006448u +#define CYDEV_UWRK_UWRK8_B0_UDB09_F0 0x40006449u +#define CYDEV_UWRK_UWRK8_B0_UDB10_F0 0x4000644au +#define CYDEV_UWRK_UWRK8_B0_UDB11_F0 0x4000644bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_F0 0x4000644cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_F0 0x4000644du +#define CYDEV_UWRK_UWRK8_B0_UDB14_F0 0x4000644eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_F0 0x4000644fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_F1 0x40006450u +#define CYDEV_UWRK_UWRK8_B0_UDB01_F1 0x40006451u +#define CYDEV_UWRK_UWRK8_B0_UDB02_F1 0x40006452u +#define CYDEV_UWRK_UWRK8_B0_UDB03_F1 0x40006453u +#define CYDEV_UWRK_UWRK8_B0_UDB04_F1 0x40006454u +#define CYDEV_UWRK_UWRK8_B0_UDB05_F1 0x40006455u +#define CYDEV_UWRK_UWRK8_B0_UDB06_F1 0x40006456u +#define CYDEV_UWRK_UWRK8_B0_UDB07_F1 0x40006457u +#define CYDEV_UWRK_UWRK8_B0_UDB08_F1 0x40006458u +#define CYDEV_UWRK_UWRK8_B0_UDB09_F1 0x40006459u +#define CYDEV_UWRK_UWRK8_B0_UDB10_F1 0x4000645au +#define CYDEV_UWRK_UWRK8_B0_UDB11_F1 0x4000645bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_F1 0x4000645cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_F1 0x4000645du +#define CYDEV_UWRK_UWRK8_B0_UDB14_F1 0x4000645eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_F1 0x4000645fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_ST 0x40006460u +#define CYDEV_UWRK_UWRK8_B0_UDB01_ST 0x40006461u +#define CYDEV_UWRK_UWRK8_B0_UDB02_ST 0x40006462u +#define CYDEV_UWRK_UWRK8_B0_UDB03_ST 0x40006463u +#define CYDEV_UWRK_UWRK8_B0_UDB04_ST 0x40006464u +#define CYDEV_UWRK_UWRK8_B0_UDB05_ST 0x40006465u +#define CYDEV_UWRK_UWRK8_B0_UDB06_ST 0x40006466u +#define CYDEV_UWRK_UWRK8_B0_UDB07_ST 0x40006467u +#define CYDEV_UWRK_UWRK8_B0_UDB08_ST 0x40006468u +#define CYDEV_UWRK_UWRK8_B0_UDB09_ST 0x40006469u +#define CYDEV_UWRK_UWRK8_B0_UDB10_ST 0x4000646au +#define CYDEV_UWRK_UWRK8_B0_UDB11_ST 0x4000646bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_ST 0x4000646cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_ST 0x4000646du +#define CYDEV_UWRK_UWRK8_B0_UDB14_ST 0x4000646eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_ST 0x4000646fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_CTL 0x40006470u +#define CYDEV_UWRK_UWRK8_B0_UDB01_CTL 0x40006471u +#define CYDEV_UWRK_UWRK8_B0_UDB02_CTL 0x40006472u +#define CYDEV_UWRK_UWRK8_B0_UDB03_CTL 0x40006473u +#define CYDEV_UWRK_UWRK8_B0_UDB04_CTL 0x40006474u +#define CYDEV_UWRK_UWRK8_B0_UDB05_CTL 0x40006475u +#define CYDEV_UWRK_UWRK8_B0_UDB06_CTL 0x40006476u +#define CYDEV_UWRK_UWRK8_B0_UDB07_CTL 0x40006477u +#define CYDEV_UWRK_UWRK8_B0_UDB08_CTL 0x40006478u +#define CYDEV_UWRK_UWRK8_B0_UDB09_CTL 0x40006479u +#define CYDEV_UWRK_UWRK8_B0_UDB10_CTL 0x4000647au +#define CYDEV_UWRK_UWRK8_B0_UDB11_CTL 0x4000647bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_CTL 0x4000647cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_CTL 0x4000647du +#define CYDEV_UWRK_UWRK8_B0_UDB14_CTL 0x4000647eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_CTL 0x4000647fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_MSK 0x40006480u +#define CYDEV_UWRK_UWRK8_B0_UDB01_MSK 0x40006481u +#define CYDEV_UWRK_UWRK8_B0_UDB02_MSK 0x40006482u +#define CYDEV_UWRK_UWRK8_B0_UDB03_MSK 0x40006483u +#define CYDEV_UWRK_UWRK8_B0_UDB04_MSK 0x40006484u +#define CYDEV_UWRK_UWRK8_B0_UDB05_MSK 0x40006485u +#define CYDEV_UWRK_UWRK8_B0_UDB06_MSK 0x40006486u +#define CYDEV_UWRK_UWRK8_B0_UDB07_MSK 0x40006487u +#define CYDEV_UWRK_UWRK8_B0_UDB08_MSK 0x40006488u +#define CYDEV_UWRK_UWRK8_B0_UDB09_MSK 0x40006489u +#define CYDEV_UWRK_UWRK8_B0_UDB10_MSK 0x4000648au +#define CYDEV_UWRK_UWRK8_B0_UDB11_MSK 0x4000648bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_MSK 0x4000648cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_MSK 0x4000648du +#define CYDEV_UWRK_UWRK8_B0_UDB14_MSK 0x4000648eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_MSK 0x4000648fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_ACTL 0x40006490u +#define CYDEV_UWRK_UWRK8_B0_UDB01_ACTL 0x40006491u +#define CYDEV_UWRK_UWRK8_B0_UDB02_ACTL 0x40006492u +#define CYDEV_UWRK_UWRK8_B0_UDB03_ACTL 0x40006493u +#define CYDEV_UWRK_UWRK8_B0_UDB04_ACTL 0x40006494u +#define CYDEV_UWRK_UWRK8_B0_UDB05_ACTL 0x40006495u +#define CYDEV_UWRK_UWRK8_B0_UDB06_ACTL 0x40006496u +#define CYDEV_UWRK_UWRK8_B0_UDB07_ACTL 0x40006497u +#define CYDEV_UWRK_UWRK8_B0_UDB08_ACTL 0x40006498u +#define CYDEV_UWRK_UWRK8_B0_UDB09_ACTL 0x40006499u +#define CYDEV_UWRK_UWRK8_B0_UDB10_ACTL 0x4000649au +#define CYDEV_UWRK_UWRK8_B0_UDB11_ACTL 0x4000649bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_ACTL 0x4000649cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_ACTL 0x4000649du +#define CYDEV_UWRK_UWRK8_B0_UDB14_ACTL 0x4000649eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_ACTL 0x4000649fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_MC 0x400064a0u +#define CYDEV_UWRK_UWRK8_B0_UDB01_MC 0x400064a1u +#define CYDEV_UWRK_UWRK8_B0_UDB02_MC 0x400064a2u +#define CYDEV_UWRK_UWRK8_B0_UDB03_MC 0x400064a3u +#define CYDEV_UWRK_UWRK8_B0_UDB04_MC 0x400064a4u +#define CYDEV_UWRK_UWRK8_B0_UDB05_MC 0x400064a5u +#define CYDEV_UWRK_UWRK8_B0_UDB06_MC 0x400064a6u +#define CYDEV_UWRK_UWRK8_B0_UDB07_MC 0x400064a7u +#define CYDEV_UWRK_UWRK8_B0_UDB08_MC 0x400064a8u +#define CYDEV_UWRK_UWRK8_B0_UDB09_MC 0x400064a9u +#define CYDEV_UWRK_UWRK8_B0_UDB10_MC 0x400064aau +#define CYDEV_UWRK_UWRK8_B0_UDB11_MC 0x400064abu +#define CYDEV_UWRK_UWRK8_B0_UDB12_MC 0x400064acu +#define CYDEV_UWRK_UWRK8_B0_UDB13_MC 0x400064adu +#define CYDEV_UWRK_UWRK8_B0_UDB14_MC 0x400064aeu +#define CYDEV_UWRK_UWRK8_B0_UDB15_MC 0x400064afu +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500u +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0u +#define CYDEV_UWRK_UWRK8_B1_UDB04_A0 0x40006504u +#define CYDEV_UWRK_UWRK8_B1_UDB05_A0 0x40006505u +#define CYDEV_UWRK_UWRK8_B1_UDB06_A0 0x40006506u +#define CYDEV_UWRK_UWRK8_B1_UDB07_A0 0x40006507u +#define CYDEV_UWRK_UWRK8_B1_UDB08_A0 0x40006508u +#define CYDEV_UWRK_UWRK8_B1_UDB09_A0 0x40006509u +#define CYDEV_UWRK_UWRK8_B1_UDB10_A0 0x4000650au +#define CYDEV_UWRK_UWRK8_B1_UDB11_A0 0x4000650bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_A1 0x40006514u +#define CYDEV_UWRK_UWRK8_B1_UDB05_A1 0x40006515u +#define CYDEV_UWRK_UWRK8_B1_UDB06_A1 0x40006516u +#define CYDEV_UWRK_UWRK8_B1_UDB07_A1 0x40006517u +#define CYDEV_UWRK_UWRK8_B1_UDB08_A1 0x40006518u +#define CYDEV_UWRK_UWRK8_B1_UDB09_A1 0x40006519u +#define CYDEV_UWRK_UWRK8_B1_UDB10_A1 0x4000651au +#define CYDEV_UWRK_UWRK8_B1_UDB11_A1 0x4000651bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_D0 0x40006524u +#define CYDEV_UWRK_UWRK8_B1_UDB05_D0 0x40006525u +#define CYDEV_UWRK_UWRK8_B1_UDB06_D0 0x40006526u +#define CYDEV_UWRK_UWRK8_B1_UDB07_D0 0x40006527u +#define CYDEV_UWRK_UWRK8_B1_UDB08_D0 0x40006528u +#define CYDEV_UWRK_UWRK8_B1_UDB09_D0 0x40006529u +#define CYDEV_UWRK_UWRK8_B1_UDB10_D0 0x4000652au +#define CYDEV_UWRK_UWRK8_B1_UDB11_D0 0x4000652bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_D1 0x40006534u +#define CYDEV_UWRK_UWRK8_B1_UDB05_D1 0x40006535u +#define CYDEV_UWRK_UWRK8_B1_UDB06_D1 0x40006536u +#define CYDEV_UWRK_UWRK8_B1_UDB07_D1 0x40006537u +#define CYDEV_UWRK_UWRK8_B1_UDB08_D1 0x40006538u +#define CYDEV_UWRK_UWRK8_B1_UDB09_D1 0x40006539u +#define CYDEV_UWRK_UWRK8_B1_UDB10_D1 0x4000653au +#define CYDEV_UWRK_UWRK8_B1_UDB11_D1 0x4000653bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_F0 0x40006544u +#define CYDEV_UWRK_UWRK8_B1_UDB05_F0 0x40006545u +#define CYDEV_UWRK_UWRK8_B1_UDB06_F0 0x40006546u +#define CYDEV_UWRK_UWRK8_B1_UDB07_F0 0x40006547u +#define CYDEV_UWRK_UWRK8_B1_UDB08_F0 0x40006548u +#define CYDEV_UWRK_UWRK8_B1_UDB09_F0 0x40006549u +#define CYDEV_UWRK_UWRK8_B1_UDB10_F0 0x4000654au +#define CYDEV_UWRK_UWRK8_B1_UDB11_F0 0x4000654bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_F1 0x40006554u +#define CYDEV_UWRK_UWRK8_B1_UDB05_F1 0x40006555u +#define CYDEV_UWRK_UWRK8_B1_UDB06_F1 0x40006556u +#define CYDEV_UWRK_UWRK8_B1_UDB07_F1 0x40006557u +#define CYDEV_UWRK_UWRK8_B1_UDB08_F1 0x40006558u +#define CYDEV_UWRK_UWRK8_B1_UDB09_F1 0x40006559u +#define CYDEV_UWRK_UWRK8_B1_UDB10_F1 0x4000655au +#define CYDEV_UWRK_UWRK8_B1_UDB11_F1 0x4000655bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_ST 0x40006564u +#define CYDEV_UWRK_UWRK8_B1_UDB05_ST 0x40006565u +#define CYDEV_UWRK_UWRK8_B1_UDB06_ST 0x40006566u +#define CYDEV_UWRK_UWRK8_B1_UDB07_ST 0x40006567u +#define CYDEV_UWRK_UWRK8_B1_UDB08_ST 0x40006568u +#define CYDEV_UWRK_UWRK8_B1_UDB09_ST 0x40006569u +#define CYDEV_UWRK_UWRK8_B1_UDB10_ST 0x4000656au +#define CYDEV_UWRK_UWRK8_B1_UDB11_ST 0x4000656bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_CTL 0x40006574u +#define CYDEV_UWRK_UWRK8_B1_UDB05_CTL 0x40006575u +#define CYDEV_UWRK_UWRK8_B1_UDB06_CTL 0x40006576u +#define CYDEV_UWRK_UWRK8_B1_UDB07_CTL 0x40006577u +#define CYDEV_UWRK_UWRK8_B1_UDB08_CTL 0x40006578u +#define CYDEV_UWRK_UWRK8_B1_UDB09_CTL 0x40006579u +#define CYDEV_UWRK_UWRK8_B1_UDB10_CTL 0x4000657au +#define CYDEV_UWRK_UWRK8_B1_UDB11_CTL 0x4000657bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_MSK 0x40006584u +#define CYDEV_UWRK_UWRK8_B1_UDB05_MSK 0x40006585u +#define CYDEV_UWRK_UWRK8_B1_UDB06_MSK 0x40006586u +#define CYDEV_UWRK_UWRK8_B1_UDB07_MSK 0x40006587u +#define CYDEV_UWRK_UWRK8_B1_UDB08_MSK 0x40006588u +#define CYDEV_UWRK_UWRK8_B1_UDB09_MSK 0x40006589u +#define CYDEV_UWRK_UWRK8_B1_UDB10_MSK 0x4000658au +#define CYDEV_UWRK_UWRK8_B1_UDB11_MSK 0x4000658bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_ACTL 0x40006594u +#define CYDEV_UWRK_UWRK8_B1_UDB05_ACTL 0x40006595u +#define CYDEV_UWRK_UWRK8_B1_UDB06_ACTL 0x40006596u +#define CYDEV_UWRK_UWRK8_B1_UDB07_ACTL 0x40006597u +#define CYDEV_UWRK_UWRK8_B1_UDB08_ACTL 0x40006598u +#define CYDEV_UWRK_UWRK8_B1_UDB09_ACTL 0x40006599u +#define CYDEV_UWRK_UWRK8_B1_UDB10_ACTL 0x4000659au +#define CYDEV_UWRK_UWRK8_B1_UDB11_ACTL 0x4000659bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_MC 0x400065a4u +#define CYDEV_UWRK_UWRK8_B1_UDB05_MC 0x400065a5u +#define CYDEV_UWRK_UWRK8_B1_UDB06_MC 0x400065a6u +#define CYDEV_UWRK_UWRK8_B1_UDB07_MC 0x400065a7u +#define CYDEV_UWRK_UWRK8_B1_UDB08_MC 0x400065a8u +#define CYDEV_UWRK_UWRK8_B1_UDB09_MC 0x400065a9u +#define CYDEV_UWRK_UWRK8_B1_UDB10_MC 0x400065aau +#define CYDEV_UWRK_UWRK8_B1_UDB11_MC 0x400065abu +#define CYDEV_UWRK_UWRK16_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 0x40006802u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 0x40006804u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 0x40006806u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 0x40006808u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 0x4000680au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 0x4000680cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 0x4000680eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 0x40006810u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 0x40006812u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 0x40006814u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 0x40006816u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 0x40006818u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 0x4000681au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 0x4000681cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 0x4000681eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 0x40006840u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 0x40006842u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 0x40006844u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 0x40006846u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 0x40006848u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 0x4000684au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 0x4000684cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 0x4000684eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 0x40006850u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 0x40006852u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 0x40006854u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 0x40006856u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 0x40006858u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 0x4000685au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 0x4000685cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 0x4000685eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 0x40006880u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 0x40006882u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 0x40006884u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 0x40006886u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 0x40006888u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 0x4000688au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 0x4000688cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 0x4000688eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 0x40006890u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 0x40006892u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 0x40006894u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 0x40006896u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 0x40006898u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 0x4000689au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 0x4000689cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 0x4000689eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL 0x400068c0u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL 0x400068c2u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL 0x400068c4u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL 0x400068c6u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL 0x400068c8u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL 0x400068cau +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL 0x400068ccu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL 0x400068ceu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL 0x400068d0u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL 0x400068d2u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL 0x400068d4u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL 0x400068d6u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL 0x400068d8u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL 0x400068dau +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL 0x400068dcu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL 0x400068deu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL 0x40006900u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL 0x40006902u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL 0x40006904u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL 0x40006906u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL 0x40006908u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL 0x4000690au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL 0x4000690cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL 0x4000690eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL 0x40006910u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL 0x40006912u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL 0x40006914u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL 0x40006916u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL 0x40006918u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL 0x4000691au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL 0x4000691cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL 0x4000691eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 0x40006940u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 0x40006942u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 0x40006944u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 0x40006946u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 0x40006948u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 0x4000694au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 0x4000694cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 0x4000694eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 0x40006950u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 0x40006952u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 0x40006954u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 0x40006956u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 0x40006958u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 0x4000695au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 0x4000695cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 0x4000695eu +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 0x40006a08u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 0x40006a0au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 0x40006a0cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 0x40006a0eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 0x40006a10u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 0x40006a12u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 0x40006a14u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 0x40006a16u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 0x40006a48u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 0x40006a4au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 0x40006a4cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 0x40006a4eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 0x40006a50u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 0x40006a52u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 0x40006a54u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 0x40006a56u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 0x40006a88u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 0x40006a8au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 0x40006a8cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 0x40006a8eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 0x40006a90u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 0x40006a92u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 0x40006a94u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 0x40006a96u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL 0x40006ac8u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL 0x40006acau +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL 0x40006accu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL 0x40006aceu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL 0x40006ad0u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL 0x40006ad2u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL 0x40006ad4u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL 0x40006ad6u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL 0x40006b08u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL 0x40006b0au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL 0x40006b0cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL 0x40006b0eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL 0x40006b10u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL 0x40006b12u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL 0x40006b14u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL 0x40006b16u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 0x40006b48u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 0x40006b4au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 0x40006b4cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 0x40006b4eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 0x40006b50u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 0x40006b52u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 0x40006b54u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 0x40006b56u +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075eu +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 0x40006802u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 0x40006804u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 0x40006806u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 0x40006808u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 0x4000680au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 0x4000680cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 0x4000680eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 0x40006810u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 0x40006812u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 0x40006814u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 0x40006816u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 0x40006818u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 0x4000681au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 0x4000681cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 0x40006820u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 0x40006822u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 0x40006824u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 0x40006826u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 0x40006828u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 0x4000682au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 0x4000682cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 0x4000682eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 0x40006830u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 0x40006832u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 0x40006834u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 0x40006836u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 0x40006838u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 0x4000683au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 0x4000683cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 0x40006840u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 0x40006842u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 0x40006844u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 0x40006846u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 0x40006848u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 0x4000684au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 0x4000684cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 0x4000684eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 0x40006850u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 0x40006852u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 0x40006854u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 0x40006856u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 0x40006858u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 0x4000685au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 0x4000685cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 0x40006860u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 0x40006862u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 0x40006864u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 0x40006866u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 0x40006868u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 0x4000686au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 0x4000686cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 0x4000686eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 0x40006870u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 0x40006872u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 0x40006874u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 0x40006876u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 0x40006878u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 0x4000687au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 0x4000687cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 0x40006880u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 0x40006882u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 0x40006884u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 0x40006886u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 0x40006888u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 0x4000688au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 0x4000688cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 0x4000688eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 0x40006890u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 0x40006892u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 0x40006894u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 0x40006896u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 0x40006898u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 0x4000689au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 0x4000689cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 0x400068a0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 0x400068a2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 0x400068a4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 0x400068a6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 0x400068a8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 0x400068aau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 0x400068acu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 0x400068aeu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 0x400068b0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 0x400068b2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 0x400068b4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 0x400068b6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 0x400068b8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 0x400068bau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 0x400068bcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST 0x400068c0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST 0x400068c2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST 0x400068c4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST 0x400068c6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST 0x400068c8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST 0x400068cau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST 0x400068ccu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST 0x400068ceu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST 0x400068d0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST 0x400068d2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST 0x400068d4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST 0x400068d6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST 0x400068d8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST 0x400068dau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST 0x400068dcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL 0x400068e0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL 0x400068e2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL 0x400068e4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL 0x400068e6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL 0x400068e8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL 0x400068eau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL 0x400068ecu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL 0x400068eeu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL 0x400068f0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL 0x400068f2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL 0x400068f4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL 0x400068f6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL 0x400068f8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL 0x400068fau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL 0x400068fcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK 0x40006900u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK 0x40006902u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK 0x40006904u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK 0x40006906u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK 0x40006908u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK 0x4000690au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK 0x4000690cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK 0x4000690eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK 0x40006910u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK 0x40006912u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK 0x40006914u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK 0x40006916u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK 0x40006918u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK 0x4000691au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK 0x4000691cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL 0x40006920u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL 0x40006922u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL 0x40006924u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL 0x40006926u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL 0x40006928u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL 0x4000692au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL 0x4000692cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL 0x4000692eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL 0x40006930u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL 0x40006932u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL 0x40006934u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL 0x40006936u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL 0x40006938u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL 0x4000693au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL 0x4000693cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC 0x40006940u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC 0x40006942u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC 0x40006944u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC 0x40006946u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC 0x40006948u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC 0x4000694au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC 0x4000694cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC 0x4000694eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC 0x40006950u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC 0x40006952u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC 0x40006954u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC 0x40006956u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC 0x40006958u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC 0x4000695au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC 0x4000695cu +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 0x40006a08u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 0x40006a0au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 0x40006a0cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 0x40006a0eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 0x40006a10u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 0x40006a12u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 0x40006a14u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 0x40006a16u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 0x40006a28u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 0x40006a2au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 0x40006a2cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 0x40006a2eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 0x40006a30u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 0x40006a32u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 0x40006a34u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 0x40006a36u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 0x40006a48u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 0x40006a4au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 0x40006a4cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 0x40006a4eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 0x40006a50u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 0x40006a52u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 0x40006a54u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 0x40006a56u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 0x40006a68u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 0x40006a6au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 0x40006a6cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 0x40006a6eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 0x40006a70u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 0x40006a72u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 0x40006a74u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 0x40006a76u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 0x40006a88u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 0x40006a8au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 0x40006a8cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 0x40006a8eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 0x40006a90u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 0x40006a92u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 0x40006a94u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 0x40006a96u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 0x40006aa8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 0x40006aaau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 0x40006aacu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 0x40006aaeu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 0x40006ab0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 0x40006ab2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 0x40006ab4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 0x40006ab6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST 0x40006ac8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST 0x40006acau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST 0x40006accu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST 0x40006aceu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST 0x40006ad0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST 0x40006ad2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST 0x40006ad4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST 0x40006ad6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL 0x40006ae8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL 0x40006aeau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL 0x40006aecu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL 0x40006aeeu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL 0x40006af0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL 0x40006af2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL 0x40006af4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL 0x40006af6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK 0x40006b08u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK 0x40006b0au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK 0x40006b0cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK 0x40006b0eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK 0x40006b10u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK 0x40006b12u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK 0x40006b14u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK 0x40006b16u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL 0x40006b28u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL 0x40006b2au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL 0x40006b2cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL 0x40006b2eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL 0x40006b30u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL 0x40006b32u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL 0x40006b34u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL 0x40006b36u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC 0x40006b48u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC 0x40006b4au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC 0x40006b4cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC 0x40006b4eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC 0x40006b50u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC 0x40006b52u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC 0x40006b54u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC 0x40006b56u +#define CYDEV_PHUB_BASE 0x40007000u +#define CYDEV_PHUB_SIZE 0x00000c00u +#define CYDEV_PHUB_CFG 0x40007000u +#define CYDEV_PHUB_ERR 0x40007004u +#define CYDEV_PHUB_ERR_ADR 0x40007008u +#define CYDEV_PHUB_CH0_BASE 0x40007010u +#define CYDEV_PHUB_CH0_SIZE 0x0000000cu +#define CYDEV_PHUB_CH0_BASIC_CFG 0x40007010u +#define CYDEV_PHUB_CH0_ACTION 0x40007014u +#define CYDEV_PHUB_CH0_BASIC_STATUS 0x40007018u +#define CYDEV_PHUB_CH1_BASE 0x40007020u +#define CYDEV_PHUB_CH1_SIZE 0x0000000cu +#define CYDEV_PHUB_CH1_BASIC_CFG 0x40007020u +#define CYDEV_PHUB_CH1_ACTION 0x40007024u +#define CYDEV_PHUB_CH1_BASIC_STATUS 0x40007028u +#define CYDEV_PHUB_CH2_BASE 0x40007030u +#define CYDEV_PHUB_CH2_SIZE 0x0000000cu +#define CYDEV_PHUB_CH2_BASIC_CFG 0x40007030u +#define CYDEV_PHUB_CH2_ACTION 0x40007034u +#define CYDEV_PHUB_CH2_BASIC_STATUS 0x40007038u +#define CYDEV_PHUB_CH3_BASE 0x40007040u +#define CYDEV_PHUB_CH3_SIZE 0x0000000cu +#define CYDEV_PHUB_CH3_BASIC_CFG 0x40007040u +#define CYDEV_PHUB_CH3_ACTION 0x40007044u +#define CYDEV_PHUB_CH3_BASIC_STATUS 0x40007048u +#define CYDEV_PHUB_CH4_BASE 0x40007050u +#define CYDEV_PHUB_CH4_SIZE 0x0000000cu +#define CYDEV_PHUB_CH4_BASIC_CFG 0x40007050u +#define CYDEV_PHUB_CH4_ACTION 0x40007054u +#define CYDEV_PHUB_CH4_BASIC_STATUS 0x40007058u +#define CYDEV_PHUB_CH5_BASE 0x40007060u +#define CYDEV_PHUB_CH5_SIZE 0x0000000cu +#define CYDEV_PHUB_CH5_BASIC_CFG 0x40007060u +#define CYDEV_PHUB_CH5_ACTION 0x40007064u +#define CYDEV_PHUB_CH5_BASIC_STATUS 0x40007068u +#define CYDEV_PHUB_CH6_BASE 0x40007070u +#define CYDEV_PHUB_CH6_SIZE 0x0000000cu +#define CYDEV_PHUB_CH6_BASIC_CFG 0x40007070u +#define CYDEV_PHUB_CH6_ACTION 0x40007074u +#define CYDEV_PHUB_CH6_BASIC_STATUS 0x40007078u +#define CYDEV_PHUB_CH7_BASE 0x40007080u +#define CYDEV_PHUB_CH7_SIZE 0x0000000cu +#define CYDEV_PHUB_CH7_BASIC_CFG 0x40007080u +#define CYDEV_PHUB_CH7_ACTION 0x40007084u +#define CYDEV_PHUB_CH7_BASIC_STATUS 0x40007088u +#define CYDEV_PHUB_CH8_BASE 0x40007090u +#define CYDEV_PHUB_CH8_SIZE 0x0000000cu +#define CYDEV_PHUB_CH8_BASIC_CFG 0x40007090u +#define CYDEV_PHUB_CH8_ACTION 0x40007094u +#define CYDEV_PHUB_CH8_BASIC_STATUS 0x40007098u +#define CYDEV_PHUB_CH9_BASE 0x400070a0u +#define CYDEV_PHUB_CH9_SIZE 0x0000000cu +#define CYDEV_PHUB_CH9_BASIC_CFG 0x400070a0u +#define CYDEV_PHUB_CH9_ACTION 0x400070a4u +#define CYDEV_PHUB_CH9_BASIC_STATUS 0x400070a8u +#define CYDEV_PHUB_CH10_BASE 0x400070b0u +#define CYDEV_PHUB_CH10_SIZE 0x0000000cu +#define CYDEV_PHUB_CH10_BASIC_CFG 0x400070b0u +#define CYDEV_PHUB_CH10_ACTION 0x400070b4u +#define CYDEV_PHUB_CH10_BASIC_STATUS 0x400070b8u +#define CYDEV_PHUB_CH11_BASE 0x400070c0u +#define CYDEV_PHUB_CH11_SIZE 0x0000000cu +#define CYDEV_PHUB_CH11_BASIC_CFG 0x400070c0u +#define CYDEV_PHUB_CH11_ACTION 0x400070c4u +#define CYDEV_PHUB_CH11_BASIC_STATUS 0x400070c8u +#define CYDEV_PHUB_CH12_BASE 0x400070d0u +#define CYDEV_PHUB_CH12_SIZE 0x0000000cu +#define CYDEV_PHUB_CH12_BASIC_CFG 0x400070d0u +#define CYDEV_PHUB_CH12_ACTION 0x400070d4u +#define CYDEV_PHUB_CH12_BASIC_STATUS 0x400070d8u +#define CYDEV_PHUB_CH13_BASE 0x400070e0u +#define CYDEV_PHUB_CH13_SIZE 0x0000000cu +#define CYDEV_PHUB_CH13_BASIC_CFG 0x400070e0u +#define CYDEV_PHUB_CH13_ACTION 0x400070e4u +#define CYDEV_PHUB_CH13_BASIC_STATUS 0x400070e8u +#define CYDEV_PHUB_CH14_BASE 0x400070f0u +#define CYDEV_PHUB_CH14_SIZE 0x0000000cu +#define CYDEV_PHUB_CH14_BASIC_CFG 0x400070f0u +#define CYDEV_PHUB_CH14_ACTION 0x400070f4u +#define CYDEV_PHUB_CH14_BASIC_STATUS 0x400070f8u +#define CYDEV_PHUB_CH15_BASE 0x40007100u +#define CYDEV_PHUB_CH15_SIZE 0x0000000cu +#define CYDEV_PHUB_CH15_BASIC_CFG 0x40007100u +#define CYDEV_PHUB_CH15_ACTION 0x40007104u +#define CYDEV_PHUB_CH15_BASIC_STATUS 0x40007108u +#define CYDEV_PHUB_CH16_BASE 0x40007110u +#define CYDEV_PHUB_CH16_SIZE 0x0000000cu +#define CYDEV_PHUB_CH16_BASIC_CFG 0x40007110u +#define CYDEV_PHUB_CH16_ACTION 0x40007114u +#define CYDEV_PHUB_CH16_BASIC_STATUS 0x40007118u +#define CYDEV_PHUB_CH17_BASE 0x40007120u +#define CYDEV_PHUB_CH17_SIZE 0x0000000cu +#define CYDEV_PHUB_CH17_BASIC_CFG 0x40007120u +#define CYDEV_PHUB_CH17_ACTION 0x40007124u +#define CYDEV_PHUB_CH17_BASIC_STATUS 0x40007128u +#define CYDEV_PHUB_CH18_BASE 0x40007130u +#define CYDEV_PHUB_CH18_SIZE 0x0000000cu +#define CYDEV_PHUB_CH18_BASIC_CFG 0x40007130u +#define CYDEV_PHUB_CH18_ACTION 0x40007134u +#define CYDEV_PHUB_CH18_BASIC_STATUS 0x40007138u +#define CYDEV_PHUB_CH19_BASE 0x40007140u +#define CYDEV_PHUB_CH19_SIZE 0x0000000cu +#define CYDEV_PHUB_CH19_BASIC_CFG 0x40007140u +#define CYDEV_PHUB_CH19_ACTION 0x40007144u +#define CYDEV_PHUB_CH19_BASIC_STATUS 0x40007148u +#define CYDEV_PHUB_CH20_BASE 0x40007150u +#define CYDEV_PHUB_CH20_SIZE 0x0000000cu +#define CYDEV_PHUB_CH20_BASIC_CFG 0x40007150u +#define CYDEV_PHUB_CH20_ACTION 0x40007154u +#define CYDEV_PHUB_CH20_BASIC_STATUS 0x40007158u +#define CYDEV_PHUB_CH21_BASE 0x40007160u +#define CYDEV_PHUB_CH21_SIZE 0x0000000cu +#define CYDEV_PHUB_CH21_BASIC_CFG 0x40007160u +#define CYDEV_PHUB_CH21_ACTION 0x40007164u +#define CYDEV_PHUB_CH21_BASIC_STATUS 0x40007168u +#define CYDEV_PHUB_CH22_BASE 0x40007170u +#define CYDEV_PHUB_CH22_SIZE 0x0000000cu +#define CYDEV_PHUB_CH22_BASIC_CFG 0x40007170u +#define CYDEV_PHUB_CH22_ACTION 0x40007174u +#define CYDEV_PHUB_CH22_BASIC_STATUS 0x40007178u +#define CYDEV_PHUB_CH23_BASE 0x40007180u +#define CYDEV_PHUB_CH23_SIZE 0x0000000cu +#define CYDEV_PHUB_CH23_BASIC_CFG 0x40007180u +#define CYDEV_PHUB_CH23_ACTION 0x40007184u +#define CYDEV_PHUB_CH23_BASIC_STATUS 0x40007188u +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600u +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM0_CFG0 0x40007600u +#define CYDEV_PHUB_CFGMEM0_CFG1 0x40007604u +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608u +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM1_CFG0 0x40007608u +#define CYDEV_PHUB_CFGMEM1_CFG1 0x4000760cu +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610u +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM2_CFG0 0x40007610u +#define CYDEV_PHUB_CFGMEM2_CFG1 0x40007614u +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618u +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM3_CFG0 0x40007618u +#define CYDEV_PHUB_CFGMEM3_CFG1 0x4000761cu +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620u +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM4_CFG0 0x40007620u +#define CYDEV_PHUB_CFGMEM4_CFG1 0x40007624u +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628u +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM5_CFG0 0x40007628u +#define CYDEV_PHUB_CFGMEM5_CFG1 0x4000762cu +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630u +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM6_CFG0 0x40007630u +#define CYDEV_PHUB_CFGMEM6_CFG1 0x40007634u +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638u +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM7_CFG0 0x40007638u +#define CYDEV_PHUB_CFGMEM7_CFG1 0x4000763cu +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640u +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM8_CFG0 0x40007640u +#define CYDEV_PHUB_CFGMEM8_CFG1 0x40007644u +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648u +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM9_CFG0 0x40007648u +#define CYDEV_PHUB_CFGMEM9_CFG1 0x4000764cu +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650u +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM10_CFG0 0x40007650u +#define CYDEV_PHUB_CFGMEM10_CFG1 0x40007654u +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658u +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM11_CFG0 0x40007658u +#define CYDEV_PHUB_CFGMEM11_CFG1 0x4000765cu +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660u +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM12_CFG0 0x40007660u +#define CYDEV_PHUB_CFGMEM12_CFG1 0x40007664u +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668u +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM13_CFG0 0x40007668u +#define CYDEV_PHUB_CFGMEM13_CFG1 0x4000766cu +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670u +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM14_CFG0 0x40007670u +#define CYDEV_PHUB_CFGMEM14_CFG1 0x40007674u +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678u +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM15_CFG0 0x40007678u +#define CYDEV_PHUB_CFGMEM15_CFG1 0x4000767cu +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680u +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM16_CFG0 0x40007680u +#define CYDEV_PHUB_CFGMEM16_CFG1 0x40007684u +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688u +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM17_CFG0 0x40007688u +#define CYDEV_PHUB_CFGMEM17_CFG1 0x4000768cu +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690u +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM18_CFG0 0x40007690u +#define CYDEV_PHUB_CFGMEM18_CFG1 0x40007694u +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698u +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM19_CFG0 0x40007698u +#define CYDEV_PHUB_CFGMEM19_CFG1 0x4000769cu +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM20_CFG0 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_CFG1 0x400076a4u +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM21_CFG0 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_CFG1 0x400076acu +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM22_CFG0 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_CFG1 0x400076b4u +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM23_CFG0 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_CFG1 0x400076bcu +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800u +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM0_ORIG_TD0 0x40007800u +#define CYDEV_PHUB_TDMEM0_ORIG_TD1 0x40007804u +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808u +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM1_ORIG_TD0 0x40007808u +#define CYDEV_PHUB_TDMEM1_ORIG_TD1 0x4000780cu +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810u +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM2_ORIG_TD0 0x40007810u +#define CYDEV_PHUB_TDMEM2_ORIG_TD1 0x40007814u +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818u +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM3_ORIG_TD0 0x40007818u +#define CYDEV_PHUB_TDMEM3_ORIG_TD1 0x4000781cu +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820u +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM4_ORIG_TD0 0x40007820u +#define CYDEV_PHUB_TDMEM4_ORIG_TD1 0x40007824u +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828u +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM5_ORIG_TD0 0x40007828u +#define CYDEV_PHUB_TDMEM5_ORIG_TD1 0x4000782cu +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830u +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM6_ORIG_TD0 0x40007830u +#define CYDEV_PHUB_TDMEM6_ORIG_TD1 0x40007834u +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838u +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM7_ORIG_TD0 0x40007838u +#define CYDEV_PHUB_TDMEM7_ORIG_TD1 0x4000783cu +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840u +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM8_ORIG_TD0 0x40007840u +#define CYDEV_PHUB_TDMEM8_ORIG_TD1 0x40007844u +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848u +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM9_ORIG_TD0 0x40007848u +#define CYDEV_PHUB_TDMEM9_ORIG_TD1 0x4000784cu +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850u +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM10_ORIG_TD0 0x40007850u +#define CYDEV_PHUB_TDMEM10_ORIG_TD1 0x40007854u +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858u +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM11_ORIG_TD0 0x40007858u +#define CYDEV_PHUB_TDMEM11_ORIG_TD1 0x4000785cu +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860u +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM12_ORIG_TD0 0x40007860u +#define CYDEV_PHUB_TDMEM12_ORIG_TD1 0x40007864u +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868u +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM13_ORIG_TD0 0x40007868u +#define CYDEV_PHUB_TDMEM13_ORIG_TD1 0x4000786cu +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870u +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM14_ORIG_TD0 0x40007870u +#define CYDEV_PHUB_TDMEM14_ORIG_TD1 0x40007874u +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878u +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM15_ORIG_TD0 0x40007878u +#define CYDEV_PHUB_TDMEM15_ORIG_TD1 0x4000787cu +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880u +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM16_ORIG_TD0 0x40007880u +#define CYDEV_PHUB_TDMEM16_ORIG_TD1 0x40007884u +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888u +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM17_ORIG_TD0 0x40007888u +#define CYDEV_PHUB_TDMEM17_ORIG_TD1 0x4000788cu +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890u +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM18_ORIG_TD0 0x40007890u +#define CYDEV_PHUB_TDMEM18_ORIG_TD1 0x40007894u +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898u +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM19_ORIG_TD0 0x40007898u +#define CYDEV_PHUB_TDMEM19_ORIG_TD1 0x4000789cu +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0u +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM20_ORIG_TD0 0x400078a0u +#define CYDEV_PHUB_TDMEM20_ORIG_TD1 0x400078a4u +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8u +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM21_ORIG_TD0 0x400078a8u +#define CYDEV_PHUB_TDMEM21_ORIG_TD1 0x400078acu +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0u +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM22_ORIG_TD0 0x400078b0u +#define CYDEV_PHUB_TDMEM22_ORIG_TD1 0x400078b4u +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8u +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM23_ORIG_TD0 0x400078b8u +#define CYDEV_PHUB_TDMEM23_ORIG_TD1 0x400078bcu +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0u +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM24_ORIG_TD0 0x400078c0u +#define CYDEV_PHUB_TDMEM24_ORIG_TD1 0x400078c4u +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8u +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM25_ORIG_TD0 0x400078c8u +#define CYDEV_PHUB_TDMEM25_ORIG_TD1 0x400078ccu +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0u +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM26_ORIG_TD0 0x400078d0u +#define CYDEV_PHUB_TDMEM26_ORIG_TD1 0x400078d4u +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8u +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM27_ORIG_TD0 0x400078d8u +#define CYDEV_PHUB_TDMEM27_ORIG_TD1 0x400078dcu +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0u +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM28_ORIG_TD0 0x400078e0u +#define CYDEV_PHUB_TDMEM28_ORIG_TD1 0x400078e4u +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8u +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM29_ORIG_TD0 0x400078e8u +#define CYDEV_PHUB_TDMEM29_ORIG_TD1 0x400078ecu +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0u +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM30_ORIG_TD0 0x400078f0u +#define CYDEV_PHUB_TDMEM30_ORIG_TD1 0x400078f4u +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8u +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM31_ORIG_TD0 0x400078f8u +#define CYDEV_PHUB_TDMEM31_ORIG_TD1 0x400078fcu +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900u +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM32_ORIG_TD0 0x40007900u +#define CYDEV_PHUB_TDMEM32_ORIG_TD1 0x40007904u +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908u +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM33_ORIG_TD0 0x40007908u +#define CYDEV_PHUB_TDMEM33_ORIG_TD1 0x4000790cu +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910u +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM34_ORIG_TD0 0x40007910u +#define CYDEV_PHUB_TDMEM34_ORIG_TD1 0x40007914u +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918u +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM35_ORIG_TD0 0x40007918u +#define CYDEV_PHUB_TDMEM35_ORIG_TD1 0x4000791cu +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920u +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM36_ORIG_TD0 0x40007920u +#define CYDEV_PHUB_TDMEM36_ORIG_TD1 0x40007924u +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928u +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM37_ORIG_TD0 0x40007928u +#define CYDEV_PHUB_TDMEM37_ORIG_TD1 0x4000792cu +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930u +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM38_ORIG_TD0 0x40007930u +#define CYDEV_PHUB_TDMEM38_ORIG_TD1 0x40007934u +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938u +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM39_ORIG_TD0 0x40007938u +#define CYDEV_PHUB_TDMEM39_ORIG_TD1 0x4000793cu +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940u +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM40_ORIG_TD0 0x40007940u +#define CYDEV_PHUB_TDMEM40_ORIG_TD1 0x40007944u +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948u +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM41_ORIG_TD0 0x40007948u +#define CYDEV_PHUB_TDMEM41_ORIG_TD1 0x4000794cu +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950u +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM42_ORIG_TD0 0x40007950u +#define CYDEV_PHUB_TDMEM42_ORIG_TD1 0x40007954u +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958u +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM43_ORIG_TD0 0x40007958u +#define CYDEV_PHUB_TDMEM43_ORIG_TD1 0x4000795cu +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960u +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM44_ORIG_TD0 0x40007960u +#define CYDEV_PHUB_TDMEM44_ORIG_TD1 0x40007964u +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968u +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM45_ORIG_TD0 0x40007968u +#define CYDEV_PHUB_TDMEM45_ORIG_TD1 0x4000796cu +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970u +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM46_ORIG_TD0 0x40007970u +#define CYDEV_PHUB_TDMEM46_ORIG_TD1 0x40007974u +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978u +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM47_ORIG_TD0 0x40007978u +#define CYDEV_PHUB_TDMEM47_ORIG_TD1 0x4000797cu +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980u +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM48_ORIG_TD0 0x40007980u +#define CYDEV_PHUB_TDMEM48_ORIG_TD1 0x40007984u +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988u +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM49_ORIG_TD0 0x40007988u +#define CYDEV_PHUB_TDMEM49_ORIG_TD1 0x4000798cu +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990u +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM50_ORIG_TD0 0x40007990u +#define CYDEV_PHUB_TDMEM50_ORIG_TD1 0x40007994u +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998u +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM51_ORIG_TD0 0x40007998u +#define CYDEV_PHUB_TDMEM51_ORIG_TD1 0x4000799cu +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0u +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM52_ORIG_TD0 0x400079a0u +#define CYDEV_PHUB_TDMEM52_ORIG_TD1 0x400079a4u +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8u +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM53_ORIG_TD0 0x400079a8u +#define CYDEV_PHUB_TDMEM53_ORIG_TD1 0x400079acu +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0u +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM54_ORIG_TD0 0x400079b0u +#define CYDEV_PHUB_TDMEM54_ORIG_TD1 0x400079b4u +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8u +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM55_ORIG_TD0 0x400079b8u +#define CYDEV_PHUB_TDMEM55_ORIG_TD1 0x400079bcu +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0u +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM56_ORIG_TD0 0x400079c0u +#define CYDEV_PHUB_TDMEM56_ORIG_TD1 0x400079c4u +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8u +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM57_ORIG_TD0 0x400079c8u +#define CYDEV_PHUB_TDMEM57_ORIG_TD1 0x400079ccu +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0u +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM58_ORIG_TD0 0x400079d0u +#define CYDEV_PHUB_TDMEM58_ORIG_TD1 0x400079d4u +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8u +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM59_ORIG_TD0 0x400079d8u +#define CYDEV_PHUB_TDMEM59_ORIG_TD1 0x400079dcu +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0u +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM60_ORIG_TD0 0x400079e0u +#define CYDEV_PHUB_TDMEM60_ORIG_TD1 0x400079e4u +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8u +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM61_ORIG_TD0 0x400079e8u +#define CYDEV_PHUB_TDMEM61_ORIG_TD1 0x400079ecu +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0u +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM62_ORIG_TD0 0x400079f0u +#define CYDEV_PHUB_TDMEM62_ORIG_TD1 0x400079f4u +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8u +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM63_ORIG_TD0 0x400079f8u +#define CYDEV_PHUB_TDMEM63_ORIG_TD1 0x400079fcu +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00u +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM64_ORIG_TD0 0x40007a00u +#define CYDEV_PHUB_TDMEM64_ORIG_TD1 0x40007a04u +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08u +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM65_ORIG_TD0 0x40007a08u +#define CYDEV_PHUB_TDMEM65_ORIG_TD1 0x40007a0cu +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10u +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM66_ORIG_TD0 0x40007a10u +#define CYDEV_PHUB_TDMEM66_ORIG_TD1 0x40007a14u +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18u +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM67_ORIG_TD0 0x40007a18u +#define CYDEV_PHUB_TDMEM67_ORIG_TD1 0x40007a1cu +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20u +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM68_ORIG_TD0 0x40007a20u +#define CYDEV_PHUB_TDMEM68_ORIG_TD1 0x40007a24u +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28u +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM69_ORIG_TD0 0x40007a28u +#define CYDEV_PHUB_TDMEM69_ORIG_TD1 0x40007a2cu +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30u +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM70_ORIG_TD0 0x40007a30u +#define CYDEV_PHUB_TDMEM70_ORIG_TD1 0x40007a34u +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38u +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM71_ORIG_TD0 0x40007a38u +#define CYDEV_PHUB_TDMEM71_ORIG_TD1 0x40007a3cu +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40u +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM72_ORIG_TD0 0x40007a40u +#define CYDEV_PHUB_TDMEM72_ORIG_TD1 0x40007a44u +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48u +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM73_ORIG_TD0 0x40007a48u +#define CYDEV_PHUB_TDMEM73_ORIG_TD1 0x40007a4cu +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50u +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM74_ORIG_TD0 0x40007a50u +#define CYDEV_PHUB_TDMEM74_ORIG_TD1 0x40007a54u +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58u +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM75_ORIG_TD0 0x40007a58u +#define CYDEV_PHUB_TDMEM75_ORIG_TD1 0x40007a5cu +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60u +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM76_ORIG_TD0 0x40007a60u +#define CYDEV_PHUB_TDMEM76_ORIG_TD1 0x40007a64u +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68u +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM77_ORIG_TD0 0x40007a68u +#define CYDEV_PHUB_TDMEM77_ORIG_TD1 0x40007a6cu +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70u +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM78_ORIG_TD0 0x40007a70u +#define CYDEV_PHUB_TDMEM78_ORIG_TD1 0x40007a74u +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78u +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM79_ORIG_TD0 0x40007a78u +#define CYDEV_PHUB_TDMEM79_ORIG_TD1 0x40007a7cu +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80u +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM80_ORIG_TD0 0x40007a80u +#define CYDEV_PHUB_TDMEM80_ORIG_TD1 0x40007a84u +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88u +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM81_ORIG_TD0 0x40007a88u +#define CYDEV_PHUB_TDMEM81_ORIG_TD1 0x40007a8cu +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90u +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM82_ORIG_TD0 0x40007a90u +#define CYDEV_PHUB_TDMEM82_ORIG_TD1 0x40007a94u +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98u +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM83_ORIG_TD0 0x40007a98u +#define CYDEV_PHUB_TDMEM83_ORIG_TD1 0x40007a9cu +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM84_ORIG_TD0 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_ORIG_TD1 0x40007aa4u +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM85_ORIG_TD0 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_ORIG_TD1 0x40007aacu +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM86_ORIG_TD0 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_ORIG_TD1 0x40007ab4u +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM87_ORIG_TD0 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_ORIG_TD1 0x40007abcu +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM88_ORIG_TD0 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_ORIG_TD1 0x40007ac4u +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM89_ORIG_TD0 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_ORIG_TD1 0x40007accu +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM90_ORIG_TD0 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_ORIG_TD1 0x40007ad4u +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM91_ORIG_TD0 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_ORIG_TD1 0x40007adcu +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM92_ORIG_TD0 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_ORIG_TD1 0x40007ae4u +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM93_ORIG_TD0 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_ORIG_TD1 0x40007aecu +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0u +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM94_ORIG_TD0 0x40007af0u +#define CYDEV_PHUB_TDMEM94_ORIG_TD1 0x40007af4u +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8u +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM95_ORIG_TD0 0x40007af8u +#define CYDEV_PHUB_TDMEM95_ORIG_TD1 0x40007afcu +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00u +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM96_ORIG_TD0 0x40007b00u +#define CYDEV_PHUB_TDMEM96_ORIG_TD1 0x40007b04u +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08u +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM97_ORIG_TD0 0x40007b08u +#define CYDEV_PHUB_TDMEM97_ORIG_TD1 0x40007b0cu +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10u +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM98_ORIG_TD0 0x40007b10u +#define CYDEV_PHUB_TDMEM98_ORIG_TD1 0x40007b14u +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18u +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM99_ORIG_TD0 0x40007b18u +#define CYDEV_PHUB_TDMEM99_ORIG_TD1 0x40007b1cu +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20u +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM100_ORIG_TD0 0x40007b20u +#define CYDEV_PHUB_TDMEM100_ORIG_TD1 0x40007b24u +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28u +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM101_ORIG_TD0 0x40007b28u +#define CYDEV_PHUB_TDMEM101_ORIG_TD1 0x40007b2cu +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30u +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM102_ORIG_TD0 0x40007b30u +#define CYDEV_PHUB_TDMEM102_ORIG_TD1 0x40007b34u +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38u +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM103_ORIG_TD0 0x40007b38u +#define CYDEV_PHUB_TDMEM103_ORIG_TD1 0x40007b3cu +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40u +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM104_ORIG_TD0 0x40007b40u +#define CYDEV_PHUB_TDMEM104_ORIG_TD1 0x40007b44u +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48u +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM105_ORIG_TD0 0x40007b48u +#define CYDEV_PHUB_TDMEM105_ORIG_TD1 0x40007b4cu +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50u +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM106_ORIG_TD0 0x40007b50u +#define CYDEV_PHUB_TDMEM106_ORIG_TD1 0x40007b54u +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58u +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM107_ORIG_TD0 0x40007b58u +#define CYDEV_PHUB_TDMEM107_ORIG_TD1 0x40007b5cu +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60u +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM108_ORIG_TD0 0x40007b60u +#define CYDEV_PHUB_TDMEM108_ORIG_TD1 0x40007b64u +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68u +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM109_ORIG_TD0 0x40007b68u +#define CYDEV_PHUB_TDMEM109_ORIG_TD1 0x40007b6cu +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70u +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM110_ORIG_TD0 0x40007b70u +#define CYDEV_PHUB_TDMEM110_ORIG_TD1 0x40007b74u +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78u +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM111_ORIG_TD0 0x40007b78u +#define CYDEV_PHUB_TDMEM111_ORIG_TD1 0x40007b7cu +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80u +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM112_ORIG_TD0 0x40007b80u +#define CYDEV_PHUB_TDMEM112_ORIG_TD1 0x40007b84u +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88u +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM113_ORIG_TD0 0x40007b88u +#define CYDEV_PHUB_TDMEM113_ORIG_TD1 0x40007b8cu +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90u +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM114_ORIG_TD0 0x40007b90u +#define CYDEV_PHUB_TDMEM114_ORIG_TD1 0x40007b94u +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98u +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM115_ORIG_TD0 0x40007b98u +#define CYDEV_PHUB_TDMEM115_ORIG_TD1 0x40007b9cu +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM116_ORIG_TD0 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_ORIG_TD1 0x40007ba4u +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM117_ORIG_TD0 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_ORIG_TD1 0x40007bacu +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM118_ORIG_TD0 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_ORIG_TD1 0x40007bb4u +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM119_ORIG_TD0 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_ORIG_TD1 0x40007bbcu +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM120_ORIG_TD0 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_ORIG_TD1 0x40007bc4u +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM121_ORIG_TD0 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_ORIG_TD1 0x40007bccu +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM122_ORIG_TD0 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_ORIG_TD1 0x40007bd4u +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM123_ORIG_TD0 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_ORIG_TD1 0x40007bdcu +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0u +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM124_ORIG_TD0 0x40007be0u +#define CYDEV_PHUB_TDMEM124_ORIG_TD1 0x40007be4u +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8u +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM125_ORIG_TD0 0x40007be8u +#define CYDEV_PHUB_TDMEM125_ORIG_TD1 0x40007becu +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM126_ORIG_TD0 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_ORIG_TD1 0x40007bf4u +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM127_ORIG_TD0 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_ORIG_TD1 0x40007bfcu +#define CYDEV_EE_BASE 0x40008000u +#define CYDEV_EE_SIZE 0x00000800u +#define CYDEV_EE_DATA_MBASE 0x40008000u +#define CYDEV_EE_DATA_MSIZE 0x00000800u +#define CYDEV_CAN0_BASE 0x4000a000u +#define CYDEV_CAN0_SIZE 0x000002a0u +#define CYDEV_CAN0_CSR_BASE 0x4000a000u +#define CYDEV_CAN0_CSR_SIZE 0x00000018u +#define CYDEV_CAN0_CSR_INT_SR 0x4000a000u +#define CYDEV_CAN0_CSR_INT_EN 0x4000a004u +#define CYDEV_CAN0_CSR_BUF_SR 0x4000a008u +#define CYDEV_CAN0_CSR_ERR_SR 0x4000a00cu +#define CYDEV_CAN0_CSR_CMD 0x4000a010u +#define CYDEV_CAN0_CSR_CFG 0x4000a014u +#define CYDEV_CAN0_TX0_BASE 0x4000a020u +#define CYDEV_CAN0_TX0_SIZE 0x00000010u +#define CYDEV_CAN0_TX0_CMD 0x4000a020u +#define CYDEV_CAN0_TX0_ID 0x4000a024u +#define CYDEV_CAN0_TX0_DH 0x4000a028u +#define CYDEV_CAN0_TX0_DL 0x4000a02cu +#define CYDEV_CAN0_TX1_BASE 0x4000a030u +#define CYDEV_CAN0_TX1_SIZE 0x00000010u +#define CYDEV_CAN0_TX1_CMD 0x4000a030u +#define CYDEV_CAN0_TX1_ID 0x4000a034u +#define CYDEV_CAN0_TX1_DH 0x4000a038u +#define CYDEV_CAN0_TX1_DL 0x4000a03cu +#define CYDEV_CAN0_TX2_BASE 0x4000a040u +#define CYDEV_CAN0_TX2_SIZE 0x00000010u +#define CYDEV_CAN0_TX2_CMD 0x4000a040u +#define CYDEV_CAN0_TX2_ID 0x4000a044u +#define CYDEV_CAN0_TX2_DH 0x4000a048u +#define CYDEV_CAN0_TX2_DL 0x4000a04cu +#define CYDEV_CAN0_TX3_BASE 0x4000a050u +#define CYDEV_CAN0_TX3_SIZE 0x00000010u +#define CYDEV_CAN0_TX3_CMD 0x4000a050u +#define CYDEV_CAN0_TX3_ID 0x4000a054u +#define CYDEV_CAN0_TX3_DH 0x4000a058u +#define CYDEV_CAN0_TX3_DL 0x4000a05cu +#define CYDEV_CAN0_TX4_BASE 0x4000a060u +#define CYDEV_CAN0_TX4_SIZE 0x00000010u +#define CYDEV_CAN0_TX4_CMD 0x4000a060u +#define CYDEV_CAN0_TX4_ID 0x4000a064u +#define CYDEV_CAN0_TX4_DH 0x4000a068u +#define CYDEV_CAN0_TX4_DL 0x4000a06cu +#define CYDEV_CAN0_TX5_BASE 0x4000a070u +#define CYDEV_CAN0_TX5_SIZE 0x00000010u +#define CYDEV_CAN0_TX5_CMD 0x4000a070u +#define CYDEV_CAN0_TX5_ID 0x4000a074u +#define CYDEV_CAN0_TX5_DH 0x4000a078u +#define CYDEV_CAN0_TX5_DL 0x4000a07cu +#define CYDEV_CAN0_TX6_BASE 0x4000a080u +#define CYDEV_CAN0_TX6_SIZE 0x00000010u +#define CYDEV_CAN0_TX6_CMD 0x4000a080u +#define CYDEV_CAN0_TX6_ID 0x4000a084u +#define CYDEV_CAN0_TX6_DH 0x4000a088u +#define CYDEV_CAN0_TX6_DL 0x4000a08cu +#define CYDEV_CAN0_TX7_BASE 0x4000a090u +#define CYDEV_CAN0_TX7_SIZE 0x00000010u +#define CYDEV_CAN0_TX7_CMD 0x4000a090u +#define CYDEV_CAN0_TX7_ID 0x4000a094u +#define CYDEV_CAN0_TX7_DH 0x4000a098u +#define CYDEV_CAN0_TX7_DL 0x4000a09cu +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0u +#define CYDEV_CAN0_RX0_SIZE 0x00000020u +#define CYDEV_CAN0_RX0_CMD 0x4000a0a0u +#define CYDEV_CAN0_RX0_ID 0x4000a0a4u +#define CYDEV_CAN0_RX0_DH 0x4000a0a8u +#define CYDEV_CAN0_RX0_DL 0x4000a0acu +#define CYDEV_CAN0_RX0_AMR 0x4000a0b0u +#define CYDEV_CAN0_RX0_ACR 0x4000a0b4u +#define CYDEV_CAN0_RX0_AMRD 0x4000a0b8u +#define CYDEV_CAN0_RX0_ACRD 0x4000a0bcu +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0u +#define CYDEV_CAN0_RX1_SIZE 0x00000020u +#define CYDEV_CAN0_RX1_CMD 0x4000a0c0u +#define CYDEV_CAN0_RX1_ID 0x4000a0c4u +#define CYDEV_CAN0_RX1_DH 0x4000a0c8u +#define CYDEV_CAN0_RX1_DL 0x4000a0ccu +#define CYDEV_CAN0_RX1_AMR 0x4000a0d0u +#define CYDEV_CAN0_RX1_ACR 0x4000a0d4u +#define CYDEV_CAN0_RX1_AMRD 0x4000a0d8u +#define CYDEV_CAN0_RX1_ACRD 0x4000a0dcu +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0u +#define CYDEV_CAN0_RX2_SIZE 0x00000020u +#define CYDEV_CAN0_RX2_CMD 0x4000a0e0u +#define CYDEV_CAN0_RX2_ID 0x4000a0e4u +#define CYDEV_CAN0_RX2_DH 0x4000a0e8u +#define CYDEV_CAN0_RX2_DL 0x4000a0ecu +#define CYDEV_CAN0_RX2_AMR 0x4000a0f0u +#define CYDEV_CAN0_RX2_ACR 0x4000a0f4u +#define CYDEV_CAN0_RX2_AMRD 0x4000a0f8u +#define CYDEV_CAN0_RX2_ACRD 0x4000a0fcu +#define CYDEV_CAN0_RX3_BASE 0x4000a100u +#define CYDEV_CAN0_RX3_SIZE 0x00000020u +#define CYDEV_CAN0_RX3_CMD 0x4000a100u +#define CYDEV_CAN0_RX3_ID 0x4000a104u +#define CYDEV_CAN0_RX3_DH 0x4000a108u +#define CYDEV_CAN0_RX3_DL 0x4000a10cu +#define CYDEV_CAN0_RX3_AMR 0x4000a110u +#define CYDEV_CAN0_RX3_ACR 0x4000a114u +#define CYDEV_CAN0_RX3_AMRD 0x4000a118u +#define CYDEV_CAN0_RX3_ACRD 0x4000a11cu +#define CYDEV_CAN0_RX4_BASE 0x4000a120u +#define CYDEV_CAN0_RX4_SIZE 0x00000020u +#define CYDEV_CAN0_RX4_CMD 0x4000a120u +#define CYDEV_CAN0_RX4_ID 0x4000a124u +#define CYDEV_CAN0_RX4_DH 0x4000a128u +#define CYDEV_CAN0_RX4_DL 0x4000a12cu +#define CYDEV_CAN0_RX4_AMR 0x4000a130u +#define CYDEV_CAN0_RX4_ACR 0x4000a134u +#define CYDEV_CAN0_RX4_AMRD 0x4000a138u +#define CYDEV_CAN0_RX4_ACRD 0x4000a13cu +#define CYDEV_CAN0_RX5_BASE 0x4000a140u +#define CYDEV_CAN0_RX5_SIZE 0x00000020u +#define CYDEV_CAN0_RX5_CMD 0x4000a140u +#define CYDEV_CAN0_RX5_ID 0x4000a144u +#define CYDEV_CAN0_RX5_DH 0x4000a148u +#define CYDEV_CAN0_RX5_DL 0x4000a14cu +#define CYDEV_CAN0_RX5_AMR 0x4000a150u +#define CYDEV_CAN0_RX5_ACR 0x4000a154u +#define CYDEV_CAN0_RX5_AMRD 0x4000a158u +#define CYDEV_CAN0_RX5_ACRD 0x4000a15cu +#define CYDEV_CAN0_RX6_BASE 0x4000a160u +#define CYDEV_CAN0_RX6_SIZE 0x00000020u +#define CYDEV_CAN0_RX6_CMD 0x4000a160u +#define CYDEV_CAN0_RX6_ID 0x4000a164u +#define CYDEV_CAN0_RX6_DH 0x4000a168u +#define CYDEV_CAN0_RX6_DL 0x4000a16cu +#define CYDEV_CAN0_RX6_AMR 0x4000a170u +#define CYDEV_CAN0_RX6_ACR 0x4000a174u +#define CYDEV_CAN0_RX6_AMRD 0x4000a178u +#define CYDEV_CAN0_RX6_ACRD 0x4000a17cu +#define CYDEV_CAN0_RX7_BASE 0x4000a180u +#define CYDEV_CAN0_RX7_SIZE 0x00000020u +#define CYDEV_CAN0_RX7_CMD 0x4000a180u +#define CYDEV_CAN0_RX7_ID 0x4000a184u +#define CYDEV_CAN0_RX7_DH 0x4000a188u +#define CYDEV_CAN0_RX7_DL 0x4000a18cu +#define CYDEV_CAN0_RX7_AMR 0x4000a190u +#define CYDEV_CAN0_RX7_ACR 0x4000a194u +#define CYDEV_CAN0_RX7_AMRD 0x4000a198u +#define CYDEV_CAN0_RX7_ACRD 0x4000a19cu +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0u +#define CYDEV_CAN0_RX8_SIZE 0x00000020u +#define CYDEV_CAN0_RX8_CMD 0x4000a1a0u +#define CYDEV_CAN0_RX8_ID 0x4000a1a4u +#define CYDEV_CAN0_RX8_DH 0x4000a1a8u +#define CYDEV_CAN0_RX8_DL 0x4000a1acu +#define CYDEV_CAN0_RX8_AMR 0x4000a1b0u +#define CYDEV_CAN0_RX8_ACR 0x4000a1b4u +#define CYDEV_CAN0_RX8_AMRD 0x4000a1b8u +#define CYDEV_CAN0_RX8_ACRD 0x4000a1bcu +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0u +#define CYDEV_CAN0_RX9_SIZE 0x00000020u +#define CYDEV_CAN0_RX9_CMD 0x4000a1c0u +#define CYDEV_CAN0_RX9_ID 0x4000a1c4u +#define CYDEV_CAN0_RX9_DH 0x4000a1c8u +#define CYDEV_CAN0_RX9_DL 0x4000a1ccu +#define CYDEV_CAN0_RX9_AMR 0x4000a1d0u +#define CYDEV_CAN0_RX9_ACR 0x4000a1d4u +#define CYDEV_CAN0_RX9_AMRD 0x4000a1d8u +#define CYDEV_CAN0_RX9_ACRD 0x4000a1dcu +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0u +#define CYDEV_CAN0_RX10_SIZE 0x00000020u +#define CYDEV_CAN0_RX10_CMD 0x4000a1e0u +#define CYDEV_CAN0_RX10_ID 0x4000a1e4u +#define CYDEV_CAN0_RX10_DH 0x4000a1e8u +#define CYDEV_CAN0_RX10_DL 0x4000a1ecu +#define CYDEV_CAN0_RX10_AMR 0x4000a1f0u +#define CYDEV_CAN0_RX10_ACR 0x4000a1f4u +#define CYDEV_CAN0_RX10_AMRD 0x4000a1f8u +#define CYDEV_CAN0_RX10_ACRD 0x4000a1fcu +#define CYDEV_CAN0_RX11_BASE 0x4000a200u +#define CYDEV_CAN0_RX11_SIZE 0x00000020u +#define CYDEV_CAN0_RX11_CMD 0x4000a200u +#define CYDEV_CAN0_RX11_ID 0x4000a204u +#define CYDEV_CAN0_RX11_DH 0x4000a208u +#define CYDEV_CAN0_RX11_DL 0x4000a20cu +#define CYDEV_CAN0_RX11_AMR 0x4000a210u +#define CYDEV_CAN0_RX11_ACR 0x4000a214u +#define CYDEV_CAN0_RX11_AMRD 0x4000a218u +#define CYDEV_CAN0_RX11_ACRD 0x4000a21cu +#define CYDEV_CAN0_RX12_BASE 0x4000a220u +#define CYDEV_CAN0_RX12_SIZE 0x00000020u +#define CYDEV_CAN0_RX12_CMD 0x4000a220u +#define CYDEV_CAN0_RX12_ID 0x4000a224u +#define CYDEV_CAN0_RX12_DH 0x4000a228u +#define CYDEV_CAN0_RX12_DL 0x4000a22cu +#define CYDEV_CAN0_RX12_AMR 0x4000a230u +#define CYDEV_CAN0_RX12_ACR 0x4000a234u +#define CYDEV_CAN0_RX12_AMRD 0x4000a238u +#define CYDEV_CAN0_RX12_ACRD 0x4000a23cu +#define CYDEV_CAN0_RX13_BASE 0x4000a240u +#define CYDEV_CAN0_RX13_SIZE 0x00000020u +#define CYDEV_CAN0_RX13_CMD 0x4000a240u +#define CYDEV_CAN0_RX13_ID 0x4000a244u +#define CYDEV_CAN0_RX13_DH 0x4000a248u +#define CYDEV_CAN0_RX13_DL 0x4000a24cu +#define CYDEV_CAN0_RX13_AMR 0x4000a250u +#define CYDEV_CAN0_RX13_ACR 0x4000a254u +#define CYDEV_CAN0_RX13_AMRD 0x4000a258u +#define CYDEV_CAN0_RX13_ACRD 0x4000a25cu +#define CYDEV_CAN0_RX14_BASE 0x4000a260u +#define CYDEV_CAN0_RX14_SIZE 0x00000020u +#define CYDEV_CAN0_RX14_CMD 0x4000a260u +#define CYDEV_CAN0_RX14_ID 0x4000a264u +#define CYDEV_CAN0_RX14_DH 0x4000a268u +#define CYDEV_CAN0_RX14_DL 0x4000a26cu +#define CYDEV_CAN0_RX14_AMR 0x4000a270u +#define CYDEV_CAN0_RX14_ACR 0x4000a274u +#define CYDEV_CAN0_RX14_AMRD 0x4000a278u +#define CYDEV_CAN0_RX14_ACRD 0x4000a27cu +#define CYDEV_CAN0_RX15_BASE 0x4000a280u +#define CYDEV_CAN0_RX15_SIZE 0x00000020u +#define CYDEV_CAN0_RX15_CMD 0x4000a280u +#define CYDEV_CAN0_RX15_ID 0x4000a284u +#define CYDEV_CAN0_RX15_DH 0x4000a288u +#define CYDEV_CAN0_RX15_DL 0x4000a28cu +#define CYDEV_CAN0_RX15_AMR 0x4000a290u +#define CYDEV_CAN0_RX15_ACR 0x4000a294u +#define CYDEV_CAN0_RX15_AMRD 0x4000a298u +#define CYDEV_CAN0_RX15_ACRD 0x4000a29cu +#define CYDEV_DFB0_BASE 0x4000c000u +#define CYDEV_DFB0_SIZE 0x000007b5u +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200u +#define CYDEV_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040u +#define CYDEV_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040u +#define CYDEV_DFB0_CR 0x4000c780u +#define CYDEV_DFB0_SR 0x4000c784u +#define CYDEV_DFB0_RAM_EN 0x4000c788u +#define CYDEV_DFB0_RAM_DIR 0x4000c78cu +#define CYDEV_DFB0_SEMA 0x4000c790u +#define CYDEV_DFB0_DSI_CTRL 0x4000c794u +#define CYDEV_DFB0_INT_CTRL 0x4000c798u +#define CYDEV_DFB0_DMA_CTRL 0x4000c79cu +#define CYDEV_DFB0_STAGEA 0x4000c7a0u +#define CYDEV_DFB0_STAGEAM 0x4000c7a1u +#define CYDEV_DFB0_STAGEAH 0x4000c7a2u +#define CYDEV_DFB0_STAGEB 0x4000c7a4u +#define CYDEV_DFB0_STAGEBM 0x4000c7a5u +#define CYDEV_DFB0_STAGEBH 0x4000c7a6u +#define CYDEV_DFB0_HOLDA 0x4000c7a8u +#define CYDEV_DFB0_HOLDAM 0x4000c7a9u +#define CYDEV_DFB0_HOLDAH 0x4000c7aau +#define CYDEV_DFB0_HOLDAS 0x4000c7abu +#define CYDEV_DFB0_HOLDB 0x4000c7acu +#define CYDEV_DFB0_HOLDBM 0x4000c7adu +#define CYDEV_DFB0_HOLDBH 0x4000c7aeu +#define CYDEV_DFB0_HOLDBS 0x4000c7afu +#define CYDEV_DFB0_COHER 0x4000c7b0u +#define CYDEV_DFB0_DALIGN 0x4000c7b4u +#define CYDEV_UCFG_BASE 0x40010000u +#define CYDEV_UCFG_SIZE 0x00005040u +#define CYDEV_UCFG_B0_BASE 0x40010000u +#define CYDEV_UCFG_B0_SIZE 0x00000fefu +#define CYDEV_UCFG_B0_P0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT0 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT1 0x40010004u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT2 0x40010008u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT3 0x4001000cu +#define CYDEV_UCFG_B0_P0_U0_PLD_IT4 0x40010010u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT5 0x40010014u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT6 0x40010018u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT7 0x4001001cu +#define CYDEV_UCFG_B0_P0_U0_PLD_IT8 0x40010020u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT9 0x40010024u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT10 0x40010028u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT11 0x4001002cu +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT0 0x40010030u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT1 0x40010032u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT2 0x40010034u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT3 0x40010036u +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038u +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB 0x4001003au +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003cu +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS 0x4001003eu +#define CYDEV_UCFG_B0_P0_U0_CFG0 0x40010040u +#define CYDEV_UCFG_B0_P0_U0_CFG1 0x40010041u +#define CYDEV_UCFG_B0_P0_U0_CFG2 0x40010042u +#define CYDEV_UCFG_B0_P0_U0_CFG3 0x40010043u +#define CYDEV_UCFG_B0_P0_U0_CFG4 0x40010044u +#define CYDEV_UCFG_B0_P0_U0_CFG5 0x40010045u +#define CYDEV_UCFG_B0_P0_U0_CFG6 0x40010046u +#define CYDEV_UCFG_B0_P0_U0_CFG7 0x40010047u +#define CYDEV_UCFG_B0_P0_U0_CFG8 0x40010048u +#define CYDEV_UCFG_B0_P0_U0_CFG9 0x40010049u +#define CYDEV_UCFG_B0_P0_U0_CFG10 0x4001004au +#define CYDEV_UCFG_B0_P0_U0_CFG11 0x4001004bu +#define CYDEV_UCFG_B0_P0_U0_CFG12 0x4001004cu +#define CYDEV_UCFG_B0_P0_U0_CFG13 0x4001004du +#define CYDEV_UCFG_B0_P0_U0_CFG14 0x4001004eu +#define CYDEV_UCFG_B0_P0_U0_CFG15 0x4001004fu +#define CYDEV_UCFG_B0_P0_U0_CFG16 0x40010050u +#define CYDEV_UCFG_B0_P0_U0_CFG17 0x40010051u +#define CYDEV_UCFG_B0_P0_U0_CFG18 0x40010052u +#define CYDEV_UCFG_B0_P0_U0_CFG19 0x40010053u +#define CYDEV_UCFG_B0_P0_U0_CFG20 0x40010054u +#define CYDEV_UCFG_B0_P0_U0_CFG21 0x40010055u +#define CYDEV_UCFG_B0_P0_U0_CFG22 0x40010056u +#define CYDEV_UCFG_B0_P0_U0_CFG23 0x40010057u +#define CYDEV_UCFG_B0_P0_U0_CFG24 0x40010058u +#define CYDEV_UCFG_B0_P0_U0_CFG25 0x40010059u +#define CYDEV_UCFG_B0_P0_U0_CFG26 0x4001005au +#define CYDEV_UCFG_B0_P0_U0_CFG27 0x4001005bu +#define CYDEV_UCFG_B0_P0_U0_CFG28 0x4001005cu +#define CYDEV_UCFG_B0_P0_U0_CFG29 0x4001005du +#define CYDEV_UCFG_B0_P0_U0_CFG30 0x4001005eu +#define CYDEV_UCFG_B0_P0_U0_CFG31 0x4001005fu +#define CYDEV_UCFG_B0_P0_U0_DCFG0 0x40010060u +#define CYDEV_UCFG_B0_P0_U0_DCFG1 0x40010062u +#define CYDEV_UCFG_B0_P0_U0_DCFG2 0x40010064u +#define CYDEV_UCFG_B0_P0_U0_DCFG3 0x40010066u +#define CYDEV_UCFG_B0_P0_U0_DCFG4 0x40010068u +#define CYDEV_UCFG_B0_P0_U0_DCFG5 0x4001006au +#define CYDEV_UCFG_B0_P0_U0_DCFG6 0x4001006cu +#define CYDEV_UCFG_B0_P0_U0_DCFG7 0x4001006eu +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT0 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT1 0x40010084u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT2 0x40010088u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT3 0x4001008cu +#define CYDEV_UCFG_B0_P0_U1_PLD_IT4 0x40010090u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT5 0x40010094u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT6 0x40010098u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT7 0x4001009cu +#define CYDEV_UCFG_B0_P0_U1_PLD_IT8 0x400100a0u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT9 0x400100a4u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT10 0x400100a8u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT11 0x400100acu +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT0 0x400100b0u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT1 0x400100b2u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT2 0x400100b4u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT3 0x400100b6u +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8u +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB 0x400100bau +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bcu +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS 0x400100beu +#define CYDEV_UCFG_B0_P0_U1_CFG0 0x400100c0u +#define CYDEV_UCFG_B0_P0_U1_CFG1 0x400100c1u +#define CYDEV_UCFG_B0_P0_U1_CFG2 0x400100c2u +#define CYDEV_UCFG_B0_P0_U1_CFG3 0x400100c3u +#define CYDEV_UCFG_B0_P0_U1_CFG4 0x400100c4u +#define CYDEV_UCFG_B0_P0_U1_CFG5 0x400100c5u +#define CYDEV_UCFG_B0_P0_U1_CFG6 0x400100c6u +#define CYDEV_UCFG_B0_P0_U1_CFG7 0x400100c7u +#define CYDEV_UCFG_B0_P0_U1_CFG8 0x400100c8u +#define CYDEV_UCFG_B0_P0_U1_CFG9 0x400100c9u +#define CYDEV_UCFG_B0_P0_U1_CFG10 0x400100cau +#define CYDEV_UCFG_B0_P0_U1_CFG11 0x400100cbu +#define CYDEV_UCFG_B0_P0_U1_CFG12 0x400100ccu +#define CYDEV_UCFG_B0_P0_U1_CFG13 0x400100cdu +#define CYDEV_UCFG_B0_P0_U1_CFG14 0x400100ceu +#define CYDEV_UCFG_B0_P0_U1_CFG15 0x400100cfu +#define CYDEV_UCFG_B0_P0_U1_CFG16 0x400100d0u +#define CYDEV_UCFG_B0_P0_U1_CFG17 0x400100d1u +#define CYDEV_UCFG_B0_P0_U1_CFG18 0x400100d2u +#define CYDEV_UCFG_B0_P0_U1_CFG19 0x400100d3u +#define CYDEV_UCFG_B0_P0_U1_CFG20 0x400100d4u +#define CYDEV_UCFG_B0_P0_U1_CFG21 0x400100d5u +#define CYDEV_UCFG_B0_P0_U1_CFG22 0x400100d6u +#define CYDEV_UCFG_B0_P0_U1_CFG23 0x400100d7u +#define CYDEV_UCFG_B0_P0_U1_CFG24 0x400100d8u +#define CYDEV_UCFG_B0_P0_U1_CFG25 0x400100d9u +#define CYDEV_UCFG_B0_P0_U1_CFG26 0x400100dau +#define CYDEV_UCFG_B0_P0_U1_CFG27 0x400100dbu +#define CYDEV_UCFG_B0_P0_U1_CFG28 0x400100dcu +#define CYDEV_UCFG_B0_P0_U1_CFG29 0x400100ddu +#define CYDEV_UCFG_B0_P0_U1_CFG30 0x400100deu +#define CYDEV_UCFG_B0_P0_U1_CFG31 0x400100dfu +#define CYDEV_UCFG_B0_P0_U1_DCFG0 0x400100e0u +#define CYDEV_UCFG_B0_P0_U1_DCFG1 0x400100e2u +#define CYDEV_UCFG_B0_P0_U1_DCFG2 0x400100e4u +#define CYDEV_UCFG_B0_P0_U1_DCFG3 0x400100e6u +#define CYDEV_UCFG_B0_P0_U1_DCFG4 0x400100e8u +#define CYDEV_UCFG_B0_P0_U1_DCFG5 0x400100eau +#define CYDEV_UCFG_B0_P0_U1_DCFG6 0x400100ecu +#define CYDEV_UCFG_B0_P0_U1_DCFG7 0x400100eeu +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100u +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P1_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT0 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT1 0x40010204u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT2 0x40010208u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT3 0x4001020cu +#define CYDEV_UCFG_B0_P1_U0_PLD_IT4 0x40010210u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT5 0x40010214u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT6 0x40010218u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT7 0x4001021cu +#define CYDEV_UCFG_B0_P1_U0_PLD_IT8 0x40010220u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT9 0x40010224u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT10 0x40010228u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT11 0x4001022cu +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT0 0x40010230u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT1 0x40010232u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT2 0x40010234u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT3 0x40010236u +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238u +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB 0x4001023au +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023cu +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS 0x4001023eu +#define CYDEV_UCFG_B0_P1_U0_CFG0 0x40010240u +#define CYDEV_UCFG_B0_P1_U0_CFG1 0x40010241u +#define CYDEV_UCFG_B0_P1_U0_CFG2 0x40010242u +#define CYDEV_UCFG_B0_P1_U0_CFG3 0x40010243u +#define CYDEV_UCFG_B0_P1_U0_CFG4 0x40010244u +#define CYDEV_UCFG_B0_P1_U0_CFG5 0x40010245u +#define CYDEV_UCFG_B0_P1_U0_CFG6 0x40010246u +#define CYDEV_UCFG_B0_P1_U0_CFG7 0x40010247u +#define CYDEV_UCFG_B0_P1_U0_CFG8 0x40010248u +#define CYDEV_UCFG_B0_P1_U0_CFG9 0x40010249u +#define CYDEV_UCFG_B0_P1_U0_CFG10 0x4001024au +#define CYDEV_UCFG_B0_P1_U0_CFG11 0x4001024bu +#define CYDEV_UCFG_B0_P1_U0_CFG12 0x4001024cu +#define CYDEV_UCFG_B0_P1_U0_CFG13 0x4001024du +#define CYDEV_UCFG_B0_P1_U0_CFG14 0x4001024eu +#define CYDEV_UCFG_B0_P1_U0_CFG15 0x4001024fu +#define CYDEV_UCFG_B0_P1_U0_CFG16 0x40010250u +#define CYDEV_UCFG_B0_P1_U0_CFG17 0x40010251u +#define CYDEV_UCFG_B0_P1_U0_CFG18 0x40010252u +#define CYDEV_UCFG_B0_P1_U0_CFG19 0x40010253u +#define CYDEV_UCFG_B0_P1_U0_CFG20 0x40010254u +#define CYDEV_UCFG_B0_P1_U0_CFG21 0x40010255u +#define CYDEV_UCFG_B0_P1_U0_CFG22 0x40010256u +#define CYDEV_UCFG_B0_P1_U0_CFG23 0x40010257u +#define CYDEV_UCFG_B0_P1_U0_CFG24 0x40010258u +#define CYDEV_UCFG_B0_P1_U0_CFG25 0x40010259u +#define CYDEV_UCFG_B0_P1_U0_CFG26 0x4001025au +#define CYDEV_UCFG_B0_P1_U0_CFG27 0x4001025bu +#define CYDEV_UCFG_B0_P1_U0_CFG28 0x4001025cu +#define CYDEV_UCFG_B0_P1_U0_CFG29 0x4001025du +#define CYDEV_UCFG_B0_P1_U0_CFG30 0x4001025eu +#define CYDEV_UCFG_B0_P1_U0_CFG31 0x4001025fu +#define CYDEV_UCFG_B0_P1_U0_DCFG0 0x40010260u +#define CYDEV_UCFG_B0_P1_U0_DCFG1 0x40010262u +#define CYDEV_UCFG_B0_P1_U0_DCFG2 0x40010264u +#define CYDEV_UCFG_B0_P1_U0_DCFG3 0x40010266u +#define CYDEV_UCFG_B0_P1_U0_DCFG4 0x40010268u +#define CYDEV_UCFG_B0_P1_U0_DCFG5 0x4001026au +#define CYDEV_UCFG_B0_P1_U0_DCFG6 0x4001026cu +#define CYDEV_UCFG_B0_P1_U0_DCFG7 0x4001026eu +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT0 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT1 0x40010284u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT2 0x40010288u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT3 0x4001028cu +#define CYDEV_UCFG_B0_P1_U1_PLD_IT4 0x40010290u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT5 0x40010294u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT6 0x40010298u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT7 0x4001029cu +#define CYDEV_UCFG_B0_P1_U1_PLD_IT8 0x400102a0u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT9 0x400102a4u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT10 0x400102a8u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT11 0x400102acu +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT0 0x400102b0u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT1 0x400102b2u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT2 0x400102b4u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT3 0x400102b6u +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8u +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB 0x400102bau +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bcu +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS 0x400102beu +#define CYDEV_UCFG_B0_P1_U1_CFG0 0x400102c0u +#define CYDEV_UCFG_B0_P1_U1_CFG1 0x400102c1u +#define CYDEV_UCFG_B0_P1_U1_CFG2 0x400102c2u +#define CYDEV_UCFG_B0_P1_U1_CFG3 0x400102c3u +#define CYDEV_UCFG_B0_P1_U1_CFG4 0x400102c4u +#define CYDEV_UCFG_B0_P1_U1_CFG5 0x400102c5u +#define CYDEV_UCFG_B0_P1_U1_CFG6 0x400102c6u +#define CYDEV_UCFG_B0_P1_U1_CFG7 0x400102c7u +#define CYDEV_UCFG_B0_P1_U1_CFG8 0x400102c8u +#define CYDEV_UCFG_B0_P1_U1_CFG9 0x400102c9u +#define CYDEV_UCFG_B0_P1_U1_CFG10 0x400102cau +#define CYDEV_UCFG_B0_P1_U1_CFG11 0x400102cbu +#define CYDEV_UCFG_B0_P1_U1_CFG12 0x400102ccu +#define CYDEV_UCFG_B0_P1_U1_CFG13 0x400102cdu +#define CYDEV_UCFG_B0_P1_U1_CFG14 0x400102ceu +#define CYDEV_UCFG_B0_P1_U1_CFG15 0x400102cfu +#define CYDEV_UCFG_B0_P1_U1_CFG16 0x400102d0u +#define CYDEV_UCFG_B0_P1_U1_CFG17 0x400102d1u +#define CYDEV_UCFG_B0_P1_U1_CFG18 0x400102d2u +#define CYDEV_UCFG_B0_P1_U1_CFG19 0x400102d3u +#define CYDEV_UCFG_B0_P1_U1_CFG20 0x400102d4u +#define CYDEV_UCFG_B0_P1_U1_CFG21 0x400102d5u +#define CYDEV_UCFG_B0_P1_U1_CFG22 0x400102d6u +#define CYDEV_UCFG_B0_P1_U1_CFG23 0x400102d7u +#define CYDEV_UCFG_B0_P1_U1_CFG24 0x400102d8u +#define CYDEV_UCFG_B0_P1_U1_CFG25 0x400102d9u +#define CYDEV_UCFG_B0_P1_U1_CFG26 0x400102dau +#define CYDEV_UCFG_B0_P1_U1_CFG27 0x400102dbu +#define CYDEV_UCFG_B0_P1_U1_CFG28 0x400102dcu +#define CYDEV_UCFG_B0_P1_U1_CFG29 0x400102ddu +#define CYDEV_UCFG_B0_P1_U1_CFG30 0x400102deu +#define CYDEV_UCFG_B0_P1_U1_CFG31 0x400102dfu +#define CYDEV_UCFG_B0_P1_U1_DCFG0 0x400102e0u +#define CYDEV_UCFG_B0_P1_U1_DCFG1 0x400102e2u +#define CYDEV_UCFG_B0_P1_U1_DCFG2 0x400102e4u +#define CYDEV_UCFG_B0_P1_U1_DCFG3 0x400102e6u +#define CYDEV_UCFG_B0_P1_U1_DCFG4 0x400102e8u +#define CYDEV_UCFG_B0_P1_U1_DCFG5 0x400102eau +#define CYDEV_UCFG_B0_P1_U1_DCFG6 0x400102ecu +#define CYDEV_UCFG_B0_P1_U1_DCFG7 0x400102eeu +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300u +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P2_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT0 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT1 0x40010404u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT2 0x40010408u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT3 0x4001040cu +#define CYDEV_UCFG_B0_P2_U0_PLD_IT4 0x40010410u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT5 0x40010414u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT6 0x40010418u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT7 0x4001041cu +#define CYDEV_UCFG_B0_P2_U0_PLD_IT8 0x40010420u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT9 0x40010424u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT10 0x40010428u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT11 0x4001042cu +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT0 0x40010430u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT1 0x40010432u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT2 0x40010434u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT3 0x40010436u +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438u +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB 0x4001043au +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043cu +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS 0x4001043eu +#define CYDEV_UCFG_B0_P2_U0_CFG0 0x40010440u +#define CYDEV_UCFG_B0_P2_U0_CFG1 0x40010441u +#define CYDEV_UCFG_B0_P2_U0_CFG2 0x40010442u +#define CYDEV_UCFG_B0_P2_U0_CFG3 0x40010443u +#define CYDEV_UCFG_B0_P2_U0_CFG4 0x40010444u +#define CYDEV_UCFG_B0_P2_U0_CFG5 0x40010445u +#define CYDEV_UCFG_B0_P2_U0_CFG6 0x40010446u +#define CYDEV_UCFG_B0_P2_U0_CFG7 0x40010447u +#define CYDEV_UCFG_B0_P2_U0_CFG8 0x40010448u +#define CYDEV_UCFG_B0_P2_U0_CFG9 0x40010449u +#define CYDEV_UCFG_B0_P2_U0_CFG10 0x4001044au +#define CYDEV_UCFG_B0_P2_U0_CFG11 0x4001044bu +#define CYDEV_UCFG_B0_P2_U0_CFG12 0x4001044cu +#define CYDEV_UCFG_B0_P2_U0_CFG13 0x4001044du +#define CYDEV_UCFG_B0_P2_U0_CFG14 0x4001044eu +#define CYDEV_UCFG_B0_P2_U0_CFG15 0x4001044fu +#define CYDEV_UCFG_B0_P2_U0_CFG16 0x40010450u +#define CYDEV_UCFG_B0_P2_U0_CFG17 0x40010451u +#define CYDEV_UCFG_B0_P2_U0_CFG18 0x40010452u +#define CYDEV_UCFG_B0_P2_U0_CFG19 0x40010453u +#define CYDEV_UCFG_B0_P2_U0_CFG20 0x40010454u +#define CYDEV_UCFG_B0_P2_U0_CFG21 0x40010455u +#define CYDEV_UCFG_B0_P2_U0_CFG22 0x40010456u +#define CYDEV_UCFG_B0_P2_U0_CFG23 0x40010457u +#define CYDEV_UCFG_B0_P2_U0_CFG24 0x40010458u +#define CYDEV_UCFG_B0_P2_U0_CFG25 0x40010459u +#define CYDEV_UCFG_B0_P2_U0_CFG26 0x4001045au +#define CYDEV_UCFG_B0_P2_U0_CFG27 0x4001045bu +#define CYDEV_UCFG_B0_P2_U0_CFG28 0x4001045cu +#define CYDEV_UCFG_B0_P2_U0_CFG29 0x4001045du +#define CYDEV_UCFG_B0_P2_U0_CFG30 0x4001045eu +#define CYDEV_UCFG_B0_P2_U0_CFG31 0x4001045fu +#define CYDEV_UCFG_B0_P2_U0_DCFG0 0x40010460u +#define CYDEV_UCFG_B0_P2_U0_DCFG1 0x40010462u +#define CYDEV_UCFG_B0_P2_U0_DCFG2 0x40010464u +#define CYDEV_UCFG_B0_P2_U0_DCFG3 0x40010466u +#define CYDEV_UCFG_B0_P2_U0_DCFG4 0x40010468u +#define CYDEV_UCFG_B0_P2_U0_DCFG5 0x4001046au +#define CYDEV_UCFG_B0_P2_U0_DCFG6 0x4001046cu +#define CYDEV_UCFG_B0_P2_U0_DCFG7 0x4001046eu +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT0 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT1 0x40010484u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT2 0x40010488u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT3 0x4001048cu +#define CYDEV_UCFG_B0_P2_U1_PLD_IT4 0x40010490u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT5 0x40010494u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT6 0x40010498u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT7 0x4001049cu +#define CYDEV_UCFG_B0_P2_U1_PLD_IT8 0x400104a0u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT9 0x400104a4u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT10 0x400104a8u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT11 0x400104acu +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT0 0x400104b0u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT1 0x400104b2u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT2 0x400104b4u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT3 0x400104b6u +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8u +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB 0x400104bau +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bcu +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS 0x400104beu +#define CYDEV_UCFG_B0_P2_U1_CFG0 0x400104c0u +#define CYDEV_UCFG_B0_P2_U1_CFG1 0x400104c1u +#define CYDEV_UCFG_B0_P2_U1_CFG2 0x400104c2u +#define CYDEV_UCFG_B0_P2_U1_CFG3 0x400104c3u +#define CYDEV_UCFG_B0_P2_U1_CFG4 0x400104c4u +#define CYDEV_UCFG_B0_P2_U1_CFG5 0x400104c5u +#define CYDEV_UCFG_B0_P2_U1_CFG6 0x400104c6u +#define CYDEV_UCFG_B0_P2_U1_CFG7 0x400104c7u +#define CYDEV_UCFG_B0_P2_U1_CFG8 0x400104c8u +#define CYDEV_UCFG_B0_P2_U1_CFG9 0x400104c9u +#define CYDEV_UCFG_B0_P2_U1_CFG10 0x400104cau +#define CYDEV_UCFG_B0_P2_U1_CFG11 0x400104cbu +#define CYDEV_UCFG_B0_P2_U1_CFG12 0x400104ccu +#define CYDEV_UCFG_B0_P2_U1_CFG13 0x400104cdu +#define CYDEV_UCFG_B0_P2_U1_CFG14 0x400104ceu +#define CYDEV_UCFG_B0_P2_U1_CFG15 0x400104cfu +#define CYDEV_UCFG_B0_P2_U1_CFG16 0x400104d0u +#define CYDEV_UCFG_B0_P2_U1_CFG17 0x400104d1u +#define CYDEV_UCFG_B0_P2_U1_CFG18 0x400104d2u +#define CYDEV_UCFG_B0_P2_U1_CFG19 0x400104d3u +#define CYDEV_UCFG_B0_P2_U1_CFG20 0x400104d4u +#define CYDEV_UCFG_B0_P2_U1_CFG21 0x400104d5u +#define CYDEV_UCFG_B0_P2_U1_CFG22 0x400104d6u +#define CYDEV_UCFG_B0_P2_U1_CFG23 0x400104d7u +#define CYDEV_UCFG_B0_P2_U1_CFG24 0x400104d8u +#define CYDEV_UCFG_B0_P2_U1_CFG25 0x400104d9u +#define CYDEV_UCFG_B0_P2_U1_CFG26 0x400104dau +#define CYDEV_UCFG_B0_P2_U1_CFG27 0x400104dbu +#define CYDEV_UCFG_B0_P2_U1_CFG28 0x400104dcu +#define CYDEV_UCFG_B0_P2_U1_CFG29 0x400104ddu +#define CYDEV_UCFG_B0_P2_U1_CFG30 0x400104deu +#define CYDEV_UCFG_B0_P2_U1_CFG31 0x400104dfu +#define CYDEV_UCFG_B0_P2_U1_DCFG0 0x400104e0u +#define CYDEV_UCFG_B0_P2_U1_DCFG1 0x400104e2u +#define CYDEV_UCFG_B0_P2_U1_DCFG2 0x400104e4u +#define CYDEV_UCFG_B0_P2_U1_DCFG3 0x400104e6u +#define CYDEV_UCFG_B0_P2_U1_DCFG4 0x400104e8u +#define CYDEV_UCFG_B0_P2_U1_DCFG5 0x400104eau +#define CYDEV_UCFG_B0_P2_U1_DCFG6 0x400104ecu +#define CYDEV_UCFG_B0_P2_U1_DCFG7 0x400104eeu +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500u +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P3_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT0 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT1 0x40010604u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT2 0x40010608u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT3 0x4001060cu +#define CYDEV_UCFG_B0_P3_U0_PLD_IT4 0x40010610u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT5 0x40010614u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT6 0x40010618u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT7 0x4001061cu +#define CYDEV_UCFG_B0_P3_U0_PLD_IT8 0x40010620u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT9 0x40010624u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT10 0x40010628u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT11 0x4001062cu +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT0 0x40010630u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT1 0x40010632u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT2 0x40010634u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT3 0x40010636u +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638u +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB 0x4001063au +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063cu +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS 0x4001063eu +#define CYDEV_UCFG_B0_P3_U0_CFG0 0x40010640u +#define CYDEV_UCFG_B0_P3_U0_CFG1 0x40010641u +#define CYDEV_UCFG_B0_P3_U0_CFG2 0x40010642u +#define CYDEV_UCFG_B0_P3_U0_CFG3 0x40010643u +#define CYDEV_UCFG_B0_P3_U0_CFG4 0x40010644u +#define CYDEV_UCFG_B0_P3_U0_CFG5 0x40010645u +#define CYDEV_UCFG_B0_P3_U0_CFG6 0x40010646u +#define CYDEV_UCFG_B0_P3_U0_CFG7 0x40010647u +#define CYDEV_UCFG_B0_P3_U0_CFG8 0x40010648u +#define CYDEV_UCFG_B0_P3_U0_CFG9 0x40010649u +#define CYDEV_UCFG_B0_P3_U0_CFG10 0x4001064au +#define CYDEV_UCFG_B0_P3_U0_CFG11 0x4001064bu +#define CYDEV_UCFG_B0_P3_U0_CFG12 0x4001064cu +#define CYDEV_UCFG_B0_P3_U0_CFG13 0x4001064du +#define CYDEV_UCFG_B0_P3_U0_CFG14 0x4001064eu +#define CYDEV_UCFG_B0_P3_U0_CFG15 0x4001064fu +#define CYDEV_UCFG_B0_P3_U0_CFG16 0x40010650u +#define CYDEV_UCFG_B0_P3_U0_CFG17 0x40010651u +#define CYDEV_UCFG_B0_P3_U0_CFG18 0x40010652u +#define CYDEV_UCFG_B0_P3_U0_CFG19 0x40010653u +#define CYDEV_UCFG_B0_P3_U0_CFG20 0x40010654u +#define CYDEV_UCFG_B0_P3_U0_CFG21 0x40010655u +#define CYDEV_UCFG_B0_P3_U0_CFG22 0x40010656u +#define CYDEV_UCFG_B0_P3_U0_CFG23 0x40010657u +#define CYDEV_UCFG_B0_P3_U0_CFG24 0x40010658u +#define CYDEV_UCFG_B0_P3_U0_CFG25 0x40010659u +#define CYDEV_UCFG_B0_P3_U0_CFG26 0x4001065au +#define CYDEV_UCFG_B0_P3_U0_CFG27 0x4001065bu +#define CYDEV_UCFG_B0_P3_U0_CFG28 0x4001065cu +#define CYDEV_UCFG_B0_P3_U0_CFG29 0x4001065du +#define CYDEV_UCFG_B0_P3_U0_CFG30 0x4001065eu +#define CYDEV_UCFG_B0_P3_U0_CFG31 0x4001065fu +#define CYDEV_UCFG_B0_P3_U0_DCFG0 0x40010660u +#define CYDEV_UCFG_B0_P3_U0_DCFG1 0x40010662u +#define CYDEV_UCFG_B0_P3_U0_DCFG2 0x40010664u +#define CYDEV_UCFG_B0_P3_U0_DCFG3 0x40010666u +#define CYDEV_UCFG_B0_P3_U0_DCFG4 0x40010668u +#define CYDEV_UCFG_B0_P3_U0_DCFG5 0x4001066au +#define CYDEV_UCFG_B0_P3_U0_DCFG6 0x4001066cu +#define CYDEV_UCFG_B0_P3_U0_DCFG7 0x4001066eu +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT0 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT1 0x40010684u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT2 0x40010688u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT3 0x4001068cu +#define CYDEV_UCFG_B0_P3_U1_PLD_IT4 0x40010690u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT5 0x40010694u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT6 0x40010698u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT7 0x4001069cu +#define CYDEV_UCFG_B0_P3_U1_PLD_IT8 0x400106a0u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT9 0x400106a4u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT10 0x400106a8u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT11 0x400106acu +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT0 0x400106b0u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT1 0x400106b2u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT2 0x400106b4u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT3 0x400106b6u +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8u +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB 0x400106bau +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bcu +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS 0x400106beu +#define CYDEV_UCFG_B0_P3_U1_CFG0 0x400106c0u +#define CYDEV_UCFG_B0_P3_U1_CFG1 0x400106c1u +#define CYDEV_UCFG_B0_P3_U1_CFG2 0x400106c2u +#define CYDEV_UCFG_B0_P3_U1_CFG3 0x400106c3u +#define CYDEV_UCFG_B0_P3_U1_CFG4 0x400106c4u +#define CYDEV_UCFG_B0_P3_U1_CFG5 0x400106c5u +#define CYDEV_UCFG_B0_P3_U1_CFG6 0x400106c6u +#define CYDEV_UCFG_B0_P3_U1_CFG7 0x400106c7u +#define CYDEV_UCFG_B0_P3_U1_CFG8 0x400106c8u +#define CYDEV_UCFG_B0_P3_U1_CFG9 0x400106c9u +#define CYDEV_UCFG_B0_P3_U1_CFG10 0x400106cau +#define CYDEV_UCFG_B0_P3_U1_CFG11 0x400106cbu +#define CYDEV_UCFG_B0_P3_U1_CFG12 0x400106ccu +#define CYDEV_UCFG_B0_P3_U1_CFG13 0x400106cdu +#define CYDEV_UCFG_B0_P3_U1_CFG14 0x400106ceu +#define CYDEV_UCFG_B0_P3_U1_CFG15 0x400106cfu +#define CYDEV_UCFG_B0_P3_U1_CFG16 0x400106d0u +#define CYDEV_UCFG_B0_P3_U1_CFG17 0x400106d1u +#define CYDEV_UCFG_B0_P3_U1_CFG18 0x400106d2u +#define CYDEV_UCFG_B0_P3_U1_CFG19 0x400106d3u +#define CYDEV_UCFG_B0_P3_U1_CFG20 0x400106d4u +#define CYDEV_UCFG_B0_P3_U1_CFG21 0x400106d5u +#define CYDEV_UCFG_B0_P3_U1_CFG22 0x400106d6u +#define CYDEV_UCFG_B0_P3_U1_CFG23 0x400106d7u +#define CYDEV_UCFG_B0_P3_U1_CFG24 0x400106d8u +#define CYDEV_UCFG_B0_P3_U1_CFG25 0x400106d9u +#define CYDEV_UCFG_B0_P3_U1_CFG26 0x400106dau +#define CYDEV_UCFG_B0_P3_U1_CFG27 0x400106dbu +#define CYDEV_UCFG_B0_P3_U1_CFG28 0x400106dcu +#define CYDEV_UCFG_B0_P3_U1_CFG29 0x400106ddu +#define CYDEV_UCFG_B0_P3_U1_CFG30 0x400106deu +#define CYDEV_UCFG_B0_P3_U1_CFG31 0x400106dfu +#define CYDEV_UCFG_B0_P3_U1_DCFG0 0x400106e0u +#define CYDEV_UCFG_B0_P3_U1_DCFG1 0x400106e2u +#define CYDEV_UCFG_B0_P3_U1_DCFG2 0x400106e4u +#define CYDEV_UCFG_B0_P3_U1_DCFG3 0x400106e6u +#define CYDEV_UCFG_B0_P3_U1_DCFG4 0x400106e8u +#define CYDEV_UCFG_B0_P3_U1_DCFG5 0x400106eau +#define CYDEV_UCFG_B0_P3_U1_DCFG6 0x400106ecu +#define CYDEV_UCFG_B0_P3_U1_DCFG7 0x400106eeu +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700u +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P4_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT0 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT1 0x40010804u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT2 0x40010808u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT3 0x4001080cu +#define CYDEV_UCFG_B0_P4_U0_PLD_IT4 0x40010810u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT5 0x40010814u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT6 0x40010818u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT7 0x4001081cu +#define CYDEV_UCFG_B0_P4_U0_PLD_IT8 0x40010820u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT9 0x40010824u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT10 0x40010828u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT11 0x4001082cu +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT0 0x40010830u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT1 0x40010832u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT2 0x40010834u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT3 0x40010836u +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838u +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB 0x4001083au +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083cu +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS 0x4001083eu +#define CYDEV_UCFG_B0_P4_U0_CFG0 0x40010840u +#define CYDEV_UCFG_B0_P4_U0_CFG1 0x40010841u +#define CYDEV_UCFG_B0_P4_U0_CFG2 0x40010842u +#define CYDEV_UCFG_B0_P4_U0_CFG3 0x40010843u +#define CYDEV_UCFG_B0_P4_U0_CFG4 0x40010844u +#define CYDEV_UCFG_B0_P4_U0_CFG5 0x40010845u +#define CYDEV_UCFG_B0_P4_U0_CFG6 0x40010846u +#define CYDEV_UCFG_B0_P4_U0_CFG7 0x40010847u +#define CYDEV_UCFG_B0_P4_U0_CFG8 0x40010848u +#define CYDEV_UCFG_B0_P4_U0_CFG9 0x40010849u +#define CYDEV_UCFG_B0_P4_U0_CFG10 0x4001084au +#define CYDEV_UCFG_B0_P4_U0_CFG11 0x4001084bu +#define CYDEV_UCFG_B0_P4_U0_CFG12 0x4001084cu +#define CYDEV_UCFG_B0_P4_U0_CFG13 0x4001084du +#define CYDEV_UCFG_B0_P4_U0_CFG14 0x4001084eu +#define CYDEV_UCFG_B0_P4_U0_CFG15 0x4001084fu +#define CYDEV_UCFG_B0_P4_U0_CFG16 0x40010850u +#define CYDEV_UCFG_B0_P4_U0_CFG17 0x40010851u +#define CYDEV_UCFG_B0_P4_U0_CFG18 0x40010852u +#define CYDEV_UCFG_B0_P4_U0_CFG19 0x40010853u +#define CYDEV_UCFG_B0_P4_U0_CFG20 0x40010854u +#define CYDEV_UCFG_B0_P4_U0_CFG21 0x40010855u +#define CYDEV_UCFG_B0_P4_U0_CFG22 0x40010856u +#define CYDEV_UCFG_B0_P4_U0_CFG23 0x40010857u +#define CYDEV_UCFG_B0_P4_U0_CFG24 0x40010858u +#define CYDEV_UCFG_B0_P4_U0_CFG25 0x40010859u +#define CYDEV_UCFG_B0_P4_U0_CFG26 0x4001085au +#define CYDEV_UCFG_B0_P4_U0_CFG27 0x4001085bu +#define CYDEV_UCFG_B0_P4_U0_CFG28 0x4001085cu +#define CYDEV_UCFG_B0_P4_U0_CFG29 0x4001085du +#define CYDEV_UCFG_B0_P4_U0_CFG30 0x4001085eu +#define CYDEV_UCFG_B0_P4_U0_CFG31 0x4001085fu +#define CYDEV_UCFG_B0_P4_U0_DCFG0 0x40010860u +#define CYDEV_UCFG_B0_P4_U0_DCFG1 0x40010862u +#define CYDEV_UCFG_B0_P4_U0_DCFG2 0x40010864u +#define CYDEV_UCFG_B0_P4_U0_DCFG3 0x40010866u +#define CYDEV_UCFG_B0_P4_U0_DCFG4 0x40010868u +#define CYDEV_UCFG_B0_P4_U0_DCFG5 0x4001086au +#define CYDEV_UCFG_B0_P4_U0_DCFG6 0x4001086cu +#define CYDEV_UCFG_B0_P4_U0_DCFG7 0x4001086eu +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT0 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT1 0x40010884u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT2 0x40010888u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT3 0x4001088cu +#define CYDEV_UCFG_B0_P4_U1_PLD_IT4 0x40010890u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT5 0x40010894u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT6 0x40010898u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT7 0x4001089cu +#define CYDEV_UCFG_B0_P4_U1_PLD_IT8 0x400108a0u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT9 0x400108a4u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT10 0x400108a8u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT11 0x400108acu +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT0 0x400108b0u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT1 0x400108b2u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT2 0x400108b4u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT3 0x400108b6u +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8u +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB 0x400108bau +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bcu +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS 0x400108beu +#define CYDEV_UCFG_B0_P4_U1_CFG0 0x400108c0u +#define CYDEV_UCFG_B0_P4_U1_CFG1 0x400108c1u +#define CYDEV_UCFG_B0_P4_U1_CFG2 0x400108c2u +#define CYDEV_UCFG_B0_P4_U1_CFG3 0x400108c3u +#define CYDEV_UCFG_B0_P4_U1_CFG4 0x400108c4u +#define CYDEV_UCFG_B0_P4_U1_CFG5 0x400108c5u +#define CYDEV_UCFG_B0_P4_U1_CFG6 0x400108c6u +#define CYDEV_UCFG_B0_P4_U1_CFG7 0x400108c7u +#define CYDEV_UCFG_B0_P4_U1_CFG8 0x400108c8u +#define CYDEV_UCFG_B0_P4_U1_CFG9 0x400108c9u +#define CYDEV_UCFG_B0_P4_U1_CFG10 0x400108cau +#define CYDEV_UCFG_B0_P4_U1_CFG11 0x400108cbu +#define CYDEV_UCFG_B0_P4_U1_CFG12 0x400108ccu +#define CYDEV_UCFG_B0_P4_U1_CFG13 0x400108cdu +#define CYDEV_UCFG_B0_P4_U1_CFG14 0x400108ceu +#define CYDEV_UCFG_B0_P4_U1_CFG15 0x400108cfu +#define CYDEV_UCFG_B0_P4_U1_CFG16 0x400108d0u +#define CYDEV_UCFG_B0_P4_U1_CFG17 0x400108d1u +#define CYDEV_UCFG_B0_P4_U1_CFG18 0x400108d2u +#define CYDEV_UCFG_B0_P4_U1_CFG19 0x400108d3u +#define CYDEV_UCFG_B0_P4_U1_CFG20 0x400108d4u +#define CYDEV_UCFG_B0_P4_U1_CFG21 0x400108d5u +#define CYDEV_UCFG_B0_P4_U1_CFG22 0x400108d6u +#define CYDEV_UCFG_B0_P4_U1_CFG23 0x400108d7u +#define CYDEV_UCFG_B0_P4_U1_CFG24 0x400108d8u +#define CYDEV_UCFG_B0_P4_U1_CFG25 0x400108d9u +#define CYDEV_UCFG_B0_P4_U1_CFG26 0x400108dau +#define CYDEV_UCFG_B0_P4_U1_CFG27 0x400108dbu +#define CYDEV_UCFG_B0_P4_U1_CFG28 0x400108dcu +#define CYDEV_UCFG_B0_P4_U1_CFG29 0x400108ddu +#define CYDEV_UCFG_B0_P4_U1_CFG30 0x400108deu +#define CYDEV_UCFG_B0_P4_U1_CFG31 0x400108dfu +#define CYDEV_UCFG_B0_P4_U1_DCFG0 0x400108e0u +#define CYDEV_UCFG_B0_P4_U1_DCFG1 0x400108e2u +#define CYDEV_UCFG_B0_P4_U1_DCFG2 0x400108e4u +#define CYDEV_UCFG_B0_P4_U1_DCFG3 0x400108e6u +#define CYDEV_UCFG_B0_P4_U1_DCFG4 0x400108e8u +#define CYDEV_UCFG_B0_P4_U1_DCFG5 0x400108eau +#define CYDEV_UCFG_B0_P4_U1_DCFG6 0x400108ecu +#define CYDEV_UCFG_B0_P4_U1_DCFG7 0x400108eeu +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900u +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT0 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT1 0x40010a04u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT2 0x40010a08u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT3 0x40010a0cu +#define CYDEV_UCFG_B0_P5_U0_PLD_IT4 0x40010a10u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT5 0x40010a14u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT6 0x40010a18u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT7 0x40010a1cu +#define CYDEV_UCFG_B0_P5_U0_PLD_IT8 0x40010a20u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT9 0x40010a24u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT10 0x40010a28u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT11 0x40010a2cu +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT0 0x40010a30u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT1 0x40010a32u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT2 0x40010a34u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT3 0x40010a36u +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38u +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB 0x40010a3au +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3cu +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3eu +#define CYDEV_UCFG_B0_P5_U0_CFG0 0x40010a40u +#define CYDEV_UCFG_B0_P5_U0_CFG1 0x40010a41u +#define CYDEV_UCFG_B0_P5_U0_CFG2 0x40010a42u +#define CYDEV_UCFG_B0_P5_U0_CFG3 0x40010a43u +#define CYDEV_UCFG_B0_P5_U0_CFG4 0x40010a44u +#define CYDEV_UCFG_B0_P5_U0_CFG5 0x40010a45u +#define CYDEV_UCFG_B0_P5_U0_CFG6 0x40010a46u +#define CYDEV_UCFG_B0_P5_U0_CFG7 0x40010a47u +#define CYDEV_UCFG_B0_P5_U0_CFG8 0x40010a48u +#define CYDEV_UCFG_B0_P5_U0_CFG9 0x40010a49u +#define CYDEV_UCFG_B0_P5_U0_CFG10 0x40010a4au +#define CYDEV_UCFG_B0_P5_U0_CFG11 0x40010a4bu +#define CYDEV_UCFG_B0_P5_U0_CFG12 0x40010a4cu +#define CYDEV_UCFG_B0_P5_U0_CFG13 0x40010a4du +#define CYDEV_UCFG_B0_P5_U0_CFG14 0x40010a4eu +#define CYDEV_UCFG_B0_P5_U0_CFG15 0x40010a4fu +#define CYDEV_UCFG_B0_P5_U0_CFG16 0x40010a50u +#define CYDEV_UCFG_B0_P5_U0_CFG17 0x40010a51u +#define CYDEV_UCFG_B0_P5_U0_CFG18 0x40010a52u +#define CYDEV_UCFG_B0_P5_U0_CFG19 0x40010a53u +#define CYDEV_UCFG_B0_P5_U0_CFG20 0x40010a54u +#define CYDEV_UCFG_B0_P5_U0_CFG21 0x40010a55u +#define CYDEV_UCFG_B0_P5_U0_CFG22 0x40010a56u +#define CYDEV_UCFG_B0_P5_U0_CFG23 0x40010a57u +#define CYDEV_UCFG_B0_P5_U0_CFG24 0x40010a58u +#define CYDEV_UCFG_B0_P5_U0_CFG25 0x40010a59u +#define CYDEV_UCFG_B0_P5_U0_CFG26 0x40010a5au +#define CYDEV_UCFG_B0_P5_U0_CFG27 0x40010a5bu +#define CYDEV_UCFG_B0_P5_U0_CFG28 0x40010a5cu +#define CYDEV_UCFG_B0_P5_U0_CFG29 0x40010a5du +#define CYDEV_UCFG_B0_P5_U0_CFG30 0x40010a5eu +#define CYDEV_UCFG_B0_P5_U0_CFG31 0x40010a5fu +#define CYDEV_UCFG_B0_P5_U0_DCFG0 0x40010a60u +#define CYDEV_UCFG_B0_P5_U0_DCFG1 0x40010a62u +#define CYDEV_UCFG_B0_P5_U0_DCFG2 0x40010a64u +#define CYDEV_UCFG_B0_P5_U0_DCFG3 0x40010a66u +#define CYDEV_UCFG_B0_P5_U0_DCFG4 0x40010a68u +#define CYDEV_UCFG_B0_P5_U0_DCFG5 0x40010a6au +#define CYDEV_UCFG_B0_P5_U0_DCFG6 0x40010a6cu +#define CYDEV_UCFG_B0_P5_U0_DCFG7 0x40010a6eu +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT0 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT1 0x40010a84u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT2 0x40010a88u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT3 0x40010a8cu +#define CYDEV_UCFG_B0_P5_U1_PLD_IT4 0x40010a90u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT5 0x40010a94u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT6 0x40010a98u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT7 0x40010a9cu +#define CYDEV_UCFG_B0_P5_U1_PLD_IT8 0x40010aa0u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT9 0x40010aa4u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT10 0x40010aa8u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT11 0x40010aacu +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT0 0x40010ab0u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT1 0x40010ab2u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT2 0x40010ab4u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT3 0x40010ab6u +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8u +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB 0x40010abau +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abcu +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS 0x40010abeu +#define CYDEV_UCFG_B0_P5_U1_CFG0 0x40010ac0u +#define CYDEV_UCFG_B0_P5_U1_CFG1 0x40010ac1u +#define CYDEV_UCFG_B0_P5_U1_CFG2 0x40010ac2u +#define CYDEV_UCFG_B0_P5_U1_CFG3 0x40010ac3u +#define CYDEV_UCFG_B0_P5_U1_CFG4 0x40010ac4u +#define CYDEV_UCFG_B0_P5_U1_CFG5 0x40010ac5u +#define CYDEV_UCFG_B0_P5_U1_CFG6 0x40010ac6u +#define CYDEV_UCFG_B0_P5_U1_CFG7 0x40010ac7u +#define CYDEV_UCFG_B0_P5_U1_CFG8 0x40010ac8u +#define CYDEV_UCFG_B0_P5_U1_CFG9 0x40010ac9u +#define CYDEV_UCFG_B0_P5_U1_CFG10 0x40010acau +#define CYDEV_UCFG_B0_P5_U1_CFG11 0x40010acbu +#define CYDEV_UCFG_B0_P5_U1_CFG12 0x40010accu +#define CYDEV_UCFG_B0_P5_U1_CFG13 0x40010acdu +#define CYDEV_UCFG_B0_P5_U1_CFG14 0x40010aceu +#define CYDEV_UCFG_B0_P5_U1_CFG15 0x40010acfu +#define CYDEV_UCFG_B0_P5_U1_CFG16 0x40010ad0u +#define CYDEV_UCFG_B0_P5_U1_CFG17 0x40010ad1u +#define CYDEV_UCFG_B0_P5_U1_CFG18 0x40010ad2u +#define CYDEV_UCFG_B0_P5_U1_CFG19 0x40010ad3u +#define CYDEV_UCFG_B0_P5_U1_CFG20 0x40010ad4u +#define CYDEV_UCFG_B0_P5_U1_CFG21 0x40010ad5u +#define CYDEV_UCFG_B0_P5_U1_CFG22 0x40010ad6u +#define CYDEV_UCFG_B0_P5_U1_CFG23 0x40010ad7u +#define CYDEV_UCFG_B0_P5_U1_CFG24 0x40010ad8u +#define CYDEV_UCFG_B0_P5_U1_CFG25 0x40010ad9u +#define CYDEV_UCFG_B0_P5_U1_CFG26 0x40010adau +#define CYDEV_UCFG_B0_P5_U1_CFG27 0x40010adbu +#define CYDEV_UCFG_B0_P5_U1_CFG28 0x40010adcu +#define CYDEV_UCFG_B0_P5_U1_CFG29 0x40010addu +#define CYDEV_UCFG_B0_P5_U1_CFG30 0x40010adeu +#define CYDEV_UCFG_B0_P5_U1_CFG31 0x40010adfu +#define CYDEV_UCFG_B0_P5_U1_DCFG0 0x40010ae0u +#define CYDEV_UCFG_B0_P5_U1_DCFG1 0x40010ae2u +#define CYDEV_UCFG_B0_P5_U1_DCFG2 0x40010ae4u +#define CYDEV_UCFG_B0_P5_U1_DCFG3 0x40010ae6u +#define CYDEV_UCFG_B0_P5_U1_DCFG4 0x40010ae8u +#define CYDEV_UCFG_B0_P5_U1_DCFG5 0x40010aeau +#define CYDEV_UCFG_B0_P5_U1_DCFG6 0x40010aecu +#define CYDEV_UCFG_B0_P5_U1_DCFG7 0x40010aeeu +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00u +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT0 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT1 0x40010c04u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT2 0x40010c08u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT3 0x40010c0cu +#define CYDEV_UCFG_B0_P6_U0_PLD_IT4 0x40010c10u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT5 0x40010c14u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT6 0x40010c18u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT7 0x40010c1cu +#define CYDEV_UCFG_B0_P6_U0_PLD_IT8 0x40010c20u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT9 0x40010c24u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT10 0x40010c28u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT11 0x40010c2cu +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT0 0x40010c30u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT1 0x40010c32u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT2 0x40010c34u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT3 0x40010c36u +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38u +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB 0x40010c3au +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3cu +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3eu +#define CYDEV_UCFG_B0_P6_U0_CFG0 0x40010c40u +#define CYDEV_UCFG_B0_P6_U0_CFG1 0x40010c41u +#define CYDEV_UCFG_B0_P6_U0_CFG2 0x40010c42u +#define CYDEV_UCFG_B0_P6_U0_CFG3 0x40010c43u +#define CYDEV_UCFG_B0_P6_U0_CFG4 0x40010c44u +#define CYDEV_UCFG_B0_P6_U0_CFG5 0x40010c45u +#define CYDEV_UCFG_B0_P6_U0_CFG6 0x40010c46u +#define CYDEV_UCFG_B0_P6_U0_CFG7 0x40010c47u +#define CYDEV_UCFG_B0_P6_U0_CFG8 0x40010c48u +#define CYDEV_UCFG_B0_P6_U0_CFG9 0x40010c49u +#define CYDEV_UCFG_B0_P6_U0_CFG10 0x40010c4au +#define CYDEV_UCFG_B0_P6_U0_CFG11 0x40010c4bu +#define CYDEV_UCFG_B0_P6_U0_CFG12 0x40010c4cu +#define CYDEV_UCFG_B0_P6_U0_CFG13 0x40010c4du +#define CYDEV_UCFG_B0_P6_U0_CFG14 0x40010c4eu +#define CYDEV_UCFG_B0_P6_U0_CFG15 0x40010c4fu +#define CYDEV_UCFG_B0_P6_U0_CFG16 0x40010c50u +#define CYDEV_UCFG_B0_P6_U0_CFG17 0x40010c51u +#define CYDEV_UCFG_B0_P6_U0_CFG18 0x40010c52u +#define CYDEV_UCFG_B0_P6_U0_CFG19 0x40010c53u +#define CYDEV_UCFG_B0_P6_U0_CFG20 0x40010c54u +#define CYDEV_UCFG_B0_P6_U0_CFG21 0x40010c55u +#define CYDEV_UCFG_B0_P6_U0_CFG22 0x40010c56u +#define CYDEV_UCFG_B0_P6_U0_CFG23 0x40010c57u +#define CYDEV_UCFG_B0_P6_U0_CFG24 0x40010c58u +#define CYDEV_UCFG_B0_P6_U0_CFG25 0x40010c59u +#define CYDEV_UCFG_B0_P6_U0_CFG26 0x40010c5au +#define CYDEV_UCFG_B0_P6_U0_CFG27 0x40010c5bu +#define CYDEV_UCFG_B0_P6_U0_CFG28 0x40010c5cu +#define CYDEV_UCFG_B0_P6_U0_CFG29 0x40010c5du +#define CYDEV_UCFG_B0_P6_U0_CFG30 0x40010c5eu +#define CYDEV_UCFG_B0_P6_U0_CFG31 0x40010c5fu +#define CYDEV_UCFG_B0_P6_U0_DCFG0 0x40010c60u +#define CYDEV_UCFG_B0_P6_U0_DCFG1 0x40010c62u +#define CYDEV_UCFG_B0_P6_U0_DCFG2 0x40010c64u +#define CYDEV_UCFG_B0_P6_U0_DCFG3 0x40010c66u +#define CYDEV_UCFG_B0_P6_U0_DCFG4 0x40010c68u +#define CYDEV_UCFG_B0_P6_U0_DCFG5 0x40010c6au +#define CYDEV_UCFG_B0_P6_U0_DCFG6 0x40010c6cu +#define CYDEV_UCFG_B0_P6_U0_DCFG7 0x40010c6eu +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT0 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT1 0x40010c84u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT2 0x40010c88u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT3 0x40010c8cu +#define CYDEV_UCFG_B0_P6_U1_PLD_IT4 0x40010c90u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT5 0x40010c94u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT6 0x40010c98u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT7 0x40010c9cu +#define CYDEV_UCFG_B0_P6_U1_PLD_IT8 0x40010ca0u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT9 0x40010ca4u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT10 0x40010ca8u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT11 0x40010cacu +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT0 0x40010cb0u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT1 0x40010cb2u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT2 0x40010cb4u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT3 0x40010cb6u +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8u +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB 0x40010cbau +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbcu +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbeu +#define CYDEV_UCFG_B0_P6_U1_CFG0 0x40010cc0u +#define CYDEV_UCFG_B0_P6_U1_CFG1 0x40010cc1u +#define CYDEV_UCFG_B0_P6_U1_CFG2 0x40010cc2u +#define CYDEV_UCFG_B0_P6_U1_CFG3 0x40010cc3u +#define CYDEV_UCFG_B0_P6_U1_CFG4 0x40010cc4u +#define CYDEV_UCFG_B0_P6_U1_CFG5 0x40010cc5u +#define CYDEV_UCFG_B0_P6_U1_CFG6 0x40010cc6u +#define CYDEV_UCFG_B0_P6_U1_CFG7 0x40010cc7u +#define CYDEV_UCFG_B0_P6_U1_CFG8 0x40010cc8u +#define CYDEV_UCFG_B0_P6_U1_CFG9 0x40010cc9u +#define CYDEV_UCFG_B0_P6_U1_CFG10 0x40010ccau +#define CYDEV_UCFG_B0_P6_U1_CFG11 0x40010ccbu +#define CYDEV_UCFG_B0_P6_U1_CFG12 0x40010cccu +#define CYDEV_UCFG_B0_P6_U1_CFG13 0x40010ccdu +#define CYDEV_UCFG_B0_P6_U1_CFG14 0x40010cceu +#define CYDEV_UCFG_B0_P6_U1_CFG15 0x40010ccfu +#define CYDEV_UCFG_B0_P6_U1_CFG16 0x40010cd0u +#define CYDEV_UCFG_B0_P6_U1_CFG17 0x40010cd1u +#define CYDEV_UCFG_B0_P6_U1_CFG18 0x40010cd2u +#define CYDEV_UCFG_B0_P6_U1_CFG19 0x40010cd3u +#define CYDEV_UCFG_B0_P6_U1_CFG20 0x40010cd4u +#define CYDEV_UCFG_B0_P6_U1_CFG21 0x40010cd5u +#define CYDEV_UCFG_B0_P6_U1_CFG22 0x40010cd6u +#define CYDEV_UCFG_B0_P6_U1_CFG23 0x40010cd7u +#define CYDEV_UCFG_B0_P6_U1_CFG24 0x40010cd8u +#define CYDEV_UCFG_B0_P6_U1_CFG25 0x40010cd9u +#define CYDEV_UCFG_B0_P6_U1_CFG26 0x40010cdau +#define CYDEV_UCFG_B0_P6_U1_CFG27 0x40010cdbu +#define CYDEV_UCFG_B0_P6_U1_CFG28 0x40010cdcu +#define CYDEV_UCFG_B0_P6_U1_CFG29 0x40010cddu +#define CYDEV_UCFG_B0_P6_U1_CFG30 0x40010cdeu +#define CYDEV_UCFG_B0_P6_U1_CFG31 0x40010cdfu +#define CYDEV_UCFG_B0_P6_U1_DCFG0 0x40010ce0u +#define CYDEV_UCFG_B0_P6_U1_DCFG1 0x40010ce2u +#define CYDEV_UCFG_B0_P6_U1_DCFG2 0x40010ce4u +#define CYDEV_UCFG_B0_P6_U1_DCFG3 0x40010ce6u +#define CYDEV_UCFG_B0_P6_U1_DCFG4 0x40010ce8u +#define CYDEV_UCFG_B0_P6_U1_DCFG5 0x40010ceau +#define CYDEV_UCFG_B0_P6_U1_DCFG6 0x40010cecu +#define CYDEV_UCFG_B0_P6_U1_DCFG7 0x40010ceeu +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00u +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT0 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT1 0x40010e04u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT2 0x40010e08u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT3 0x40010e0cu +#define CYDEV_UCFG_B0_P7_U0_PLD_IT4 0x40010e10u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT5 0x40010e14u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT6 0x40010e18u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT7 0x40010e1cu +#define CYDEV_UCFG_B0_P7_U0_PLD_IT8 0x40010e20u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT9 0x40010e24u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT10 0x40010e28u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT11 0x40010e2cu +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT0 0x40010e30u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT1 0x40010e32u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT2 0x40010e34u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT3 0x40010e36u +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38u +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB 0x40010e3au +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3cu +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3eu +#define CYDEV_UCFG_B0_P7_U0_CFG0 0x40010e40u +#define CYDEV_UCFG_B0_P7_U0_CFG1 0x40010e41u +#define CYDEV_UCFG_B0_P7_U0_CFG2 0x40010e42u +#define CYDEV_UCFG_B0_P7_U0_CFG3 0x40010e43u +#define CYDEV_UCFG_B0_P7_U0_CFG4 0x40010e44u +#define CYDEV_UCFG_B0_P7_U0_CFG5 0x40010e45u +#define CYDEV_UCFG_B0_P7_U0_CFG6 0x40010e46u +#define CYDEV_UCFG_B0_P7_U0_CFG7 0x40010e47u +#define CYDEV_UCFG_B0_P7_U0_CFG8 0x40010e48u +#define CYDEV_UCFG_B0_P7_U0_CFG9 0x40010e49u +#define CYDEV_UCFG_B0_P7_U0_CFG10 0x40010e4au +#define CYDEV_UCFG_B0_P7_U0_CFG11 0x40010e4bu +#define CYDEV_UCFG_B0_P7_U0_CFG12 0x40010e4cu +#define CYDEV_UCFG_B0_P7_U0_CFG13 0x40010e4du +#define CYDEV_UCFG_B0_P7_U0_CFG14 0x40010e4eu +#define CYDEV_UCFG_B0_P7_U0_CFG15 0x40010e4fu +#define CYDEV_UCFG_B0_P7_U0_CFG16 0x40010e50u +#define CYDEV_UCFG_B0_P7_U0_CFG17 0x40010e51u +#define CYDEV_UCFG_B0_P7_U0_CFG18 0x40010e52u +#define CYDEV_UCFG_B0_P7_U0_CFG19 0x40010e53u +#define CYDEV_UCFG_B0_P7_U0_CFG20 0x40010e54u +#define CYDEV_UCFG_B0_P7_U0_CFG21 0x40010e55u +#define CYDEV_UCFG_B0_P7_U0_CFG22 0x40010e56u +#define CYDEV_UCFG_B0_P7_U0_CFG23 0x40010e57u +#define CYDEV_UCFG_B0_P7_U0_CFG24 0x40010e58u +#define CYDEV_UCFG_B0_P7_U0_CFG25 0x40010e59u +#define CYDEV_UCFG_B0_P7_U0_CFG26 0x40010e5au +#define CYDEV_UCFG_B0_P7_U0_CFG27 0x40010e5bu +#define CYDEV_UCFG_B0_P7_U0_CFG28 0x40010e5cu +#define CYDEV_UCFG_B0_P7_U0_CFG29 0x40010e5du +#define CYDEV_UCFG_B0_P7_U0_CFG30 0x40010e5eu +#define CYDEV_UCFG_B0_P7_U0_CFG31 0x40010e5fu +#define CYDEV_UCFG_B0_P7_U0_DCFG0 0x40010e60u +#define CYDEV_UCFG_B0_P7_U0_DCFG1 0x40010e62u +#define CYDEV_UCFG_B0_P7_U0_DCFG2 0x40010e64u +#define CYDEV_UCFG_B0_P7_U0_DCFG3 0x40010e66u +#define CYDEV_UCFG_B0_P7_U0_DCFG4 0x40010e68u +#define CYDEV_UCFG_B0_P7_U0_DCFG5 0x40010e6au +#define CYDEV_UCFG_B0_P7_U0_DCFG6 0x40010e6cu +#define CYDEV_UCFG_B0_P7_U0_DCFG7 0x40010e6eu +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT0 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT1 0x40010e84u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT2 0x40010e88u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT3 0x40010e8cu +#define CYDEV_UCFG_B0_P7_U1_PLD_IT4 0x40010e90u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT5 0x40010e94u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT6 0x40010e98u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT7 0x40010e9cu +#define CYDEV_UCFG_B0_P7_U1_PLD_IT8 0x40010ea0u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT9 0x40010ea4u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT10 0x40010ea8u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT11 0x40010eacu +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT0 0x40010eb0u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT1 0x40010eb2u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT2 0x40010eb4u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT3 0x40010eb6u +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8u +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB 0x40010ebau +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebcu +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebeu +#define CYDEV_UCFG_B0_P7_U1_CFG0 0x40010ec0u +#define CYDEV_UCFG_B0_P7_U1_CFG1 0x40010ec1u +#define CYDEV_UCFG_B0_P7_U1_CFG2 0x40010ec2u +#define CYDEV_UCFG_B0_P7_U1_CFG3 0x40010ec3u +#define CYDEV_UCFG_B0_P7_U1_CFG4 0x40010ec4u +#define CYDEV_UCFG_B0_P7_U1_CFG5 0x40010ec5u +#define CYDEV_UCFG_B0_P7_U1_CFG6 0x40010ec6u +#define CYDEV_UCFG_B0_P7_U1_CFG7 0x40010ec7u +#define CYDEV_UCFG_B0_P7_U1_CFG8 0x40010ec8u +#define CYDEV_UCFG_B0_P7_U1_CFG9 0x40010ec9u +#define CYDEV_UCFG_B0_P7_U1_CFG10 0x40010ecau +#define CYDEV_UCFG_B0_P7_U1_CFG11 0x40010ecbu +#define CYDEV_UCFG_B0_P7_U1_CFG12 0x40010eccu +#define CYDEV_UCFG_B0_P7_U1_CFG13 0x40010ecdu +#define CYDEV_UCFG_B0_P7_U1_CFG14 0x40010eceu +#define CYDEV_UCFG_B0_P7_U1_CFG15 0x40010ecfu +#define CYDEV_UCFG_B0_P7_U1_CFG16 0x40010ed0u +#define CYDEV_UCFG_B0_P7_U1_CFG17 0x40010ed1u +#define CYDEV_UCFG_B0_P7_U1_CFG18 0x40010ed2u +#define CYDEV_UCFG_B0_P7_U1_CFG19 0x40010ed3u +#define CYDEV_UCFG_B0_P7_U1_CFG20 0x40010ed4u +#define CYDEV_UCFG_B0_P7_U1_CFG21 0x40010ed5u +#define CYDEV_UCFG_B0_P7_U1_CFG22 0x40010ed6u +#define CYDEV_UCFG_B0_P7_U1_CFG23 0x40010ed7u +#define CYDEV_UCFG_B0_P7_U1_CFG24 0x40010ed8u +#define CYDEV_UCFG_B0_P7_U1_CFG25 0x40010ed9u +#define CYDEV_UCFG_B0_P7_U1_CFG26 0x40010edau +#define CYDEV_UCFG_B0_P7_U1_CFG27 0x40010edbu +#define CYDEV_UCFG_B0_P7_U1_CFG28 0x40010edcu +#define CYDEV_UCFG_B0_P7_U1_CFG29 0x40010eddu +#define CYDEV_UCFG_B0_P7_U1_CFG30 0x40010edeu +#define CYDEV_UCFG_B0_P7_U1_CFG31 0x40010edfu +#define CYDEV_UCFG_B0_P7_U1_DCFG0 0x40010ee0u +#define CYDEV_UCFG_B0_P7_U1_DCFG1 0x40010ee2u +#define CYDEV_UCFG_B0_P7_U1_DCFG2 0x40010ee4u +#define CYDEV_UCFG_B0_P7_U1_DCFG3 0x40010ee6u +#define CYDEV_UCFG_B0_P7_U1_DCFG4 0x40010ee8u +#define CYDEV_UCFG_B0_P7_U1_DCFG5 0x40010eeau +#define CYDEV_UCFG_B0_P7_U1_DCFG6 0x40010eecu +#define CYDEV_UCFG_B0_P7_U1_DCFG7 0x40010eeeu +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00u +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_BASE 0x40011000u +#define CYDEV_UCFG_B1_SIZE 0x00000fefu +#define CYDEV_UCFG_B1_P2_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT0 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT1 0x40011404u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT2 0x40011408u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT3 0x4001140cu +#define CYDEV_UCFG_B1_P2_U0_PLD_IT4 0x40011410u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT5 0x40011414u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT6 0x40011418u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT7 0x4001141cu +#define CYDEV_UCFG_B1_P2_U0_PLD_IT8 0x40011420u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT9 0x40011424u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT10 0x40011428u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT11 0x4001142cu +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT0 0x40011430u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT1 0x40011432u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT2 0x40011434u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT3 0x40011436u +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438u +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB 0x4001143au +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143cu +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS 0x4001143eu +#define CYDEV_UCFG_B1_P2_U0_CFG0 0x40011440u +#define CYDEV_UCFG_B1_P2_U0_CFG1 0x40011441u +#define CYDEV_UCFG_B1_P2_U0_CFG2 0x40011442u +#define CYDEV_UCFG_B1_P2_U0_CFG3 0x40011443u +#define CYDEV_UCFG_B1_P2_U0_CFG4 0x40011444u +#define CYDEV_UCFG_B1_P2_U0_CFG5 0x40011445u +#define CYDEV_UCFG_B1_P2_U0_CFG6 0x40011446u +#define CYDEV_UCFG_B1_P2_U0_CFG7 0x40011447u +#define CYDEV_UCFG_B1_P2_U0_CFG8 0x40011448u +#define CYDEV_UCFG_B1_P2_U0_CFG9 0x40011449u +#define CYDEV_UCFG_B1_P2_U0_CFG10 0x4001144au +#define CYDEV_UCFG_B1_P2_U0_CFG11 0x4001144bu +#define CYDEV_UCFG_B1_P2_U0_CFG12 0x4001144cu +#define CYDEV_UCFG_B1_P2_U0_CFG13 0x4001144du +#define CYDEV_UCFG_B1_P2_U0_CFG14 0x4001144eu +#define CYDEV_UCFG_B1_P2_U0_CFG15 0x4001144fu +#define CYDEV_UCFG_B1_P2_U0_CFG16 0x40011450u +#define CYDEV_UCFG_B1_P2_U0_CFG17 0x40011451u +#define CYDEV_UCFG_B1_P2_U0_CFG18 0x40011452u +#define CYDEV_UCFG_B1_P2_U0_CFG19 0x40011453u +#define CYDEV_UCFG_B1_P2_U0_CFG20 0x40011454u +#define CYDEV_UCFG_B1_P2_U0_CFG21 0x40011455u +#define CYDEV_UCFG_B1_P2_U0_CFG22 0x40011456u +#define CYDEV_UCFG_B1_P2_U0_CFG23 0x40011457u +#define CYDEV_UCFG_B1_P2_U0_CFG24 0x40011458u +#define CYDEV_UCFG_B1_P2_U0_CFG25 0x40011459u +#define CYDEV_UCFG_B1_P2_U0_CFG26 0x4001145au +#define CYDEV_UCFG_B1_P2_U0_CFG27 0x4001145bu +#define CYDEV_UCFG_B1_P2_U0_CFG28 0x4001145cu +#define CYDEV_UCFG_B1_P2_U0_CFG29 0x4001145du +#define CYDEV_UCFG_B1_P2_U0_CFG30 0x4001145eu +#define CYDEV_UCFG_B1_P2_U0_CFG31 0x4001145fu +#define CYDEV_UCFG_B1_P2_U0_DCFG0 0x40011460u +#define CYDEV_UCFG_B1_P2_U0_DCFG1 0x40011462u +#define CYDEV_UCFG_B1_P2_U0_DCFG2 0x40011464u +#define CYDEV_UCFG_B1_P2_U0_DCFG3 0x40011466u +#define CYDEV_UCFG_B1_P2_U0_DCFG4 0x40011468u +#define CYDEV_UCFG_B1_P2_U0_DCFG5 0x4001146au +#define CYDEV_UCFG_B1_P2_U0_DCFG6 0x4001146cu +#define CYDEV_UCFG_B1_P2_U0_DCFG7 0x4001146eu +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT0 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT1 0x40011484u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT2 0x40011488u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT3 0x4001148cu +#define CYDEV_UCFG_B1_P2_U1_PLD_IT4 0x40011490u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT5 0x40011494u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT6 0x40011498u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT7 0x4001149cu +#define CYDEV_UCFG_B1_P2_U1_PLD_IT8 0x400114a0u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT9 0x400114a4u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT10 0x400114a8u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT11 0x400114acu +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT0 0x400114b0u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT1 0x400114b2u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT2 0x400114b4u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT3 0x400114b6u +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8u +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB 0x400114bau +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bcu +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS 0x400114beu +#define CYDEV_UCFG_B1_P2_U1_CFG0 0x400114c0u +#define CYDEV_UCFG_B1_P2_U1_CFG1 0x400114c1u +#define CYDEV_UCFG_B1_P2_U1_CFG2 0x400114c2u +#define CYDEV_UCFG_B1_P2_U1_CFG3 0x400114c3u +#define CYDEV_UCFG_B1_P2_U1_CFG4 0x400114c4u +#define CYDEV_UCFG_B1_P2_U1_CFG5 0x400114c5u +#define CYDEV_UCFG_B1_P2_U1_CFG6 0x400114c6u +#define CYDEV_UCFG_B1_P2_U1_CFG7 0x400114c7u +#define CYDEV_UCFG_B1_P2_U1_CFG8 0x400114c8u +#define CYDEV_UCFG_B1_P2_U1_CFG9 0x400114c9u +#define CYDEV_UCFG_B1_P2_U1_CFG10 0x400114cau +#define CYDEV_UCFG_B1_P2_U1_CFG11 0x400114cbu +#define CYDEV_UCFG_B1_P2_U1_CFG12 0x400114ccu +#define CYDEV_UCFG_B1_P2_U1_CFG13 0x400114cdu +#define CYDEV_UCFG_B1_P2_U1_CFG14 0x400114ceu +#define CYDEV_UCFG_B1_P2_U1_CFG15 0x400114cfu +#define CYDEV_UCFG_B1_P2_U1_CFG16 0x400114d0u +#define CYDEV_UCFG_B1_P2_U1_CFG17 0x400114d1u +#define CYDEV_UCFG_B1_P2_U1_CFG18 0x400114d2u +#define CYDEV_UCFG_B1_P2_U1_CFG19 0x400114d3u +#define CYDEV_UCFG_B1_P2_U1_CFG20 0x400114d4u +#define CYDEV_UCFG_B1_P2_U1_CFG21 0x400114d5u +#define CYDEV_UCFG_B1_P2_U1_CFG22 0x400114d6u +#define CYDEV_UCFG_B1_P2_U1_CFG23 0x400114d7u +#define CYDEV_UCFG_B1_P2_U1_CFG24 0x400114d8u +#define CYDEV_UCFG_B1_P2_U1_CFG25 0x400114d9u +#define CYDEV_UCFG_B1_P2_U1_CFG26 0x400114dau +#define CYDEV_UCFG_B1_P2_U1_CFG27 0x400114dbu +#define CYDEV_UCFG_B1_P2_U1_CFG28 0x400114dcu +#define CYDEV_UCFG_B1_P2_U1_CFG29 0x400114ddu +#define CYDEV_UCFG_B1_P2_U1_CFG30 0x400114deu +#define CYDEV_UCFG_B1_P2_U1_CFG31 0x400114dfu +#define CYDEV_UCFG_B1_P2_U1_DCFG0 0x400114e0u +#define CYDEV_UCFG_B1_P2_U1_DCFG1 0x400114e2u +#define CYDEV_UCFG_B1_P2_U1_DCFG2 0x400114e4u +#define CYDEV_UCFG_B1_P2_U1_DCFG3 0x400114e6u +#define CYDEV_UCFG_B1_P2_U1_DCFG4 0x400114e8u +#define CYDEV_UCFG_B1_P2_U1_DCFG5 0x400114eau +#define CYDEV_UCFG_B1_P2_U1_DCFG6 0x400114ecu +#define CYDEV_UCFG_B1_P2_U1_DCFG7 0x400114eeu +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500u +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P3_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT0 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT1 0x40011604u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT2 0x40011608u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT3 0x4001160cu +#define CYDEV_UCFG_B1_P3_U0_PLD_IT4 0x40011610u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT5 0x40011614u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT6 0x40011618u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT7 0x4001161cu +#define CYDEV_UCFG_B1_P3_U0_PLD_IT8 0x40011620u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT9 0x40011624u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT10 0x40011628u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT11 0x4001162cu +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT0 0x40011630u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT1 0x40011632u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT2 0x40011634u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT3 0x40011636u +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638u +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB 0x4001163au +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163cu +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS 0x4001163eu +#define CYDEV_UCFG_B1_P3_U0_CFG0 0x40011640u +#define CYDEV_UCFG_B1_P3_U0_CFG1 0x40011641u +#define CYDEV_UCFG_B1_P3_U0_CFG2 0x40011642u +#define CYDEV_UCFG_B1_P3_U0_CFG3 0x40011643u +#define CYDEV_UCFG_B1_P3_U0_CFG4 0x40011644u +#define CYDEV_UCFG_B1_P3_U0_CFG5 0x40011645u +#define CYDEV_UCFG_B1_P3_U0_CFG6 0x40011646u +#define CYDEV_UCFG_B1_P3_U0_CFG7 0x40011647u +#define CYDEV_UCFG_B1_P3_U0_CFG8 0x40011648u +#define CYDEV_UCFG_B1_P3_U0_CFG9 0x40011649u +#define CYDEV_UCFG_B1_P3_U0_CFG10 0x4001164au +#define CYDEV_UCFG_B1_P3_U0_CFG11 0x4001164bu +#define CYDEV_UCFG_B1_P3_U0_CFG12 0x4001164cu +#define CYDEV_UCFG_B1_P3_U0_CFG13 0x4001164du +#define CYDEV_UCFG_B1_P3_U0_CFG14 0x4001164eu +#define CYDEV_UCFG_B1_P3_U0_CFG15 0x4001164fu +#define CYDEV_UCFG_B1_P3_U0_CFG16 0x40011650u +#define CYDEV_UCFG_B1_P3_U0_CFG17 0x40011651u +#define CYDEV_UCFG_B1_P3_U0_CFG18 0x40011652u +#define CYDEV_UCFG_B1_P3_U0_CFG19 0x40011653u +#define CYDEV_UCFG_B1_P3_U0_CFG20 0x40011654u +#define CYDEV_UCFG_B1_P3_U0_CFG21 0x40011655u +#define CYDEV_UCFG_B1_P3_U0_CFG22 0x40011656u +#define CYDEV_UCFG_B1_P3_U0_CFG23 0x40011657u +#define CYDEV_UCFG_B1_P3_U0_CFG24 0x40011658u +#define CYDEV_UCFG_B1_P3_U0_CFG25 0x40011659u +#define CYDEV_UCFG_B1_P3_U0_CFG26 0x4001165au +#define CYDEV_UCFG_B1_P3_U0_CFG27 0x4001165bu +#define CYDEV_UCFG_B1_P3_U0_CFG28 0x4001165cu +#define CYDEV_UCFG_B1_P3_U0_CFG29 0x4001165du +#define CYDEV_UCFG_B1_P3_U0_CFG30 0x4001165eu +#define CYDEV_UCFG_B1_P3_U0_CFG31 0x4001165fu +#define CYDEV_UCFG_B1_P3_U0_DCFG0 0x40011660u +#define CYDEV_UCFG_B1_P3_U0_DCFG1 0x40011662u +#define CYDEV_UCFG_B1_P3_U0_DCFG2 0x40011664u +#define CYDEV_UCFG_B1_P3_U0_DCFG3 0x40011666u +#define CYDEV_UCFG_B1_P3_U0_DCFG4 0x40011668u +#define CYDEV_UCFG_B1_P3_U0_DCFG5 0x4001166au +#define CYDEV_UCFG_B1_P3_U0_DCFG6 0x4001166cu +#define CYDEV_UCFG_B1_P3_U0_DCFG7 0x4001166eu +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT0 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT1 0x40011684u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT2 0x40011688u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT3 0x4001168cu +#define CYDEV_UCFG_B1_P3_U1_PLD_IT4 0x40011690u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT5 0x40011694u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT6 0x40011698u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT7 0x4001169cu +#define CYDEV_UCFG_B1_P3_U1_PLD_IT8 0x400116a0u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT9 0x400116a4u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT10 0x400116a8u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT11 0x400116acu +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT0 0x400116b0u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT1 0x400116b2u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT2 0x400116b4u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT3 0x400116b6u +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8u +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB 0x400116bau +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bcu +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS 0x400116beu +#define CYDEV_UCFG_B1_P3_U1_CFG0 0x400116c0u +#define CYDEV_UCFG_B1_P3_U1_CFG1 0x400116c1u +#define CYDEV_UCFG_B1_P3_U1_CFG2 0x400116c2u +#define CYDEV_UCFG_B1_P3_U1_CFG3 0x400116c3u +#define CYDEV_UCFG_B1_P3_U1_CFG4 0x400116c4u +#define CYDEV_UCFG_B1_P3_U1_CFG5 0x400116c5u +#define CYDEV_UCFG_B1_P3_U1_CFG6 0x400116c6u +#define CYDEV_UCFG_B1_P3_U1_CFG7 0x400116c7u +#define CYDEV_UCFG_B1_P3_U1_CFG8 0x400116c8u +#define CYDEV_UCFG_B1_P3_U1_CFG9 0x400116c9u +#define CYDEV_UCFG_B1_P3_U1_CFG10 0x400116cau +#define CYDEV_UCFG_B1_P3_U1_CFG11 0x400116cbu +#define CYDEV_UCFG_B1_P3_U1_CFG12 0x400116ccu +#define CYDEV_UCFG_B1_P3_U1_CFG13 0x400116cdu +#define CYDEV_UCFG_B1_P3_U1_CFG14 0x400116ceu +#define CYDEV_UCFG_B1_P3_U1_CFG15 0x400116cfu +#define CYDEV_UCFG_B1_P3_U1_CFG16 0x400116d0u +#define CYDEV_UCFG_B1_P3_U1_CFG17 0x400116d1u +#define CYDEV_UCFG_B1_P3_U1_CFG18 0x400116d2u +#define CYDEV_UCFG_B1_P3_U1_CFG19 0x400116d3u +#define CYDEV_UCFG_B1_P3_U1_CFG20 0x400116d4u +#define CYDEV_UCFG_B1_P3_U1_CFG21 0x400116d5u +#define CYDEV_UCFG_B1_P3_U1_CFG22 0x400116d6u +#define CYDEV_UCFG_B1_P3_U1_CFG23 0x400116d7u +#define CYDEV_UCFG_B1_P3_U1_CFG24 0x400116d8u +#define CYDEV_UCFG_B1_P3_U1_CFG25 0x400116d9u +#define CYDEV_UCFG_B1_P3_U1_CFG26 0x400116dau +#define CYDEV_UCFG_B1_P3_U1_CFG27 0x400116dbu +#define CYDEV_UCFG_B1_P3_U1_CFG28 0x400116dcu +#define CYDEV_UCFG_B1_P3_U1_CFG29 0x400116ddu +#define CYDEV_UCFG_B1_P3_U1_CFG30 0x400116deu +#define CYDEV_UCFG_B1_P3_U1_CFG31 0x400116dfu +#define CYDEV_UCFG_B1_P3_U1_DCFG0 0x400116e0u +#define CYDEV_UCFG_B1_P3_U1_DCFG1 0x400116e2u +#define CYDEV_UCFG_B1_P3_U1_DCFG2 0x400116e4u +#define CYDEV_UCFG_B1_P3_U1_DCFG3 0x400116e6u +#define CYDEV_UCFG_B1_P3_U1_DCFG4 0x400116e8u +#define CYDEV_UCFG_B1_P3_U1_DCFG5 0x400116eau +#define CYDEV_UCFG_B1_P3_U1_DCFG6 0x400116ecu +#define CYDEV_UCFG_B1_P3_U1_DCFG7 0x400116eeu +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700u +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P4_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT0 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT1 0x40011804u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT2 0x40011808u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT3 0x4001180cu +#define CYDEV_UCFG_B1_P4_U0_PLD_IT4 0x40011810u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT5 0x40011814u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT6 0x40011818u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT7 0x4001181cu +#define CYDEV_UCFG_B1_P4_U0_PLD_IT8 0x40011820u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT9 0x40011824u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT10 0x40011828u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT11 0x4001182cu +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT0 0x40011830u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT1 0x40011832u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT2 0x40011834u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT3 0x40011836u +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838u +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB 0x4001183au +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183cu +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS 0x4001183eu +#define CYDEV_UCFG_B1_P4_U0_CFG0 0x40011840u +#define CYDEV_UCFG_B1_P4_U0_CFG1 0x40011841u +#define CYDEV_UCFG_B1_P4_U0_CFG2 0x40011842u +#define CYDEV_UCFG_B1_P4_U0_CFG3 0x40011843u +#define CYDEV_UCFG_B1_P4_U0_CFG4 0x40011844u +#define CYDEV_UCFG_B1_P4_U0_CFG5 0x40011845u +#define CYDEV_UCFG_B1_P4_U0_CFG6 0x40011846u +#define CYDEV_UCFG_B1_P4_U0_CFG7 0x40011847u +#define CYDEV_UCFG_B1_P4_U0_CFG8 0x40011848u +#define CYDEV_UCFG_B1_P4_U0_CFG9 0x40011849u +#define CYDEV_UCFG_B1_P4_U0_CFG10 0x4001184au +#define CYDEV_UCFG_B1_P4_U0_CFG11 0x4001184bu +#define CYDEV_UCFG_B1_P4_U0_CFG12 0x4001184cu +#define CYDEV_UCFG_B1_P4_U0_CFG13 0x4001184du +#define CYDEV_UCFG_B1_P4_U0_CFG14 0x4001184eu +#define CYDEV_UCFG_B1_P4_U0_CFG15 0x4001184fu +#define CYDEV_UCFG_B1_P4_U0_CFG16 0x40011850u +#define CYDEV_UCFG_B1_P4_U0_CFG17 0x40011851u +#define CYDEV_UCFG_B1_P4_U0_CFG18 0x40011852u +#define CYDEV_UCFG_B1_P4_U0_CFG19 0x40011853u +#define CYDEV_UCFG_B1_P4_U0_CFG20 0x40011854u +#define CYDEV_UCFG_B1_P4_U0_CFG21 0x40011855u +#define CYDEV_UCFG_B1_P4_U0_CFG22 0x40011856u +#define CYDEV_UCFG_B1_P4_U0_CFG23 0x40011857u +#define CYDEV_UCFG_B1_P4_U0_CFG24 0x40011858u +#define CYDEV_UCFG_B1_P4_U0_CFG25 0x40011859u +#define CYDEV_UCFG_B1_P4_U0_CFG26 0x4001185au +#define CYDEV_UCFG_B1_P4_U0_CFG27 0x4001185bu +#define CYDEV_UCFG_B1_P4_U0_CFG28 0x4001185cu +#define CYDEV_UCFG_B1_P4_U0_CFG29 0x4001185du +#define CYDEV_UCFG_B1_P4_U0_CFG30 0x4001185eu +#define CYDEV_UCFG_B1_P4_U0_CFG31 0x4001185fu +#define CYDEV_UCFG_B1_P4_U0_DCFG0 0x40011860u +#define CYDEV_UCFG_B1_P4_U0_DCFG1 0x40011862u +#define CYDEV_UCFG_B1_P4_U0_DCFG2 0x40011864u +#define CYDEV_UCFG_B1_P4_U0_DCFG3 0x40011866u +#define CYDEV_UCFG_B1_P4_U0_DCFG4 0x40011868u +#define CYDEV_UCFG_B1_P4_U0_DCFG5 0x4001186au +#define CYDEV_UCFG_B1_P4_U0_DCFG6 0x4001186cu +#define CYDEV_UCFG_B1_P4_U0_DCFG7 0x4001186eu +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT0 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT1 0x40011884u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT2 0x40011888u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT3 0x4001188cu +#define CYDEV_UCFG_B1_P4_U1_PLD_IT4 0x40011890u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT5 0x40011894u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT6 0x40011898u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT7 0x4001189cu +#define CYDEV_UCFG_B1_P4_U1_PLD_IT8 0x400118a0u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT9 0x400118a4u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT10 0x400118a8u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT11 0x400118acu +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT0 0x400118b0u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT1 0x400118b2u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT2 0x400118b4u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT3 0x400118b6u +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8u +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB 0x400118bau +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bcu +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS 0x400118beu +#define CYDEV_UCFG_B1_P4_U1_CFG0 0x400118c0u +#define CYDEV_UCFG_B1_P4_U1_CFG1 0x400118c1u +#define CYDEV_UCFG_B1_P4_U1_CFG2 0x400118c2u +#define CYDEV_UCFG_B1_P4_U1_CFG3 0x400118c3u +#define CYDEV_UCFG_B1_P4_U1_CFG4 0x400118c4u +#define CYDEV_UCFG_B1_P4_U1_CFG5 0x400118c5u +#define CYDEV_UCFG_B1_P4_U1_CFG6 0x400118c6u +#define CYDEV_UCFG_B1_P4_U1_CFG7 0x400118c7u +#define CYDEV_UCFG_B1_P4_U1_CFG8 0x400118c8u +#define CYDEV_UCFG_B1_P4_U1_CFG9 0x400118c9u +#define CYDEV_UCFG_B1_P4_U1_CFG10 0x400118cau +#define CYDEV_UCFG_B1_P4_U1_CFG11 0x400118cbu +#define CYDEV_UCFG_B1_P4_U1_CFG12 0x400118ccu +#define CYDEV_UCFG_B1_P4_U1_CFG13 0x400118cdu +#define CYDEV_UCFG_B1_P4_U1_CFG14 0x400118ceu +#define CYDEV_UCFG_B1_P4_U1_CFG15 0x400118cfu +#define CYDEV_UCFG_B1_P4_U1_CFG16 0x400118d0u +#define CYDEV_UCFG_B1_P4_U1_CFG17 0x400118d1u +#define CYDEV_UCFG_B1_P4_U1_CFG18 0x400118d2u +#define CYDEV_UCFG_B1_P4_U1_CFG19 0x400118d3u +#define CYDEV_UCFG_B1_P4_U1_CFG20 0x400118d4u +#define CYDEV_UCFG_B1_P4_U1_CFG21 0x400118d5u +#define CYDEV_UCFG_B1_P4_U1_CFG22 0x400118d6u +#define CYDEV_UCFG_B1_P4_U1_CFG23 0x400118d7u +#define CYDEV_UCFG_B1_P4_U1_CFG24 0x400118d8u +#define CYDEV_UCFG_B1_P4_U1_CFG25 0x400118d9u +#define CYDEV_UCFG_B1_P4_U1_CFG26 0x400118dau +#define CYDEV_UCFG_B1_P4_U1_CFG27 0x400118dbu +#define CYDEV_UCFG_B1_P4_U1_CFG28 0x400118dcu +#define CYDEV_UCFG_B1_P4_U1_CFG29 0x400118ddu +#define CYDEV_UCFG_B1_P4_U1_CFG30 0x400118deu +#define CYDEV_UCFG_B1_P4_U1_CFG31 0x400118dfu +#define CYDEV_UCFG_B1_P4_U1_DCFG0 0x400118e0u +#define CYDEV_UCFG_B1_P4_U1_DCFG1 0x400118e2u +#define CYDEV_UCFG_B1_P4_U1_DCFG2 0x400118e4u +#define CYDEV_UCFG_B1_P4_U1_DCFG3 0x400118e6u +#define CYDEV_UCFG_B1_P4_U1_DCFG4 0x400118e8u +#define CYDEV_UCFG_B1_P4_U1_DCFG5 0x400118eau +#define CYDEV_UCFG_B1_P4_U1_DCFG6 0x400118ecu +#define CYDEV_UCFG_B1_P4_U1_DCFG7 0x400118eeu +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900u +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT0 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT1 0x40011a04u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT2 0x40011a08u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT3 0x40011a0cu +#define CYDEV_UCFG_B1_P5_U0_PLD_IT4 0x40011a10u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT5 0x40011a14u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT6 0x40011a18u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT7 0x40011a1cu +#define CYDEV_UCFG_B1_P5_U0_PLD_IT8 0x40011a20u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT9 0x40011a24u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT10 0x40011a28u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT11 0x40011a2cu +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT0 0x40011a30u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT1 0x40011a32u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT2 0x40011a34u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT3 0x40011a36u +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38u +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB 0x40011a3au +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3cu +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3eu +#define CYDEV_UCFG_B1_P5_U0_CFG0 0x40011a40u +#define CYDEV_UCFG_B1_P5_U0_CFG1 0x40011a41u +#define CYDEV_UCFG_B1_P5_U0_CFG2 0x40011a42u +#define CYDEV_UCFG_B1_P5_U0_CFG3 0x40011a43u +#define CYDEV_UCFG_B1_P5_U0_CFG4 0x40011a44u +#define CYDEV_UCFG_B1_P5_U0_CFG5 0x40011a45u +#define CYDEV_UCFG_B1_P5_U0_CFG6 0x40011a46u +#define CYDEV_UCFG_B1_P5_U0_CFG7 0x40011a47u +#define CYDEV_UCFG_B1_P5_U0_CFG8 0x40011a48u +#define CYDEV_UCFG_B1_P5_U0_CFG9 0x40011a49u +#define CYDEV_UCFG_B1_P5_U0_CFG10 0x40011a4au +#define CYDEV_UCFG_B1_P5_U0_CFG11 0x40011a4bu +#define CYDEV_UCFG_B1_P5_U0_CFG12 0x40011a4cu +#define CYDEV_UCFG_B1_P5_U0_CFG13 0x40011a4du +#define CYDEV_UCFG_B1_P5_U0_CFG14 0x40011a4eu +#define CYDEV_UCFG_B1_P5_U0_CFG15 0x40011a4fu +#define CYDEV_UCFG_B1_P5_U0_CFG16 0x40011a50u +#define CYDEV_UCFG_B1_P5_U0_CFG17 0x40011a51u +#define CYDEV_UCFG_B1_P5_U0_CFG18 0x40011a52u +#define CYDEV_UCFG_B1_P5_U0_CFG19 0x40011a53u +#define CYDEV_UCFG_B1_P5_U0_CFG20 0x40011a54u +#define CYDEV_UCFG_B1_P5_U0_CFG21 0x40011a55u +#define CYDEV_UCFG_B1_P5_U0_CFG22 0x40011a56u +#define CYDEV_UCFG_B1_P5_U0_CFG23 0x40011a57u +#define CYDEV_UCFG_B1_P5_U0_CFG24 0x40011a58u +#define CYDEV_UCFG_B1_P5_U0_CFG25 0x40011a59u +#define CYDEV_UCFG_B1_P5_U0_CFG26 0x40011a5au +#define CYDEV_UCFG_B1_P5_U0_CFG27 0x40011a5bu +#define CYDEV_UCFG_B1_P5_U0_CFG28 0x40011a5cu +#define CYDEV_UCFG_B1_P5_U0_CFG29 0x40011a5du +#define CYDEV_UCFG_B1_P5_U0_CFG30 0x40011a5eu +#define CYDEV_UCFG_B1_P5_U0_CFG31 0x40011a5fu +#define CYDEV_UCFG_B1_P5_U0_DCFG0 0x40011a60u +#define CYDEV_UCFG_B1_P5_U0_DCFG1 0x40011a62u +#define CYDEV_UCFG_B1_P5_U0_DCFG2 0x40011a64u +#define CYDEV_UCFG_B1_P5_U0_DCFG3 0x40011a66u +#define CYDEV_UCFG_B1_P5_U0_DCFG4 0x40011a68u +#define CYDEV_UCFG_B1_P5_U0_DCFG5 0x40011a6au +#define CYDEV_UCFG_B1_P5_U0_DCFG6 0x40011a6cu +#define CYDEV_UCFG_B1_P5_U0_DCFG7 0x40011a6eu +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT0 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT1 0x40011a84u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT2 0x40011a88u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT3 0x40011a8cu +#define CYDEV_UCFG_B1_P5_U1_PLD_IT4 0x40011a90u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT5 0x40011a94u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT6 0x40011a98u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT7 0x40011a9cu +#define CYDEV_UCFG_B1_P5_U1_PLD_IT8 0x40011aa0u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT9 0x40011aa4u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT10 0x40011aa8u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT11 0x40011aacu +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT0 0x40011ab0u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT1 0x40011ab2u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT2 0x40011ab4u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT3 0x40011ab6u +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8u +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB 0x40011abau +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abcu +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS 0x40011abeu +#define CYDEV_UCFG_B1_P5_U1_CFG0 0x40011ac0u +#define CYDEV_UCFG_B1_P5_U1_CFG1 0x40011ac1u +#define CYDEV_UCFG_B1_P5_U1_CFG2 0x40011ac2u +#define CYDEV_UCFG_B1_P5_U1_CFG3 0x40011ac3u +#define CYDEV_UCFG_B1_P5_U1_CFG4 0x40011ac4u +#define CYDEV_UCFG_B1_P5_U1_CFG5 0x40011ac5u +#define CYDEV_UCFG_B1_P5_U1_CFG6 0x40011ac6u +#define CYDEV_UCFG_B1_P5_U1_CFG7 0x40011ac7u +#define CYDEV_UCFG_B1_P5_U1_CFG8 0x40011ac8u +#define CYDEV_UCFG_B1_P5_U1_CFG9 0x40011ac9u +#define CYDEV_UCFG_B1_P5_U1_CFG10 0x40011acau +#define CYDEV_UCFG_B1_P5_U1_CFG11 0x40011acbu +#define CYDEV_UCFG_B1_P5_U1_CFG12 0x40011accu +#define CYDEV_UCFG_B1_P5_U1_CFG13 0x40011acdu +#define CYDEV_UCFG_B1_P5_U1_CFG14 0x40011aceu +#define CYDEV_UCFG_B1_P5_U1_CFG15 0x40011acfu +#define CYDEV_UCFG_B1_P5_U1_CFG16 0x40011ad0u +#define CYDEV_UCFG_B1_P5_U1_CFG17 0x40011ad1u +#define CYDEV_UCFG_B1_P5_U1_CFG18 0x40011ad2u +#define CYDEV_UCFG_B1_P5_U1_CFG19 0x40011ad3u +#define CYDEV_UCFG_B1_P5_U1_CFG20 0x40011ad4u +#define CYDEV_UCFG_B1_P5_U1_CFG21 0x40011ad5u +#define CYDEV_UCFG_B1_P5_U1_CFG22 0x40011ad6u +#define CYDEV_UCFG_B1_P5_U1_CFG23 0x40011ad7u +#define CYDEV_UCFG_B1_P5_U1_CFG24 0x40011ad8u +#define CYDEV_UCFG_B1_P5_U1_CFG25 0x40011ad9u +#define CYDEV_UCFG_B1_P5_U1_CFG26 0x40011adau +#define CYDEV_UCFG_B1_P5_U1_CFG27 0x40011adbu +#define CYDEV_UCFG_B1_P5_U1_CFG28 0x40011adcu +#define CYDEV_UCFG_B1_P5_U1_CFG29 0x40011addu +#define CYDEV_UCFG_B1_P5_U1_CFG30 0x40011adeu +#define CYDEV_UCFG_B1_P5_U1_CFG31 0x40011adfu +#define CYDEV_UCFG_B1_P5_U1_DCFG0 0x40011ae0u +#define CYDEV_UCFG_B1_P5_U1_DCFG1 0x40011ae2u +#define CYDEV_UCFG_B1_P5_U1_DCFG2 0x40011ae4u +#define CYDEV_UCFG_B1_P5_U1_DCFG3 0x40011ae6u +#define CYDEV_UCFG_B1_P5_U1_DCFG4 0x40011ae8u +#define CYDEV_UCFG_B1_P5_U1_DCFG5 0x40011aeau +#define CYDEV_UCFG_B1_P5_U1_DCFG6 0x40011aecu +#define CYDEV_UCFG_B1_P5_U1_DCFG7 0x40011aeeu +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00u +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_DSI0_BASE 0x40014000u +#define CYDEV_UCFG_DSI0_SIZE 0x000000efu +#define CYDEV_UCFG_DSI1_BASE 0x40014100u +#define CYDEV_UCFG_DSI1_SIZE 0x000000efu +#define CYDEV_UCFG_DSI2_BASE 0x40014200u +#define CYDEV_UCFG_DSI2_SIZE 0x000000efu +#define CYDEV_UCFG_DSI3_BASE 0x40014300u +#define CYDEV_UCFG_DSI3_SIZE 0x000000efu +#define CYDEV_UCFG_DSI4_BASE 0x40014400u +#define CYDEV_UCFG_DSI4_SIZE 0x000000efu +#define CYDEV_UCFG_DSI5_BASE 0x40014500u +#define CYDEV_UCFG_DSI5_SIZE 0x000000efu +#define CYDEV_UCFG_DSI6_BASE 0x40014600u +#define CYDEV_UCFG_DSI6_SIZE 0x000000efu +#define CYDEV_UCFG_DSI7_BASE 0x40014700u +#define CYDEV_UCFG_DSI7_SIZE 0x000000efu +#define CYDEV_UCFG_DSI8_BASE 0x40014800u +#define CYDEV_UCFG_DSI8_SIZE 0x000000efu +#define CYDEV_UCFG_DSI9_BASE 0x40014900u +#define CYDEV_UCFG_DSI9_SIZE 0x000000efu +#define CYDEV_UCFG_DSI12_BASE 0x40014c00u +#define CYDEV_UCFG_DSI12_SIZE 0x000000efu +#define CYDEV_UCFG_DSI13_BASE 0x40014d00u +#define CYDEV_UCFG_DSI13_SIZE 0x000000efu +#define CYDEV_UCFG_BCTL0_BASE 0x40015000u +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010u +#define CYDEV_UCFG_BCTL0_MDCLK_EN 0x40015000u +#define CYDEV_UCFG_BCTL0_MBCLK_EN 0x40015001u +#define CYDEV_UCFG_BCTL0_WAIT_CFG 0x40015002u +#define CYDEV_UCFG_BCTL0_BANK_CTL 0x40015003u +#define CYDEV_UCFG_BCTL0_UDB_TEST_3 0x40015007u +#define CYDEV_UCFG_BCTL0_DCLK_EN0 0x40015008u +#define CYDEV_UCFG_BCTL0_BCLK_EN0 0x40015009u +#define CYDEV_UCFG_BCTL0_DCLK_EN1 0x4001500au +#define CYDEV_UCFG_BCTL0_BCLK_EN1 0x4001500bu +#define CYDEV_UCFG_BCTL0_DCLK_EN2 0x4001500cu +#define CYDEV_UCFG_BCTL0_BCLK_EN2 0x4001500du +#define CYDEV_UCFG_BCTL0_DCLK_EN3 0x4001500eu +#define CYDEV_UCFG_BCTL0_BCLK_EN3 0x4001500fu +#define CYDEV_UCFG_BCTL1_BASE 0x40015010u +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010u +#define CYDEV_UCFG_BCTL1_MDCLK_EN 0x40015010u +#define CYDEV_UCFG_BCTL1_MBCLK_EN 0x40015011u +#define CYDEV_UCFG_BCTL1_WAIT_CFG 0x40015012u +#define CYDEV_UCFG_BCTL1_BANK_CTL 0x40015013u +#define CYDEV_UCFG_BCTL1_UDB_TEST_3 0x40015017u +#define CYDEV_UCFG_BCTL1_DCLK_EN0 0x40015018u +#define CYDEV_UCFG_BCTL1_BCLK_EN0 0x40015019u +#define CYDEV_UCFG_BCTL1_DCLK_EN1 0x4001501au +#define CYDEV_UCFG_BCTL1_BCLK_EN1 0x4001501bu +#define CYDEV_UCFG_BCTL1_DCLK_EN2 0x4001501cu +#define CYDEV_UCFG_BCTL1_BCLK_EN2 0x4001501du +#define CYDEV_UCFG_BCTL1_DCLK_EN3 0x4001501eu +#define CYDEV_UCFG_BCTL1_BCLK_EN3 0x4001501fu +#define CYDEV_IDMUX_BASE 0x40015100u +#define CYDEV_IDMUX_SIZE 0x00000016u +#define CYDEV_IDMUX_IRQ_CTL0 0x40015100u +#define CYDEV_IDMUX_IRQ_CTL1 0x40015101u +#define CYDEV_IDMUX_IRQ_CTL2 0x40015102u +#define CYDEV_IDMUX_IRQ_CTL3 0x40015103u +#define CYDEV_IDMUX_IRQ_CTL4 0x40015104u +#define CYDEV_IDMUX_IRQ_CTL5 0x40015105u +#define CYDEV_IDMUX_IRQ_CTL6 0x40015106u +#define CYDEV_IDMUX_IRQ_CTL7 0x40015107u +#define CYDEV_IDMUX_DRQ_CTL0 0x40015110u +#define CYDEV_IDMUX_DRQ_CTL1 0x40015111u +#define CYDEV_IDMUX_DRQ_CTL2 0x40015112u +#define CYDEV_IDMUX_DRQ_CTL3 0x40015113u +#define CYDEV_IDMUX_DRQ_CTL4 0x40015114u +#define CYDEV_IDMUX_DRQ_CTL5 0x40015115u +#define CYDEV_CACHERAM_BASE 0x40030000u +#define CYDEV_CACHERAM_SIZE 0x00000400u +#define CYDEV_CACHERAM_DATA_MBASE 0x40030000u +#define CYDEV_CACHERAM_DATA_MSIZE 0x00000400u +#define CYDEV_SFR_BASE 0x40050100u +#define CYDEV_SFR_SIZE 0x000000fbu +#define CYDEV_SFR_GPIO0 0x40050180u +#define CYDEV_SFR_GPIRD0 0x40050189u +#define CYDEV_SFR_GPIO0_SEL 0x4005018au +#define CYDEV_SFR_GPIO1 0x40050190u +#define CYDEV_SFR_GPIRD1 0x40050191u +#define CYDEV_SFR_GPIO2 0x40050198u +#define CYDEV_SFR_GPIRD2 0x40050199u +#define CYDEV_SFR_GPIO2_SEL 0x4005019au +#define CYDEV_SFR_GPIO1_SEL 0x400501a2u +#define CYDEV_SFR_GPIO3 0x400501b0u +#define CYDEV_SFR_GPIRD3 0x400501b1u +#define CYDEV_SFR_GPIO3_SEL 0x400501b2u +#define CYDEV_SFR_GPIO4 0x400501c0u +#define CYDEV_SFR_GPIRD4 0x400501c1u +#define CYDEV_SFR_GPIO4_SEL 0x400501c2u +#define CYDEV_SFR_GPIO5 0x400501c8u +#define CYDEV_SFR_GPIRD5 0x400501c9u +#define CYDEV_SFR_GPIO5_SEL 0x400501cau +#define CYDEV_SFR_GPIO6 0x400501d8u +#define CYDEV_SFR_GPIRD6 0x400501d9u +#define CYDEV_SFR_GPIO6_SEL 0x400501dau +#define CYDEV_SFR_GPIO12 0x400501e8u +#define CYDEV_SFR_GPIRD12 0x400501e9u +#define CYDEV_SFR_GPIO12_SEL 0x400501f2u +#define CYDEV_SFR_GPIO15 0x400501f8u +#define CYDEV_SFR_GPIRD15 0x400501f9u +#define CYDEV_SFR_GPIO15_SEL 0x400501fau +#define CYDEV_P3BA_BASE 0x40050300u +#define CYDEV_P3BA_SIZE 0x0000002bu +#define CYDEV_P3BA_Y_START 0x40050300u +#define CYDEV_P3BA_YROLL 0x40050301u +#define CYDEV_P3BA_YCFG 0x40050302u +#define CYDEV_P3BA_X_START1 0x40050303u +#define CYDEV_P3BA_X_START2 0x40050304u +#define CYDEV_P3BA_XROLL1 0x40050305u +#define CYDEV_P3BA_XROLL2 0x40050306u +#define CYDEV_P3BA_XINC 0x40050307u +#define CYDEV_P3BA_XCFG 0x40050308u +#define CYDEV_P3BA_OFFSETADDR1 0x40050309u +#define CYDEV_P3BA_OFFSETADDR2 0x4005030au +#define CYDEV_P3BA_OFFSETADDR3 0x4005030bu +#define CYDEV_P3BA_ABSADDR1 0x4005030cu +#define CYDEV_P3BA_ABSADDR2 0x4005030du +#define CYDEV_P3BA_ABSADDR3 0x4005030eu +#define CYDEV_P3BA_ABSADDR4 0x4005030fu +#define CYDEV_P3BA_DATCFG1 0x40050310u +#define CYDEV_P3BA_DATCFG2 0x40050311u +#define CYDEV_P3BA_CMP_RSLT1 0x40050314u +#define CYDEV_P3BA_CMP_RSLT2 0x40050315u +#define CYDEV_P3BA_CMP_RSLT3 0x40050316u +#define CYDEV_P3BA_CMP_RSLT4 0x40050317u +#define CYDEV_P3BA_DATA_REG1 0x40050318u +#define CYDEV_P3BA_DATA_REG2 0x40050319u +#define CYDEV_P3BA_DATA_REG3 0x4005031au +#define CYDEV_P3BA_DATA_REG4 0x4005031bu +#define CYDEV_P3BA_EXP_DATA1 0x4005031cu +#define CYDEV_P3BA_EXP_DATA2 0x4005031du +#define CYDEV_P3BA_EXP_DATA3 0x4005031eu +#define CYDEV_P3BA_EXP_DATA4 0x4005031fu +#define CYDEV_P3BA_MSTR_HRDATA1 0x40050320u +#define CYDEV_P3BA_MSTR_HRDATA2 0x40050321u +#define CYDEV_P3BA_MSTR_HRDATA3 0x40050322u +#define CYDEV_P3BA_MSTR_HRDATA4 0x40050323u +#define CYDEV_P3BA_BIST_EN 0x40050324u +#define CYDEV_P3BA_PHUB_MASTER_SSR 0x40050325u +#define CYDEV_P3BA_SEQCFG1 0x40050326u +#define CYDEV_P3BA_SEQCFG2 0x40050327u +#define CYDEV_P3BA_Y_CURR 0x40050328u +#define CYDEV_P3BA_X_CURR1 0x40050329u +#define CYDEV_P3BA_X_CURR2 0x4005032au +#define CYDEV_PANTHER_BASE 0x40080000u +#define CYDEV_PANTHER_SIZE 0x00000020u +#define CYDEV_PANTHER_STCALIB_CFG 0x40080000u +#define CYDEV_PANTHER_WAITPIPE 0x40080004u +#define CYDEV_PANTHER_TRACE_CFG 0x40080008u +#define CYDEV_PANTHER_DBG_CFG 0x4008000cu +#define CYDEV_PANTHER_CM3_LCKRST_STAT 0x40080018u +#define CYDEV_PANTHER_DEVICE_ID 0x4008001cu +#define CYDEV_FLSECC_BASE 0x48000000u +#define CYDEV_FLSECC_SIZE 0x00008000u +#define CYDEV_FLSECC_DATA_MBASE 0x48000000u +#define CYDEV_FLSECC_DATA_MSIZE 0x00008000u +#define CYDEV_FLSHID_BASE 0x49000000u +#define CYDEV_FLSHID_SIZE 0x00000200u +#define CYDEV_FLSHID_RSVD_MBASE 0x49000000u +#define CYDEV_FLSHID_RSVD_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_MDATA_MBASE 0x49000080u +#define CYDEV_FLSHID_CUST_MDATA_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040u +#define CYDEV_FLSHID_CUST_TABLES_Y_LOC 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_X_LOC 0x49000101u +#define CYDEV_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102u +#define CYDEV_FLSHID_CUST_TABLES_LOT_LSB 0x49000103u +#define CYDEV_FLSHID_CUST_TABLES_LOT_MSB 0x49000104u +#define CYDEV_FLSHID_CUST_TABLES_WRK_WK 0x49000105u +#define CYDEV_FLSHID_CUST_TABLES_FAB_YR 0x49000106u +#define CYDEV_FLSHID_CUST_TABLES_MINOR 0x49000107u +#define CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108u +#define CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109u +#define CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010au +#define CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010bu +#define CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010cu +#define CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010du +#define CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010eu +#define CYDEV_FLSHID_CUST_TABLES_IMO_USB 0x4900010fu +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110u +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111u +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112u +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113u +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114u +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115u +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116u +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M1 0x49000118u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M2 0x49000119u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M3 0x4900011au +#define CYDEV_FLSHID_CUST_TABLES_DEC_M4 0x4900011bu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M5 0x4900011cu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M6 0x4900011du +#define CYDEV_FLSHID_CUST_TABLES_DEC_M7 0x4900011eu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M8 0x4900011fu +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M1 0x49000120u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M2 0x49000121u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M3 0x49000122u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M4 0x49000123u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M5 0x49000124u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M6 0x49000125u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M7 0x49000126u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M8 0x49000127u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M1 0x49000128u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M2 0x49000129u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M3 0x4900012au +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M4 0x4900012bu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M5 0x4900012cu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M6 0x4900012du +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M7 0x4900012eu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M8 0x4900012fu +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M1 0x49000130u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M2 0x49000131u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M3 0x49000132u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M4 0x49000133u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M5 0x49000134u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M6 0x49000135u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M7 0x49000136u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M8 0x49000137u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M1 0x49000138u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M2 0x49000139u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M3 0x4900013au +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M4 0x4900013bu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M5 0x4900013cu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M6 0x4900013du +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M7 0x4900013eu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M8 0x4900013fu +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180u +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080u +#define CYDEV_FLSHID_MFG_CFG_IMO_TR1 0x49000188u +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR0 0x490001acu +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR0 0x490001aeu +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0u +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2u +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4u +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6u +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8u +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR1 0x490001bau +#define CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ceu +#define CYDEV_EXTMEM_BASE 0x60000000u +#define CYDEV_EXTMEM_SIZE 0x00800000u +#define CYDEV_EXTMEM_DATA_MBASE 0x60000000u +#define CYDEV_EXTMEM_DATA_MSIZE 0x00800000u +#define CYDEV_ITM_BASE 0xe0000000u +#define CYDEV_ITM_SIZE 0x00001000u +#define CYDEV_ITM_TRACE_EN 0xe0000e00u +#define CYDEV_ITM_TRACE_PRIVILEGE 0xe0000e40u +#define CYDEV_ITM_TRACE_CTRL 0xe0000e80u +#define CYDEV_ITM_LOCK_ACCESS 0xe0000fb0u +#define CYDEV_ITM_LOCK_STATUS 0xe0000fb4u +#define CYDEV_ITM_PID4 0xe0000fd0u +#define CYDEV_ITM_PID5 0xe0000fd4u +#define CYDEV_ITM_PID6 0xe0000fd8u +#define CYDEV_ITM_PID7 0xe0000fdcu +#define CYDEV_ITM_PID0 0xe0000fe0u +#define CYDEV_ITM_PID1 0xe0000fe4u +#define CYDEV_ITM_PID2 0xe0000fe8u +#define CYDEV_ITM_PID3 0xe0000fecu +#define CYDEV_ITM_CID0 0xe0000ff0u +#define CYDEV_ITM_CID1 0xe0000ff4u +#define CYDEV_ITM_CID2 0xe0000ff8u +#define CYDEV_ITM_CID3 0xe0000ffcu +#define CYDEV_DWT_BASE 0xe0001000u +#define CYDEV_DWT_SIZE 0x0000005cu +#define CYDEV_DWT_CTRL 0xe0001000u +#define CYDEV_DWT_CYCLE_COUNT 0xe0001004u +#define CYDEV_DWT_CPI_COUNT 0xe0001008u +#define CYDEV_DWT_EXC_OVHD_COUNT 0xe000100cu +#define CYDEV_DWT_SLEEP_COUNT 0xe0001010u +#define CYDEV_DWT_LSU_COUNT 0xe0001014u +#define CYDEV_DWT_FOLD_COUNT 0xe0001018u +#define CYDEV_DWT_PC_SAMPLE 0xe000101cu +#define CYDEV_DWT_COMP_0 0xe0001020u +#define CYDEV_DWT_MASK_0 0xe0001024u +#define CYDEV_DWT_FUNCTION_0 0xe0001028u +#define CYDEV_DWT_COMP_1 0xe0001030u +#define CYDEV_DWT_MASK_1 0xe0001034u +#define CYDEV_DWT_FUNCTION_1 0xe0001038u +#define CYDEV_DWT_COMP_2 0xe0001040u +#define CYDEV_DWT_MASK_2 0xe0001044u +#define CYDEV_DWT_FUNCTION_2 0xe0001048u +#define CYDEV_DWT_COMP_3 0xe0001050u +#define CYDEV_DWT_MASK_3 0xe0001054u +#define CYDEV_DWT_FUNCTION_3 0xe0001058u +#define CYDEV_FPB_BASE 0xe0002000u +#define CYDEV_FPB_SIZE 0x00001000u +#define CYDEV_FPB_CTRL 0xe0002000u +#define CYDEV_FPB_REMAP 0xe0002004u +#define CYDEV_FPB_FP_COMP_0 0xe0002008u +#define CYDEV_FPB_FP_COMP_1 0xe000200cu +#define CYDEV_FPB_FP_COMP_2 0xe0002010u +#define CYDEV_FPB_FP_COMP_3 0xe0002014u +#define CYDEV_FPB_FP_COMP_4 0xe0002018u +#define CYDEV_FPB_FP_COMP_5 0xe000201cu +#define CYDEV_FPB_FP_COMP_6 0xe0002020u +#define CYDEV_FPB_FP_COMP_7 0xe0002024u +#define CYDEV_FPB_PID4 0xe0002fd0u +#define CYDEV_FPB_PID5 0xe0002fd4u +#define CYDEV_FPB_PID6 0xe0002fd8u +#define CYDEV_FPB_PID7 0xe0002fdcu +#define CYDEV_FPB_PID0 0xe0002fe0u +#define CYDEV_FPB_PID1 0xe0002fe4u +#define CYDEV_FPB_PID2 0xe0002fe8u +#define CYDEV_FPB_PID3 0xe0002fecu +#define CYDEV_FPB_CID0 0xe0002ff0u +#define CYDEV_FPB_CID1 0xe0002ff4u +#define CYDEV_FPB_CID2 0xe0002ff8u +#define CYDEV_FPB_CID3 0xe0002ffcu +#define CYDEV_NVIC_BASE 0xe000e000u +#define CYDEV_NVIC_SIZE 0x00000d3cu +#define CYDEV_NVIC_INT_CTL_TYPE 0xe000e004u +#define CYDEV_NVIC_SYSTICK_CTL 0xe000e010u +#define CYDEV_NVIC_SYSTICK_RELOAD 0xe000e014u +#define CYDEV_NVIC_SYSTICK_CURRENT 0xe000e018u +#define CYDEV_NVIC_SYSTICK_CAL 0xe000e01cu +#define CYDEV_NVIC_SETENA0 0xe000e100u +#define CYDEV_NVIC_CLRENA0 0xe000e180u +#define CYDEV_NVIC_SETPEND0 0xe000e200u +#define CYDEV_NVIC_CLRPEND0 0xe000e280u +#define CYDEV_NVIC_ACTIVE0 0xe000e300u +#define CYDEV_NVIC_PRI_0 0xe000e400u +#define CYDEV_NVIC_PRI_1 0xe000e401u +#define CYDEV_NVIC_PRI_2 0xe000e402u +#define CYDEV_NVIC_PRI_3 0xe000e403u +#define CYDEV_NVIC_PRI_4 0xe000e404u +#define CYDEV_NVIC_PRI_5 0xe000e405u +#define CYDEV_NVIC_PRI_6 0xe000e406u +#define CYDEV_NVIC_PRI_7 0xe000e407u +#define CYDEV_NVIC_PRI_8 0xe000e408u +#define CYDEV_NVIC_PRI_9 0xe000e409u +#define CYDEV_NVIC_PRI_10 0xe000e40au +#define CYDEV_NVIC_PRI_11 0xe000e40bu +#define CYDEV_NVIC_PRI_12 0xe000e40cu +#define CYDEV_NVIC_PRI_13 0xe000e40du +#define CYDEV_NVIC_PRI_14 0xe000e40eu +#define CYDEV_NVIC_PRI_15 0xe000e40fu +#define CYDEV_NVIC_PRI_16 0xe000e410u +#define CYDEV_NVIC_PRI_17 0xe000e411u +#define CYDEV_NVIC_PRI_18 0xe000e412u +#define CYDEV_NVIC_PRI_19 0xe000e413u +#define CYDEV_NVIC_PRI_20 0xe000e414u +#define CYDEV_NVIC_PRI_21 0xe000e415u +#define CYDEV_NVIC_PRI_22 0xe000e416u +#define CYDEV_NVIC_PRI_23 0xe000e417u +#define CYDEV_NVIC_PRI_24 0xe000e418u +#define CYDEV_NVIC_PRI_25 0xe000e419u +#define CYDEV_NVIC_PRI_26 0xe000e41au +#define CYDEV_NVIC_PRI_27 0xe000e41bu +#define CYDEV_NVIC_PRI_28 0xe000e41cu +#define CYDEV_NVIC_PRI_29 0xe000e41du +#define CYDEV_NVIC_PRI_30 0xe000e41eu +#define CYDEV_NVIC_PRI_31 0xe000e41fu +#define CYDEV_NVIC_CPUID_BASE 0xe000ed00u +#define CYDEV_NVIC_INTR_CTRL_STATE 0xe000ed04u +#define CYDEV_NVIC_VECT_OFFSET 0xe000ed08u +#define CYDEV_NVIC_APPLN_INTR 0xe000ed0cu +#define CYDEV_NVIC_SYSTEM_CONTROL 0xe000ed10u +#define CYDEV_NVIC_CFG_CONTROL 0xe000ed14u +#define CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18u +#define CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1cu +#define CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20u +#define CYDEV_NVIC_SYS_HANDLER_CSR 0xe000ed24u +#define CYDEV_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28u +#define CYDEV_NVIC_BUS_FAULT_STATUS 0xe000ed29u +#define CYDEV_NVIC_USAGE_FAULT_STATUS 0xe000ed2au +#define CYDEV_NVIC_HARD_FAULT_STATUS 0xe000ed2cu +#define CYDEV_NVIC_DEBUG_FAULT_STATUS 0xe000ed30u +#define CYDEV_NVIC_MEMMAN_FAULT_ADD 0xe000ed34u +#define CYDEV_NVIC_BUS_FAULT_ADD 0xe000ed38u +#define CYDEV_CORE_DBG_BASE 0xe000edf0u +#define CYDEV_CORE_DBG_SIZE 0x00000010u +#define CYDEV_CORE_DBG_DBG_HLT_CS 0xe000edf0u +#define CYDEV_CORE_DBG_DBG_REG_SEL 0xe000edf4u +#define CYDEV_CORE_DBG_DBG_REG_DATA 0xe000edf8u +#define CYDEV_CORE_DBG_EXC_MON_CTL 0xe000edfcu +#define CYDEV_TPIU_BASE 0xe0040000u +#define CYDEV_TPIU_SIZE 0x00001000u +#define CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000u +#define CYDEV_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004u +#define CYDEV_TPIU_ASYNC_CLK_PRESCALER 0xe0040010u +#define CYDEV_TPIU_PROTOCOL 0xe00400f0u +#define CYDEV_TPIU_FORM_FLUSH_STAT 0xe0040300u +#define CYDEV_TPIU_FORM_FLUSH_CTRL 0xe0040304u +#define CYDEV_TPIU_TRIGGER 0xe0040ee8u +#define CYDEV_TPIU_ITETMDATA 0xe0040eecu +#define CYDEV_TPIU_ITATBCTR2 0xe0040ef0u +#define CYDEV_TPIU_ITATBCTR0 0xe0040ef8u +#define CYDEV_TPIU_ITITMDATA 0xe0040efcu +#define CYDEV_TPIU_ITCTRL 0xe0040f00u +#define CYDEV_TPIU_DEVID 0xe0040fc8u +#define CYDEV_TPIU_DEVTYPE 0xe0040fccu +#define CYDEV_TPIU_PID4 0xe0040fd0u +#define CYDEV_TPIU_PID5 0xe0040fd4u +#define CYDEV_TPIU_PID6 0xe0040fd8u +#define CYDEV_TPIU_PID7 0xe0040fdcu +#define CYDEV_TPIU_PID0 0xe0040fe0u +#define CYDEV_TPIU_PID1 0xe0040fe4u +#define CYDEV_TPIU_PID2 0xe0040fe8u +#define CYDEV_TPIU_PID3 0xe0040fecu +#define CYDEV_TPIU_CID0 0xe0040ff0u +#define CYDEV_TPIU_CID1 0xe0040ff4u +#define CYDEV_TPIU_CID2 0xe0040ff8u +#define CYDEV_TPIU_CID3 0xe0040ffcu +#define CYDEV_ETM_BASE 0xe0041000u +#define CYDEV_ETM_SIZE 0x00001000u +#define CYDEV_ETM_CTL 0xe0041000u +#define CYDEV_ETM_CFG_CODE 0xe0041004u +#define CYDEV_ETM_TRIG_EVENT 0xe0041008u +#define CYDEV_ETM_STATUS 0xe0041010u +#define CYDEV_ETM_SYS_CFG 0xe0041014u +#define CYDEV_ETM_TRACE_ENB_EVENT 0xe0041020u +#define CYDEV_ETM_TRACE_EN_CTRL1 0xe0041024u +#define CYDEV_ETM_FIFOFULL_LEVEL 0xe004102cu +#define CYDEV_ETM_SYNC_FREQ 0xe00411e0u +#define CYDEV_ETM_ETM_ID 0xe00411e4u +#define CYDEV_ETM_CFG_CODE_EXT 0xe00411e8u +#define CYDEV_ETM_TR_SS_EMBICE_CTRL 0xe00411f0u +#define CYDEV_ETM_CS_TRACE_ID 0xe0041200u +#define CYDEV_ETM_OS_LOCK_ACCESS 0xe0041300u +#define CYDEV_ETM_OS_LOCK_STATUS 0xe0041304u +#define CYDEV_ETM_PDSR 0xe0041314u +#define CYDEV_ETM_ITMISCIN 0xe0041ee0u +#define CYDEV_ETM_ITTRIGOUT 0xe0041ee8u +#define CYDEV_ETM_ITATBCTR2 0xe0041ef0u +#define CYDEV_ETM_ITATBCTR0 0xe0041ef8u +#define CYDEV_ETM_INT_MODE_CTRL 0xe0041f00u +#define CYDEV_ETM_CLM_TAG_SET 0xe0041fa0u +#define CYDEV_ETM_CLM_TAG_CLR 0xe0041fa4u +#define CYDEV_ETM_LOCK_ACCESS 0xe0041fb0u +#define CYDEV_ETM_LOCK_STATUS 0xe0041fb4u +#define CYDEV_ETM_AUTH_STATUS 0xe0041fb8u +#define CYDEV_ETM_DEV_TYPE 0xe0041fccu +#define CYDEV_ETM_PID4 0xe0041fd0u +#define CYDEV_ETM_PID5 0xe0041fd4u +#define CYDEV_ETM_PID6 0xe0041fd8u +#define CYDEV_ETM_PID7 0xe0041fdcu +#define CYDEV_ETM_PID0 0xe0041fe0u +#define CYDEV_ETM_PID1 0xe0041fe4u +#define CYDEV_ETM_PID2 0xe0041fe8u +#define CYDEV_ETM_PID3 0xe0041fecu +#define CYDEV_ETM_CID0 0xe0041ff0u +#define CYDEV_ETM_CID1 0xe0041ff4u +#define CYDEV_ETM_CID2 0xe0041ff8u +#define CYDEV_ETM_CID3 0xe0041ffcu +#define CYDEV_ROM_TABLE_BASE 0xe00ff000u +#define CYDEV_ROM_TABLE_SIZE 0x00001000u +#define CYDEV_ROM_TABLE_NVIC 0xe00ff000u +#define CYDEV_ROM_TABLE_DWT 0xe00ff004u +#define CYDEV_ROM_TABLE_FPB 0xe00ff008u +#define CYDEV_ROM_TABLE_ITM 0xe00ff00cu +#define CYDEV_ROM_TABLE_TPIU 0xe00ff010u +#define CYDEV_ROM_TABLE_ETM 0xe00ff014u +#define CYDEV_ROM_TABLE_END 0xe00ff018u +#define CYDEV_ROM_TABLE_MEMTYPE 0xe00fffccu +#define CYDEV_ROM_TABLE_PID4 0xe00fffd0u +#define CYDEV_ROM_TABLE_PID5 0xe00fffd4u +#define CYDEV_ROM_TABLE_PID6 0xe00fffd8u +#define CYDEV_ROM_TABLE_PID7 0xe00fffdcu +#define CYDEV_ROM_TABLE_PID0 0xe00fffe0u +#define CYDEV_ROM_TABLE_PID1 0xe00fffe4u +#define CYDEV_ROM_TABLE_PID2 0xe00fffe8u +#define CYDEV_ROM_TABLE_PID3 0xe00fffecu +#define CYDEV_ROM_TABLE_CID0 0xe00ffff0u +#define CYDEV_ROM_TABLE_CID1 0xe00ffff4u +#define CYDEV_ROM_TABLE_CID2 0xe00ffff8u +#define CYDEV_ROM_TABLE_CID3 0xe00ffffcu +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000u +#define CYDEV_FLS_ROW_SIZE 0x00000100u +#define CYDEV_ECC_SECTOR_SIZE 0x00002000u +#define CYDEV_ECC_ROW_SIZE 0x00000020u +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u +#define CYDEV_EEPROM_ROW_SIZE 0x00000010u +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004u +#define CYCLK_LD_SYNC_EN 0x00000002u +#define CYCLK_LD_LOAD 0x00000001u +#define CYCLK_PIPE 0x00000080u +#define CYCLK_SSS 0x00000040u +#define CYCLK_EARLY 0x00000020u +#define CYCLK_DUTY 0x00000010u +#define CYCLK_SYNC 0x00000008u +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 +#endif /* CYDEVICE_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice_trm.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice_trm.h new file mode 100755 index 0000000..08d77aa --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevice_trm.h @@ -0,0 +1,5360 @@ +/******************************************************************************* +* FILENAME: cydevice_trm.h +* +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#if !defined(CYDEVICE_TRM_H) +#define CYDEVICE_TRM_H +#define CYDEV_FLASH_BASE 0x00000000u +#define CYDEV_FLASH_SIZE 0x00020000u +#define CYREG_FLASH_DATA_MBASE 0x00000000u +#define CYREG_FLASH_DATA_MSIZE 0x00020000u +#define CYDEV_SRAM_BASE 0x1fffc000u +#define CYDEV_SRAM_SIZE 0x00008000u +#define CYREG_SRAM_CODE64K_MBASE 0x1fff8000u +#define CYREG_SRAM_CODE64K_MSIZE 0x00004000u +#define CYREG_SRAM_CODE32K_MBASE 0x1fffc000u +#define CYREG_SRAM_CODE32K_MSIZE 0x00002000u +#define CYREG_SRAM_CODE16K_MBASE 0x1fffe000u +#define CYREG_SRAM_CODE16K_MSIZE 0x00001000u +#define CYREG_SRAM_CODE_MBASE 0x1fffc000u +#define CYREG_SRAM_CODE_MSIZE 0x00004000u +#define CYREG_SRAM_DATA_MBASE 0x20000000u +#define CYREG_SRAM_DATA_MSIZE 0x00004000u +#define CYREG_SRAM_DATA16K_MBASE 0x20001000u +#define CYREG_SRAM_DATA16K_MSIZE 0x00001000u +#define CYREG_SRAM_DATA32K_MBASE 0x20002000u +#define CYREG_SRAM_DATA32K_MSIZE 0x00002000u +#define CYREG_SRAM_DATA64K_MBASE 0x20004000u +#define CYREG_SRAM_DATA64K_MSIZE 0x00004000u +#define CYDEV_DMA_BASE 0x20008000u +#define CYDEV_DMA_SIZE 0x00008000u +#define CYREG_DMA_SRAM64K_MBASE 0x20008000u +#define CYREG_DMA_SRAM64K_MSIZE 0x00004000u +#define CYREG_DMA_SRAM32K_MBASE 0x2000c000u +#define CYREG_DMA_SRAM32K_MSIZE 0x00002000u +#define CYREG_DMA_SRAM16K_MBASE 0x2000e000u +#define CYREG_DMA_SRAM16K_MSIZE 0x00001000u +#define CYREG_DMA_SRAM_MBASE 0x2000f000u +#define CYREG_DMA_SRAM_MSIZE 0x00001000u +#define CYDEV_CLKDIST_BASE 0x40004000u +#define CYDEV_CLKDIST_SIZE 0x00000110u +#define CYREG_CLKDIST_CR 0x40004000u +#define CYREG_CLKDIST_LD 0x40004001u +#define CYREG_CLKDIST_WRK0 0x40004002u +#define CYREG_CLKDIST_WRK1 0x40004003u +#define CYREG_CLKDIST_MSTR0 0x40004004u +#define CYREG_CLKDIST_MSTR1 0x40004005u +#define CYREG_CLKDIST_BCFG0 0x40004006u +#define CYREG_CLKDIST_BCFG1 0x40004007u +#define CYREG_CLKDIST_BCFG2 0x40004008u +#define CYREG_CLKDIST_UCFG 0x40004009u +#define CYREG_CLKDIST_DLY0 0x4000400au +#define CYREG_CLKDIST_DLY1 0x4000400bu +#define CYREG_CLKDIST_DMASK 0x40004010u +#define CYREG_CLKDIST_AMASK 0x40004014u +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080u +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG0_CFG0 0x40004080u +#define CYREG_CLKDIST_DCFG0_CFG1 0x40004081u +#define CYREG_CLKDIST_DCFG0_CFG2 0x40004082u +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084u +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG1_CFG0 0x40004084u +#define CYREG_CLKDIST_DCFG1_CFG1 0x40004085u +#define CYREG_CLKDIST_DCFG1_CFG2 0x40004086u +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088u +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG2_CFG0 0x40004088u +#define CYREG_CLKDIST_DCFG2_CFG1 0x40004089u +#define CYREG_CLKDIST_DCFG2_CFG2 0x4000408au +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG3_CFG0 0x4000408cu +#define CYREG_CLKDIST_DCFG3_CFG1 0x4000408du +#define CYREG_CLKDIST_DCFG3_CFG2 0x4000408eu +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090u +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG4_CFG0 0x40004090u +#define CYREG_CLKDIST_DCFG4_CFG1 0x40004091u +#define CYREG_CLKDIST_DCFG4_CFG2 0x40004092u +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094u +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG5_CFG0 0x40004094u +#define CYREG_CLKDIST_DCFG5_CFG1 0x40004095u +#define CYREG_CLKDIST_DCFG5_CFG2 0x40004096u +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098u +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG6_CFG0 0x40004098u +#define CYREG_CLKDIST_DCFG6_CFG1 0x40004099u +#define CYREG_CLKDIST_DCFG6_CFG2 0x4000409au +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG7_CFG0 0x4000409cu +#define CYREG_CLKDIST_DCFG7_CFG1 0x4000409du +#define CYREG_CLKDIST_DCFG7_CFG2 0x4000409eu +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100u +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG0_CFG0 0x40004100u +#define CYREG_CLKDIST_ACFG0_CFG1 0x40004101u +#define CYREG_CLKDIST_ACFG0_CFG2 0x40004102u +#define CYREG_CLKDIST_ACFG0_CFG3 0x40004103u +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104u +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG1_CFG0 0x40004104u +#define CYREG_CLKDIST_ACFG1_CFG1 0x40004105u +#define CYREG_CLKDIST_ACFG1_CFG2 0x40004106u +#define CYREG_CLKDIST_ACFG1_CFG3 0x40004107u +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108u +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG2_CFG0 0x40004108u +#define CYREG_CLKDIST_ACFG2_CFG1 0x40004109u +#define CYREG_CLKDIST_ACFG2_CFG2 0x4000410au +#define CYREG_CLKDIST_ACFG2_CFG3 0x4000410bu +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG3_CFG0 0x4000410cu +#define CYREG_CLKDIST_ACFG3_CFG1 0x4000410du +#define CYREG_CLKDIST_ACFG3_CFG2 0x4000410eu +#define CYREG_CLKDIST_ACFG3_CFG3 0x4000410fu +#define CYDEV_FASTCLK_BASE 0x40004200u +#define CYDEV_FASTCLK_SIZE 0x00000026u +#define CYDEV_FASTCLK_IMO_BASE 0x40004200u +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001u +#define CYREG_FASTCLK_IMO_CR 0x40004200u +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210u +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004u +#define CYREG_FASTCLK_XMHZ_CSR 0x40004210u +#define CYREG_FASTCLK_XMHZ_CFG0 0x40004212u +#define CYREG_FASTCLK_XMHZ_CFG1 0x40004213u +#define CYDEV_FASTCLK_PLL_BASE 0x40004220u +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006u +#define CYREG_FASTCLK_PLL_CFG0 0x40004220u +#define CYREG_FASTCLK_PLL_CFG1 0x40004221u +#define CYREG_FASTCLK_PLL_P 0x40004222u +#define CYREG_FASTCLK_PLL_Q 0x40004223u +#define CYREG_FASTCLK_PLL_SR 0x40004225u +#define CYDEV_SLOWCLK_BASE 0x40004300u +#define CYDEV_SLOWCLK_SIZE 0x0000000bu +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300u +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002u +#define CYREG_SLOWCLK_ILO_CR0 0x40004300u +#define CYREG_SLOWCLK_ILO_CR1 0x40004301u +#define CYDEV_SLOWCLK_X32_BASE 0x40004308u +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003u +#define CYREG_SLOWCLK_X32_CR 0x40004308u +#define CYREG_SLOWCLK_X32_CFG 0x40004309u +#define CYREG_SLOWCLK_X32_TST 0x4000430au +#define CYDEV_BOOST_BASE 0x40004320u +#define CYDEV_BOOST_SIZE 0x00000007u +#define CYREG_BOOST_CR0 0x40004320u +#define CYREG_BOOST_CR1 0x40004321u +#define CYREG_BOOST_CR2 0x40004322u +#define CYREG_BOOST_CR3 0x40004323u +#define CYREG_BOOST_SR 0x40004324u +#define CYREG_BOOST_CR4 0x40004325u +#define CYREG_BOOST_SR2 0x40004326u +#define CYDEV_PWRSYS_BASE 0x40004330u +#define CYDEV_PWRSYS_SIZE 0x00000002u +#define CYREG_PWRSYS_CR0 0x40004330u +#define CYREG_PWRSYS_CR1 0x40004331u +#define CYDEV_PM_BASE 0x40004380u +#define CYDEV_PM_SIZE 0x00000057u +#define CYREG_PM_TW_CFG0 0x40004380u +#define CYREG_PM_TW_CFG1 0x40004381u +#define CYREG_PM_TW_CFG2 0x40004382u +#define CYREG_PM_WDT_CFG 0x40004383u +#define CYREG_PM_WDT_CR 0x40004384u +#define CYREG_PM_INT_SR 0x40004390u +#define CYREG_PM_MODE_CFG0 0x40004391u +#define CYREG_PM_MODE_CFG1 0x40004392u +#define CYREG_PM_MODE_CSR 0x40004393u +#define CYREG_PM_USB_CR0 0x40004394u +#define CYREG_PM_WAKEUP_CFG0 0x40004398u +#define CYREG_PM_WAKEUP_CFG1 0x40004399u +#define CYREG_PM_WAKEUP_CFG2 0x4000439au +#define CYDEV_PM_ACT_BASE 0x400043a0u +#define CYDEV_PM_ACT_SIZE 0x0000000eu +#define CYREG_PM_ACT_CFG0 0x400043a0u +#define CYREG_PM_ACT_CFG1 0x400043a1u +#define CYREG_PM_ACT_CFG2 0x400043a2u +#define CYREG_PM_ACT_CFG3 0x400043a3u +#define CYREG_PM_ACT_CFG4 0x400043a4u +#define CYREG_PM_ACT_CFG5 0x400043a5u +#define CYREG_PM_ACT_CFG6 0x400043a6u +#define CYREG_PM_ACT_CFG7 0x400043a7u +#define CYREG_PM_ACT_CFG8 0x400043a8u +#define CYREG_PM_ACT_CFG9 0x400043a9u +#define CYREG_PM_ACT_CFG10 0x400043aau +#define CYREG_PM_ACT_CFG11 0x400043abu +#define CYREG_PM_ACT_CFG12 0x400043acu +#define CYREG_PM_ACT_CFG13 0x400043adu +#define CYDEV_PM_STBY_BASE 0x400043b0u +#define CYDEV_PM_STBY_SIZE 0x0000000eu +#define CYREG_PM_STBY_CFG0 0x400043b0u +#define CYREG_PM_STBY_CFG1 0x400043b1u +#define CYREG_PM_STBY_CFG2 0x400043b2u +#define CYREG_PM_STBY_CFG3 0x400043b3u +#define CYREG_PM_STBY_CFG4 0x400043b4u +#define CYREG_PM_STBY_CFG5 0x400043b5u +#define CYREG_PM_STBY_CFG6 0x400043b6u +#define CYREG_PM_STBY_CFG7 0x400043b7u +#define CYREG_PM_STBY_CFG8 0x400043b8u +#define CYREG_PM_STBY_CFG9 0x400043b9u +#define CYREG_PM_STBY_CFG10 0x400043bau +#define CYREG_PM_STBY_CFG11 0x400043bbu +#define CYREG_PM_STBY_CFG12 0x400043bcu +#define CYREG_PM_STBY_CFG13 0x400043bdu +#define CYDEV_PM_AVAIL_BASE 0x400043c0u +#define CYDEV_PM_AVAIL_SIZE 0x00000017u +#define CYREG_PM_AVAIL_CR0 0x400043c0u +#define CYREG_PM_AVAIL_CR1 0x400043c1u +#define CYREG_PM_AVAIL_CR2 0x400043c2u +#define CYREG_PM_AVAIL_CR3 0x400043c3u +#define CYREG_PM_AVAIL_CR4 0x400043c4u +#define CYREG_PM_AVAIL_CR5 0x400043c5u +#define CYREG_PM_AVAIL_CR6 0x400043c6u +#define CYREG_PM_AVAIL_SR0 0x400043d0u +#define CYREG_PM_AVAIL_SR1 0x400043d1u +#define CYREG_PM_AVAIL_SR2 0x400043d2u +#define CYREG_PM_AVAIL_SR3 0x400043d3u +#define CYREG_PM_AVAIL_SR4 0x400043d4u +#define CYREG_PM_AVAIL_SR5 0x400043d5u +#define CYREG_PM_AVAIL_SR6 0x400043d6u +#define CYDEV_PICU_BASE 0x40004500u +#define CYDEV_PICU_SIZE 0x000000b0u +#define CYDEV_PICU_INTTYPE_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080u +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008u +#define CYREG_PICU0_INTTYPE0 0x40004500u +#define CYREG_PICU0_INTTYPE1 0x40004501u +#define CYREG_PICU0_INTTYPE2 0x40004502u +#define CYREG_PICU0_INTTYPE3 0x40004503u +#define CYREG_PICU0_INTTYPE4 0x40004504u +#define CYREG_PICU0_INTTYPE5 0x40004505u +#define CYREG_PICU0_INTTYPE6 0x40004506u +#define CYREG_PICU0_INTTYPE7 0x40004507u +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008u +#define CYREG_PICU1_INTTYPE0 0x40004508u +#define CYREG_PICU1_INTTYPE1 0x40004509u +#define CYREG_PICU1_INTTYPE2 0x4000450au +#define CYREG_PICU1_INTTYPE3 0x4000450bu +#define CYREG_PICU1_INTTYPE4 0x4000450cu +#define CYREG_PICU1_INTTYPE5 0x4000450du +#define CYREG_PICU1_INTTYPE6 0x4000450eu +#define CYREG_PICU1_INTTYPE7 0x4000450fu +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008u +#define CYREG_PICU2_INTTYPE0 0x40004510u +#define CYREG_PICU2_INTTYPE1 0x40004511u +#define CYREG_PICU2_INTTYPE2 0x40004512u +#define CYREG_PICU2_INTTYPE3 0x40004513u +#define CYREG_PICU2_INTTYPE4 0x40004514u +#define CYREG_PICU2_INTTYPE5 0x40004515u +#define CYREG_PICU2_INTTYPE6 0x40004516u +#define CYREG_PICU2_INTTYPE7 0x40004517u +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008u +#define CYREG_PICU3_INTTYPE0 0x40004518u +#define CYREG_PICU3_INTTYPE1 0x40004519u +#define CYREG_PICU3_INTTYPE2 0x4000451au +#define CYREG_PICU3_INTTYPE3 0x4000451bu +#define CYREG_PICU3_INTTYPE4 0x4000451cu +#define CYREG_PICU3_INTTYPE5 0x4000451du +#define CYREG_PICU3_INTTYPE6 0x4000451eu +#define CYREG_PICU3_INTTYPE7 0x4000451fu +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008u +#define CYREG_PICU4_INTTYPE0 0x40004520u +#define CYREG_PICU4_INTTYPE1 0x40004521u +#define CYREG_PICU4_INTTYPE2 0x40004522u +#define CYREG_PICU4_INTTYPE3 0x40004523u +#define CYREG_PICU4_INTTYPE4 0x40004524u +#define CYREG_PICU4_INTTYPE5 0x40004525u +#define CYREG_PICU4_INTTYPE6 0x40004526u +#define CYREG_PICU4_INTTYPE7 0x40004527u +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008u +#define CYREG_PICU5_INTTYPE0 0x40004528u +#define CYREG_PICU5_INTTYPE1 0x40004529u +#define CYREG_PICU5_INTTYPE2 0x4000452au +#define CYREG_PICU5_INTTYPE3 0x4000452bu +#define CYREG_PICU5_INTTYPE4 0x4000452cu +#define CYREG_PICU5_INTTYPE5 0x4000452du +#define CYREG_PICU5_INTTYPE6 0x4000452eu +#define CYREG_PICU5_INTTYPE7 0x4000452fu +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008u +#define CYREG_PICU6_INTTYPE0 0x40004530u +#define CYREG_PICU6_INTTYPE1 0x40004531u +#define CYREG_PICU6_INTTYPE2 0x40004532u +#define CYREG_PICU6_INTTYPE3 0x40004533u +#define CYREG_PICU6_INTTYPE4 0x40004534u +#define CYREG_PICU6_INTTYPE5 0x40004535u +#define CYREG_PICU6_INTTYPE6 0x40004536u +#define CYREG_PICU6_INTTYPE7 0x40004537u +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008u +#define CYREG_PICU12_INTTYPE0 0x40004560u +#define CYREG_PICU12_INTTYPE1 0x40004561u +#define CYREG_PICU12_INTTYPE2 0x40004562u +#define CYREG_PICU12_INTTYPE3 0x40004563u +#define CYREG_PICU12_INTTYPE4 0x40004564u +#define CYREG_PICU12_INTTYPE5 0x40004565u +#define CYREG_PICU12_INTTYPE6 0x40004566u +#define CYREG_PICU12_INTTYPE7 0x40004567u +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008u +#define CYREG_PICU15_INTTYPE0 0x40004578u +#define CYREG_PICU15_INTTYPE1 0x40004579u +#define CYREG_PICU15_INTTYPE2 0x4000457au +#define CYREG_PICU15_INTTYPE3 0x4000457bu +#define CYREG_PICU15_INTTYPE4 0x4000457cu +#define CYREG_PICU15_INTTYPE5 0x4000457du +#define CYREG_PICU15_INTTYPE6 0x4000457eu +#define CYREG_PICU15_INTTYPE7 0x4000457fu +#define CYDEV_PICU_STAT_BASE 0x40004580u +#define CYDEV_PICU_STAT_SIZE 0x00000010u +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580u +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_INTSTAT 0x40004580u +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581u +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_INTSTAT 0x40004581u +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582u +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_INTSTAT 0x40004582u +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583u +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_INTSTAT 0x40004583u +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584u +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_INTSTAT 0x40004584u +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585u +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_INTSTAT 0x40004585u +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586u +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_INTSTAT 0x40004586u +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458cu +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_INTSTAT 0x4000458cu +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458fu +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001u +#define CYREG_PICU15_INTSTAT 0x4000458fu +#define CYDEV_PICU_SNAP_BASE 0x40004590u +#define CYDEV_PICU_SNAP_SIZE 0x00000010u +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590u +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_SNAP 0x40004590u +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591u +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_SNAP 0x40004591u +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592u +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_SNAP 0x40004592u +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593u +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_SNAP 0x40004593u +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594u +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_SNAP 0x40004594u +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595u +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_SNAP 0x40004595u +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596u +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_SNAP 0x40004596u +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459cu +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_SNAP 0x4000459cu +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459fu +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001u +#define CYREG_PICU_15_SNAP_15 0x4000459fu +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010u +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_DISABLE_COR 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_DISABLE_COR 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_DISABLE_COR 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_DISABLE_COR 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_DISABLE_COR 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_DISABLE_COR 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_DISABLE_COR 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_DISABLE_COR 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045afu +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001u +#define CYREG_PICU15_DISABLE_COR 0x400045afu +#define CYDEV_MFGCFG_BASE 0x40004600u +#define CYDEV_MFGCFG_SIZE 0x000000edu +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600u +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038u +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001u +#define CYREG_DAC0_TR 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001u +#define CYREG_DAC1_TR 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001u +#define CYREG_DAC2_TR 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001u +#define CYREG_DAC3_TR 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001u +#define CYREG_NPUMP_DSM_TR0 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001u +#define CYREG_NPUMP_SC_TR0 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001u +#define CYREG_NPUMP_OPAMP_TR0 0x40004612u +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001u +#define CYREG_SAR0_TR0 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616u +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001u +#define CYREG_SAR1_TR0 0x40004616u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_TR0 0x40004620u +#define CYREG_OPAMP0_TR1 0x40004621u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_TR0 0x40004622u +#define CYREG_OPAMP1_TR1 0x40004623u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_TR0 0x40004624u +#define CYREG_OPAMP2_TR1 0x40004625u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_TR0 0x40004626u +#define CYREG_OPAMP3_TR1 0x40004627u +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002u +#define CYREG_CMP0_TR0 0x40004630u +#define CYREG_CMP0_TR1 0x40004631u +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002u +#define CYREG_CMP1_TR0 0x40004632u +#define CYREG_CMP1_TR1 0x40004633u +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002u +#define CYREG_CMP2_TR0 0x40004634u +#define CYREG_CMP2_TR1 0x40004635u +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002u +#define CYREG_CMP3_TR0 0x40004636u +#define CYREG_CMP3_TR1 0x40004637u +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000bu +#define CYREG_PWRSYS_HIB_TR0 0x40004680u +#define CYREG_PWRSYS_HIB_TR1 0x40004681u +#define CYREG_PWRSYS_I2C_TR 0x40004682u +#define CYREG_PWRSYS_SLP_TR 0x40004683u +#define CYREG_PWRSYS_BUZZ_TR 0x40004684u +#define CYREG_PWRSYS_WAKE_TR0 0x40004685u +#define CYREG_PWRSYS_WAKE_TR1 0x40004686u +#define CYREG_PWRSYS_BREF_TR 0x40004687u +#define CYREG_PWRSYS_BG_TR 0x40004688u +#define CYREG_PWRSYS_WAKE_TR2 0x40004689u +#define CYREG_PWRSYS_WAKE_TR3 0x4000468au +#define CYDEV_MFGCFG_ILO_BASE 0x40004690u +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002u +#define CYREG_ILO_TR0 0x40004690u +#define CYREG_ILO_TR1 0x40004691u +#define CYDEV_MFGCFG_X32_BASE 0x40004698u +#define CYDEV_MFGCFG_X32_SIZE 0x00000001u +#define CYREG_X32_TR 0x40004698u +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0u +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005u +#define CYREG_IMO_TR0 0x400046a0u +#define CYREG_IMO_TR1 0x400046a1u +#define CYREG_IMO_GAIN 0x400046a2u +#define CYREG_IMO_C36M 0x400046a3u +#define CYREG_IMO_TR2 0x400046a4u +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8u +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001u +#define CYREG_XMHZ_TR 0x400046a8u +#define CYREG_MFGCFG_DLY 0x400046c0u +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0u +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000du +#define CYREG_MLOGIC_DMPSTR 0x400046e2u +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002u +#define CYREG_MLOGIC_SEG_CR 0x400046e4u +#define CYREG_MLOGIC_SEG_CFG0 0x400046e5u +#define CYREG_MLOGIC_DEBUG 0x400046e8u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001u +#define CYREG_MLOGIC_CPU_SCR_CPU_SCR 0x400046eau +#define CYREG_MLOGIC_REV_ID 0x400046ecu +#define CYDEV_RESET_BASE 0x400046f0u +#define CYDEV_RESET_SIZE 0x0000000fu +#define CYREG_RESET_IPOR_CR0 0x400046f0u +#define CYREG_RESET_IPOR_CR1 0x400046f1u +#define CYREG_RESET_IPOR_CR2 0x400046f2u +#define CYREG_RESET_IPOR_CR3 0x400046f3u +#define CYREG_RESET_CR0 0x400046f4u +#define CYREG_RESET_CR1 0x400046f5u +#define CYREG_RESET_CR2 0x400046f6u +#define CYREG_RESET_CR3 0x400046f7u +#define CYREG_RESET_CR4 0x400046f8u +#define CYREG_RESET_CR5 0x400046f9u +#define CYREG_RESET_SR0 0x400046fau +#define CYREG_RESET_SR1 0x400046fbu +#define CYREG_RESET_SR2 0x400046fcu +#define CYREG_RESET_SR3 0x400046fdu +#define CYREG_RESET_TR 0x400046feu +#define CYDEV_SPC_BASE 0x40004700u +#define CYDEV_SPC_SIZE 0x00000100u +#define CYREG_SPC_FM_EE_CR 0x40004700u +#define CYREG_SPC_FM_EE_WAKE_CNT 0x40004701u +#define CYREG_SPC_EE_SCR 0x40004702u +#define CYREG_SPC_EE_ERR 0x40004703u +#define CYREG_SPC_CPU_DATA 0x40004720u +#define CYREG_SPC_DMA_DATA 0x40004721u +#define CYREG_SPC_SR 0x40004722u +#define CYREG_SPC_CR 0x40004723u +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080u +#define CYREG_SPC_DMM_MAP_SRAM_MBASE 0x40004780u +#define CYREG_SPC_DMM_MAP_SRAM_MSIZE 0x00000080u +#define CYDEV_CACHE_BASE 0x40004800u +#define CYDEV_CACHE_SIZE 0x0000009cu +#define CYREG_CACHE_CC_CTL 0x40004800u +#define CYREG_CACHE_ECC_CORR 0x40004880u +#define CYREG_CACHE_ECC_ERR 0x40004888u +#define CYREG_CACHE_FLASH_ERR 0x40004890u +#define CYREG_CACHE_HITMISS 0x40004898u +#define CYDEV_I2C_BASE 0x40004900u +#define CYDEV_I2C_SIZE 0x000000e1u +#define CYREG_I2C_XCFG 0x400049c8u +#define CYREG_I2C_ADR 0x400049cau +#define CYREG_I2C_CFG 0x400049d6u +#define CYREG_I2C_CSR 0x400049d7u +#define CYREG_I2C_D 0x400049d8u +#define CYREG_I2C_MCSR 0x400049d9u +#define CYREG_I2C_CLK_DIV1 0x400049dbu +#define CYREG_I2C_CLK_DIV2 0x400049dcu +#define CYREG_I2C_TMOUT_CSR 0x400049ddu +#define CYREG_I2C_TMOUT_SR 0x400049deu +#define CYREG_I2C_TMOUT_CFG0 0x400049dfu +#define CYREG_I2C_TMOUT_CFG1 0x400049e0u +#define CYDEV_DEC_BASE 0x40004e00u +#define CYDEV_DEC_SIZE 0x00000015u +#define CYREG_DEC_CR 0x40004e00u +#define CYREG_DEC_SR 0x40004e01u +#define CYREG_DEC_SHIFT1 0x40004e02u +#define CYREG_DEC_SHIFT2 0x40004e03u +#define CYREG_DEC_DR2 0x40004e04u +#define CYREG_DEC_DR2H 0x40004e05u +#define CYREG_DEC_DR1 0x40004e06u +#define CYREG_DEC_OCOR 0x40004e08u +#define CYREG_DEC_OCORM 0x40004e09u +#define CYREG_DEC_OCORH 0x40004e0au +#define CYREG_DEC_GCOR 0x40004e0cu +#define CYREG_DEC_GCORH 0x40004e0du +#define CYREG_DEC_GVAL 0x40004e0eu +#define CYREG_DEC_OUTSAMP 0x40004e10u +#define CYREG_DEC_OUTSAMPM 0x40004e11u +#define CYREG_DEC_OUTSAMPH 0x40004e12u +#define CYREG_DEC_OUTSAMPS 0x40004e13u +#define CYREG_DEC_COHER 0x40004e14u +#define CYDEV_TMR0_BASE 0x40004f00u +#define CYDEV_TMR0_SIZE 0x0000000cu +#define CYREG_TMR0_CFG0 0x40004f00u +#define CYREG_TMR0_CFG1 0x40004f01u +#define CYREG_TMR0_CFG2 0x40004f02u +#define CYREG_TMR0_SR0 0x40004f03u +#define CYREG_TMR0_PER0 0x40004f04u +#define CYREG_TMR0_PER1 0x40004f05u +#define CYREG_TMR0_CNT_CMP0 0x40004f06u +#define CYREG_TMR0_CNT_CMP1 0x40004f07u +#define CYREG_TMR0_CAP0 0x40004f08u +#define CYREG_TMR0_CAP1 0x40004f09u +#define CYREG_TMR0_RT0 0x40004f0au +#define CYREG_TMR0_RT1 0x40004f0bu +#define CYDEV_TMR1_BASE 0x40004f0cu +#define CYDEV_TMR1_SIZE 0x0000000cu +#define CYREG_TMR1_CFG0 0x40004f0cu +#define CYREG_TMR1_CFG1 0x40004f0du +#define CYREG_TMR1_CFG2 0x40004f0eu +#define CYREG_TMR1_SR0 0x40004f0fu +#define CYREG_TMR1_PER0 0x40004f10u +#define CYREG_TMR1_PER1 0x40004f11u +#define CYREG_TMR1_CNT_CMP0 0x40004f12u +#define CYREG_TMR1_CNT_CMP1 0x40004f13u +#define CYREG_TMR1_CAP0 0x40004f14u +#define CYREG_TMR1_CAP1 0x40004f15u +#define CYREG_TMR1_RT0 0x40004f16u +#define CYREG_TMR1_RT1 0x40004f17u +#define CYDEV_TMR2_BASE 0x40004f18u +#define CYDEV_TMR2_SIZE 0x0000000cu +#define CYREG_TMR2_CFG0 0x40004f18u +#define CYREG_TMR2_CFG1 0x40004f19u +#define CYREG_TMR2_CFG2 0x40004f1au +#define CYREG_TMR2_SR0 0x40004f1bu +#define CYREG_TMR2_PER0 0x40004f1cu +#define CYREG_TMR2_PER1 0x40004f1du +#define CYREG_TMR2_CNT_CMP0 0x40004f1eu +#define CYREG_TMR2_CNT_CMP1 0x40004f1fu +#define CYREG_TMR2_CAP0 0x40004f20u +#define CYREG_TMR2_CAP1 0x40004f21u +#define CYREG_TMR2_RT0 0x40004f22u +#define CYREG_TMR2_RT1 0x40004f23u +#define CYDEV_TMR3_BASE 0x40004f24u +#define CYDEV_TMR3_SIZE 0x0000000cu +#define CYREG_TMR3_CFG0 0x40004f24u +#define CYREG_TMR3_CFG1 0x40004f25u +#define CYREG_TMR3_CFG2 0x40004f26u +#define CYREG_TMR3_SR0 0x40004f27u +#define CYREG_TMR3_PER0 0x40004f28u +#define CYREG_TMR3_PER1 0x40004f29u +#define CYREG_TMR3_CNT_CMP0 0x40004f2au +#define CYREG_TMR3_CNT_CMP1 0x40004f2bu +#define CYREG_TMR3_CAP0 0x40004f2cu +#define CYREG_TMR3_CAP1 0x40004f2du +#define CYREG_TMR3_RT0 0x40004f2eu +#define CYREG_TMR3_RT1 0x40004f2fu +#define CYDEV_IO_BASE 0x40005000u +#define CYDEV_IO_SIZE 0x00000200u +#define CYDEV_IO_PC_BASE 0x40005000u +#define CYDEV_IO_PC_SIZE 0x00000080u +#define CYDEV_IO_PC_PRT0_BASE 0x40005000u +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008u +#define CYREG_PRT0_PC0 0x40005000u +#define CYREG_PRT0_PC1 0x40005001u +#define CYREG_PRT0_PC2 0x40005002u +#define CYREG_PRT0_PC3 0x40005003u +#define CYREG_PRT0_PC4 0x40005004u +#define CYREG_PRT0_PC5 0x40005005u +#define CYREG_PRT0_PC6 0x40005006u +#define CYREG_PRT0_PC7 0x40005007u +#define CYDEV_IO_PC_PRT1_BASE 0x40005008u +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008u +#define CYREG_PRT1_PC0 0x40005008u +#define CYREG_PRT1_PC1 0x40005009u +#define CYREG_PRT1_PC2 0x4000500au +#define CYREG_PRT1_PC3 0x4000500bu +#define CYREG_PRT1_PC4 0x4000500cu +#define CYREG_PRT1_PC5 0x4000500du +#define CYREG_PRT1_PC6 0x4000500eu +#define CYREG_PRT1_PC7 0x4000500fu +#define CYDEV_IO_PC_PRT2_BASE 0x40005010u +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008u +#define CYREG_PRT2_PC0 0x40005010u +#define CYREG_PRT2_PC1 0x40005011u +#define CYREG_PRT2_PC2 0x40005012u +#define CYREG_PRT2_PC3 0x40005013u +#define CYREG_PRT2_PC4 0x40005014u +#define CYREG_PRT2_PC5 0x40005015u +#define CYREG_PRT2_PC6 0x40005016u +#define CYREG_PRT2_PC7 0x40005017u +#define CYDEV_IO_PC_PRT3_BASE 0x40005018u +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008u +#define CYREG_PRT3_PC0 0x40005018u +#define CYREG_PRT3_PC1 0x40005019u +#define CYREG_PRT3_PC2 0x4000501au +#define CYREG_PRT3_PC3 0x4000501bu +#define CYREG_PRT3_PC4 0x4000501cu +#define CYREG_PRT3_PC5 0x4000501du +#define CYREG_PRT3_PC6 0x4000501eu +#define CYREG_PRT3_PC7 0x4000501fu +#define CYDEV_IO_PC_PRT4_BASE 0x40005020u +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008u +#define CYREG_PRT4_PC0 0x40005020u +#define CYREG_PRT4_PC1 0x40005021u +#define CYREG_PRT4_PC2 0x40005022u +#define CYREG_PRT4_PC3 0x40005023u +#define CYREG_PRT4_PC4 0x40005024u +#define CYREG_PRT4_PC5 0x40005025u +#define CYREG_PRT4_PC6 0x40005026u +#define CYREG_PRT4_PC7 0x40005027u +#define CYDEV_IO_PC_PRT5_BASE 0x40005028u +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008u +#define CYREG_PRT5_PC0 0x40005028u +#define CYREG_PRT5_PC1 0x40005029u +#define CYREG_PRT5_PC2 0x4000502au +#define CYREG_PRT5_PC3 0x4000502bu +#define CYREG_PRT5_PC4 0x4000502cu +#define CYREG_PRT5_PC5 0x4000502du +#define CYREG_PRT5_PC6 0x4000502eu +#define CYREG_PRT5_PC7 0x4000502fu +#define CYDEV_IO_PC_PRT6_BASE 0x40005030u +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008u +#define CYREG_PRT6_PC0 0x40005030u +#define CYREG_PRT6_PC1 0x40005031u +#define CYREG_PRT6_PC2 0x40005032u +#define CYREG_PRT6_PC3 0x40005033u +#define CYREG_PRT6_PC4 0x40005034u +#define CYREG_PRT6_PC5 0x40005035u +#define CYREG_PRT6_PC6 0x40005036u +#define CYREG_PRT6_PC7 0x40005037u +#define CYDEV_IO_PC_PRT12_BASE 0x40005060u +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008u +#define CYREG_PRT12_PC0 0x40005060u +#define CYREG_PRT12_PC1 0x40005061u +#define CYREG_PRT12_PC2 0x40005062u +#define CYREG_PRT12_PC3 0x40005063u +#define CYREG_PRT12_PC4 0x40005064u +#define CYREG_PRT12_PC5 0x40005065u +#define CYREG_PRT12_PC6 0x40005066u +#define CYREG_PRT12_PC7 0x40005067u +#define CYDEV_IO_PC_PRT15_BASE 0x40005078u +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006u +#define CYREG_IO_PC_PRT15_PC0 0x40005078u +#define CYREG_IO_PC_PRT15_PC1 0x40005079u +#define CYREG_IO_PC_PRT15_PC2 0x4000507au +#define CYREG_IO_PC_PRT15_PC3 0x4000507bu +#define CYREG_IO_PC_PRT15_PC4 0x4000507cu +#define CYREG_IO_PC_PRT15_PC5 0x4000507du +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002u +#define CYREG_IO_PC_PRT15_7_6_PC0 0x4000507eu +#define CYREG_IO_PC_PRT15_7_6_PC1 0x4000507fu +#define CYDEV_IO_DR_BASE 0x40005080u +#define CYDEV_IO_DR_SIZE 0x00000010u +#define CYDEV_IO_DR_PRT0_BASE 0x40005080u +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001u +#define CYREG_PRT0_DR_ALIAS 0x40005080u +#define CYDEV_IO_DR_PRT1_BASE 0x40005081u +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001u +#define CYREG_PRT1_DR_ALIAS 0x40005081u +#define CYDEV_IO_DR_PRT2_BASE 0x40005082u +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001u +#define CYREG_PRT2_DR_ALIAS 0x40005082u +#define CYDEV_IO_DR_PRT3_BASE 0x40005083u +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001u +#define CYREG_PRT3_DR_ALIAS 0x40005083u +#define CYDEV_IO_DR_PRT4_BASE 0x40005084u +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001u +#define CYREG_PRT4_DR_ALIAS 0x40005084u +#define CYDEV_IO_DR_PRT5_BASE 0x40005085u +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001u +#define CYREG_PRT5_DR_ALIAS 0x40005085u +#define CYDEV_IO_DR_PRT6_BASE 0x40005086u +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001u +#define CYREG_PRT6_DR_ALIAS 0x40005086u +#define CYDEV_IO_DR_PRT12_BASE 0x4000508cu +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001u +#define CYREG_PRT12_DR_ALIAS 0x4000508cu +#define CYDEV_IO_DR_PRT15_BASE 0x4000508fu +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001u +#define CYREG_PRT15_DR_15_ALIAS 0x4000508fu +#define CYDEV_IO_PS_BASE 0x40005090u +#define CYDEV_IO_PS_SIZE 0x00000010u +#define CYDEV_IO_PS_PRT0_BASE 0x40005090u +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001u +#define CYREG_PRT0_PS_ALIAS 0x40005090u +#define CYDEV_IO_PS_PRT1_BASE 0x40005091u +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001u +#define CYREG_PRT1_PS_ALIAS 0x40005091u +#define CYDEV_IO_PS_PRT2_BASE 0x40005092u +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001u +#define CYREG_PRT2_PS_ALIAS 0x40005092u +#define CYDEV_IO_PS_PRT3_BASE 0x40005093u +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001u +#define CYREG_PRT3_PS_ALIAS 0x40005093u +#define CYDEV_IO_PS_PRT4_BASE 0x40005094u +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001u +#define CYREG_PRT4_PS_ALIAS 0x40005094u +#define CYDEV_IO_PS_PRT5_BASE 0x40005095u +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001u +#define CYREG_PRT5_PS_ALIAS 0x40005095u +#define CYDEV_IO_PS_PRT6_BASE 0x40005096u +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001u +#define CYREG_PRT6_PS_ALIAS 0x40005096u +#define CYDEV_IO_PS_PRT12_BASE 0x4000509cu +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001u +#define CYREG_PRT12_PS_ALIAS 0x4000509cu +#define CYDEV_IO_PS_PRT15_BASE 0x4000509fu +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001u +#define CYREG_PRT15_PS15_ALIAS 0x4000509fu +#define CYDEV_IO_PRT_BASE 0x40005100u +#define CYDEV_IO_PRT_SIZE 0x00000100u +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100u +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010u +#define CYREG_PRT0_DR 0x40005100u +#define CYREG_PRT0_PS 0x40005101u +#define CYREG_PRT0_DM0 0x40005102u +#define CYREG_PRT0_DM1 0x40005103u +#define CYREG_PRT0_DM2 0x40005104u +#define CYREG_PRT0_SLW 0x40005105u +#define CYREG_PRT0_BYP 0x40005106u +#define CYREG_PRT0_BIE 0x40005107u +#define CYREG_PRT0_INP_DIS 0x40005108u +#define CYREG_PRT0_CTL 0x40005109u +#define CYREG_PRT0_PRT 0x4000510au +#define CYREG_PRT0_BIT_MASK 0x4000510bu +#define CYREG_PRT0_AMUX 0x4000510cu +#define CYREG_PRT0_AG 0x4000510du +#define CYREG_PRT0_LCD_COM_SEG 0x4000510eu +#define CYREG_PRT0_LCD_EN 0x4000510fu +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110u +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010u +#define CYREG_PRT1_DR 0x40005110u +#define CYREG_PRT1_PS 0x40005111u +#define CYREG_PRT1_DM0 0x40005112u +#define CYREG_PRT1_DM1 0x40005113u +#define CYREG_PRT1_DM2 0x40005114u +#define CYREG_PRT1_SLW 0x40005115u +#define CYREG_PRT1_BYP 0x40005116u +#define CYREG_PRT1_BIE 0x40005117u +#define CYREG_PRT1_INP_DIS 0x40005118u +#define CYREG_PRT1_CTL 0x40005119u +#define CYREG_PRT1_PRT 0x4000511au +#define CYREG_PRT1_BIT_MASK 0x4000511bu +#define CYREG_PRT1_AMUX 0x4000511cu +#define CYREG_PRT1_AG 0x4000511du +#define CYREG_PRT1_LCD_COM_SEG 0x4000511eu +#define CYREG_PRT1_LCD_EN 0x4000511fu +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120u +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010u +#define CYREG_PRT2_DR 0x40005120u +#define CYREG_PRT2_PS 0x40005121u +#define CYREG_PRT2_DM0 0x40005122u +#define CYREG_PRT2_DM1 0x40005123u +#define CYREG_PRT2_DM2 0x40005124u +#define CYREG_PRT2_SLW 0x40005125u +#define CYREG_PRT2_BYP 0x40005126u +#define CYREG_PRT2_BIE 0x40005127u +#define CYREG_PRT2_INP_DIS 0x40005128u +#define CYREG_PRT2_CTL 0x40005129u +#define CYREG_PRT2_PRT 0x4000512au +#define CYREG_PRT2_BIT_MASK 0x4000512bu +#define CYREG_PRT2_AMUX 0x4000512cu +#define CYREG_PRT2_AG 0x4000512du +#define CYREG_PRT2_LCD_COM_SEG 0x4000512eu +#define CYREG_PRT2_LCD_EN 0x4000512fu +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130u +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010u +#define CYREG_PRT3_DR 0x40005130u +#define CYREG_PRT3_PS 0x40005131u +#define CYREG_PRT3_DM0 0x40005132u +#define CYREG_PRT3_DM1 0x40005133u +#define CYREG_PRT3_DM2 0x40005134u +#define CYREG_PRT3_SLW 0x40005135u +#define CYREG_PRT3_BYP 0x40005136u +#define CYREG_PRT3_BIE 0x40005137u +#define CYREG_PRT3_INP_DIS 0x40005138u +#define CYREG_PRT3_CTL 0x40005139u +#define CYREG_PRT3_PRT 0x4000513au +#define CYREG_PRT3_BIT_MASK 0x4000513bu +#define CYREG_PRT3_AMUX 0x4000513cu +#define CYREG_PRT3_AG 0x4000513du +#define CYREG_PRT3_LCD_COM_SEG 0x4000513eu +#define CYREG_PRT3_LCD_EN 0x4000513fu +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140u +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010u +#define CYREG_PRT4_DR 0x40005140u +#define CYREG_PRT4_PS 0x40005141u +#define CYREG_PRT4_DM0 0x40005142u +#define CYREG_PRT4_DM1 0x40005143u +#define CYREG_PRT4_DM2 0x40005144u +#define CYREG_PRT4_SLW 0x40005145u +#define CYREG_PRT4_BYP 0x40005146u +#define CYREG_PRT4_BIE 0x40005147u +#define CYREG_PRT4_INP_DIS 0x40005148u +#define CYREG_PRT4_CTL 0x40005149u +#define CYREG_PRT4_PRT 0x4000514au +#define CYREG_PRT4_BIT_MASK 0x4000514bu +#define CYREG_PRT4_AMUX 0x4000514cu +#define CYREG_PRT4_AG 0x4000514du +#define CYREG_PRT4_LCD_COM_SEG 0x4000514eu +#define CYREG_PRT4_LCD_EN 0x4000514fu +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150u +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010u +#define CYREG_PRT5_DR 0x40005150u +#define CYREG_PRT5_PS 0x40005151u +#define CYREG_PRT5_DM0 0x40005152u +#define CYREG_PRT5_DM1 0x40005153u +#define CYREG_PRT5_DM2 0x40005154u +#define CYREG_PRT5_SLW 0x40005155u +#define CYREG_PRT5_BYP 0x40005156u +#define CYREG_PRT5_BIE 0x40005157u +#define CYREG_PRT5_INP_DIS 0x40005158u +#define CYREG_PRT5_CTL 0x40005159u +#define CYREG_PRT5_PRT 0x4000515au +#define CYREG_PRT5_BIT_MASK 0x4000515bu +#define CYREG_PRT5_AMUX 0x4000515cu +#define CYREG_PRT5_AG 0x4000515du +#define CYREG_PRT5_LCD_COM_SEG 0x4000515eu +#define CYREG_PRT5_LCD_EN 0x4000515fu +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160u +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010u +#define CYREG_PRT6_DR 0x40005160u +#define CYREG_PRT6_PS 0x40005161u +#define CYREG_PRT6_DM0 0x40005162u +#define CYREG_PRT6_DM1 0x40005163u +#define CYREG_PRT6_DM2 0x40005164u +#define CYREG_PRT6_SLW 0x40005165u +#define CYREG_PRT6_BYP 0x40005166u +#define CYREG_PRT6_BIE 0x40005167u +#define CYREG_PRT6_INP_DIS 0x40005168u +#define CYREG_PRT6_CTL 0x40005169u +#define CYREG_PRT6_PRT 0x4000516au +#define CYREG_PRT6_BIT_MASK 0x4000516bu +#define CYREG_PRT6_AMUX 0x4000516cu +#define CYREG_PRT6_AG 0x4000516du +#define CYREG_PRT6_LCD_COM_SEG 0x4000516eu +#define CYREG_PRT6_LCD_EN 0x4000516fu +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0u +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010u +#define CYREG_PRT12_DR 0x400051c0u +#define CYREG_PRT12_PS 0x400051c1u +#define CYREG_PRT12_DM0 0x400051c2u +#define CYREG_PRT12_DM1 0x400051c3u +#define CYREG_PRT12_DM2 0x400051c4u +#define CYREG_PRT12_SLW 0x400051c5u +#define CYREG_PRT12_BYP 0x400051c6u +#define CYREG_PRT12_BIE 0x400051c7u +#define CYREG_PRT12_INP_DIS 0x400051c8u +#define CYREG_PRT12_SIO_HYST_EN 0x400051c9u +#define CYREG_PRT12_PRT 0x400051cau +#define CYREG_PRT12_BIT_MASK 0x400051cbu +#define CYREG_PRT12_SIO_REG_HIFREQ 0x400051ccu +#define CYREG_PRT12_AG 0x400051cdu +#define CYREG_PRT12_SIO_CFG 0x400051ceu +#define CYREG_PRT12_SIO_DIFF 0x400051cfu +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0u +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010u +#define CYREG_PRT15_DR 0x400051f0u +#define CYREG_PRT15_PS 0x400051f1u +#define CYREG_PRT15_DM0 0x400051f2u +#define CYREG_PRT15_DM1 0x400051f3u +#define CYREG_PRT15_DM2 0x400051f4u +#define CYREG_PRT15_SLW 0x400051f5u +#define CYREG_PRT15_BYP 0x400051f6u +#define CYREG_PRT15_BIE 0x400051f7u +#define CYREG_PRT15_INP_DIS 0x400051f8u +#define CYREG_PRT15_CTL 0x400051f9u +#define CYREG_PRT15_PRT 0x400051fau +#define CYREG_PRT15_BIT_MASK 0x400051fbu +#define CYREG_PRT15_AMUX 0x400051fcu +#define CYREG_PRT15_AG 0x400051fdu +#define CYREG_PRT15_LCD_COM_SEG 0x400051feu +#define CYREG_PRT15_LCD_EN 0x400051ffu +#define CYDEV_PRTDSI_BASE 0x40005200u +#define CYDEV_PRTDSI_SIZE 0x0000007fu +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200u +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007u +#define CYREG_PRT0_OUT_SEL0 0x40005200u +#define CYREG_PRT0_OUT_SEL1 0x40005201u +#define CYREG_PRT0_OE_SEL0 0x40005202u +#define CYREG_PRT0_OE_SEL1 0x40005203u +#define CYREG_PRT0_DBL_SYNC_IN 0x40005204u +#define CYREG_PRT0_SYNC_OUT 0x40005205u +#define CYREG_PRT0_CAPS_SEL 0x40005206u +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208u +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007u +#define CYREG_PRT1_OUT_SEL0 0x40005208u +#define CYREG_PRT1_OUT_SEL1 0x40005209u +#define CYREG_PRT1_OE_SEL0 0x4000520au +#define CYREG_PRT1_OE_SEL1 0x4000520bu +#define CYREG_PRT1_DBL_SYNC_IN 0x4000520cu +#define CYREG_PRT1_SYNC_OUT 0x4000520du +#define CYREG_PRT1_CAPS_SEL 0x4000520eu +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210u +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007u +#define CYREG_PRT2_OUT_SEL0 0x40005210u +#define CYREG_PRT2_OUT_SEL1 0x40005211u +#define CYREG_PRT2_OE_SEL0 0x40005212u +#define CYREG_PRT2_OE_SEL1 0x40005213u +#define CYREG_PRT2_DBL_SYNC_IN 0x40005214u +#define CYREG_PRT2_SYNC_OUT 0x40005215u +#define CYREG_PRT2_CAPS_SEL 0x40005216u +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218u +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007u +#define CYREG_PRT3_OUT_SEL0 0x40005218u +#define CYREG_PRT3_OUT_SEL1 0x40005219u +#define CYREG_PRT3_OE_SEL0 0x4000521au +#define CYREG_PRT3_OE_SEL1 0x4000521bu +#define CYREG_PRT3_DBL_SYNC_IN 0x4000521cu +#define CYREG_PRT3_SYNC_OUT 0x4000521du +#define CYREG_PRT3_CAPS_SEL 0x4000521eu +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220u +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007u +#define CYREG_PRT4_OUT_SEL0 0x40005220u +#define CYREG_PRT4_OUT_SEL1 0x40005221u +#define CYREG_PRT4_OE_SEL0 0x40005222u +#define CYREG_PRT4_OE_SEL1 0x40005223u +#define CYREG_PRT4_DBL_SYNC_IN 0x40005224u +#define CYREG_PRT4_SYNC_OUT 0x40005225u +#define CYREG_PRT4_CAPS_SEL 0x40005226u +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228u +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007u +#define CYREG_PRT5_OUT_SEL0 0x40005228u +#define CYREG_PRT5_OUT_SEL1 0x40005229u +#define CYREG_PRT5_OE_SEL0 0x4000522au +#define CYREG_PRT5_OE_SEL1 0x4000522bu +#define CYREG_PRT5_DBL_SYNC_IN 0x4000522cu +#define CYREG_PRT5_SYNC_OUT 0x4000522du +#define CYREG_PRT5_CAPS_SEL 0x4000522eu +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230u +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007u +#define CYREG_PRT6_OUT_SEL0 0x40005230u +#define CYREG_PRT6_OUT_SEL1 0x40005231u +#define CYREG_PRT6_OE_SEL0 0x40005232u +#define CYREG_PRT6_OE_SEL1 0x40005233u +#define CYREG_PRT6_DBL_SYNC_IN 0x40005234u +#define CYREG_PRT6_SYNC_OUT 0x40005235u +#define CYREG_PRT6_CAPS_SEL 0x40005236u +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260u +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006u +#define CYREG_PRT12_OUT_SEL0 0x40005260u +#define CYREG_PRT12_OUT_SEL1 0x40005261u +#define CYREG_PRT12_OE_SEL0 0x40005262u +#define CYREG_PRT12_OE_SEL1 0x40005263u +#define CYREG_PRT12_DBL_SYNC_IN 0x40005264u +#define CYREG_PRT12_SYNC_OUT 0x40005265u +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278u +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007u +#define CYREG_PRT15_OUT_SEL0 0x40005278u +#define CYREG_PRT15_OUT_SEL1 0x40005279u +#define CYREG_PRT15_OE_SEL0 0x4000527au +#define CYREG_PRT15_OE_SEL1 0x4000527bu +#define CYREG_PRT15_DBL_SYNC_IN 0x4000527cu +#define CYREG_PRT15_SYNC_OUT 0x4000527du +#define CYREG_PRT15_CAPS_SEL 0x4000527eu +#define CYDEV_EMIF_BASE 0x40005400u +#define CYDEV_EMIF_SIZE 0x00000007u +#define CYREG_EMIF_NO_UDB 0x40005400u +#define CYREG_EMIF_RP_WAIT_STATES 0x40005401u +#define CYREG_EMIF_MEM_DWN 0x40005402u +#define CYREG_EMIF_MEMCLK_DIV 0x40005403u +#define CYREG_EMIF_CLOCK_EN 0x40005404u +#define CYREG_EMIF_EM_TYPE 0x40005405u +#define CYREG_EMIF_WP_WAIT_STATES 0x40005406u +#define CYDEV_ANAIF_BASE 0x40005800u +#define CYDEV_ANAIF_SIZE 0x000003a9u +#define CYDEV_ANAIF_CFG_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SIZE 0x0000010fu +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003u +#define CYREG_SC0_CR0 0x40005800u +#define CYREG_SC0_CR1 0x40005801u +#define CYREG_SC0_CR2 0x40005802u +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003u +#define CYREG_SC1_CR0 0x40005804u +#define CYREG_SC1_CR1 0x40005805u +#define CYREG_SC1_CR2 0x40005806u +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003u +#define CYREG_SC2_CR0 0x40005808u +#define CYREG_SC2_CR1 0x40005809u +#define CYREG_SC2_CR2 0x4000580au +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003u +#define CYREG_SC3_CR0 0x4000580cu +#define CYREG_SC3_CR1 0x4000580du +#define CYREG_SC3_CR2 0x4000580eu +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003u +#define CYREG_DAC0_CR0 0x40005820u +#define CYREG_DAC0_CR1 0x40005821u +#define CYREG_DAC0_TST 0x40005822u +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003u +#define CYREG_DAC1_CR0 0x40005824u +#define CYREG_DAC1_CR1 0x40005825u +#define CYREG_DAC1_TST 0x40005826u +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003u +#define CYREG_DAC2_CR0 0x40005828u +#define CYREG_DAC2_CR1 0x40005829u +#define CYREG_DAC2_TST 0x4000582au +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003u +#define CYREG_DAC3_CR0 0x4000582cu +#define CYREG_DAC3_CR1 0x4000582du +#define CYREG_DAC3_TST 0x4000582eu +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840u +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001u +#define CYREG_CMP0_CR 0x40005840u +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841u +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001u +#define CYREG_CMP1_CR 0x40005841u +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842u +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001u +#define CYREG_CMP2_CR 0x40005842u +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843u +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001u +#define CYREG_CMP3_CR 0x40005843u +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002u +#define CYREG_LUT0_CR 0x40005848u +#define CYREG_LUT0_MX 0x40005849u +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002u +#define CYREG_LUT1_CR 0x4000584au +#define CYREG_LUT1_MX 0x4000584bu +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002u +#define CYREG_LUT2_CR 0x4000584cu +#define CYREG_LUT2_MX 0x4000584du +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002u +#define CYREG_LUT3_CR 0x4000584eu +#define CYREG_LUT3_MX 0x4000584fu +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_CR 0x40005858u +#define CYREG_OPAMP0_RSVD 0x40005859u +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_CR 0x4000585au +#define CYREG_OPAMP1_RSVD 0x4000585bu +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_CR 0x4000585cu +#define CYREG_OPAMP2_RSVD 0x4000585du +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_CR 0x4000585eu +#define CYREG_OPAMP3_RSVD 0x4000585fu +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002u +#define CYREG_LCDDAC_CR0 0x40005868u +#define CYREG_LCDDAC_CR1 0x40005869u +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586au +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001u +#define CYREG_LCDDRV_CR 0x4000586au +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586bu +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001u +#define CYREG_LCDTMR_CFG 0x4000586bu +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004u +#define CYREG_BG_CR0 0x4000586cu +#define CYREG_BG_RSVD 0x4000586du +#define CYREG_BG_DFT0 0x4000586eu +#define CYREG_BG_DFT1 0x4000586fu +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002u +#define CYREG_CAPSL_CFG0 0x40005870u +#define CYREG_CAPSL_CFG1 0x40005871u +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002u +#define CYREG_CAPSR_CFG0 0x40005872u +#define CYREG_CAPSR_CFG1 0x40005873u +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002u +#define CYREG_PUMP_CR0 0x40005876u +#define CYREG_PUMP_CR1 0x40005877u +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002u +#define CYREG_LPF0_CR0 0x40005878u +#define CYREG_LPF0_RSVD 0x40005879u +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002u +#define CYREG_LPF1_CR0 0x4000587au +#define CYREG_LPF1_RSVD 0x4000587bu +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587cu +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001u +#define CYREG_ANAIF_CFG_MISC_CR0 0x4000587cu +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020u +#define CYREG_DSM0_CR0 0x40005880u +#define CYREG_DSM0_CR1 0x40005881u +#define CYREG_DSM0_CR2 0x40005882u +#define CYREG_DSM0_CR3 0x40005883u +#define CYREG_DSM0_CR4 0x40005884u +#define CYREG_DSM0_CR5 0x40005885u +#define CYREG_DSM0_CR6 0x40005886u +#define CYREG_DSM0_CR7 0x40005887u +#define CYREG_DSM0_CR8 0x40005888u +#define CYREG_DSM0_CR9 0x40005889u +#define CYREG_DSM0_CR10 0x4000588au +#define CYREG_DSM0_CR11 0x4000588bu +#define CYREG_DSM0_CR12 0x4000588cu +#define CYREG_DSM0_CR13 0x4000588du +#define CYREG_DSM0_CR14 0x4000588eu +#define CYREG_DSM0_CR15 0x4000588fu +#define CYREG_DSM0_CR16 0x40005890u +#define CYREG_DSM0_CR17 0x40005891u +#define CYREG_DSM0_REF0 0x40005892u +#define CYREG_DSM0_REF1 0x40005893u +#define CYREG_DSM0_REF2 0x40005894u +#define CYREG_DSM0_REF3 0x40005895u +#define CYREG_DSM0_DEM0 0x40005896u +#define CYREG_DSM0_DEM1 0x40005897u +#define CYREG_DSM0_TST0 0x40005898u +#define CYREG_DSM0_TST1 0x40005899u +#define CYREG_DSM0_BUF0 0x4000589au +#define CYREG_DSM0_BUF1 0x4000589bu +#define CYREG_DSM0_BUF2 0x4000589cu +#define CYREG_DSM0_BUF3 0x4000589du +#define CYREG_DSM0_MISC 0x4000589eu +#define CYREG_DSM0_RSVD1 0x4000589fu +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007u +#define CYREG_SAR0_CSR0 0x40005900u +#define CYREG_SAR0_CSR1 0x40005901u +#define CYREG_SAR0_CSR2 0x40005902u +#define CYREG_SAR0_CSR3 0x40005903u +#define CYREG_SAR0_CSR4 0x40005904u +#define CYREG_SAR0_CSR5 0x40005905u +#define CYREG_SAR0_CSR6 0x40005906u +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007u +#define CYREG_SAR1_CSR0 0x40005908u +#define CYREG_SAR1_CSR1 0x40005909u +#define CYREG_SAR1_CSR2 0x4000590au +#define CYREG_SAR1_CSR3 0x4000590bu +#define CYREG_SAR1_CSR4 0x4000590cu +#define CYREG_SAR1_CSR5 0x4000590du +#define CYREG_SAR1_CSR6 0x4000590eu +#define CYDEV_ANAIF_RT_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SIZE 0x00000162u +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000du +#define CYREG_SC0_SW0 0x40005a00u +#define CYREG_SC0_SW2 0x40005a02u +#define CYREG_SC0_SW3 0x40005a03u +#define CYREG_SC0_SW4 0x40005a04u +#define CYREG_SC0_SW6 0x40005a06u +#define CYREG_SC0_SW7 0x40005a07u +#define CYREG_SC0_SW8 0x40005a08u +#define CYREG_SC0_SW10 0x40005a0au +#define CYREG_SC0_CLK 0x40005a0bu +#define CYREG_SC0_BST 0x40005a0cu +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000du +#define CYREG_SC1_SW0 0x40005a10u +#define CYREG_SC1_SW2 0x40005a12u +#define CYREG_SC1_SW3 0x40005a13u +#define CYREG_SC1_SW4 0x40005a14u +#define CYREG_SC1_SW6 0x40005a16u +#define CYREG_SC1_SW7 0x40005a17u +#define CYREG_SC1_SW8 0x40005a18u +#define CYREG_SC1_SW10 0x40005a1au +#define CYREG_SC1_CLK 0x40005a1bu +#define CYREG_SC1_BST 0x40005a1cu +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000du +#define CYREG_SC2_SW0 0x40005a20u +#define CYREG_SC2_SW2 0x40005a22u +#define CYREG_SC2_SW3 0x40005a23u +#define CYREG_SC2_SW4 0x40005a24u +#define CYREG_SC2_SW6 0x40005a26u +#define CYREG_SC2_SW7 0x40005a27u +#define CYREG_SC2_SW8 0x40005a28u +#define CYREG_SC2_SW10 0x40005a2au +#define CYREG_SC2_CLK 0x40005a2bu +#define CYREG_SC2_BST 0x40005a2cu +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000du +#define CYREG_SC3_SW0 0x40005a30u +#define CYREG_SC3_SW2 0x40005a32u +#define CYREG_SC3_SW3 0x40005a33u +#define CYREG_SC3_SW4 0x40005a34u +#define CYREG_SC3_SW6 0x40005a36u +#define CYREG_SC3_SW7 0x40005a37u +#define CYREG_SC3_SW8 0x40005a38u +#define CYREG_SC3_SW10 0x40005a3au +#define CYREG_SC3_CLK 0x40005a3bu +#define CYREG_SC3_BST 0x40005a3cu +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008u +#define CYREG_DAC0_SW0 0x40005a80u +#define CYREG_DAC0_SW2 0x40005a82u +#define CYREG_DAC0_SW3 0x40005a83u +#define CYREG_DAC0_SW4 0x40005a84u +#define CYREG_DAC0_STROBE 0x40005a87u +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008u +#define CYREG_DAC1_SW0 0x40005a88u +#define CYREG_DAC1_SW2 0x40005a8au +#define CYREG_DAC1_SW3 0x40005a8bu +#define CYREG_DAC1_SW4 0x40005a8cu +#define CYREG_DAC1_STROBE 0x40005a8fu +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008u +#define CYREG_DAC2_SW0 0x40005a90u +#define CYREG_DAC2_SW2 0x40005a92u +#define CYREG_DAC2_SW3 0x40005a93u +#define CYREG_DAC2_SW4 0x40005a94u +#define CYREG_DAC2_STROBE 0x40005a97u +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008u +#define CYREG_DAC3_SW0 0x40005a98u +#define CYREG_DAC3_SW2 0x40005a9au +#define CYREG_DAC3_SW3 0x40005a9bu +#define CYREG_DAC3_SW4 0x40005a9cu +#define CYREG_DAC3_STROBE 0x40005a9fu +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008u +#define CYREG_CMP0_SW0 0x40005ac0u +#define CYREG_CMP0_SW2 0x40005ac2u +#define CYREG_CMP0_SW3 0x40005ac3u +#define CYREG_CMP0_SW4 0x40005ac4u +#define CYREG_CMP0_SW6 0x40005ac6u +#define CYREG_CMP0_CLK 0x40005ac7u +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008u +#define CYREG_CMP1_SW0 0x40005ac8u +#define CYREG_CMP1_SW2 0x40005acau +#define CYREG_CMP1_SW3 0x40005acbu +#define CYREG_CMP1_SW4 0x40005accu +#define CYREG_CMP1_SW6 0x40005aceu +#define CYREG_CMP1_CLK 0x40005acfu +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008u +#define CYREG_CMP2_SW0 0x40005ad0u +#define CYREG_CMP2_SW2 0x40005ad2u +#define CYREG_CMP2_SW3 0x40005ad3u +#define CYREG_CMP2_SW4 0x40005ad4u +#define CYREG_CMP2_SW6 0x40005ad6u +#define CYREG_CMP2_CLK 0x40005ad7u +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008u +#define CYREG_CMP3_SW0 0x40005ad8u +#define CYREG_CMP3_SW2 0x40005adau +#define CYREG_CMP3_SW3 0x40005adbu +#define CYREG_CMP3_SW4 0x40005adcu +#define CYREG_CMP3_SW6 0x40005adeu +#define CYREG_CMP3_CLK 0x40005adfu +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008u +#define CYREG_DSM0_SW0 0x40005b00u +#define CYREG_DSM0_SW2 0x40005b02u +#define CYREG_DSM0_SW3 0x40005b03u +#define CYREG_DSM0_SW4 0x40005b04u +#define CYREG_DSM0_SW6 0x40005b06u +#define CYREG_DSM0_CLK 0x40005b07u +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008u +#define CYREG_SAR0_SW0 0x40005b20u +#define CYREG_SAR0_SW2 0x40005b22u +#define CYREG_SAR0_SW3 0x40005b23u +#define CYREG_SAR0_SW4 0x40005b24u +#define CYREG_SAR0_SW6 0x40005b26u +#define CYREG_SAR0_CLK 0x40005b27u +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008u +#define CYREG_SAR1_SW0 0x40005b28u +#define CYREG_SAR1_SW2 0x40005b2au +#define CYREG_SAR1_SW3 0x40005b2bu +#define CYREG_SAR1_SW4 0x40005b2cu +#define CYREG_SAR1_SW6 0x40005b2eu +#define CYREG_SAR1_CLK 0x40005b2fu +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_MX 0x40005b40u +#define CYREG_OPAMP0_SW 0x40005b41u +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_MX 0x40005b42u +#define CYREG_OPAMP1_SW 0x40005b43u +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_MX 0x40005b44u +#define CYREG_OPAMP2_SW 0x40005b45u +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_MX 0x40005b46u +#define CYREG_OPAMP3_SW 0x40005b47u +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005u +#define CYREG_LCDDAC_SW0 0x40005b50u +#define CYREG_LCDDAC_SW1 0x40005b51u +#define CYREG_LCDDAC_SW2 0x40005b52u +#define CYREG_LCDDAC_SW3 0x40005b53u +#define CYREG_LCDDAC_SW4 0x40005b54u +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56u +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001u +#define CYREG_SC_MISC 0x40005b56u +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004u +#define CYREG_BUS_SW0 0x40005b58u +#define CYREG_BUS_SW2 0x40005b5au +#define CYREG_BUS_SW3 0x40005b5bu +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006u +#define CYREG_DFT_CR0 0x40005b5cu +#define CYREG_DFT_CR1 0x40005b5du +#define CYREG_DFT_CR2 0x40005b5eu +#define CYREG_DFT_CR3 0x40005b5fu +#define CYREG_DFT_CR4 0x40005b60u +#define CYREG_DFT_CR5 0x40005b61u +#define CYDEV_ANAIF_WRK_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_SIZE 0x00000029u +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001u +#define CYREG_DAC0_D 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001u +#define CYREG_DAC1_D 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001u +#define CYREG_DAC2_D 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83u +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001u +#define CYREG_DAC3_D 0x40005b83u +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002u +#define CYREG_DSM0_OUT0 0x40005b88u +#define CYREG_DSM0_OUT1 0x40005b89u +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005u +#define CYREG_LUT_SR 0x40005b90u +#define CYREG_LUT_WRK1 0x40005b91u +#define CYREG_LUT_MSK 0x40005b92u +#define CYREG_LUT_CLK 0x40005b93u +#define CYREG_LUT_CPTR 0x40005b94u +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002u +#define CYREG_CMP_WRK 0x40005b96u +#define CYREG_CMP_TST 0x40005b97u +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005u +#define CYREG_SC_SR 0x40005b98u +#define CYREG_SC_WRK1 0x40005b99u +#define CYREG_SC_MSK 0x40005b9au +#define CYREG_SC_CMPINV 0x40005b9bu +#define CYREG_SC_CPTR 0x40005b9cu +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002u +#define CYREG_SAR0_WRK0 0x40005ba0u +#define CYREG_SAR0_WRK1 0x40005ba1u +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002u +#define CYREG_SAR1_WRK0 0x40005ba2u +#define CYREG_SAR1_WRK1 0x40005ba3u +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8u +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001u +#define CYREG_ANAIF_WRK_SARS_SOF 0x40005ba8u +#define CYDEV_USB_BASE 0x40006000u +#define CYDEV_USB_SIZE 0x00000300u +#define CYREG_USB_EP0_DR0 0x40006000u +#define CYREG_USB_EP0_DR1 0x40006001u +#define CYREG_USB_EP0_DR2 0x40006002u +#define CYREG_USB_EP0_DR3 0x40006003u +#define CYREG_USB_EP0_DR4 0x40006004u +#define CYREG_USB_EP0_DR5 0x40006005u +#define CYREG_USB_EP0_DR6 0x40006006u +#define CYREG_USB_EP0_DR7 0x40006007u +#define CYREG_USB_CR0 0x40006008u +#define CYREG_USB_CR1 0x40006009u +#define CYREG_USB_SIE_EP_INT_EN 0x4000600au +#define CYREG_USB_SIE_EP_INT_SR 0x4000600bu +#define CYDEV_USB_SIE_EP1_BASE 0x4000600cu +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003u +#define CYREG_USB_SIE_EP1_CNT0 0x4000600cu +#define CYREG_USB_SIE_EP1_CNT1 0x4000600du +#define CYREG_USB_SIE_EP1_CR0 0x4000600eu +#define CYREG_USB_USBIO_CR0 0x40006010u +#define CYREG_USB_USBIO_CR1 0x40006012u +#define CYREG_USB_DYN_RECONFIG 0x40006014u +#define CYREG_USB_SOF0 0x40006018u +#define CYREG_USB_SOF1 0x40006019u +#define CYDEV_USB_SIE_EP2_BASE 0x4000601cu +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003u +#define CYREG_USB_SIE_EP2_CNT0 0x4000601cu +#define CYREG_USB_SIE_EP2_CNT1 0x4000601du +#define CYREG_USB_SIE_EP2_CR0 0x4000601eu +#define CYREG_USB_EP0_CR 0x40006028u +#define CYREG_USB_EP0_CNT 0x40006029u +#define CYDEV_USB_SIE_EP3_BASE 0x4000602cu +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003u +#define CYREG_USB_SIE_EP3_CNT0 0x4000602cu +#define CYREG_USB_SIE_EP3_CNT1 0x4000602du +#define CYREG_USB_SIE_EP3_CR0 0x4000602eu +#define CYDEV_USB_SIE_EP4_BASE 0x4000603cu +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003u +#define CYREG_USB_SIE_EP4_CNT0 0x4000603cu +#define CYREG_USB_SIE_EP4_CNT1 0x4000603du +#define CYREG_USB_SIE_EP4_CR0 0x4000603eu +#define CYDEV_USB_SIE_EP5_BASE 0x4000604cu +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003u +#define CYREG_USB_SIE_EP5_CNT0 0x4000604cu +#define CYREG_USB_SIE_EP5_CNT1 0x4000604du +#define CYREG_USB_SIE_EP5_CR0 0x4000604eu +#define CYDEV_USB_SIE_EP6_BASE 0x4000605cu +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003u +#define CYREG_USB_SIE_EP6_CNT0 0x4000605cu +#define CYREG_USB_SIE_EP6_CNT1 0x4000605du +#define CYREG_USB_SIE_EP6_CR0 0x4000605eu +#define CYDEV_USB_SIE_EP7_BASE 0x4000606cu +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003u +#define CYREG_USB_SIE_EP7_CNT0 0x4000606cu +#define CYREG_USB_SIE_EP7_CNT1 0x4000606du +#define CYREG_USB_SIE_EP7_CR0 0x4000606eu +#define CYDEV_USB_SIE_EP8_BASE 0x4000607cu +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003u +#define CYREG_USB_SIE_EP8_CNT0 0x4000607cu +#define CYREG_USB_SIE_EP8_CNT1 0x4000607du +#define CYREG_USB_SIE_EP8_CR0 0x4000607eu +#define CYDEV_USB_ARB_EP1_BASE 0x40006080u +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003u +#define CYREG_USB_ARB_EP1_CFG 0x40006080u +#define CYREG_USB_ARB_EP1_INT_EN 0x40006081u +#define CYREG_USB_ARB_EP1_SR 0x40006082u +#define CYDEV_USB_ARB_RW1_BASE 0x40006084u +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005u +#define CYREG_USB_ARB_RW1_WA 0x40006084u +#define CYREG_USB_ARB_RW1_WA_MSB 0x40006085u +#define CYREG_USB_ARB_RW1_RA 0x40006086u +#define CYREG_USB_ARB_RW1_RA_MSB 0x40006087u +#define CYREG_USB_ARB_RW1_DR 0x40006088u +#define CYREG_USB_BUF_SIZE 0x4000608cu +#define CYREG_USB_EP_ACTIVE 0x4000608eu +#define CYREG_USB_EP_TYPE 0x4000608fu +#define CYDEV_USB_ARB_EP2_BASE 0x40006090u +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003u +#define CYREG_USB_ARB_EP2_CFG 0x40006090u +#define CYREG_USB_ARB_EP2_INT_EN 0x40006091u +#define CYREG_USB_ARB_EP2_SR 0x40006092u +#define CYDEV_USB_ARB_RW2_BASE 0x40006094u +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005u +#define CYREG_USB_ARB_RW2_WA 0x40006094u +#define CYREG_USB_ARB_RW2_WA_MSB 0x40006095u +#define CYREG_USB_ARB_RW2_RA 0x40006096u +#define CYREG_USB_ARB_RW2_RA_MSB 0x40006097u +#define CYREG_USB_ARB_RW2_DR 0x40006098u +#define CYREG_USB_ARB_CFG 0x4000609cu +#define CYREG_USB_USB_CLK_EN 0x4000609du +#define CYREG_USB_ARB_INT_EN 0x4000609eu +#define CYREG_USB_ARB_INT_SR 0x4000609fu +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0u +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003u +#define CYREG_USB_ARB_EP3_CFG 0x400060a0u +#define CYREG_USB_ARB_EP3_INT_EN 0x400060a1u +#define CYREG_USB_ARB_EP3_SR 0x400060a2u +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4u +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005u +#define CYREG_USB_ARB_RW3_WA 0x400060a4u +#define CYREG_USB_ARB_RW3_WA_MSB 0x400060a5u +#define CYREG_USB_ARB_RW3_RA 0x400060a6u +#define CYREG_USB_ARB_RW3_RA_MSB 0x400060a7u +#define CYREG_USB_ARB_RW3_DR 0x400060a8u +#define CYREG_USB_CWA 0x400060acu +#define CYREG_USB_CWA_MSB 0x400060adu +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0u +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003u +#define CYREG_USB_ARB_EP4_CFG 0x400060b0u +#define CYREG_USB_ARB_EP4_INT_EN 0x400060b1u +#define CYREG_USB_ARB_EP4_SR 0x400060b2u +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4u +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005u +#define CYREG_USB_ARB_RW4_WA 0x400060b4u +#define CYREG_USB_ARB_RW4_WA_MSB 0x400060b5u +#define CYREG_USB_ARB_RW4_RA 0x400060b6u +#define CYREG_USB_ARB_RW4_RA_MSB 0x400060b7u +#define CYREG_USB_ARB_RW4_DR 0x400060b8u +#define CYREG_USB_DMA_THRES 0x400060bcu +#define CYREG_USB_DMA_THRES_MSB 0x400060bdu +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0u +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003u +#define CYREG_USB_ARB_EP5_CFG 0x400060c0u +#define CYREG_USB_ARB_EP5_INT_EN 0x400060c1u +#define CYREG_USB_ARB_EP5_SR 0x400060c2u +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4u +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005u +#define CYREG_USB_ARB_RW5_WA 0x400060c4u +#define CYREG_USB_ARB_RW5_WA_MSB 0x400060c5u +#define CYREG_USB_ARB_RW5_RA 0x400060c6u +#define CYREG_USB_ARB_RW5_RA_MSB 0x400060c7u +#define CYREG_USB_ARB_RW5_DR 0x400060c8u +#define CYREG_USB_BUS_RST_CNT 0x400060ccu +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0u +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003u +#define CYREG_USB_ARB_EP6_CFG 0x400060d0u +#define CYREG_USB_ARB_EP6_INT_EN 0x400060d1u +#define CYREG_USB_ARB_EP6_SR 0x400060d2u +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4u +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005u +#define CYREG_USB_ARB_RW6_WA 0x400060d4u +#define CYREG_USB_ARB_RW6_WA_MSB 0x400060d5u +#define CYREG_USB_ARB_RW6_RA 0x400060d6u +#define CYREG_USB_ARB_RW6_RA_MSB 0x400060d7u +#define CYREG_USB_ARB_RW6_DR 0x400060d8u +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0u +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003u +#define CYREG_USB_ARB_EP7_CFG 0x400060e0u +#define CYREG_USB_ARB_EP7_INT_EN 0x400060e1u +#define CYREG_USB_ARB_EP7_SR 0x400060e2u +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4u +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005u +#define CYREG_USB_ARB_RW7_WA 0x400060e4u +#define CYREG_USB_ARB_RW7_WA_MSB 0x400060e5u +#define CYREG_USB_ARB_RW7_RA 0x400060e6u +#define CYREG_USB_ARB_RW7_RA_MSB 0x400060e7u +#define CYREG_USB_ARB_RW7_DR 0x400060e8u +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0u +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003u +#define CYREG_USB_ARB_EP8_CFG 0x400060f0u +#define CYREG_USB_ARB_EP8_INT_EN 0x400060f1u +#define CYREG_USB_ARB_EP8_SR 0x400060f2u +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4u +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005u +#define CYREG_USB_ARB_RW8_WA 0x400060f4u +#define CYREG_USB_ARB_RW8_WA_MSB 0x400060f5u +#define CYREG_USB_ARB_RW8_RA 0x400060f6u +#define CYREG_USB_ARB_RW8_RA_MSB 0x400060f7u +#define CYREG_USB_ARB_RW8_DR 0x400060f8u +#define CYDEV_USB_MEM_BASE 0x40006100u +#define CYDEV_USB_MEM_SIZE 0x00000200u +#define CYREG_USB_MEM_DATA_MBASE 0x40006100u +#define CYREG_USB_MEM_DATA_MSIZE 0x00000200u +#define CYDEV_UWRK_BASE 0x40006400u +#define CYDEV_UWRK_SIZE 0x00000b60u +#define CYDEV_UWRK_UWRK8_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0u +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0u +#define CYREG_B0_UDB00_A0 0x40006400u +#define CYREG_B0_UDB01_A0 0x40006401u +#define CYREG_B0_UDB02_A0 0x40006402u +#define CYREG_B0_UDB03_A0 0x40006403u +#define CYREG_B0_UDB04_A0 0x40006404u +#define CYREG_B0_UDB05_A0 0x40006405u +#define CYREG_B0_UDB06_A0 0x40006406u +#define CYREG_B0_UDB07_A0 0x40006407u +#define CYREG_B0_UDB08_A0 0x40006408u +#define CYREG_B0_UDB09_A0 0x40006409u +#define CYREG_B0_UDB10_A0 0x4000640au +#define CYREG_B0_UDB11_A0 0x4000640bu +#define CYREG_B0_UDB12_A0 0x4000640cu +#define CYREG_B0_UDB13_A0 0x4000640du +#define CYREG_B0_UDB14_A0 0x4000640eu +#define CYREG_B0_UDB15_A0 0x4000640fu +#define CYREG_B0_UDB00_A1 0x40006410u +#define CYREG_B0_UDB01_A1 0x40006411u +#define CYREG_B0_UDB02_A1 0x40006412u +#define CYREG_B0_UDB03_A1 0x40006413u +#define CYREG_B0_UDB04_A1 0x40006414u +#define CYREG_B0_UDB05_A1 0x40006415u +#define CYREG_B0_UDB06_A1 0x40006416u +#define CYREG_B0_UDB07_A1 0x40006417u +#define CYREG_B0_UDB08_A1 0x40006418u +#define CYREG_B0_UDB09_A1 0x40006419u +#define CYREG_B0_UDB10_A1 0x4000641au +#define CYREG_B0_UDB11_A1 0x4000641bu +#define CYREG_B0_UDB12_A1 0x4000641cu +#define CYREG_B0_UDB13_A1 0x4000641du +#define CYREG_B0_UDB14_A1 0x4000641eu +#define CYREG_B0_UDB15_A1 0x4000641fu +#define CYREG_B0_UDB00_D0 0x40006420u +#define CYREG_B0_UDB01_D0 0x40006421u +#define CYREG_B0_UDB02_D0 0x40006422u +#define CYREG_B0_UDB03_D0 0x40006423u +#define CYREG_B0_UDB04_D0 0x40006424u +#define CYREG_B0_UDB05_D0 0x40006425u +#define CYREG_B0_UDB06_D0 0x40006426u +#define CYREG_B0_UDB07_D0 0x40006427u +#define CYREG_B0_UDB08_D0 0x40006428u +#define CYREG_B0_UDB09_D0 0x40006429u +#define CYREG_B0_UDB10_D0 0x4000642au +#define CYREG_B0_UDB11_D0 0x4000642bu +#define CYREG_B0_UDB12_D0 0x4000642cu +#define CYREG_B0_UDB13_D0 0x4000642du +#define CYREG_B0_UDB14_D0 0x4000642eu +#define CYREG_B0_UDB15_D0 0x4000642fu +#define CYREG_B0_UDB00_D1 0x40006430u +#define CYREG_B0_UDB01_D1 0x40006431u +#define CYREG_B0_UDB02_D1 0x40006432u +#define CYREG_B0_UDB03_D1 0x40006433u +#define CYREG_B0_UDB04_D1 0x40006434u +#define CYREG_B0_UDB05_D1 0x40006435u +#define CYREG_B0_UDB06_D1 0x40006436u +#define CYREG_B0_UDB07_D1 0x40006437u +#define CYREG_B0_UDB08_D1 0x40006438u +#define CYREG_B0_UDB09_D1 0x40006439u +#define CYREG_B0_UDB10_D1 0x4000643au +#define CYREG_B0_UDB11_D1 0x4000643bu +#define CYREG_B0_UDB12_D1 0x4000643cu +#define CYREG_B0_UDB13_D1 0x4000643du +#define CYREG_B0_UDB14_D1 0x4000643eu +#define CYREG_B0_UDB15_D1 0x4000643fu +#define CYREG_B0_UDB00_F0 0x40006440u +#define CYREG_B0_UDB01_F0 0x40006441u +#define CYREG_B0_UDB02_F0 0x40006442u +#define CYREG_B0_UDB03_F0 0x40006443u +#define CYREG_B0_UDB04_F0 0x40006444u +#define CYREG_B0_UDB05_F0 0x40006445u +#define CYREG_B0_UDB06_F0 0x40006446u +#define CYREG_B0_UDB07_F0 0x40006447u +#define CYREG_B0_UDB08_F0 0x40006448u +#define CYREG_B0_UDB09_F0 0x40006449u +#define CYREG_B0_UDB10_F0 0x4000644au +#define CYREG_B0_UDB11_F0 0x4000644bu +#define CYREG_B0_UDB12_F0 0x4000644cu +#define CYREG_B0_UDB13_F0 0x4000644du +#define CYREG_B0_UDB14_F0 0x4000644eu +#define CYREG_B0_UDB15_F0 0x4000644fu +#define CYREG_B0_UDB00_F1 0x40006450u +#define CYREG_B0_UDB01_F1 0x40006451u +#define CYREG_B0_UDB02_F1 0x40006452u +#define CYREG_B0_UDB03_F1 0x40006453u +#define CYREG_B0_UDB04_F1 0x40006454u +#define CYREG_B0_UDB05_F1 0x40006455u +#define CYREG_B0_UDB06_F1 0x40006456u +#define CYREG_B0_UDB07_F1 0x40006457u +#define CYREG_B0_UDB08_F1 0x40006458u +#define CYREG_B0_UDB09_F1 0x40006459u +#define CYREG_B0_UDB10_F1 0x4000645au +#define CYREG_B0_UDB11_F1 0x4000645bu +#define CYREG_B0_UDB12_F1 0x4000645cu +#define CYREG_B0_UDB13_F1 0x4000645du +#define CYREG_B0_UDB14_F1 0x4000645eu +#define CYREG_B0_UDB15_F1 0x4000645fu +#define CYREG_B0_UDB00_ST 0x40006460u +#define CYREG_B0_UDB01_ST 0x40006461u +#define CYREG_B0_UDB02_ST 0x40006462u +#define CYREG_B0_UDB03_ST 0x40006463u +#define CYREG_B0_UDB04_ST 0x40006464u +#define CYREG_B0_UDB05_ST 0x40006465u +#define CYREG_B0_UDB06_ST 0x40006466u +#define CYREG_B0_UDB07_ST 0x40006467u +#define CYREG_B0_UDB08_ST 0x40006468u +#define CYREG_B0_UDB09_ST 0x40006469u +#define CYREG_B0_UDB10_ST 0x4000646au +#define CYREG_B0_UDB11_ST 0x4000646bu +#define CYREG_B0_UDB12_ST 0x4000646cu +#define CYREG_B0_UDB13_ST 0x4000646du +#define CYREG_B0_UDB14_ST 0x4000646eu +#define CYREG_B0_UDB15_ST 0x4000646fu +#define CYREG_B0_UDB00_CTL 0x40006470u +#define CYREG_B0_UDB01_CTL 0x40006471u +#define CYREG_B0_UDB02_CTL 0x40006472u +#define CYREG_B0_UDB03_CTL 0x40006473u +#define CYREG_B0_UDB04_CTL 0x40006474u +#define CYREG_B0_UDB05_CTL 0x40006475u +#define CYREG_B0_UDB06_CTL 0x40006476u +#define CYREG_B0_UDB07_CTL 0x40006477u +#define CYREG_B0_UDB08_CTL 0x40006478u +#define CYREG_B0_UDB09_CTL 0x40006479u +#define CYREG_B0_UDB10_CTL 0x4000647au +#define CYREG_B0_UDB11_CTL 0x4000647bu +#define CYREG_B0_UDB12_CTL 0x4000647cu +#define CYREG_B0_UDB13_CTL 0x4000647du +#define CYREG_B0_UDB14_CTL 0x4000647eu +#define CYREG_B0_UDB15_CTL 0x4000647fu +#define CYREG_B0_UDB00_MSK 0x40006480u +#define CYREG_B0_UDB01_MSK 0x40006481u +#define CYREG_B0_UDB02_MSK 0x40006482u +#define CYREG_B0_UDB03_MSK 0x40006483u +#define CYREG_B0_UDB04_MSK 0x40006484u +#define CYREG_B0_UDB05_MSK 0x40006485u +#define CYREG_B0_UDB06_MSK 0x40006486u +#define CYREG_B0_UDB07_MSK 0x40006487u +#define CYREG_B0_UDB08_MSK 0x40006488u +#define CYREG_B0_UDB09_MSK 0x40006489u +#define CYREG_B0_UDB10_MSK 0x4000648au +#define CYREG_B0_UDB11_MSK 0x4000648bu +#define CYREG_B0_UDB12_MSK 0x4000648cu +#define CYREG_B0_UDB13_MSK 0x4000648du +#define CYREG_B0_UDB14_MSK 0x4000648eu +#define CYREG_B0_UDB15_MSK 0x4000648fu +#define CYREG_B0_UDB00_ACTL 0x40006490u +#define CYREG_B0_UDB01_ACTL 0x40006491u +#define CYREG_B0_UDB02_ACTL 0x40006492u +#define CYREG_B0_UDB03_ACTL 0x40006493u +#define CYREG_B0_UDB04_ACTL 0x40006494u +#define CYREG_B0_UDB05_ACTL 0x40006495u +#define CYREG_B0_UDB06_ACTL 0x40006496u +#define CYREG_B0_UDB07_ACTL 0x40006497u +#define CYREG_B0_UDB08_ACTL 0x40006498u +#define CYREG_B0_UDB09_ACTL 0x40006499u +#define CYREG_B0_UDB10_ACTL 0x4000649au +#define CYREG_B0_UDB11_ACTL 0x4000649bu +#define CYREG_B0_UDB12_ACTL 0x4000649cu +#define CYREG_B0_UDB13_ACTL 0x4000649du +#define CYREG_B0_UDB14_ACTL 0x4000649eu +#define CYREG_B0_UDB15_ACTL 0x4000649fu +#define CYREG_B0_UDB00_MC 0x400064a0u +#define CYREG_B0_UDB01_MC 0x400064a1u +#define CYREG_B0_UDB02_MC 0x400064a2u +#define CYREG_B0_UDB03_MC 0x400064a3u +#define CYREG_B0_UDB04_MC 0x400064a4u +#define CYREG_B0_UDB05_MC 0x400064a5u +#define CYREG_B0_UDB06_MC 0x400064a6u +#define CYREG_B0_UDB07_MC 0x400064a7u +#define CYREG_B0_UDB08_MC 0x400064a8u +#define CYREG_B0_UDB09_MC 0x400064a9u +#define CYREG_B0_UDB10_MC 0x400064aau +#define CYREG_B0_UDB11_MC 0x400064abu +#define CYREG_B0_UDB12_MC 0x400064acu +#define CYREG_B0_UDB13_MC 0x400064adu +#define CYREG_B0_UDB14_MC 0x400064aeu +#define CYREG_B0_UDB15_MC 0x400064afu +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500u +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0u +#define CYREG_B1_UDB04_A0 0x40006504u +#define CYREG_B1_UDB05_A0 0x40006505u +#define CYREG_B1_UDB06_A0 0x40006506u +#define CYREG_B1_UDB07_A0 0x40006507u +#define CYREG_B1_UDB08_A0 0x40006508u +#define CYREG_B1_UDB09_A0 0x40006509u +#define CYREG_B1_UDB10_A0 0x4000650au +#define CYREG_B1_UDB11_A0 0x4000650bu +#define CYREG_B1_UDB04_A1 0x40006514u +#define CYREG_B1_UDB05_A1 0x40006515u +#define CYREG_B1_UDB06_A1 0x40006516u +#define CYREG_B1_UDB07_A1 0x40006517u +#define CYREG_B1_UDB08_A1 0x40006518u +#define CYREG_B1_UDB09_A1 0x40006519u +#define CYREG_B1_UDB10_A1 0x4000651au +#define CYREG_B1_UDB11_A1 0x4000651bu +#define CYREG_B1_UDB04_D0 0x40006524u +#define CYREG_B1_UDB05_D0 0x40006525u +#define CYREG_B1_UDB06_D0 0x40006526u +#define CYREG_B1_UDB07_D0 0x40006527u +#define CYREG_B1_UDB08_D0 0x40006528u +#define CYREG_B1_UDB09_D0 0x40006529u +#define CYREG_B1_UDB10_D0 0x4000652au +#define CYREG_B1_UDB11_D0 0x4000652bu +#define CYREG_B1_UDB04_D1 0x40006534u +#define CYREG_B1_UDB05_D1 0x40006535u +#define CYREG_B1_UDB06_D1 0x40006536u +#define CYREG_B1_UDB07_D1 0x40006537u +#define CYREG_B1_UDB08_D1 0x40006538u +#define CYREG_B1_UDB09_D1 0x40006539u +#define CYREG_B1_UDB10_D1 0x4000653au +#define CYREG_B1_UDB11_D1 0x4000653bu +#define CYREG_B1_UDB04_F0 0x40006544u +#define CYREG_B1_UDB05_F0 0x40006545u +#define CYREG_B1_UDB06_F0 0x40006546u +#define CYREG_B1_UDB07_F0 0x40006547u +#define CYREG_B1_UDB08_F0 0x40006548u +#define CYREG_B1_UDB09_F0 0x40006549u +#define CYREG_B1_UDB10_F0 0x4000654au +#define CYREG_B1_UDB11_F0 0x4000654bu +#define CYREG_B1_UDB04_F1 0x40006554u +#define CYREG_B1_UDB05_F1 0x40006555u +#define CYREG_B1_UDB06_F1 0x40006556u +#define CYREG_B1_UDB07_F1 0x40006557u +#define CYREG_B1_UDB08_F1 0x40006558u +#define CYREG_B1_UDB09_F1 0x40006559u +#define CYREG_B1_UDB10_F1 0x4000655au +#define CYREG_B1_UDB11_F1 0x4000655bu +#define CYREG_B1_UDB04_ST 0x40006564u +#define CYREG_B1_UDB05_ST 0x40006565u +#define CYREG_B1_UDB06_ST 0x40006566u +#define CYREG_B1_UDB07_ST 0x40006567u +#define CYREG_B1_UDB08_ST 0x40006568u +#define CYREG_B1_UDB09_ST 0x40006569u +#define CYREG_B1_UDB10_ST 0x4000656au +#define CYREG_B1_UDB11_ST 0x4000656bu +#define CYREG_B1_UDB04_CTL 0x40006574u +#define CYREG_B1_UDB05_CTL 0x40006575u +#define CYREG_B1_UDB06_CTL 0x40006576u +#define CYREG_B1_UDB07_CTL 0x40006577u +#define CYREG_B1_UDB08_CTL 0x40006578u +#define CYREG_B1_UDB09_CTL 0x40006579u +#define CYREG_B1_UDB10_CTL 0x4000657au +#define CYREG_B1_UDB11_CTL 0x4000657bu +#define CYREG_B1_UDB04_MSK 0x40006584u +#define CYREG_B1_UDB05_MSK 0x40006585u +#define CYREG_B1_UDB06_MSK 0x40006586u +#define CYREG_B1_UDB07_MSK 0x40006587u +#define CYREG_B1_UDB08_MSK 0x40006588u +#define CYREG_B1_UDB09_MSK 0x40006589u +#define CYREG_B1_UDB10_MSK 0x4000658au +#define CYREG_B1_UDB11_MSK 0x4000658bu +#define CYREG_B1_UDB04_ACTL 0x40006594u +#define CYREG_B1_UDB05_ACTL 0x40006595u +#define CYREG_B1_UDB06_ACTL 0x40006596u +#define CYREG_B1_UDB07_ACTL 0x40006597u +#define CYREG_B1_UDB08_ACTL 0x40006598u +#define CYREG_B1_UDB09_ACTL 0x40006599u +#define CYREG_B1_UDB10_ACTL 0x4000659au +#define CYREG_B1_UDB11_ACTL 0x4000659bu +#define CYREG_B1_UDB04_MC 0x400065a4u +#define CYREG_B1_UDB05_MC 0x400065a5u +#define CYREG_B1_UDB06_MC 0x400065a6u +#define CYREG_B1_UDB07_MC 0x400065a7u +#define CYREG_B1_UDB08_MC 0x400065a8u +#define CYREG_B1_UDB09_MC 0x400065a9u +#define CYREG_B1_UDB10_MC 0x400065aau +#define CYREG_B1_UDB11_MC 0x400065abu +#define CYDEV_UWRK_UWRK16_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160u +#define CYREG_B0_UDB00_A0_A1 0x40006800u +#define CYREG_B0_UDB01_A0_A1 0x40006802u +#define CYREG_B0_UDB02_A0_A1 0x40006804u +#define CYREG_B0_UDB03_A0_A1 0x40006806u +#define CYREG_B0_UDB04_A0_A1 0x40006808u +#define CYREG_B0_UDB05_A0_A1 0x4000680au +#define CYREG_B0_UDB06_A0_A1 0x4000680cu +#define CYREG_B0_UDB07_A0_A1 0x4000680eu +#define CYREG_B0_UDB08_A0_A1 0x40006810u +#define CYREG_B0_UDB09_A0_A1 0x40006812u +#define CYREG_B0_UDB10_A0_A1 0x40006814u +#define CYREG_B0_UDB11_A0_A1 0x40006816u +#define CYREG_B0_UDB12_A0_A1 0x40006818u +#define CYREG_B0_UDB13_A0_A1 0x4000681au +#define CYREG_B0_UDB14_A0_A1 0x4000681cu +#define CYREG_B0_UDB15_A0_A1 0x4000681eu +#define CYREG_B0_UDB00_D0_D1 0x40006840u +#define CYREG_B0_UDB01_D0_D1 0x40006842u +#define CYREG_B0_UDB02_D0_D1 0x40006844u +#define CYREG_B0_UDB03_D0_D1 0x40006846u +#define CYREG_B0_UDB04_D0_D1 0x40006848u +#define CYREG_B0_UDB05_D0_D1 0x4000684au +#define CYREG_B0_UDB06_D0_D1 0x4000684cu +#define CYREG_B0_UDB07_D0_D1 0x4000684eu +#define CYREG_B0_UDB08_D0_D1 0x40006850u +#define CYREG_B0_UDB09_D0_D1 0x40006852u +#define CYREG_B0_UDB10_D0_D1 0x40006854u +#define CYREG_B0_UDB11_D0_D1 0x40006856u +#define CYREG_B0_UDB12_D0_D1 0x40006858u +#define CYREG_B0_UDB13_D0_D1 0x4000685au +#define CYREG_B0_UDB14_D0_D1 0x4000685cu +#define CYREG_B0_UDB15_D0_D1 0x4000685eu +#define CYREG_B0_UDB00_F0_F1 0x40006880u +#define CYREG_B0_UDB01_F0_F1 0x40006882u +#define CYREG_B0_UDB02_F0_F1 0x40006884u +#define CYREG_B0_UDB03_F0_F1 0x40006886u +#define CYREG_B0_UDB04_F0_F1 0x40006888u +#define CYREG_B0_UDB05_F0_F1 0x4000688au +#define CYREG_B0_UDB06_F0_F1 0x4000688cu +#define CYREG_B0_UDB07_F0_F1 0x4000688eu +#define CYREG_B0_UDB08_F0_F1 0x40006890u +#define CYREG_B0_UDB09_F0_F1 0x40006892u +#define CYREG_B0_UDB10_F0_F1 0x40006894u +#define CYREG_B0_UDB11_F0_F1 0x40006896u +#define CYREG_B0_UDB12_F0_F1 0x40006898u +#define CYREG_B0_UDB13_F0_F1 0x4000689au +#define CYREG_B0_UDB14_F0_F1 0x4000689cu +#define CYREG_B0_UDB15_F0_F1 0x4000689eu +#define CYREG_B0_UDB00_ST_CTL 0x400068c0u +#define CYREG_B0_UDB01_ST_CTL 0x400068c2u +#define CYREG_B0_UDB02_ST_CTL 0x400068c4u +#define CYREG_B0_UDB03_ST_CTL 0x400068c6u +#define CYREG_B0_UDB04_ST_CTL 0x400068c8u +#define CYREG_B0_UDB05_ST_CTL 0x400068cau +#define CYREG_B0_UDB06_ST_CTL 0x400068ccu +#define CYREG_B0_UDB07_ST_CTL 0x400068ceu +#define CYREG_B0_UDB08_ST_CTL 0x400068d0u +#define CYREG_B0_UDB09_ST_CTL 0x400068d2u +#define CYREG_B0_UDB10_ST_CTL 0x400068d4u +#define CYREG_B0_UDB11_ST_CTL 0x400068d6u +#define CYREG_B0_UDB12_ST_CTL 0x400068d8u +#define CYREG_B0_UDB13_ST_CTL 0x400068dau +#define CYREG_B0_UDB14_ST_CTL 0x400068dcu +#define CYREG_B0_UDB15_ST_CTL 0x400068deu +#define CYREG_B0_UDB00_MSK_ACTL 0x40006900u +#define CYREG_B0_UDB01_MSK_ACTL 0x40006902u +#define CYREG_B0_UDB02_MSK_ACTL 0x40006904u +#define CYREG_B0_UDB03_MSK_ACTL 0x40006906u +#define CYREG_B0_UDB04_MSK_ACTL 0x40006908u +#define CYREG_B0_UDB05_MSK_ACTL 0x4000690au +#define CYREG_B0_UDB06_MSK_ACTL 0x4000690cu +#define CYREG_B0_UDB07_MSK_ACTL 0x4000690eu +#define CYREG_B0_UDB08_MSK_ACTL 0x40006910u +#define CYREG_B0_UDB09_MSK_ACTL 0x40006912u +#define CYREG_B0_UDB10_MSK_ACTL 0x40006914u +#define CYREG_B0_UDB11_MSK_ACTL 0x40006916u +#define CYREG_B0_UDB12_MSK_ACTL 0x40006918u +#define CYREG_B0_UDB13_MSK_ACTL 0x4000691au +#define CYREG_B0_UDB14_MSK_ACTL 0x4000691cu +#define CYREG_B0_UDB15_MSK_ACTL 0x4000691eu +#define CYREG_B0_UDB00_MC_00 0x40006940u +#define CYREG_B0_UDB01_MC_00 0x40006942u +#define CYREG_B0_UDB02_MC_00 0x40006944u +#define CYREG_B0_UDB03_MC_00 0x40006946u +#define CYREG_B0_UDB04_MC_00 0x40006948u +#define CYREG_B0_UDB05_MC_00 0x4000694au +#define CYREG_B0_UDB06_MC_00 0x4000694cu +#define CYREG_B0_UDB07_MC_00 0x4000694eu +#define CYREG_B0_UDB08_MC_00 0x40006950u +#define CYREG_B0_UDB09_MC_00 0x40006952u +#define CYREG_B0_UDB10_MC_00 0x40006954u +#define CYREG_B0_UDB11_MC_00 0x40006956u +#define CYREG_B0_UDB12_MC_00 0x40006958u +#define CYREG_B0_UDB13_MC_00 0x4000695au +#define CYREG_B0_UDB14_MC_00 0x4000695cu +#define CYREG_B0_UDB15_MC_00 0x4000695eu +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160u +#define CYREG_B1_UDB04_A0_A1 0x40006a08u +#define CYREG_B1_UDB05_A0_A1 0x40006a0au +#define CYREG_B1_UDB06_A0_A1 0x40006a0cu +#define CYREG_B1_UDB07_A0_A1 0x40006a0eu +#define CYREG_B1_UDB08_A0_A1 0x40006a10u +#define CYREG_B1_UDB09_A0_A1 0x40006a12u +#define CYREG_B1_UDB10_A0_A1 0x40006a14u +#define CYREG_B1_UDB11_A0_A1 0x40006a16u +#define CYREG_B1_UDB04_D0_D1 0x40006a48u +#define CYREG_B1_UDB05_D0_D1 0x40006a4au +#define CYREG_B1_UDB06_D0_D1 0x40006a4cu +#define CYREG_B1_UDB07_D0_D1 0x40006a4eu +#define CYREG_B1_UDB08_D0_D1 0x40006a50u +#define CYREG_B1_UDB09_D0_D1 0x40006a52u +#define CYREG_B1_UDB10_D0_D1 0x40006a54u +#define CYREG_B1_UDB11_D0_D1 0x40006a56u +#define CYREG_B1_UDB04_F0_F1 0x40006a88u +#define CYREG_B1_UDB05_F0_F1 0x40006a8au +#define CYREG_B1_UDB06_F0_F1 0x40006a8cu +#define CYREG_B1_UDB07_F0_F1 0x40006a8eu +#define CYREG_B1_UDB08_F0_F1 0x40006a90u +#define CYREG_B1_UDB09_F0_F1 0x40006a92u +#define CYREG_B1_UDB10_F0_F1 0x40006a94u +#define CYREG_B1_UDB11_F0_F1 0x40006a96u +#define CYREG_B1_UDB04_ST_CTL 0x40006ac8u +#define CYREG_B1_UDB05_ST_CTL 0x40006acau +#define CYREG_B1_UDB06_ST_CTL 0x40006accu +#define CYREG_B1_UDB07_ST_CTL 0x40006aceu +#define CYREG_B1_UDB08_ST_CTL 0x40006ad0u +#define CYREG_B1_UDB09_ST_CTL 0x40006ad2u +#define CYREG_B1_UDB10_ST_CTL 0x40006ad4u +#define CYREG_B1_UDB11_ST_CTL 0x40006ad6u +#define CYREG_B1_UDB04_MSK_ACTL 0x40006b08u +#define CYREG_B1_UDB05_MSK_ACTL 0x40006b0au +#define CYREG_B1_UDB06_MSK_ACTL 0x40006b0cu +#define CYREG_B1_UDB07_MSK_ACTL 0x40006b0eu +#define CYREG_B1_UDB08_MSK_ACTL 0x40006b10u +#define CYREG_B1_UDB09_MSK_ACTL 0x40006b12u +#define CYREG_B1_UDB10_MSK_ACTL 0x40006b14u +#define CYREG_B1_UDB11_MSK_ACTL 0x40006b16u +#define CYREG_B1_UDB04_MC_00 0x40006b48u +#define CYREG_B1_UDB05_MC_00 0x40006b4au +#define CYREG_B1_UDB06_MC_00 0x40006b4cu +#define CYREG_B1_UDB07_MC_00 0x40006b4eu +#define CYREG_B1_UDB08_MC_00 0x40006b50u +#define CYREG_B1_UDB09_MC_00 0x40006b52u +#define CYREG_B1_UDB10_MC_00 0x40006b54u +#define CYREG_B1_UDB11_MC_00 0x40006b56u +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075eu +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015eu +#define CYREG_B0_UDB00_01_A0 0x40006800u +#define CYREG_B0_UDB01_02_A0 0x40006802u +#define CYREG_B0_UDB02_03_A0 0x40006804u +#define CYREG_B0_UDB03_04_A0 0x40006806u +#define CYREG_B0_UDB04_05_A0 0x40006808u +#define CYREG_B0_UDB05_06_A0 0x4000680au +#define CYREG_B0_UDB06_07_A0 0x4000680cu +#define CYREG_B0_UDB07_08_A0 0x4000680eu +#define CYREG_B0_UDB08_09_A0 0x40006810u +#define CYREG_B0_UDB09_10_A0 0x40006812u +#define CYREG_B0_UDB10_11_A0 0x40006814u +#define CYREG_B0_UDB11_12_A0 0x40006816u +#define CYREG_B0_UDB12_13_A0 0x40006818u +#define CYREG_B0_UDB13_14_A0 0x4000681au +#define CYREG_B0_UDB14_15_A0 0x4000681cu +#define CYREG_B0_UDB00_01_A1 0x40006820u +#define CYREG_B0_UDB01_02_A1 0x40006822u +#define CYREG_B0_UDB02_03_A1 0x40006824u +#define CYREG_B0_UDB03_04_A1 0x40006826u +#define CYREG_B0_UDB04_05_A1 0x40006828u +#define CYREG_B0_UDB05_06_A1 0x4000682au +#define CYREG_B0_UDB06_07_A1 0x4000682cu +#define CYREG_B0_UDB07_08_A1 0x4000682eu +#define CYREG_B0_UDB08_09_A1 0x40006830u +#define CYREG_B0_UDB09_10_A1 0x40006832u +#define CYREG_B0_UDB10_11_A1 0x40006834u +#define CYREG_B0_UDB11_12_A1 0x40006836u +#define CYREG_B0_UDB12_13_A1 0x40006838u +#define CYREG_B0_UDB13_14_A1 0x4000683au +#define CYREG_B0_UDB14_15_A1 0x4000683cu +#define CYREG_B0_UDB00_01_D0 0x40006840u +#define CYREG_B0_UDB01_02_D0 0x40006842u +#define CYREG_B0_UDB02_03_D0 0x40006844u +#define CYREG_B0_UDB03_04_D0 0x40006846u +#define CYREG_B0_UDB04_05_D0 0x40006848u +#define CYREG_B0_UDB05_06_D0 0x4000684au +#define CYREG_B0_UDB06_07_D0 0x4000684cu +#define CYREG_B0_UDB07_08_D0 0x4000684eu +#define CYREG_B0_UDB08_09_D0 0x40006850u +#define CYREG_B0_UDB09_10_D0 0x40006852u +#define CYREG_B0_UDB10_11_D0 0x40006854u +#define CYREG_B0_UDB11_12_D0 0x40006856u +#define CYREG_B0_UDB12_13_D0 0x40006858u +#define CYREG_B0_UDB13_14_D0 0x4000685au +#define CYREG_B0_UDB14_15_D0 0x4000685cu +#define CYREG_B0_UDB00_01_D1 0x40006860u +#define CYREG_B0_UDB01_02_D1 0x40006862u +#define CYREG_B0_UDB02_03_D1 0x40006864u +#define CYREG_B0_UDB03_04_D1 0x40006866u +#define CYREG_B0_UDB04_05_D1 0x40006868u +#define CYREG_B0_UDB05_06_D1 0x4000686au +#define CYREG_B0_UDB06_07_D1 0x4000686cu +#define CYREG_B0_UDB07_08_D1 0x4000686eu +#define CYREG_B0_UDB08_09_D1 0x40006870u +#define CYREG_B0_UDB09_10_D1 0x40006872u +#define CYREG_B0_UDB10_11_D1 0x40006874u +#define CYREG_B0_UDB11_12_D1 0x40006876u +#define CYREG_B0_UDB12_13_D1 0x40006878u +#define CYREG_B0_UDB13_14_D1 0x4000687au +#define CYREG_B0_UDB14_15_D1 0x4000687cu +#define CYREG_B0_UDB00_01_F0 0x40006880u +#define CYREG_B0_UDB01_02_F0 0x40006882u +#define CYREG_B0_UDB02_03_F0 0x40006884u +#define CYREG_B0_UDB03_04_F0 0x40006886u +#define CYREG_B0_UDB04_05_F0 0x40006888u +#define CYREG_B0_UDB05_06_F0 0x4000688au +#define CYREG_B0_UDB06_07_F0 0x4000688cu +#define CYREG_B0_UDB07_08_F0 0x4000688eu +#define CYREG_B0_UDB08_09_F0 0x40006890u +#define CYREG_B0_UDB09_10_F0 0x40006892u +#define CYREG_B0_UDB10_11_F0 0x40006894u +#define CYREG_B0_UDB11_12_F0 0x40006896u +#define CYREG_B0_UDB12_13_F0 0x40006898u +#define CYREG_B0_UDB13_14_F0 0x4000689au +#define CYREG_B0_UDB14_15_F0 0x4000689cu +#define CYREG_B0_UDB00_01_F1 0x400068a0u +#define CYREG_B0_UDB01_02_F1 0x400068a2u +#define CYREG_B0_UDB02_03_F1 0x400068a4u +#define CYREG_B0_UDB03_04_F1 0x400068a6u +#define CYREG_B0_UDB04_05_F1 0x400068a8u +#define CYREG_B0_UDB05_06_F1 0x400068aau +#define CYREG_B0_UDB06_07_F1 0x400068acu +#define CYREG_B0_UDB07_08_F1 0x400068aeu +#define CYREG_B0_UDB08_09_F1 0x400068b0u +#define CYREG_B0_UDB09_10_F1 0x400068b2u +#define CYREG_B0_UDB10_11_F1 0x400068b4u +#define CYREG_B0_UDB11_12_F1 0x400068b6u +#define CYREG_B0_UDB12_13_F1 0x400068b8u +#define CYREG_B0_UDB13_14_F1 0x400068bau +#define CYREG_B0_UDB14_15_F1 0x400068bcu +#define CYREG_B0_UDB00_01_ST 0x400068c0u +#define CYREG_B0_UDB01_02_ST 0x400068c2u +#define CYREG_B0_UDB02_03_ST 0x400068c4u +#define CYREG_B0_UDB03_04_ST 0x400068c6u +#define CYREG_B0_UDB04_05_ST 0x400068c8u +#define CYREG_B0_UDB05_06_ST 0x400068cau +#define CYREG_B0_UDB06_07_ST 0x400068ccu +#define CYREG_B0_UDB07_08_ST 0x400068ceu +#define CYREG_B0_UDB08_09_ST 0x400068d0u +#define CYREG_B0_UDB09_10_ST 0x400068d2u +#define CYREG_B0_UDB10_11_ST 0x400068d4u +#define CYREG_B0_UDB11_12_ST 0x400068d6u +#define CYREG_B0_UDB12_13_ST 0x400068d8u +#define CYREG_B0_UDB13_14_ST 0x400068dau +#define CYREG_B0_UDB14_15_ST 0x400068dcu +#define CYREG_B0_UDB00_01_CTL 0x400068e0u +#define CYREG_B0_UDB01_02_CTL 0x400068e2u +#define CYREG_B0_UDB02_03_CTL 0x400068e4u +#define CYREG_B0_UDB03_04_CTL 0x400068e6u +#define CYREG_B0_UDB04_05_CTL 0x400068e8u +#define CYREG_B0_UDB05_06_CTL 0x400068eau +#define CYREG_B0_UDB06_07_CTL 0x400068ecu +#define CYREG_B0_UDB07_08_CTL 0x400068eeu +#define CYREG_B0_UDB08_09_CTL 0x400068f0u +#define CYREG_B0_UDB09_10_CTL 0x400068f2u +#define CYREG_B0_UDB10_11_CTL 0x400068f4u +#define CYREG_B0_UDB11_12_CTL 0x400068f6u +#define CYREG_B0_UDB12_13_CTL 0x400068f8u +#define CYREG_B0_UDB13_14_CTL 0x400068fau +#define CYREG_B0_UDB14_15_CTL 0x400068fcu +#define CYREG_B0_UDB00_01_MSK 0x40006900u +#define CYREG_B0_UDB01_02_MSK 0x40006902u +#define CYREG_B0_UDB02_03_MSK 0x40006904u +#define CYREG_B0_UDB03_04_MSK 0x40006906u +#define CYREG_B0_UDB04_05_MSK 0x40006908u +#define CYREG_B0_UDB05_06_MSK 0x4000690au +#define CYREG_B0_UDB06_07_MSK 0x4000690cu +#define CYREG_B0_UDB07_08_MSK 0x4000690eu +#define CYREG_B0_UDB08_09_MSK 0x40006910u +#define CYREG_B0_UDB09_10_MSK 0x40006912u +#define CYREG_B0_UDB10_11_MSK 0x40006914u +#define CYREG_B0_UDB11_12_MSK 0x40006916u +#define CYREG_B0_UDB12_13_MSK 0x40006918u +#define CYREG_B0_UDB13_14_MSK 0x4000691au +#define CYREG_B0_UDB14_15_MSK 0x4000691cu +#define CYREG_B0_UDB00_01_ACTL 0x40006920u +#define CYREG_B0_UDB01_02_ACTL 0x40006922u +#define CYREG_B0_UDB02_03_ACTL 0x40006924u +#define CYREG_B0_UDB03_04_ACTL 0x40006926u +#define CYREG_B0_UDB04_05_ACTL 0x40006928u +#define CYREG_B0_UDB05_06_ACTL 0x4000692au +#define CYREG_B0_UDB06_07_ACTL 0x4000692cu +#define CYREG_B0_UDB07_08_ACTL 0x4000692eu +#define CYREG_B0_UDB08_09_ACTL 0x40006930u +#define CYREG_B0_UDB09_10_ACTL 0x40006932u +#define CYREG_B0_UDB10_11_ACTL 0x40006934u +#define CYREG_B0_UDB11_12_ACTL 0x40006936u +#define CYREG_B0_UDB12_13_ACTL 0x40006938u +#define CYREG_B0_UDB13_14_ACTL 0x4000693au +#define CYREG_B0_UDB14_15_ACTL 0x4000693cu +#define CYREG_B0_UDB00_01_MC 0x40006940u +#define CYREG_B0_UDB01_02_MC 0x40006942u +#define CYREG_B0_UDB02_03_MC 0x40006944u +#define CYREG_B0_UDB03_04_MC 0x40006946u +#define CYREG_B0_UDB04_05_MC 0x40006948u +#define CYREG_B0_UDB05_06_MC 0x4000694au +#define CYREG_B0_UDB06_07_MC 0x4000694cu +#define CYREG_B0_UDB07_08_MC 0x4000694eu +#define CYREG_B0_UDB08_09_MC 0x40006950u +#define CYREG_B0_UDB09_10_MC 0x40006952u +#define CYREG_B0_UDB10_11_MC 0x40006954u +#define CYREG_B0_UDB11_12_MC 0x40006956u +#define CYREG_B0_UDB12_13_MC 0x40006958u +#define CYREG_B0_UDB13_14_MC 0x4000695au +#define CYREG_B0_UDB14_15_MC 0x4000695cu +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015eu +#define CYREG_B1_UDB04_05_A0 0x40006a08u +#define CYREG_B1_UDB05_06_A0 0x40006a0au +#define CYREG_B1_UDB06_07_A0 0x40006a0cu +#define CYREG_B1_UDB07_08_A0 0x40006a0eu +#define CYREG_B1_UDB08_09_A0 0x40006a10u +#define CYREG_B1_UDB09_10_A0 0x40006a12u +#define CYREG_B1_UDB10_11_A0 0x40006a14u +#define CYREG_B1_UDB11_12_A0 0x40006a16u +#define CYREG_B1_UDB04_05_A1 0x40006a28u +#define CYREG_B1_UDB05_06_A1 0x40006a2au +#define CYREG_B1_UDB06_07_A1 0x40006a2cu +#define CYREG_B1_UDB07_08_A1 0x40006a2eu +#define CYREG_B1_UDB08_09_A1 0x40006a30u +#define CYREG_B1_UDB09_10_A1 0x40006a32u +#define CYREG_B1_UDB10_11_A1 0x40006a34u +#define CYREG_B1_UDB11_12_A1 0x40006a36u +#define CYREG_B1_UDB04_05_D0 0x40006a48u +#define CYREG_B1_UDB05_06_D0 0x40006a4au +#define CYREG_B1_UDB06_07_D0 0x40006a4cu +#define CYREG_B1_UDB07_08_D0 0x40006a4eu +#define CYREG_B1_UDB08_09_D0 0x40006a50u +#define CYREG_B1_UDB09_10_D0 0x40006a52u +#define CYREG_B1_UDB10_11_D0 0x40006a54u +#define CYREG_B1_UDB11_12_D0 0x40006a56u +#define CYREG_B1_UDB04_05_D1 0x40006a68u +#define CYREG_B1_UDB05_06_D1 0x40006a6au +#define CYREG_B1_UDB06_07_D1 0x40006a6cu +#define CYREG_B1_UDB07_08_D1 0x40006a6eu +#define CYREG_B1_UDB08_09_D1 0x40006a70u +#define CYREG_B1_UDB09_10_D1 0x40006a72u +#define CYREG_B1_UDB10_11_D1 0x40006a74u +#define CYREG_B1_UDB11_12_D1 0x40006a76u +#define CYREG_B1_UDB04_05_F0 0x40006a88u +#define CYREG_B1_UDB05_06_F0 0x40006a8au +#define CYREG_B1_UDB06_07_F0 0x40006a8cu +#define CYREG_B1_UDB07_08_F0 0x40006a8eu +#define CYREG_B1_UDB08_09_F0 0x40006a90u +#define CYREG_B1_UDB09_10_F0 0x40006a92u +#define CYREG_B1_UDB10_11_F0 0x40006a94u +#define CYREG_B1_UDB11_12_F0 0x40006a96u +#define CYREG_B1_UDB04_05_F1 0x40006aa8u +#define CYREG_B1_UDB05_06_F1 0x40006aaau +#define CYREG_B1_UDB06_07_F1 0x40006aacu +#define CYREG_B1_UDB07_08_F1 0x40006aaeu +#define CYREG_B1_UDB08_09_F1 0x40006ab0u +#define CYREG_B1_UDB09_10_F1 0x40006ab2u +#define CYREG_B1_UDB10_11_F1 0x40006ab4u +#define CYREG_B1_UDB11_12_F1 0x40006ab6u +#define CYREG_B1_UDB04_05_ST 0x40006ac8u +#define CYREG_B1_UDB05_06_ST 0x40006acau +#define CYREG_B1_UDB06_07_ST 0x40006accu +#define CYREG_B1_UDB07_08_ST 0x40006aceu +#define CYREG_B1_UDB08_09_ST 0x40006ad0u +#define CYREG_B1_UDB09_10_ST 0x40006ad2u +#define CYREG_B1_UDB10_11_ST 0x40006ad4u +#define CYREG_B1_UDB11_12_ST 0x40006ad6u +#define CYREG_B1_UDB04_05_CTL 0x40006ae8u +#define CYREG_B1_UDB05_06_CTL 0x40006aeau +#define CYREG_B1_UDB06_07_CTL 0x40006aecu +#define CYREG_B1_UDB07_08_CTL 0x40006aeeu +#define CYREG_B1_UDB08_09_CTL 0x40006af0u +#define CYREG_B1_UDB09_10_CTL 0x40006af2u +#define CYREG_B1_UDB10_11_CTL 0x40006af4u +#define CYREG_B1_UDB11_12_CTL 0x40006af6u +#define CYREG_B1_UDB04_05_MSK 0x40006b08u +#define CYREG_B1_UDB05_06_MSK 0x40006b0au +#define CYREG_B1_UDB06_07_MSK 0x40006b0cu +#define CYREG_B1_UDB07_08_MSK 0x40006b0eu +#define CYREG_B1_UDB08_09_MSK 0x40006b10u +#define CYREG_B1_UDB09_10_MSK 0x40006b12u +#define CYREG_B1_UDB10_11_MSK 0x40006b14u +#define CYREG_B1_UDB11_12_MSK 0x40006b16u +#define CYREG_B1_UDB04_05_ACTL 0x40006b28u +#define CYREG_B1_UDB05_06_ACTL 0x40006b2au +#define CYREG_B1_UDB06_07_ACTL 0x40006b2cu +#define CYREG_B1_UDB07_08_ACTL 0x40006b2eu +#define CYREG_B1_UDB08_09_ACTL 0x40006b30u +#define CYREG_B1_UDB09_10_ACTL 0x40006b32u +#define CYREG_B1_UDB10_11_ACTL 0x40006b34u +#define CYREG_B1_UDB11_12_ACTL 0x40006b36u +#define CYREG_B1_UDB04_05_MC 0x40006b48u +#define CYREG_B1_UDB05_06_MC 0x40006b4au +#define CYREG_B1_UDB06_07_MC 0x40006b4cu +#define CYREG_B1_UDB07_08_MC 0x40006b4eu +#define CYREG_B1_UDB08_09_MC 0x40006b50u +#define CYREG_B1_UDB09_10_MC 0x40006b52u +#define CYREG_B1_UDB10_11_MC 0x40006b54u +#define CYREG_B1_UDB11_12_MC 0x40006b56u +#define CYDEV_PHUB_BASE 0x40007000u +#define CYDEV_PHUB_SIZE 0x00000c00u +#define CYREG_PHUB_CFG 0x40007000u +#define CYREG_PHUB_ERR 0x40007004u +#define CYREG_PHUB_ERR_ADR 0x40007008u +#define CYDEV_PHUB_CH0_BASE 0x40007010u +#define CYDEV_PHUB_CH0_SIZE 0x0000000cu +#define CYREG_PHUB_CH0_BASIC_CFG 0x40007010u +#define CYREG_PHUB_CH0_ACTION 0x40007014u +#define CYREG_PHUB_CH0_BASIC_STATUS 0x40007018u +#define CYDEV_PHUB_CH1_BASE 0x40007020u +#define CYDEV_PHUB_CH1_SIZE 0x0000000cu +#define CYREG_PHUB_CH1_BASIC_CFG 0x40007020u +#define CYREG_PHUB_CH1_ACTION 0x40007024u +#define CYREG_PHUB_CH1_BASIC_STATUS 0x40007028u +#define CYDEV_PHUB_CH2_BASE 0x40007030u +#define CYDEV_PHUB_CH2_SIZE 0x0000000cu +#define CYREG_PHUB_CH2_BASIC_CFG 0x40007030u +#define CYREG_PHUB_CH2_ACTION 0x40007034u +#define CYREG_PHUB_CH2_BASIC_STATUS 0x40007038u +#define CYDEV_PHUB_CH3_BASE 0x40007040u +#define CYDEV_PHUB_CH3_SIZE 0x0000000cu +#define CYREG_PHUB_CH3_BASIC_CFG 0x40007040u +#define CYREG_PHUB_CH3_ACTION 0x40007044u +#define CYREG_PHUB_CH3_BASIC_STATUS 0x40007048u +#define CYDEV_PHUB_CH4_BASE 0x40007050u +#define CYDEV_PHUB_CH4_SIZE 0x0000000cu +#define CYREG_PHUB_CH4_BASIC_CFG 0x40007050u +#define CYREG_PHUB_CH4_ACTION 0x40007054u +#define CYREG_PHUB_CH4_BASIC_STATUS 0x40007058u +#define CYDEV_PHUB_CH5_BASE 0x40007060u +#define CYDEV_PHUB_CH5_SIZE 0x0000000cu +#define CYREG_PHUB_CH5_BASIC_CFG 0x40007060u +#define CYREG_PHUB_CH5_ACTION 0x40007064u +#define CYREG_PHUB_CH5_BASIC_STATUS 0x40007068u +#define CYDEV_PHUB_CH6_BASE 0x40007070u +#define CYDEV_PHUB_CH6_SIZE 0x0000000cu +#define CYREG_PHUB_CH6_BASIC_CFG 0x40007070u +#define CYREG_PHUB_CH6_ACTION 0x40007074u +#define CYREG_PHUB_CH6_BASIC_STATUS 0x40007078u +#define CYDEV_PHUB_CH7_BASE 0x40007080u +#define CYDEV_PHUB_CH7_SIZE 0x0000000cu +#define CYREG_PHUB_CH7_BASIC_CFG 0x40007080u +#define CYREG_PHUB_CH7_ACTION 0x40007084u +#define CYREG_PHUB_CH7_BASIC_STATUS 0x40007088u +#define CYDEV_PHUB_CH8_BASE 0x40007090u +#define CYDEV_PHUB_CH8_SIZE 0x0000000cu +#define CYREG_PHUB_CH8_BASIC_CFG 0x40007090u +#define CYREG_PHUB_CH8_ACTION 0x40007094u +#define CYREG_PHUB_CH8_BASIC_STATUS 0x40007098u +#define CYDEV_PHUB_CH9_BASE 0x400070a0u +#define CYDEV_PHUB_CH9_SIZE 0x0000000cu +#define CYREG_PHUB_CH9_BASIC_CFG 0x400070a0u +#define CYREG_PHUB_CH9_ACTION 0x400070a4u +#define CYREG_PHUB_CH9_BASIC_STATUS 0x400070a8u +#define CYDEV_PHUB_CH10_BASE 0x400070b0u +#define CYDEV_PHUB_CH10_SIZE 0x0000000cu +#define CYREG_PHUB_CH10_BASIC_CFG 0x400070b0u +#define CYREG_PHUB_CH10_ACTION 0x400070b4u +#define CYREG_PHUB_CH10_BASIC_STATUS 0x400070b8u +#define CYDEV_PHUB_CH11_BASE 0x400070c0u +#define CYDEV_PHUB_CH11_SIZE 0x0000000cu +#define CYREG_PHUB_CH11_BASIC_CFG 0x400070c0u +#define CYREG_PHUB_CH11_ACTION 0x400070c4u +#define CYREG_PHUB_CH11_BASIC_STATUS 0x400070c8u +#define CYDEV_PHUB_CH12_BASE 0x400070d0u +#define CYDEV_PHUB_CH12_SIZE 0x0000000cu +#define CYREG_PHUB_CH12_BASIC_CFG 0x400070d0u +#define CYREG_PHUB_CH12_ACTION 0x400070d4u +#define CYREG_PHUB_CH12_BASIC_STATUS 0x400070d8u +#define CYDEV_PHUB_CH13_BASE 0x400070e0u +#define CYDEV_PHUB_CH13_SIZE 0x0000000cu +#define CYREG_PHUB_CH13_BASIC_CFG 0x400070e0u +#define CYREG_PHUB_CH13_ACTION 0x400070e4u +#define CYREG_PHUB_CH13_BASIC_STATUS 0x400070e8u +#define CYDEV_PHUB_CH14_BASE 0x400070f0u +#define CYDEV_PHUB_CH14_SIZE 0x0000000cu +#define CYREG_PHUB_CH14_BASIC_CFG 0x400070f0u +#define CYREG_PHUB_CH14_ACTION 0x400070f4u +#define CYREG_PHUB_CH14_BASIC_STATUS 0x400070f8u +#define CYDEV_PHUB_CH15_BASE 0x40007100u +#define CYDEV_PHUB_CH15_SIZE 0x0000000cu +#define CYREG_PHUB_CH15_BASIC_CFG 0x40007100u +#define CYREG_PHUB_CH15_ACTION 0x40007104u +#define CYREG_PHUB_CH15_BASIC_STATUS 0x40007108u +#define CYDEV_PHUB_CH16_BASE 0x40007110u +#define CYDEV_PHUB_CH16_SIZE 0x0000000cu +#define CYREG_PHUB_CH16_BASIC_CFG 0x40007110u +#define CYREG_PHUB_CH16_ACTION 0x40007114u +#define CYREG_PHUB_CH16_BASIC_STATUS 0x40007118u +#define CYDEV_PHUB_CH17_BASE 0x40007120u +#define CYDEV_PHUB_CH17_SIZE 0x0000000cu +#define CYREG_PHUB_CH17_BASIC_CFG 0x40007120u +#define CYREG_PHUB_CH17_ACTION 0x40007124u +#define CYREG_PHUB_CH17_BASIC_STATUS 0x40007128u +#define CYDEV_PHUB_CH18_BASE 0x40007130u +#define CYDEV_PHUB_CH18_SIZE 0x0000000cu +#define CYREG_PHUB_CH18_BASIC_CFG 0x40007130u +#define CYREG_PHUB_CH18_ACTION 0x40007134u +#define CYREG_PHUB_CH18_BASIC_STATUS 0x40007138u +#define CYDEV_PHUB_CH19_BASE 0x40007140u +#define CYDEV_PHUB_CH19_SIZE 0x0000000cu +#define CYREG_PHUB_CH19_BASIC_CFG 0x40007140u +#define CYREG_PHUB_CH19_ACTION 0x40007144u +#define CYREG_PHUB_CH19_BASIC_STATUS 0x40007148u +#define CYDEV_PHUB_CH20_BASE 0x40007150u +#define CYDEV_PHUB_CH20_SIZE 0x0000000cu +#define CYREG_PHUB_CH20_BASIC_CFG 0x40007150u +#define CYREG_PHUB_CH20_ACTION 0x40007154u +#define CYREG_PHUB_CH20_BASIC_STATUS 0x40007158u +#define CYDEV_PHUB_CH21_BASE 0x40007160u +#define CYDEV_PHUB_CH21_SIZE 0x0000000cu +#define CYREG_PHUB_CH21_BASIC_CFG 0x40007160u +#define CYREG_PHUB_CH21_ACTION 0x40007164u +#define CYREG_PHUB_CH21_BASIC_STATUS 0x40007168u +#define CYDEV_PHUB_CH22_BASE 0x40007170u +#define CYDEV_PHUB_CH22_SIZE 0x0000000cu +#define CYREG_PHUB_CH22_BASIC_CFG 0x40007170u +#define CYREG_PHUB_CH22_ACTION 0x40007174u +#define CYREG_PHUB_CH22_BASIC_STATUS 0x40007178u +#define CYDEV_PHUB_CH23_BASE 0x40007180u +#define CYDEV_PHUB_CH23_SIZE 0x0000000cu +#define CYREG_PHUB_CH23_BASIC_CFG 0x40007180u +#define CYREG_PHUB_CH23_ACTION 0x40007184u +#define CYREG_PHUB_CH23_BASIC_STATUS 0x40007188u +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600u +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM0_CFG0 0x40007600u +#define CYREG_PHUB_CFGMEM0_CFG1 0x40007604u +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608u +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM1_CFG0 0x40007608u +#define CYREG_PHUB_CFGMEM1_CFG1 0x4000760cu +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610u +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM2_CFG0 0x40007610u +#define CYREG_PHUB_CFGMEM2_CFG1 0x40007614u +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618u +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM3_CFG0 0x40007618u +#define CYREG_PHUB_CFGMEM3_CFG1 0x4000761cu +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620u +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM4_CFG0 0x40007620u +#define CYREG_PHUB_CFGMEM4_CFG1 0x40007624u +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628u +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM5_CFG0 0x40007628u +#define CYREG_PHUB_CFGMEM5_CFG1 0x4000762cu +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630u +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM6_CFG0 0x40007630u +#define CYREG_PHUB_CFGMEM6_CFG1 0x40007634u +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638u +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM7_CFG0 0x40007638u +#define CYREG_PHUB_CFGMEM7_CFG1 0x4000763cu +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640u +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM8_CFG0 0x40007640u +#define CYREG_PHUB_CFGMEM8_CFG1 0x40007644u +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648u +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM9_CFG0 0x40007648u +#define CYREG_PHUB_CFGMEM9_CFG1 0x4000764cu +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650u +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM10_CFG0 0x40007650u +#define CYREG_PHUB_CFGMEM10_CFG1 0x40007654u +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658u +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM11_CFG0 0x40007658u +#define CYREG_PHUB_CFGMEM11_CFG1 0x4000765cu +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660u +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM12_CFG0 0x40007660u +#define CYREG_PHUB_CFGMEM12_CFG1 0x40007664u +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668u +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM13_CFG0 0x40007668u +#define CYREG_PHUB_CFGMEM13_CFG1 0x4000766cu +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670u +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM14_CFG0 0x40007670u +#define CYREG_PHUB_CFGMEM14_CFG1 0x40007674u +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678u +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM15_CFG0 0x40007678u +#define CYREG_PHUB_CFGMEM15_CFG1 0x4000767cu +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680u +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM16_CFG0 0x40007680u +#define CYREG_PHUB_CFGMEM16_CFG1 0x40007684u +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688u +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM17_CFG0 0x40007688u +#define CYREG_PHUB_CFGMEM17_CFG1 0x4000768cu +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690u +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM18_CFG0 0x40007690u +#define CYREG_PHUB_CFGMEM18_CFG1 0x40007694u +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698u +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM19_CFG0 0x40007698u +#define CYREG_PHUB_CFGMEM19_CFG1 0x4000769cu +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM20_CFG0 0x400076a0u +#define CYREG_PHUB_CFGMEM20_CFG1 0x400076a4u +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM21_CFG0 0x400076a8u +#define CYREG_PHUB_CFGMEM21_CFG1 0x400076acu +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM22_CFG0 0x400076b0u +#define CYREG_PHUB_CFGMEM22_CFG1 0x400076b4u +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM23_CFG0 0x400076b8u +#define CYREG_PHUB_CFGMEM23_CFG1 0x400076bcu +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800u +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM0_ORIG_TD0 0x40007800u +#define CYREG_PHUB_TDMEM0_ORIG_TD1 0x40007804u +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808u +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM1_ORIG_TD0 0x40007808u +#define CYREG_PHUB_TDMEM1_ORIG_TD1 0x4000780cu +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810u +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM2_ORIG_TD0 0x40007810u +#define CYREG_PHUB_TDMEM2_ORIG_TD1 0x40007814u +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818u +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM3_ORIG_TD0 0x40007818u +#define CYREG_PHUB_TDMEM3_ORIG_TD1 0x4000781cu +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820u +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM4_ORIG_TD0 0x40007820u +#define CYREG_PHUB_TDMEM4_ORIG_TD1 0x40007824u +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828u +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM5_ORIG_TD0 0x40007828u +#define CYREG_PHUB_TDMEM5_ORIG_TD1 0x4000782cu +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830u +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM6_ORIG_TD0 0x40007830u +#define CYREG_PHUB_TDMEM6_ORIG_TD1 0x40007834u +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838u +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM7_ORIG_TD0 0x40007838u +#define CYREG_PHUB_TDMEM7_ORIG_TD1 0x4000783cu +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840u +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM8_ORIG_TD0 0x40007840u +#define CYREG_PHUB_TDMEM8_ORIG_TD1 0x40007844u +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848u +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM9_ORIG_TD0 0x40007848u +#define CYREG_PHUB_TDMEM9_ORIG_TD1 0x4000784cu +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850u +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM10_ORIG_TD0 0x40007850u +#define CYREG_PHUB_TDMEM10_ORIG_TD1 0x40007854u +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858u +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM11_ORIG_TD0 0x40007858u +#define CYREG_PHUB_TDMEM11_ORIG_TD1 0x4000785cu +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860u +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM12_ORIG_TD0 0x40007860u +#define CYREG_PHUB_TDMEM12_ORIG_TD1 0x40007864u +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868u +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM13_ORIG_TD0 0x40007868u +#define CYREG_PHUB_TDMEM13_ORIG_TD1 0x4000786cu +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870u +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM14_ORIG_TD0 0x40007870u +#define CYREG_PHUB_TDMEM14_ORIG_TD1 0x40007874u +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878u +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM15_ORIG_TD0 0x40007878u +#define CYREG_PHUB_TDMEM15_ORIG_TD1 0x4000787cu +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880u +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM16_ORIG_TD0 0x40007880u +#define CYREG_PHUB_TDMEM16_ORIG_TD1 0x40007884u +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888u +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM17_ORIG_TD0 0x40007888u +#define CYREG_PHUB_TDMEM17_ORIG_TD1 0x4000788cu +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890u +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM18_ORIG_TD0 0x40007890u +#define CYREG_PHUB_TDMEM18_ORIG_TD1 0x40007894u +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898u +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM19_ORIG_TD0 0x40007898u +#define CYREG_PHUB_TDMEM19_ORIG_TD1 0x4000789cu +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0u +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM20_ORIG_TD0 0x400078a0u +#define CYREG_PHUB_TDMEM20_ORIG_TD1 0x400078a4u +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8u +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM21_ORIG_TD0 0x400078a8u +#define CYREG_PHUB_TDMEM21_ORIG_TD1 0x400078acu +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0u +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM22_ORIG_TD0 0x400078b0u +#define CYREG_PHUB_TDMEM22_ORIG_TD1 0x400078b4u +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8u +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM23_ORIG_TD0 0x400078b8u +#define CYREG_PHUB_TDMEM23_ORIG_TD1 0x400078bcu +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0u +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM24_ORIG_TD0 0x400078c0u +#define CYREG_PHUB_TDMEM24_ORIG_TD1 0x400078c4u +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8u +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM25_ORIG_TD0 0x400078c8u +#define CYREG_PHUB_TDMEM25_ORIG_TD1 0x400078ccu +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0u +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM26_ORIG_TD0 0x400078d0u +#define CYREG_PHUB_TDMEM26_ORIG_TD1 0x400078d4u +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8u +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM27_ORIG_TD0 0x400078d8u +#define CYREG_PHUB_TDMEM27_ORIG_TD1 0x400078dcu +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0u +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM28_ORIG_TD0 0x400078e0u +#define CYREG_PHUB_TDMEM28_ORIG_TD1 0x400078e4u +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8u +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM29_ORIG_TD0 0x400078e8u +#define CYREG_PHUB_TDMEM29_ORIG_TD1 0x400078ecu +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0u +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM30_ORIG_TD0 0x400078f0u +#define CYREG_PHUB_TDMEM30_ORIG_TD1 0x400078f4u +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8u +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM31_ORIG_TD0 0x400078f8u +#define CYREG_PHUB_TDMEM31_ORIG_TD1 0x400078fcu +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900u +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM32_ORIG_TD0 0x40007900u +#define CYREG_PHUB_TDMEM32_ORIG_TD1 0x40007904u +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908u +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM33_ORIG_TD0 0x40007908u +#define CYREG_PHUB_TDMEM33_ORIG_TD1 0x4000790cu +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910u +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM34_ORIG_TD0 0x40007910u +#define CYREG_PHUB_TDMEM34_ORIG_TD1 0x40007914u +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918u +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM35_ORIG_TD0 0x40007918u +#define CYREG_PHUB_TDMEM35_ORIG_TD1 0x4000791cu +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920u +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM36_ORIG_TD0 0x40007920u +#define CYREG_PHUB_TDMEM36_ORIG_TD1 0x40007924u +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928u +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM37_ORIG_TD0 0x40007928u +#define CYREG_PHUB_TDMEM37_ORIG_TD1 0x4000792cu +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930u +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM38_ORIG_TD0 0x40007930u +#define CYREG_PHUB_TDMEM38_ORIG_TD1 0x40007934u +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938u +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM39_ORIG_TD0 0x40007938u +#define CYREG_PHUB_TDMEM39_ORIG_TD1 0x4000793cu +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940u +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM40_ORIG_TD0 0x40007940u +#define CYREG_PHUB_TDMEM40_ORIG_TD1 0x40007944u +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948u +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM41_ORIG_TD0 0x40007948u +#define CYREG_PHUB_TDMEM41_ORIG_TD1 0x4000794cu +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950u +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM42_ORIG_TD0 0x40007950u +#define CYREG_PHUB_TDMEM42_ORIG_TD1 0x40007954u +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958u +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM43_ORIG_TD0 0x40007958u +#define CYREG_PHUB_TDMEM43_ORIG_TD1 0x4000795cu +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960u +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM44_ORIG_TD0 0x40007960u +#define CYREG_PHUB_TDMEM44_ORIG_TD1 0x40007964u +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968u +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM45_ORIG_TD0 0x40007968u +#define CYREG_PHUB_TDMEM45_ORIG_TD1 0x4000796cu +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970u +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM46_ORIG_TD0 0x40007970u +#define CYREG_PHUB_TDMEM46_ORIG_TD1 0x40007974u +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978u +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM47_ORIG_TD0 0x40007978u +#define CYREG_PHUB_TDMEM47_ORIG_TD1 0x4000797cu +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980u +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM48_ORIG_TD0 0x40007980u +#define CYREG_PHUB_TDMEM48_ORIG_TD1 0x40007984u +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988u +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM49_ORIG_TD0 0x40007988u +#define CYREG_PHUB_TDMEM49_ORIG_TD1 0x4000798cu +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990u +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM50_ORIG_TD0 0x40007990u +#define CYREG_PHUB_TDMEM50_ORIG_TD1 0x40007994u +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998u +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM51_ORIG_TD0 0x40007998u +#define CYREG_PHUB_TDMEM51_ORIG_TD1 0x4000799cu +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0u +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM52_ORIG_TD0 0x400079a0u +#define CYREG_PHUB_TDMEM52_ORIG_TD1 0x400079a4u +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8u +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM53_ORIG_TD0 0x400079a8u +#define CYREG_PHUB_TDMEM53_ORIG_TD1 0x400079acu +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0u +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM54_ORIG_TD0 0x400079b0u +#define CYREG_PHUB_TDMEM54_ORIG_TD1 0x400079b4u +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8u +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM55_ORIG_TD0 0x400079b8u +#define CYREG_PHUB_TDMEM55_ORIG_TD1 0x400079bcu +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0u +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM56_ORIG_TD0 0x400079c0u +#define CYREG_PHUB_TDMEM56_ORIG_TD1 0x400079c4u +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8u +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM57_ORIG_TD0 0x400079c8u +#define CYREG_PHUB_TDMEM57_ORIG_TD1 0x400079ccu +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0u +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM58_ORIG_TD0 0x400079d0u +#define CYREG_PHUB_TDMEM58_ORIG_TD1 0x400079d4u +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8u +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM59_ORIG_TD0 0x400079d8u +#define CYREG_PHUB_TDMEM59_ORIG_TD1 0x400079dcu +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0u +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM60_ORIG_TD0 0x400079e0u +#define CYREG_PHUB_TDMEM60_ORIG_TD1 0x400079e4u +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8u +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM61_ORIG_TD0 0x400079e8u +#define CYREG_PHUB_TDMEM61_ORIG_TD1 0x400079ecu +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0u +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM62_ORIG_TD0 0x400079f0u +#define CYREG_PHUB_TDMEM62_ORIG_TD1 0x400079f4u +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8u +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM63_ORIG_TD0 0x400079f8u +#define CYREG_PHUB_TDMEM63_ORIG_TD1 0x400079fcu +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00u +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM64_ORIG_TD0 0x40007a00u +#define CYREG_PHUB_TDMEM64_ORIG_TD1 0x40007a04u +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08u +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM65_ORIG_TD0 0x40007a08u +#define CYREG_PHUB_TDMEM65_ORIG_TD1 0x40007a0cu +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10u +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM66_ORIG_TD0 0x40007a10u +#define CYREG_PHUB_TDMEM66_ORIG_TD1 0x40007a14u +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18u +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM67_ORIG_TD0 0x40007a18u +#define CYREG_PHUB_TDMEM67_ORIG_TD1 0x40007a1cu +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20u +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM68_ORIG_TD0 0x40007a20u +#define CYREG_PHUB_TDMEM68_ORIG_TD1 0x40007a24u +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28u +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM69_ORIG_TD0 0x40007a28u +#define CYREG_PHUB_TDMEM69_ORIG_TD1 0x40007a2cu +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30u +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM70_ORIG_TD0 0x40007a30u +#define CYREG_PHUB_TDMEM70_ORIG_TD1 0x40007a34u +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38u +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM71_ORIG_TD0 0x40007a38u +#define CYREG_PHUB_TDMEM71_ORIG_TD1 0x40007a3cu +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40u +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM72_ORIG_TD0 0x40007a40u +#define CYREG_PHUB_TDMEM72_ORIG_TD1 0x40007a44u +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48u +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM73_ORIG_TD0 0x40007a48u +#define CYREG_PHUB_TDMEM73_ORIG_TD1 0x40007a4cu +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50u +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM74_ORIG_TD0 0x40007a50u +#define CYREG_PHUB_TDMEM74_ORIG_TD1 0x40007a54u +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58u +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM75_ORIG_TD0 0x40007a58u +#define CYREG_PHUB_TDMEM75_ORIG_TD1 0x40007a5cu +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60u +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM76_ORIG_TD0 0x40007a60u +#define CYREG_PHUB_TDMEM76_ORIG_TD1 0x40007a64u +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68u +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM77_ORIG_TD0 0x40007a68u +#define CYREG_PHUB_TDMEM77_ORIG_TD1 0x40007a6cu +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70u +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM78_ORIG_TD0 0x40007a70u +#define CYREG_PHUB_TDMEM78_ORIG_TD1 0x40007a74u +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78u +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM79_ORIG_TD0 0x40007a78u +#define CYREG_PHUB_TDMEM79_ORIG_TD1 0x40007a7cu +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80u +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM80_ORIG_TD0 0x40007a80u +#define CYREG_PHUB_TDMEM80_ORIG_TD1 0x40007a84u +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88u +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM81_ORIG_TD0 0x40007a88u +#define CYREG_PHUB_TDMEM81_ORIG_TD1 0x40007a8cu +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90u +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM82_ORIG_TD0 0x40007a90u +#define CYREG_PHUB_TDMEM82_ORIG_TD1 0x40007a94u +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98u +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM83_ORIG_TD0 0x40007a98u +#define CYREG_PHUB_TDMEM83_ORIG_TD1 0x40007a9cu +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM84_ORIG_TD0 0x40007aa0u +#define CYREG_PHUB_TDMEM84_ORIG_TD1 0x40007aa4u +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM85_ORIG_TD0 0x40007aa8u +#define CYREG_PHUB_TDMEM85_ORIG_TD1 0x40007aacu +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM86_ORIG_TD0 0x40007ab0u +#define CYREG_PHUB_TDMEM86_ORIG_TD1 0x40007ab4u +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM87_ORIG_TD0 0x40007ab8u +#define CYREG_PHUB_TDMEM87_ORIG_TD1 0x40007abcu +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM88_ORIG_TD0 0x40007ac0u +#define CYREG_PHUB_TDMEM88_ORIG_TD1 0x40007ac4u +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM89_ORIG_TD0 0x40007ac8u +#define CYREG_PHUB_TDMEM89_ORIG_TD1 0x40007accu +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM90_ORIG_TD0 0x40007ad0u +#define CYREG_PHUB_TDMEM90_ORIG_TD1 0x40007ad4u +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM91_ORIG_TD0 0x40007ad8u +#define CYREG_PHUB_TDMEM91_ORIG_TD1 0x40007adcu +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM92_ORIG_TD0 0x40007ae0u +#define CYREG_PHUB_TDMEM92_ORIG_TD1 0x40007ae4u +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM93_ORIG_TD0 0x40007ae8u +#define CYREG_PHUB_TDMEM93_ORIG_TD1 0x40007aecu +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0u +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM94_ORIG_TD0 0x40007af0u +#define CYREG_PHUB_TDMEM94_ORIG_TD1 0x40007af4u +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8u +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM95_ORIG_TD0 0x40007af8u +#define CYREG_PHUB_TDMEM95_ORIG_TD1 0x40007afcu +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00u +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM96_ORIG_TD0 0x40007b00u +#define CYREG_PHUB_TDMEM96_ORIG_TD1 0x40007b04u +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08u +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM97_ORIG_TD0 0x40007b08u +#define CYREG_PHUB_TDMEM97_ORIG_TD1 0x40007b0cu +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10u +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM98_ORIG_TD0 0x40007b10u +#define CYREG_PHUB_TDMEM98_ORIG_TD1 0x40007b14u +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18u +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM99_ORIG_TD0 0x40007b18u +#define CYREG_PHUB_TDMEM99_ORIG_TD1 0x40007b1cu +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20u +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM100_ORIG_TD0 0x40007b20u +#define CYREG_PHUB_TDMEM100_ORIG_TD1 0x40007b24u +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28u +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM101_ORIG_TD0 0x40007b28u +#define CYREG_PHUB_TDMEM101_ORIG_TD1 0x40007b2cu +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30u +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM102_ORIG_TD0 0x40007b30u +#define CYREG_PHUB_TDMEM102_ORIG_TD1 0x40007b34u +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38u +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM103_ORIG_TD0 0x40007b38u +#define CYREG_PHUB_TDMEM103_ORIG_TD1 0x40007b3cu +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40u +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM104_ORIG_TD0 0x40007b40u +#define CYREG_PHUB_TDMEM104_ORIG_TD1 0x40007b44u +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48u +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM105_ORIG_TD0 0x40007b48u +#define CYREG_PHUB_TDMEM105_ORIG_TD1 0x40007b4cu +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50u +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM106_ORIG_TD0 0x40007b50u +#define CYREG_PHUB_TDMEM106_ORIG_TD1 0x40007b54u +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58u +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM107_ORIG_TD0 0x40007b58u +#define CYREG_PHUB_TDMEM107_ORIG_TD1 0x40007b5cu +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60u +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM108_ORIG_TD0 0x40007b60u +#define CYREG_PHUB_TDMEM108_ORIG_TD1 0x40007b64u +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68u +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM109_ORIG_TD0 0x40007b68u +#define CYREG_PHUB_TDMEM109_ORIG_TD1 0x40007b6cu +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70u +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM110_ORIG_TD0 0x40007b70u +#define CYREG_PHUB_TDMEM110_ORIG_TD1 0x40007b74u +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78u +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM111_ORIG_TD0 0x40007b78u +#define CYREG_PHUB_TDMEM111_ORIG_TD1 0x40007b7cu +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80u +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM112_ORIG_TD0 0x40007b80u +#define CYREG_PHUB_TDMEM112_ORIG_TD1 0x40007b84u +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88u +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM113_ORIG_TD0 0x40007b88u +#define CYREG_PHUB_TDMEM113_ORIG_TD1 0x40007b8cu +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90u +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM114_ORIG_TD0 0x40007b90u +#define CYREG_PHUB_TDMEM114_ORIG_TD1 0x40007b94u +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98u +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM115_ORIG_TD0 0x40007b98u +#define CYREG_PHUB_TDMEM115_ORIG_TD1 0x40007b9cu +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM116_ORIG_TD0 0x40007ba0u +#define CYREG_PHUB_TDMEM116_ORIG_TD1 0x40007ba4u +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM117_ORIG_TD0 0x40007ba8u +#define CYREG_PHUB_TDMEM117_ORIG_TD1 0x40007bacu +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM118_ORIG_TD0 0x40007bb0u +#define CYREG_PHUB_TDMEM118_ORIG_TD1 0x40007bb4u +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM119_ORIG_TD0 0x40007bb8u +#define CYREG_PHUB_TDMEM119_ORIG_TD1 0x40007bbcu +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM120_ORIG_TD0 0x40007bc0u +#define CYREG_PHUB_TDMEM120_ORIG_TD1 0x40007bc4u +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM121_ORIG_TD0 0x40007bc8u +#define CYREG_PHUB_TDMEM121_ORIG_TD1 0x40007bccu +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM122_ORIG_TD0 0x40007bd0u +#define CYREG_PHUB_TDMEM122_ORIG_TD1 0x40007bd4u +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM123_ORIG_TD0 0x40007bd8u +#define CYREG_PHUB_TDMEM123_ORIG_TD1 0x40007bdcu +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0u +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM124_ORIG_TD0 0x40007be0u +#define CYREG_PHUB_TDMEM124_ORIG_TD1 0x40007be4u +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8u +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM125_ORIG_TD0 0x40007be8u +#define CYREG_PHUB_TDMEM125_ORIG_TD1 0x40007becu +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM126_ORIG_TD0 0x40007bf0u +#define CYREG_PHUB_TDMEM126_ORIG_TD1 0x40007bf4u +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM127_ORIG_TD0 0x40007bf8u +#define CYREG_PHUB_TDMEM127_ORIG_TD1 0x40007bfcu +#define CYDEV_EE_BASE 0x40008000u +#define CYDEV_EE_SIZE 0x00000800u +#define CYREG_EE_DATA_MBASE 0x40008000u +#define CYREG_EE_DATA_MSIZE 0x00000800u +#define CYDEV_CAN0_BASE 0x4000a000u +#define CYDEV_CAN0_SIZE 0x000002a0u +#define CYDEV_CAN0_CSR_BASE 0x4000a000u +#define CYDEV_CAN0_CSR_SIZE 0x00000018u +#define CYREG_CAN0_CSR_INT_SR 0x4000a000u +#define CYREG_CAN0_CSR_INT_EN 0x4000a004u +#define CYREG_CAN0_CSR_BUF_SR 0x4000a008u +#define CYREG_CAN0_CSR_ERR_SR 0x4000a00cu +#define CYREG_CAN0_CSR_CMD 0x4000a010u +#define CYREG_CAN0_CSR_CFG 0x4000a014u +#define CYDEV_CAN0_TX0_BASE 0x4000a020u +#define CYDEV_CAN0_TX0_SIZE 0x00000010u +#define CYREG_CAN0_TX0_CMD 0x4000a020u +#define CYREG_CAN0_TX0_ID 0x4000a024u +#define CYREG_CAN0_TX0_DH 0x4000a028u +#define CYREG_CAN0_TX0_DL 0x4000a02cu +#define CYDEV_CAN0_TX1_BASE 0x4000a030u +#define CYDEV_CAN0_TX1_SIZE 0x00000010u +#define CYREG_CAN0_TX1_CMD 0x4000a030u +#define CYREG_CAN0_TX1_ID 0x4000a034u +#define CYREG_CAN0_TX1_DH 0x4000a038u +#define CYREG_CAN0_TX1_DL 0x4000a03cu +#define CYDEV_CAN0_TX2_BASE 0x4000a040u +#define CYDEV_CAN0_TX2_SIZE 0x00000010u +#define CYREG_CAN0_TX2_CMD 0x4000a040u +#define CYREG_CAN0_TX2_ID 0x4000a044u +#define CYREG_CAN0_TX2_DH 0x4000a048u +#define CYREG_CAN0_TX2_DL 0x4000a04cu +#define CYDEV_CAN0_TX3_BASE 0x4000a050u +#define CYDEV_CAN0_TX3_SIZE 0x00000010u +#define CYREG_CAN0_TX3_CMD 0x4000a050u +#define CYREG_CAN0_TX3_ID 0x4000a054u +#define CYREG_CAN0_TX3_DH 0x4000a058u +#define CYREG_CAN0_TX3_DL 0x4000a05cu +#define CYDEV_CAN0_TX4_BASE 0x4000a060u +#define CYDEV_CAN0_TX4_SIZE 0x00000010u +#define CYREG_CAN0_TX4_CMD 0x4000a060u +#define CYREG_CAN0_TX4_ID 0x4000a064u +#define CYREG_CAN0_TX4_DH 0x4000a068u +#define CYREG_CAN0_TX4_DL 0x4000a06cu +#define CYDEV_CAN0_TX5_BASE 0x4000a070u +#define CYDEV_CAN0_TX5_SIZE 0x00000010u +#define CYREG_CAN0_TX5_CMD 0x4000a070u +#define CYREG_CAN0_TX5_ID 0x4000a074u +#define CYREG_CAN0_TX5_DH 0x4000a078u +#define CYREG_CAN0_TX5_DL 0x4000a07cu +#define CYDEV_CAN0_TX6_BASE 0x4000a080u +#define CYDEV_CAN0_TX6_SIZE 0x00000010u +#define CYREG_CAN0_TX6_CMD 0x4000a080u +#define CYREG_CAN0_TX6_ID 0x4000a084u +#define CYREG_CAN0_TX6_DH 0x4000a088u +#define CYREG_CAN0_TX6_DL 0x4000a08cu +#define CYDEV_CAN0_TX7_BASE 0x4000a090u +#define CYDEV_CAN0_TX7_SIZE 0x00000010u +#define CYREG_CAN0_TX7_CMD 0x4000a090u +#define CYREG_CAN0_TX7_ID 0x4000a094u +#define CYREG_CAN0_TX7_DH 0x4000a098u +#define CYREG_CAN0_TX7_DL 0x4000a09cu +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0u +#define CYDEV_CAN0_RX0_SIZE 0x00000020u +#define CYREG_CAN0_RX0_CMD 0x4000a0a0u +#define CYREG_CAN0_RX0_ID 0x4000a0a4u +#define CYREG_CAN0_RX0_DH 0x4000a0a8u +#define CYREG_CAN0_RX0_DL 0x4000a0acu +#define CYREG_CAN0_RX0_AMR 0x4000a0b0u +#define CYREG_CAN0_RX0_ACR 0x4000a0b4u +#define CYREG_CAN0_RX0_AMRD 0x4000a0b8u +#define CYREG_CAN0_RX0_ACRD 0x4000a0bcu +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0u +#define CYDEV_CAN0_RX1_SIZE 0x00000020u +#define CYREG_CAN0_RX1_CMD 0x4000a0c0u +#define CYREG_CAN0_RX1_ID 0x4000a0c4u +#define CYREG_CAN0_RX1_DH 0x4000a0c8u +#define CYREG_CAN0_RX1_DL 0x4000a0ccu +#define CYREG_CAN0_RX1_AMR 0x4000a0d0u +#define CYREG_CAN0_RX1_ACR 0x4000a0d4u +#define CYREG_CAN0_RX1_AMRD 0x4000a0d8u +#define CYREG_CAN0_RX1_ACRD 0x4000a0dcu +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0u +#define CYDEV_CAN0_RX2_SIZE 0x00000020u +#define CYREG_CAN0_RX2_CMD 0x4000a0e0u +#define CYREG_CAN0_RX2_ID 0x4000a0e4u +#define CYREG_CAN0_RX2_DH 0x4000a0e8u +#define CYREG_CAN0_RX2_DL 0x4000a0ecu +#define CYREG_CAN0_RX2_AMR 0x4000a0f0u +#define CYREG_CAN0_RX2_ACR 0x4000a0f4u +#define CYREG_CAN0_RX2_AMRD 0x4000a0f8u +#define CYREG_CAN0_RX2_ACRD 0x4000a0fcu +#define CYDEV_CAN0_RX3_BASE 0x4000a100u +#define CYDEV_CAN0_RX3_SIZE 0x00000020u +#define CYREG_CAN0_RX3_CMD 0x4000a100u +#define CYREG_CAN0_RX3_ID 0x4000a104u +#define CYREG_CAN0_RX3_DH 0x4000a108u +#define CYREG_CAN0_RX3_DL 0x4000a10cu +#define CYREG_CAN0_RX3_AMR 0x4000a110u +#define CYREG_CAN0_RX3_ACR 0x4000a114u +#define CYREG_CAN0_RX3_AMRD 0x4000a118u +#define CYREG_CAN0_RX3_ACRD 0x4000a11cu +#define CYDEV_CAN0_RX4_BASE 0x4000a120u +#define CYDEV_CAN0_RX4_SIZE 0x00000020u +#define CYREG_CAN0_RX4_CMD 0x4000a120u +#define CYREG_CAN0_RX4_ID 0x4000a124u +#define CYREG_CAN0_RX4_DH 0x4000a128u +#define CYREG_CAN0_RX4_DL 0x4000a12cu +#define CYREG_CAN0_RX4_AMR 0x4000a130u +#define CYREG_CAN0_RX4_ACR 0x4000a134u +#define CYREG_CAN0_RX4_AMRD 0x4000a138u +#define CYREG_CAN0_RX4_ACRD 0x4000a13cu +#define CYDEV_CAN0_RX5_BASE 0x4000a140u +#define CYDEV_CAN0_RX5_SIZE 0x00000020u +#define CYREG_CAN0_RX5_CMD 0x4000a140u +#define CYREG_CAN0_RX5_ID 0x4000a144u +#define CYREG_CAN0_RX5_DH 0x4000a148u +#define CYREG_CAN0_RX5_DL 0x4000a14cu +#define CYREG_CAN0_RX5_AMR 0x4000a150u +#define CYREG_CAN0_RX5_ACR 0x4000a154u +#define CYREG_CAN0_RX5_AMRD 0x4000a158u +#define CYREG_CAN0_RX5_ACRD 0x4000a15cu +#define CYDEV_CAN0_RX6_BASE 0x4000a160u +#define CYDEV_CAN0_RX6_SIZE 0x00000020u +#define CYREG_CAN0_RX6_CMD 0x4000a160u +#define CYREG_CAN0_RX6_ID 0x4000a164u +#define CYREG_CAN0_RX6_DH 0x4000a168u +#define CYREG_CAN0_RX6_DL 0x4000a16cu +#define CYREG_CAN0_RX6_AMR 0x4000a170u +#define CYREG_CAN0_RX6_ACR 0x4000a174u +#define CYREG_CAN0_RX6_AMRD 0x4000a178u +#define CYREG_CAN0_RX6_ACRD 0x4000a17cu +#define CYDEV_CAN0_RX7_BASE 0x4000a180u +#define CYDEV_CAN0_RX7_SIZE 0x00000020u +#define CYREG_CAN0_RX7_CMD 0x4000a180u +#define CYREG_CAN0_RX7_ID 0x4000a184u +#define CYREG_CAN0_RX7_DH 0x4000a188u +#define CYREG_CAN0_RX7_DL 0x4000a18cu +#define CYREG_CAN0_RX7_AMR 0x4000a190u +#define CYREG_CAN0_RX7_ACR 0x4000a194u +#define CYREG_CAN0_RX7_AMRD 0x4000a198u +#define CYREG_CAN0_RX7_ACRD 0x4000a19cu +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0u +#define CYDEV_CAN0_RX8_SIZE 0x00000020u +#define CYREG_CAN0_RX8_CMD 0x4000a1a0u +#define CYREG_CAN0_RX8_ID 0x4000a1a4u +#define CYREG_CAN0_RX8_DH 0x4000a1a8u +#define CYREG_CAN0_RX8_DL 0x4000a1acu +#define CYREG_CAN0_RX8_AMR 0x4000a1b0u +#define CYREG_CAN0_RX8_ACR 0x4000a1b4u +#define CYREG_CAN0_RX8_AMRD 0x4000a1b8u +#define CYREG_CAN0_RX8_ACRD 0x4000a1bcu +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0u +#define CYDEV_CAN0_RX9_SIZE 0x00000020u +#define CYREG_CAN0_RX9_CMD 0x4000a1c0u +#define CYREG_CAN0_RX9_ID 0x4000a1c4u +#define CYREG_CAN0_RX9_DH 0x4000a1c8u +#define CYREG_CAN0_RX9_DL 0x4000a1ccu +#define CYREG_CAN0_RX9_AMR 0x4000a1d0u +#define CYREG_CAN0_RX9_ACR 0x4000a1d4u +#define CYREG_CAN0_RX9_AMRD 0x4000a1d8u +#define CYREG_CAN0_RX9_ACRD 0x4000a1dcu +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0u +#define CYDEV_CAN0_RX10_SIZE 0x00000020u +#define CYREG_CAN0_RX10_CMD 0x4000a1e0u +#define CYREG_CAN0_RX10_ID 0x4000a1e4u +#define CYREG_CAN0_RX10_DH 0x4000a1e8u +#define CYREG_CAN0_RX10_DL 0x4000a1ecu +#define CYREG_CAN0_RX10_AMR 0x4000a1f0u +#define CYREG_CAN0_RX10_ACR 0x4000a1f4u +#define CYREG_CAN0_RX10_AMRD 0x4000a1f8u +#define CYREG_CAN0_RX10_ACRD 0x4000a1fcu +#define CYDEV_CAN0_RX11_BASE 0x4000a200u +#define CYDEV_CAN0_RX11_SIZE 0x00000020u +#define CYREG_CAN0_RX11_CMD 0x4000a200u +#define CYREG_CAN0_RX11_ID 0x4000a204u +#define CYREG_CAN0_RX11_DH 0x4000a208u +#define CYREG_CAN0_RX11_DL 0x4000a20cu +#define CYREG_CAN0_RX11_AMR 0x4000a210u +#define CYREG_CAN0_RX11_ACR 0x4000a214u +#define CYREG_CAN0_RX11_AMRD 0x4000a218u +#define CYREG_CAN0_RX11_ACRD 0x4000a21cu +#define CYDEV_CAN0_RX12_BASE 0x4000a220u +#define CYDEV_CAN0_RX12_SIZE 0x00000020u +#define CYREG_CAN0_RX12_CMD 0x4000a220u +#define CYREG_CAN0_RX12_ID 0x4000a224u +#define CYREG_CAN0_RX12_DH 0x4000a228u +#define CYREG_CAN0_RX12_DL 0x4000a22cu +#define CYREG_CAN0_RX12_AMR 0x4000a230u +#define CYREG_CAN0_RX12_ACR 0x4000a234u +#define CYREG_CAN0_RX12_AMRD 0x4000a238u +#define CYREG_CAN0_RX12_ACRD 0x4000a23cu +#define CYDEV_CAN0_RX13_BASE 0x4000a240u +#define CYDEV_CAN0_RX13_SIZE 0x00000020u +#define CYREG_CAN0_RX13_CMD 0x4000a240u +#define CYREG_CAN0_RX13_ID 0x4000a244u +#define CYREG_CAN0_RX13_DH 0x4000a248u +#define CYREG_CAN0_RX13_DL 0x4000a24cu +#define CYREG_CAN0_RX13_AMR 0x4000a250u +#define CYREG_CAN0_RX13_ACR 0x4000a254u +#define CYREG_CAN0_RX13_AMRD 0x4000a258u +#define CYREG_CAN0_RX13_ACRD 0x4000a25cu +#define CYDEV_CAN0_RX14_BASE 0x4000a260u +#define CYDEV_CAN0_RX14_SIZE 0x00000020u +#define CYREG_CAN0_RX14_CMD 0x4000a260u +#define CYREG_CAN0_RX14_ID 0x4000a264u +#define CYREG_CAN0_RX14_DH 0x4000a268u +#define CYREG_CAN0_RX14_DL 0x4000a26cu +#define CYREG_CAN0_RX14_AMR 0x4000a270u +#define CYREG_CAN0_RX14_ACR 0x4000a274u +#define CYREG_CAN0_RX14_AMRD 0x4000a278u +#define CYREG_CAN0_RX14_ACRD 0x4000a27cu +#define CYDEV_CAN0_RX15_BASE 0x4000a280u +#define CYDEV_CAN0_RX15_SIZE 0x00000020u +#define CYREG_CAN0_RX15_CMD 0x4000a280u +#define CYREG_CAN0_RX15_ID 0x4000a284u +#define CYREG_CAN0_RX15_DH 0x4000a288u +#define CYREG_CAN0_RX15_DL 0x4000a28cu +#define CYREG_CAN0_RX15_AMR 0x4000a290u +#define CYREG_CAN0_RX15_ACR 0x4000a294u +#define CYREG_CAN0_RX15_AMRD 0x4000a298u +#define CYREG_CAN0_RX15_ACRD 0x4000a29cu +#define CYDEV_DFB0_BASE 0x4000c000u +#define CYDEV_DFB0_SIZE 0x000007b5u +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200u +#define CYREG_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000u +#define CYREG_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200u +#define CYREG_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200u +#define CYREG_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400u +#define CYREG_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500u +#define CYREG_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600u +#define CYREG_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040u +#define CYREG_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700u +#define CYREG_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040u +#define CYREG_DFB0_CR 0x4000c780u +#define CYREG_DFB0_SR 0x4000c784u +#define CYREG_DFB0_RAM_EN 0x4000c788u +#define CYREG_DFB0_RAM_DIR 0x4000c78cu +#define CYREG_DFB0_SEMA 0x4000c790u +#define CYREG_DFB0_DSI_CTRL 0x4000c794u +#define CYREG_DFB0_INT_CTRL 0x4000c798u +#define CYREG_DFB0_DMA_CTRL 0x4000c79cu +#define CYREG_DFB0_STAGEA 0x4000c7a0u +#define CYREG_DFB0_STAGEAM 0x4000c7a1u +#define CYREG_DFB0_STAGEAH 0x4000c7a2u +#define CYREG_DFB0_STAGEB 0x4000c7a4u +#define CYREG_DFB0_STAGEBM 0x4000c7a5u +#define CYREG_DFB0_STAGEBH 0x4000c7a6u +#define CYREG_DFB0_HOLDA 0x4000c7a8u +#define CYREG_DFB0_HOLDAM 0x4000c7a9u +#define CYREG_DFB0_HOLDAH 0x4000c7aau +#define CYREG_DFB0_HOLDAS 0x4000c7abu +#define CYREG_DFB0_HOLDB 0x4000c7acu +#define CYREG_DFB0_HOLDBM 0x4000c7adu +#define CYREG_DFB0_HOLDBH 0x4000c7aeu +#define CYREG_DFB0_HOLDBS 0x4000c7afu +#define CYREG_DFB0_COHER 0x4000c7b0u +#define CYREG_DFB0_DALIGN 0x4000c7b4u +#define CYDEV_UCFG_BASE 0x40010000u +#define CYDEV_UCFG_SIZE 0x00005040u +#define CYDEV_UCFG_B0_BASE 0x40010000u +#define CYDEV_UCFG_B0_SIZE 0x00000fefu +#define CYDEV_UCFG_B0_P0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070u +#define CYREG_B0_P0_U0_PLD_IT0 0x40010000u +#define CYREG_B0_P0_U0_PLD_IT1 0x40010004u +#define CYREG_B0_P0_U0_PLD_IT2 0x40010008u +#define CYREG_B0_P0_U0_PLD_IT3 0x4001000cu +#define CYREG_B0_P0_U0_PLD_IT4 0x40010010u +#define CYREG_B0_P0_U0_PLD_IT5 0x40010014u +#define CYREG_B0_P0_U0_PLD_IT6 0x40010018u +#define CYREG_B0_P0_U0_PLD_IT7 0x4001001cu +#define CYREG_B0_P0_U0_PLD_IT8 0x40010020u +#define CYREG_B0_P0_U0_PLD_IT9 0x40010024u +#define CYREG_B0_P0_U0_PLD_IT10 0x40010028u +#define CYREG_B0_P0_U0_PLD_IT11 0x4001002cu +#define CYREG_B0_P0_U0_PLD_ORT0 0x40010030u +#define CYREG_B0_P0_U0_PLD_ORT1 0x40010032u +#define CYREG_B0_P0_U0_PLD_ORT2 0x40010034u +#define CYREG_B0_P0_U0_PLD_ORT3 0x40010036u +#define CYREG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038u +#define CYREG_B0_P0_U0_MC_CFG_XORFB 0x4001003au +#define CYREG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003cu +#define CYREG_B0_P0_U0_MC_CFG_BYPASS 0x4001003eu +#define CYREG_B0_P0_U0_CFG0 0x40010040u +#define CYREG_B0_P0_U0_CFG1 0x40010041u +#define CYREG_B0_P0_U0_CFG2 0x40010042u +#define CYREG_B0_P0_U0_CFG3 0x40010043u +#define CYREG_B0_P0_U0_CFG4 0x40010044u +#define CYREG_B0_P0_U0_CFG5 0x40010045u +#define CYREG_B0_P0_U0_CFG6 0x40010046u +#define CYREG_B0_P0_U0_CFG7 0x40010047u +#define CYREG_B0_P0_U0_CFG8 0x40010048u +#define CYREG_B0_P0_U0_CFG9 0x40010049u +#define CYREG_B0_P0_U0_CFG10 0x4001004au +#define CYREG_B0_P0_U0_CFG11 0x4001004bu +#define CYREG_B0_P0_U0_CFG12 0x4001004cu +#define CYREG_B0_P0_U0_CFG13 0x4001004du +#define CYREG_B0_P0_U0_CFG14 0x4001004eu +#define CYREG_B0_P0_U0_CFG15 0x4001004fu +#define CYREG_B0_P0_U0_CFG16 0x40010050u +#define CYREG_B0_P0_U0_CFG17 0x40010051u +#define CYREG_B0_P0_U0_CFG18 0x40010052u +#define CYREG_B0_P0_U0_CFG19 0x40010053u +#define CYREG_B0_P0_U0_CFG20 0x40010054u +#define CYREG_B0_P0_U0_CFG21 0x40010055u +#define CYREG_B0_P0_U0_CFG22 0x40010056u +#define CYREG_B0_P0_U0_CFG23 0x40010057u +#define CYREG_B0_P0_U0_CFG24 0x40010058u +#define CYREG_B0_P0_U0_CFG25 0x40010059u +#define CYREG_B0_P0_U0_CFG26 0x4001005au +#define CYREG_B0_P0_U0_CFG27 0x4001005bu +#define CYREG_B0_P0_U0_CFG28 0x4001005cu +#define CYREG_B0_P0_U0_CFG29 0x4001005du +#define CYREG_B0_P0_U0_CFG30 0x4001005eu +#define CYREG_B0_P0_U0_CFG31 0x4001005fu +#define CYREG_B0_P0_U0_DCFG0 0x40010060u +#define CYREG_B0_P0_U0_DCFG1 0x40010062u +#define CYREG_B0_P0_U0_DCFG2 0x40010064u +#define CYREG_B0_P0_U0_DCFG3 0x40010066u +#define CYREG_B0_P0_U0_DCFG4 0x40010068u +#define CYREG_B0_P0_U0_DCFG5 0x4001006au +#define CYREG_B0_P0_U0_DCFG6 0x4001006cu +#define CYREG_B0_P0_U0_DCFG7 0x4001006eu +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070u +#define CYREG_B0_P0_U1_PLD_IT0 0x40010080u +#define CYREG_B0_P0_U1_PLD_IT1 0x40010084u +#define CYREG_B0_P0_U1_PLD_IT2 0x40010088u +#define CYREG_B0_P0_U1_PLD_IT3 0x4001008cu +#define CYREG_B0_P0_U1_PLD_IT4 0x40010090u +#define CYREG_B0_P0_U1_PLD_IT5 0x40010094u +#define CYREG_B0_P0_U1_PLD_IT6 0x40010098u +#define CYREG_B0_P0_U1_PLD_IT7 0x4001009cu +#define CYREG_B0_P0_U1_PLD_IT8 0x400100a0u +#define CYREG_B0_P0_U1_PLD_IT9 0x400100a4u +#define CYREG_B0_P0_U1_PLD_IT10 0x400100a8u +#define CYREG_B0_P0_U1_PLD_IT11 0x400100acu +#define CYREG_B0_P0_U1_PLD_ORT0 0x400100b0u +#define CYREG_B0_P0_U1_PLD_ORT1 0x400100b2u +#define CYREG_B0_P0_U1_PLD_ORT2 0x400100b4u +#define CYREG_B0_P0_U1_PLD_ORT3 0x400100b6u +#define CYREG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8u +#define CYREG_B0_P0_U1_MC_CFG_XORFB 0x400100bau +#define CYREG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bcu +#define CYREG_B0_P0_U1_MC_CFG_BYPASS 0x400100beu +#define CYREG_B0_P0_U1_CFG0 0x400100c0u +#define CYREG_B0_P0_U1_CFG1 0x400100c1u +#define CYREG_B0_P0_U1_CFG2 0x400100c2u +#define CYREG_B0_P0_U1_CFG3 0x400100c3u +#define CYREG_B0_P0_U1_CFG4 0x400100c4u +#define CYREG_B0_P0_U1_CFG5 0x400100c5u +#define CYREG_B0_P0_U1_CFG6 0x400100c6u +#define CYREG_B0_P0_U1_CFG7 0x400100c7u +#define CYREG_B0_P0_U1_CFG8 0x400100c8u +#define CYREG_B0_P0_U1_CFG9 0x400100c9u +#define CYREG_B0_P0_U1_CFG10 0x400100cau +#define CYREG_B0_P0_U1_CFG11 0x400100cbu +#define CYREG_B0_P0_U1_CFG12 0x400100ccu +#define CYREG_B0_P0_U1_CFG13 0x400100cdu +#define CYREG_B0_P0_U1_CFG14 0x400100ceu +#define CYREG_B0_P0_U1_CFG15 0x400100cfu +#define CYREG_B0_P0_U1_CFG16 0x400100d0u +#define CYREG_B0_P0_U1_CFG17 0x400100d1u +#define CYREG_B0_P0_U1_CFG18 0x400100d2u +#define CYREG_B0_P0_U1_CFG19 0x400100d3u +#define CYREG_B0_P0_U1_CFG20 0x400100d4u +#define CYREG_B0_P0_U1_CFG21 0x400100d5u +#define CYREG_B0_P0_U1_CFG22 0x400100d6u +#define CYREG_B0_P0_U1_CFG23 0x400100d7u +#define CYREG_B0_P0_U1_CFG24 0x400100d8u +#define CYREG_B0_P0_U1_CFG25 0x400100d9u +#define CYREG_B0_P0_U1_CFG26 0x400100dau +#define CYREG_B0_P0_U1_CFG27 0x400100dbu +#define CYREG_B0_P0_U1_CFG28 0x400100dcu +#define CYREG_B0_P0_U1_CFG29 0x400100ddu +#define CYREG_B0_P0_U1_CFG30 0x400100deu +#define CYREG_B0_P0_U1_CFG31 0x400100dfu +#define CYREG_B0_P0_U1_DCFG0 0x400100e0u +#define CYREG_B0_P0_U1_DCFG1 0x400100e2u +#define CYREG_B0_P0_U1_DCFG2 0x400100e4u +#define CYREG_B0_P0_U1_DCFG3 0x400100e6u +#define CYREG_B0_P0_U1_DCFG4 0x400100e8u +#define CYREG_B0_P0_U1_DCFG5 0x400100eau +#define CYREG_B0_P0_U1_DCFG6 0x400100ecu +#define CYREG_B0_P0_U1_DCFG7 0x400100eeu +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100u +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P1_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070u +#define CYREG_B0_P1_U0_PLD_IT0 0x40010200u +#define CYREG_B0_P1_U0_PLD_IT1 0x40010204u +#define CYREG_B0_P1_U0_PLD_IT2 0x40010208u +#define CYREG_B0_P1_U0_PLD_IT3 0x4001020cu +#define CYREG_B0_P1_U0_PLD_IT4 0x40010210u +#define CYREG_B0_P1_U0_PLD_IT5 0x40010214u +#define CYREG_B0_P1_U0_PLD_IT6 0x40010218u +#define CYREG_B0_P1_U0_PLD_IT7 0x4001021cu +#define CYREG_B0_P1_U0_PLD_IT8 0x40010220u +#define CYREG_B0_P1_U0_PLD_IT9 0x40010224u +#define CYREG_B0_P1_U0_PLD_IT10 0x40010228u +#define CYREG_B0_P1_U0_PLD_IT11 0x4001022cu +#define CYREG_B0_P1_U0_PLD_ORT0 0x40010230u +#define CYREG_B0_P1_U0_PLD_ORT1 0x40010232u +#define CYREG_B0_P1_U0_PLD_ORT2 0x40010234u +#define CYREG_B0_P1_U0_PLD_ORT3 0x40010236u +#define CYREG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238u +#define CYREG_B0_P1_U0_MC_CFG_XORFB 0x4001023au +#define CYREG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023cu +#define CYREG_B0_P1_U0_MC_CFG_BYPASS 0x4001023eu +#define CYREG_B0_P1_U0_CFG0 0x40010240u +#define CYREG_B0_P1_U0_CFG1 0x40010241u +#define CYREG_B0_P1_U0_CFG2 0x40010242u +#define CYREG_B0_P1_U0_CFG3 0x40010243u +#define CYREG_B0_P1_U0_CFG4 0x40010244u +#define CYREG_B0_P1_U0_CFG5 0x40010245u +#define CYREG_B0_P1_U0_CFG6 0x40010246u +#define CYREG_B0_P1_U0_CFG7 0x40010247u +#define CYREG_B0_P1_U0_CFG8 0x40010248u +#define CYREG_B0_P1_U0_CFG9 0x40010249u +#define CYREG_B0_P1_U0_CFG10 0x4001024au +#define CYREG_B0_P1_U0_CFG11 0x4001024bu +#define CYREG_B0_P1_U0_CFG12 0x4001024cu +#define CYREG_B0_P1_U0_CFG13 0x4001024du +#define CYREG_B0_P1_U0_CFG14 0x4001024eu +#define CYREG_B0_P1_U0_CFG15 0x4001024fu +#define CYREG_B0_P1_U0_CFG16 0x40010250u +#define CYREG_B0_P1_U0_CFG17 0x40010251u +#define CYREG_B0_P1_U0_CFG18 0x40010252u +#define CYREG_B0_P1_U0_CFG19 0x40010253u +#define CYREG_B0_P1_U0_CFG20 0x40010254u +#define CYREG_B0_P1_U0_CFG21 0x40010255u +#define CYREG_B0_P1_U0_CFG22 0x40010256u +#define CYREG_B0_P1_U0_CFG23 0x40010257u +#define CYREG_B0_P1_U0_CFG24 0x40010258u +#define CYREG_B0_P1_U0_CFG25 0x40010259u +#define CYREG_B0_P1_U0_CFG26 0x4001025au +#define CYREG_B0_P1_U0_CFG27 0x4001025bu +#define CYREG_B0_P1_U0_CFG28 0x4001025cu +#define CYREG_B0_P1_U0_CFG29 0x4001025du +#define CYREG_B0_P1_U0_CFG30 0x4001025eu +#define CYREG_B0_P1_U0_CFG31 0x4001025fu +#define CYREG_B0_P1_U0_DCFG0 0x40010260u +#define CYREG_B0_P1_U0_DCFG1 0x40010262u +#define CYREG_B0_P1_U0_DCFG2 0x40010264u +#define CYREG_B0_P1_U0_DCFG3 0x40010266u +#define CYREG_B0_P1_U0_DCFG4 0x40010268u +#define CYREG_B0_P1_U0_DCFG5 0x4001026au +#define CYREG_B0_P1_U0_DCFG6 0x4001026cu +#define CYREG_B0_P1_U0_DCFG7 0x4001026eu +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070u +#define CYREG_B0_P1_U1_PLD_IT0 0x40010280u +#define CYREG_B0_P1_U1_PLD_IT1 0x40010284u +#define CYREG_B0_P1_U1_PLD_IT2 0x40010288u +#define CYREG_B0_P1_U1_PLD_IT3 0x4001028cu +#define CYREG_B0_P1_U1_PLD_IT4 0x40010290u +#define CYREG_B0_P1_U1_PLD_IT5 0x40010294u +#define CYREG_B0_P1_U1_PLD_IT6 0x40010298u +#define CYREG_B0_P1_U1_PLD_IT7 0x4001029cu +#define CYREG_B0_P1_U1_PLD_IT8 0x400102a0u +#define CYREG_B0_P1_U1_PLD_IT9 0x400102a4u +#define CYREG_B0_P1_U1_PLD_IT10 0x400102a8u +#define CYREG_B0_P1_U1_PLD_IT11 0x400102acu +#define CYREG_B0_P1_U1_PLD_ORT0 0x400102b0u +#define CYREG_B0_P1_U1_PLD_ORT1 0x400102b2u +#define CYREG_B0_P1_U1_PLD_ORT2 0x400102b4u +#define CYREG_B0_P1_U1_PLD_ORT3 0x400102b6u +#define CYREG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8u +#define CYREG_B0_P1_U1_MC_CFG_XORFB 0x400102bau +#define CYREG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bcu +#define CYREG_B0_P1_U1_MC_CFG_BYPASS 0x400102beu +#define CYREG_B0_P1_U1_CFG0 0x400102c0u +#define CYREG_B0_P1_U1_CFG1 0x400102c1u +#define CYREG_B0_P1_U1_CFG2 0x400102c2u +#define CYREG_B0_P1_U1_CFG3 0x400102c3u +#define CYREG_B0_P1_U1_CFG4 0x400102c4u +#define CYREG_B0_P1_U1_CFG5 0x400102c5u +#define CYREG_B0_P1_U1_CFG6 0x400102c6u +#define CYREG_B0_P1_U1_CFG7 0x400102c7u +#define CYREG_B0_P1_U1_CFG8 0x400102c8u +#define CYREG_B0_P1_U1_CFG9 0x400102c9u +#define CYREG_B0_P1_U1_CFG10 0x400102cau +#define CYREG_B0_P1_U1_CFG11 0x400102cbu +#define CYREG_B0_P1_U1_CFG12 0x400102ccu +#define CYREG_B0_P1_U1_CFG13 0x400102cdu +#define CYREG_B0_P1_U1_CFG14 0x400102ceu +#define CYREG_B0_P1_U1_CFG15 0x400102cfu +#define CYREG_B0_P1_U1_CFG16 0x400102d0u +#define CYREG_B0_P1_U1_CFG17 0x400102d1u +#define CYREG_B0_P1_U1_CFG18 0x400102d2u +#define CYREG_B0_P1_U1_CFG19 0x400102d3u +#define CYREG_B0_P1_U1_CFG20 0x400102d4u +#define CYREG_B0_P1_U1_CFG21 0x400102d5u +#define CYREG_B0_P1_U1_CFG22 0x400102d6u +#define CYREG_B0_P1_U1_CFG23 0x400102d7u +#define CYREG_B0_P1_U1_CFG24 0x400102d8u +#define CYREG_B0_P1_U1_CFG25 0x400102d9u +#define CYREG_B0_P1_U1_CFG26 0x400102dau +#define CYREG_B0_P1_U1_CFG27 0x400102dbu +#define CYREG_B0_P1_U1_CFG28 0x400102dcu +#define CYREG_B0_P1_U1_CFG29 0x400102ddu +#define CYREG_B0_P1_U1_CFG30 0x400102deu +#define CYREG_B0_P1_U1_CFG31 0x400102dfu +#define CYREG_B0_P1_U1_DCFG0 0x400102e0u +#define CYREG_B0_P1_U1_DCFG1 0x400102e2u +#define CYREG_B0_P1_U1_DCFG2 0x400102e4u +#define CYREG_B0_P1_U1_DCFG3 0x400102e6u +#define CYREG_B0_P1_U1_DCFG4 0x400102e8u +#define CYREG_B0_P1_U1_DCFG5 0x400102eau +#define CYREG_B0_P1_U1_DCFG6 0x400102ecu +#define CYREG_B0_P1_U1_DCFG7 0x400102eeu +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300u +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P2_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070u +#define CYREG_B0_P2_U0_PLD_IT0 0x40010400u +#define CYREG_B0_P2_U0_PLD_IT1 0x40010404u +#define CYREG_B0_P2_U0_PLD_IT2 0x40010408u +#define CYREG_B0_P2_U0_PLD_IT3 0x4001040cu +#define CYREG_B0_P2_U0_PLD_IT4 0x40010410u +#define CYREG_B0_P2_U0_PLD_IT5 0x40010414u +#define CYREG_B0_P2_U0_PLD_IT6 0x40010418u +#define CYREG_B0_P2_U0_PLD_IT7 0x4001041cu +#define CYREG_B0_P2_U0_PLD_IT8 0x40010420u +#define CYREG_B0_P2_U0_PLD_IT9 0x40010424u +#define CYREG_B0_P2_U0_PLD_IT10 0x40010428u +#define CYREG_B0_P2_U0_PLD_IT11 0x4001042cu +#define CYREG_B0_P2_U0_PLD_ORT0 0x40010430u +#define CYREG_B0_P2_U0_PLD_ORT1 0x40010432u +#define CYREG_B0_P2_U0_PLD_ORT2 0x40010434u +#define CYREG_B0_P2_U0_PLD_ORT3 0x40010436u +#define CYREG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438u +#define CYREG_B0_P2_U0_MC_CFG_XORFB 0x4001043au +#define CYREG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043cu +#define CYREG_B0_P2_U0_MC_CFG_BYPASS 0x4001043eu +#define CYREG_B0_P2_U0_CFG0 0x40010440u +#define CYREG_B0_P2_U0_CFG1 0x40010441u +#define CYREG_B0_P2_U0_CFG2 0x40010442u +#define CYREG_B0_P2_U0_CFG3 0x40010443u +#define CYREG_B0_P2_U0_CFG4 0x40010444u +#define CYREG_B0_P2_U0_CFG5 0x40010445u +#define CYREG_B0_P2_U0_CFG6 0x40010446u +#define CYREG_B0_P2_U0_CFG7 0x40010447u +#define CYREG_B0_P2_U0_CFG8 0x40010448u +#define CYREG_B0_P2_U0_CFG9 0x40010449u +#define CYREG_B0_P2_U0_CFG10 0x4001044au +#define CYREG_B0_P2_U0_CFG11 0x4001044bu +#define CYREG_B0_P2_U0_CFG12 0x4001044cu +#define CYREG_B0_P2_U0_CFG13 0x4001044du +#define CYREG_B0_P2_U0_CFG14 0x4001044eu +#define CYREG_B0_P2_U0_CFG15 0x4001044fu +#define CYREG_B0_P2_U0_CFG16 0x40010450u +#define CYREG_B0_P2_U0_CFG17 0x40010451u +#define CYREG_B0_P2_U0_CFG18 0x40010452u +#define CYREG_B0_P2_U0_CFG19 0x40010453u +#define CYREG_B0_P2_U0_CFG20 0x40010454u +#define CYREG_B0_P2_U0_CFG21 0x40010455u +#define CYREG_B0_P2_U0_CFG22 0x40010456u +#define CYREG_B0_P2_U0_CFG23 0x40010457u +#define CYREG_B0_P2_U0_CFG24 0x40010458u +#define CYREG_B0_P2_U0_CFG25 0x40010459u +#define CYREG_B0_P2_U0_CFG26 0x4001045au +#define CYREG_B0_P2_U0_CFG27 0x4001045bu +#define CYREG_B0_P2_U0_CFG28 0x4001045cu +#define CYREG_B0_P2_U0_CFG29 0x4001045du +#define CYREG_B0_P2_U0_CFG30 0x4001045eu +#define CYREG_B0_P2_U0_CFG31 0x4001045fu +#define CYREG_B0_P2_U0_DCFG0 0x40010460u +#define CYREG_B0_P2_U0_DCFG1 0x40010462u +#define CYREG_B0_P2_U0_DCFG2 0x40010464u +#define CYREG_B0_P2_U0_DCFG3 0x40010466u +#define CYREG_B0_P2_U0_DCFG4 0x40010468u +#define CYREG_B0_P2_U0_DCFG5 0x4001046au +#define CYREG_B0_P2_U0_DCFG6 0x4001046cu +#define CYREG_B0_P2_U0_DCFG7 0x4001046eu +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070u +#define CYREG_B0_P2_U1_PLD_IT0 0x40010480u +#define CYREG_B0_P2_U1_PLD_IT1 0x40010484u +#define CYREG_B0_P2_U1_PLD_IT2 0x40010488u +#define CYREG_B0_P2_U1_PLD_IT3 0x4001048cu +#define CYREG_B0_P2_U1_PLD_IT4 0x40010490u +#define CYREG_B0_P2_U1_PLD_IT5 0x40010494u +#define CYREG_B0_P2_U1_PLD_IT6 0x40010498u +#define CYREG_B0_P2_U1_PLD_IT7 0x4001049cu +#define CYREG_B0_P2_U1_PLD_IT8 0x400104a0u +#define CYREG_B0_P2_U1_PLD_IT9 0x400104a4u +#define CYREG_B0_P2_U1_PLD_IT10 0x400104a8u +#define CYREG_B0_P2_U1_PLD_IT11 0x400104acu +#define CYREG_B0_P2_U1_PLD_ORT0 0x400104b0u +#define CYREG_B0_P2_U1_PLD_ORT1 0x400104b2u +#define CYREG_B0_P2_U1_PLD_ORT2 0x400104b4u +#define CYREG_B0_P2_U1_PLD_ORT3 0x400104b6u +#define CYREG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8u +#define CYREG_B0_P2_U1_MC_CFG_XORFB 0x400104bau +#define CYREG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bcu +#define CYREG_B0_P2_U1_MC_CFG_BYPASS 0x400104beu +#define CYREG_B0_P2_U1_CFG0 0x400104c0u +#define CYREG_B0_P2_U1_CFG1 0x400104c1u +#define CYREG_B0_P2_U1_CFG2 0x400104c2u +#define CYREG_B0_P2_U1_CFG3 0x400104c3u +#define CYREG_B0_P2_U1_CFG4 0x400104c4u +#define CYREG_B0_P2_U1_CFG5 0x400104c5u +#define CYREG_B0_P2_U1_CFG6 0x400104c6u +#define CYREG_B0_P2_U1_CFG7 0x400104c7u +#define CYREG_B0_P2_U1_CFG8 0x400104c8u +#define CYREG_B0_P2_U1_CFG9 0x400104c9u +#define CYREG_B0_P2_U1_CFG10 0x400104cau +#define CYREG_B0_P2_U1_CFG11 0x400104cbu +#define CYREG_B0_P2_U1_CFG12 0x400104ccu +#define CYREG_B0_P2_U1_CFG13 0x400104cdu +#define CYREG_B0_P2_U1_CFG14 0x400104ceu +#define CYREG_B0_P2_U1_CFG15 0x400104cfu +#define CYREG_B0_P2_U1_CFG16 0x400104d0u +#define CYREG_B0_P2_U1_CFG17 0x400104d1u +#define CYREG_B0_P2_U1_CFG18 0x400104d2u +#define CYREG_B0_P2_U1_CFG19 0x400104d3u +#define CYREG_B0_P2_U1_CFG20 0x400104d4u +#define CYREG_B0_P2_U1_CFG21 0x400104d5u +#define CYREG_B0_P2_U1_CFG22 0x400104d6u +#define CYREG_B0_P2_U1_CFG23 0x400104d7u +#define CYREG_B0_P2_U1_CFG24 0x400104d8u +#define CYREG_B0_P2_U1_CFG25 0x400104d9u +#define CYREG_B0_P2_U1_CFG26 0x400104dau +#define CYREG_B0_P2_U1_CFG27 0x400104dbu +#define CYREG_B0_P2_U1_CFG28 0x400104dcu +#define CYREG_B0_P2_U1_CFG29 0x400104ddu +#define CYREG_B0_P2_U1_CFG30 0x400104deu +#define CYREG_B0_P2_U1_CFG31 0x400104dfu +#define CYREG_B0_P2_U1_DCFG0 0x400104e0u +#define CYREG_B0_P2_U1_DCFG1 0x400104e2u +#define CYREG_B0_P2_U1_DCFG2 0x400104e4u +#define CYREG_B0_P2_U1_DCFG3 0x400104e6u +#define CYREG_B0_P2_U1_DCFG4 0x400104e8u +#define CYREG_B0_P2_U1_DCFG5 0x400104eau +#define CYREG_B0_P2_U1_DCFG6 0x400104ecu +#define CYREG_B0_P2_U1_DCFG7 0x400104eeu +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500u +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P3_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070u +#define CYREG_B0_P3_U0_PLD_IT0 0x40010600u +#define CYREG_B0_P3_U0_PLD_IT1 0x40010604u +#define CYREG_B0_P3_U0_PLD_IT2 0x40010608u +#define CYREG_B0_P3_U0_PLD_IT3 0x4001060cu +#define CYREG_B0_P3_U0_PLD_IT4 0x40010610u +#define CYREG_B0_P3_U0_PLD_IT5 0x40010614u +#define CYREG_B0_P3_U0_PLD_IT6 0x40010618u +#define CYREG_B0_P3_U0_PLD_IT7 0x4001061cu +#define CYREG_B0_P3_U0_PLD_IT8 0x40010620u +#define CYREG_B0_P3_U0_PLD_IT9 0x40010624u +#define CYREG_B0_P3_U0_PLD_IT10 0x40010628u +#define CYREG_B0_P3_U0_PLD_IT11 0x4001062cu +#define CYREG_B0_P3_U0_PLD_ORT0 0x40010630u +#define CYREG_B0_P3_U0_PLD_ORT1 0x40010632u +#define CYREG_B0_P3_U0_PLD_ORT2 0x40010634u +#define CYREG_B0_P3_U0_PLD_ORT3 0x40010636u +#define CYREG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638u +#define CYREG_B0_P3_U0_MC_CFG_XORFB 0x4001063au +#define CYREG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063cu +#define CYREG_B0_P3_U0_MC_CFG_BYPASS 0x4001063eu +#define CYREG_B0_P3_U0_CFG0 0x40010640u +#define CYREG_B0_P3_U0_CFG1 0x40010641u +#define CYREG_B0_P3_U0_CFG2 0x40010642u +#define CYREG_B0_P3_U0_CFG3 0x40010643u +#define CYREG_B0_P3_U0_CFG4 0x40010644u +#define CYREG_B0_P3_U0_CFG5 0x40010645u +#define CYREG_B0_P3_U0_CFG6 0x40010646u +#define CYREG_B0_P3_U0_CFG7 0x40010647u +#define CYREG_B0_P3_U0_CFG8 0x40010648u +#define CYREG_B0_P3_U0_CFG9 0x40010649u +#define CYREG_B0_P3_U0_CFG10 0x4001064au +#define CYREG_B0_P3_U0_CFG11 0x4001064bu +#define CYREG_B0_P3_U0_CFG12 0x4001064cu +#define CYREG_B0_P3_U0_CFG13 0x4001064du +#define CYREG_B0_P3_U0_CFG14 0x4001064eu +#define CYREG_B0_P3_U0_CFG15 0x4001064fu +#define CYREG_B0_P3_U0_CFG16 0x40010650u +#define CYREG_B0_P3_U0_CFG17 0x40010651u +#define CYREG_B0_P3_U0_CFG18 0x40010652u +#define CYREG_B0_P3_U0_CFG19 0x40010653u +#define CYREG_B0_P3_U0_CFG20 0x40010654u +#define CYREG_B0_P3_U0_CFG21 0x40010655u +#define CYREG_B0_P3_U0_CFG22 0x40010656u +#define CYREG_B0_P3_U0_CFG23 0x40010657u +#define CYREG_B0_P3_U0_CFG24 0x40010658u +#define CYREG_B0_P3_U0_CFG25 0x40010659u +#define CYREG_B0_P3_U0_CFG26 0x4001065au +#define CYREG_B0_P3_U0_CFG27 0x4001065bu +#define CYREG_B0_P3_U0_CFG28 0x4001065cu +#define CYREG_B0_P3_U0_CFG29 0x4001065du +#define CYREG_B0_P3_U0_CFG30 0x4001065eu +#define CYREG_B0_P3_U0_CFG31 0x4001065fu +#define CYREG_B0_P3_U0_DCFG0 0x40010660u +#define CYREG_B0_P3_U0_DCFG1 0x40010662u +#define CYREG_B0_P3_U0_DCFG2 0x40010664u +#define CYREG_B0_P3_U0_DCFG3 0x40010666u +#define CYREG_B0_P3_U0_DCFG4 0x40010668u +#define CYREG_B0_P3_U0_DCFG5 0x4001066au +#define CYREG_B0_P3_U0_DCFG6 0x4001066cu +#define CYREG_B0_P3_U0_DCFG7 0x4001066eu +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070u +#define CYREG_B0_P3_U1_PLD_IT0 0x40010680u +#define CYREG_B0_P3_U1_PLD_IT1 0x40010684u +#define CYREG_B0_P3_U1_PLD_IT2 0x40010688u +#define CYREG_B0_P3_U1_PLD_IT3 0x4001068cu +#define CYREG_B0_P3_U1_PLD_IT4 0x40010690u +#define CYREG_B0_P3_U1_PLD_IT5 0x40010694u +#define CYREG_B0_P3_U1_PLD_IT6 0x40010698u +#define CYREG_B0_P3_U1_PLD_IT7 0x4001069cu +#define CYREG_B0_P3_U1_PLD_IT8 0x400106a0u +#define CYREG_B0_P3_U1_PLD_IT9 0x400106a4u +#define CYREG_B0_P3_U1_PLD_IT10 0x400106a8u +#define CYREG_B0_P3_U1_PLD_IT11 0x400106acu +#define CYREG_B0_P3_U1_PLD_ORT0 0x400106b0u +#define CYREG_B0_P3_U1_PLD_ORT1 0x400106b2u +#define CYREG_B0_P3_U1_PLD_ORT2 0x400106b4u +#define CYREG_B0_P3_U1_PLD_ORT3 0x400106b6u +#define CYREG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8u +#define CYREG_B0_P3_U1_MC_CFG_XORFB 0x400106bau +#define CYREG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bcu +#define CYREG_B0_P3_U1_MC_CFG_BYPASS 0x400106beu +#define CYREG_B0_P3_U1_CFG0 0x400106c0u +#define CYREG_B0_P3_U1_CFG1 0x400106c1u +#define CYREG_B0_P3_U1_CFG2 0x400106c2u +#define CYREG_B0_P3_U1_CFG3 0x400106c3u +#define CYREG_B0_P3_U1_CFG4 0x400106c4u +#define CYREG_B0_P3_U1_CFG5 0x400106c5u +#define CYREG_B0_P3_U1_CFG6 0x400106c6u +#define CYREG_B0_P3_U1_CFG7 0x400106c7u +#define CYREG_B0_P3_U1_CFG8 0x400106c8u +#define CYREG_B0_P3_U1_CFG9 0x400106c9u +#define CYREG_B0_P3_U1_CFG10 0x400106cau +#define CYREG_B0_P3_U1_CFG11 0x400106cbu +#define CYREG_B0_P3_U1_CFG12 0x400106ccu +#define CYREG_B0_P3_U1_CFG13 0x400106cdu +#define CYREG_B0_P3_U1_CFG14 0x400106ceu +#define CYREG_B0_P3_U1_CFG15 0x400106cfu +#define CYREG_B0_P3_U1_CFG16 0x400106d0u +#define CYREG_B0_P3_U1_CFG17 0x400106d1u +#define CYREG_B0_P3_U1_CFG18 0x400106d2u +#define CYREG_B0_P3_U1_CFG19 0x400106d3u +#define CYREG_B0_P3_U1_CFG20 0x400106d4u +#define CYREG_B0_P3_U1_CFG21 0x400106d5u +#define CYREG_B0_P3_U1_CFG22 0x400106d6u +#define CYREG_B0_P3_U1_CFG23 0x400106d7u +#define CYREG_B0_P3_U1_CFG24 0x400106d8u +#define CYREG_B0_P3_U1_CFG25 0x400106d9u +#define CYREG_B0_P3_U1_CFG26 0x400106dau +#define CYREG_B0_P3_U1_CFG27 0x400106dbu +#define CYREG_B0_P3_U1_CFG28 0x400106dcu +#define CYREG_B0_P3_U1_CFG29 0x400106ddu +#define CYREG_B0_P3_U1_CFG30 0x400106deu +#define CYREG_B0_P3_U1_CFG31 0x400106dfu +#define CYREG_B0_P3_U1_DCFG0 0x400106e0u +#define CYREG_B0_P3_U1_DCFG1 0x400106e2u +#define CYREG_B0_P3_U1_DCFG2 0x400106e4u +#define CYREG_B0_P3_U1_DCFG3 0x400106e6u +#define CYREG_B0_P3_U1_DCFG4 0x400106e8u +#define CYREG_B0_P3_U1_DCFG5 0x400106eau +#define CYREG_B0_P3_U1_DCFG6 0x400106ecu +#define CYREG_B0_P3_U1_DCFG7 0x400106eeu +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700u +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P4_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070u +#define CYREG_B0_P4_U0_PLD_IT0 0x40010800u +#define CYREG_B0_P4_U0_PLD_IT1 0x40010804u +#define CYREG_B0_P4_U0_PLD_IT2 0x40010808u +#define CYREG_B0_P4_U0_PLD_IT3 0x4001080cu +#define CYREG_B0_P4_U0_PLD_IT4 0x40010810u +#define CYREG_B0_P4_U0_PLD_IT5 0x40010814u +#define CYREG_B0_P4_U0_PLD_IT6 0x40010818u +#define CYREG_B0_P4_U0_PLD_IT7 0x4001081cu +#define CYREG_B0_P4_U0_PLD_IT8 0x40010820u +#define CYREG_B0_P4_U0_PLD_IT9 0x40010824u +#define CYREG_B0_P4_U0_PLD_IT10 0x40010828u +#define CYREG_B0_P4_U0_PLD_IT11 0x4001082cu +#define CYREG_B0_P4_U0_PLD_ORT0 0x40010830u +#define CYREG_B0_P4_U0_PLD_ORT1 0x40010832u +#define CYREG_B0_P4_U0_PLD_ORT2 0x40010834u +#define CYREG_B0_P4_U0_PLD_ORT3 0x40010836u +#define CYREG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838u +#define CYREG_B0_P4_U0_MC_CFG_XORFB 0x4001083au +#define CYREG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083cu +#define CYREG_B0_P4_U0_MC_CFG_BYPASS 0x4001083eu +#define CYREG_B0_P4_U0_CFG0 0x40010840u +#define CYREG_B0_P4_U0_CFG1 0x40010841u +#define CYREG_B0_P4_U0_CFG2 0x40010842u +#define CYREG_B0_P4_U0_CFG3 0x40010843u +#define CYREG_B0_P4_U0_CFG4 0x40010844u +#define CYREG_B0_P4_U0_CFG5 0x40010845u +#define CYREG_B0_P4_U0_CFG6 0x40010846u +#define CYREG_B0_P4_U0_CFG7 0x40010847u +#define CYREG_B0_P4_U0_CFG8 0x40010848u +#define CYREG_B0_P4_U0_CFG9 0x40010849u +#define CYREG_B0_P4_U0_CFG10 0x4001084au +#define CYREG_B0_P4_U0_CFG11 0x4001084bu +#define CYREG_B0_P4_U0_CFG12 0x4001084cu +#define CYREG_B0_P4_U0_CFG13 0x4001084du +#define CYREG_B0_P4_U0_CFG14 0x4001084eu +#define CYREG_B0_P4_U0_CFG15 0x4001084fu +#define CYREG_B0_P4_U0_CFG16 0x40010850u +#define CYREG_B0_P4_U0_CFG17 0x40010851u +#define CYREG_B0_P4_U0_CFG18 0x40010852u +#define CYREG_B0_P4_U0_CFG19 0x40010853u +#define CYREG_B0_P4_U0_CFG20 0x40010854u +#define CYREG_B0_P4_U0_CFG21 0x40010855u +#define CYREG_B0_P4_U0_CFG22 0x40010856u +#define CYREG_B0_P4_U0_CFG23 0x40010857u +#define CYREG_B0_P4_U0_CFG24 0x40010858u +#define CYREG_B0_P4_U0_CFG25 0x40010859u +#define CYREG_B0_P4_U0_CFG26 0x4001085au +#define CYREG_B0_P4_U0_CFG27 0x4001085bu +#define CYREG_B0_P4_U0_CFG28 0x4001085cu +#define CYREG_B0_P4_U0_CFG29 0x4001085du +#define CYREG_B0_P4_U0_CFG30 0x4001085eu +#define CYREG_B0_P4_U0_CFG31 0x4001085fu +#define CYREG_B0_P4_U0_DCFG0 0x40010860u +#define CYREG_B0_P4_U0_DCFG1 0x40010862u +#define CYREG_B0_P4_U0_DCFG2 0x40010864u +#define CYREG_B0_P4_U0_DCFG3 0x40010866u +#define CYREG_B0_P4_U0_DCFG4 0x40010868u +#define CYREG_B0_P4_U0_DCFG5 0x4001086au +#define CYREG_B0_P4_U0_DCFG6 0x4001086cu +#define CYREG_B0_P4_U0_DCFG7 0x4001086eu +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070u +#define CYREG_B0_P4_U1_PLD_IT0 0x40010880u +#define CYREG_B0_P4_U1_PLD_IT1 0x40010884u +#define CYREG_B0_P4_U1_PLD_IT2 0x40010888u +#define CYREG_B0_P4_U1_PLD_IT3 0x4001088cu +#define CYREG_B0_P4_U1_PLD_IT4 0x40010890u +#define CYREG_B0_P4_U1_PLD_IT5 0x40010894u +#define CYREG_B0_P4_U1_PLD_IT6 0x40010898u +#define CYREG_B0_P4_U1_PLD_IT7 0x4001089cu +#define CYREG_B0_P4_U1_PLD_IT8 0x400108a0u +#define CYREG_B0_P4_U1_PLD_IT9 0x400108a4u +#define CYREG_B0_P4_U1_PLD_IT10 0x400108a8u +#define CYREG_B0_P4_U1_PLD_IT11 0x400108acu +#define CYREG_B0_P4_U1_PLD_ORT0 0x400108b0u +#define CYREG_B0_P4_U1_PLD_ORT1 0x400108b2u +#define CYREG_B0_P4_U1_PLD_ORT2 0x400108b4u +#define CYREG_B0_P4_U1_PLD_ORT3 0x400108b6u +#define CYREG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8u +#define CYREG_B0_P4_U1_MC_CFG_XORFB 0x400108bau +#define CYREG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bcu +#define CYREG_B0_P4_U1_MC_CFG_BYPASS 0x400108beu +#define CYREG_B0_P4_U1_CFG0 0x400108c0u +#define CYREG_B0_P4_U1_CFG1 0x400108c1u +#define CYREG_B0_P4_U1_CFG2 0x400108c2u +#define CYREG_B0_P4_U1_CFG3 0x400108c3u +#define CYREG_B0_P4_U1_CFG4 0x400108c4u +#define CYREG_B0_P4_U1_CFG5 0x400108c5u +#define CYREG_B0_P4_U1_CFG6 0x400108c6u +#define CYREG_B0_P4_U1_CFG7 0x400108c7u +#define CYREG_B0_P4_U1_CFG8 0x400108c8u +#define CYREG_B0_P4_U1_CFG9 0x400108c9u +#define CYREG_B0_P4_U1_CFG10 0x400108cau +#define CYREG_B0_P4_U1_CFG11 0x400108cbu +#define CYREG_B0_P4_U1_CFG12 0x400108ccu +#define CYREG_B0_P4_U1_CFG13 0x400108cdu +#define CYREG_B0_P4_U1_CFG14 0x400108ceu +#define CYREG_B0_P4_U1_CFG15 0x400108cfu +#define CYREG_B0_P4_U1_CFG16 0x400108d0u +#define CYREG_B0_P4_U1_CFG17 0x400108d1u +#define CYREG_B0_P4_U1_CFG18 0x400108d2u +#define CYREG_B0_P4_U1_CFG19 0x400108d3u +#define CYREG_B0_P4_U1_CFG20 0x400108d4u +#define CYREG_B0_P4_U1_CFG21 0x400108d5u +#define CYREG_B0_P4_U1_CFG22 0x400108d6u +#define CYREG_B0_P4_U1_CFG23 0x400108d7u +#define CYREG_B0_P4_U1_CFG24 0x400108d8u +#define CYREG_B0_P4_U1_CFG25 0x400108d9u +#define CYREG_B0_P4_U1_CFG26 0x400108dau +#define CYREG_B0_P4_U1_CFG27 0x400108dbu +#define CYREG_B0_P4_U1_CFG28 0x400108dcu +#define CYREG_B0_P4_U1_CFG29 0x400108ddu +#define CYREG_B0_P4_U1_CFG30 0x400108deu +#define CYREG_B0_P4_U1_CFG31 0x400108dfu +#define CYREG_B0_P4_U1_DCFG0 0x400108e0u +#define CYREG_B0_P4_U1_DCFG1 0x400108e2u +#define CYREG_B0_P4_U1_DCFG2 0x400108e4u +#define CYREG_B0_P4_U1_DCFG3 0x400108e6u +#define CYREG_B0_P4_U1_DCFG4 0x400108e8u +#define CYREG_B0_P4_U1_DCFG5 0x400108eau +#define CYREG_B0_P4_U1_DCFG6 0x400108ecu +#define CYREG_B0_P4_U1_DCFG7 0x400108eeu +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900u +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070u +#define CYREG_B0_P5_U0_PLD_IT0 0x40010a00u +#define CYREG_B0_P5_U0_PLD_IT1 0x40010a04u +#define CYREG_B0_P5_U0_PLD_IT2 0x40010a08u +#define CYREG_B0_P5_U0_PLD_IT3 0x40010a0cu +#define CYREG_B0_P5_U0_PLD_IT4 0x40010a10u +#define CYREG_B0_P5_U0_PLD_IT5 0x40010a14u +#define CYREG_B0_P5_U0_PLD_IT6 0x40010a18u +#define CYREG_B0_P5_U0_PLD_IT7 0x40010a1cu +#define CYREG_B0_P5_U0_PLD_IT8 0x40010a20u +#define CYREG_B0_P5_U0_PLD_IT9 0x40010a24u +#define CYREG_B0_P5_U0_PLD_IT10 0x40010a28u +#define CYREG_B0_P5_U0_PLD_IT11 0x40010a2cu +#define CYREG_B0_P5_U0_PLD_ORT0 0x40010a30u +#define CYREG_B0_P5_U0_PLD_ORT1 0x40010a32u +#define CYREG_B0_P5_U0_PLD_ORT2 0x40010a34u +#define CYREG_B0_P5_U0_PLD_ORT3 0x40010a36u +#define CYREG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38u +#define CYREG_B0_P5_U0_MC_CFG_XORFB 0x40010a3au +#define CYREG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3cu +#define CYREG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3eu +#define CYREG_B0_P5_U0_CFG0 0x40010a40u +#define CYREG_B0_P5_U0_CFG1 0x40010a41u +#define CYREG_B0_P5_U0_CFG2 0x40010a42u +#define CYREG_B0_P5_U0_CFG3 0x40010a43u +#define CYREG_B0_P5_U0_CFG4 0x40010a44u +#define CYREG_B0_P5_U0_CFG5 0x40010a45u +#define CYREG_B0_P5_U0_CFG6 0x40010a46u +#define CYREG_B0_P5_U0_CFG7 0x40010a47u +#define CYREG_B0_P5_U0_CFG8 0x40010a48u +#define CYREG_B0_P5_U0_CFG9 0x40010a49u +#define CYREG_B0_P5_U0_CFG10 0x40010a4au +#define CYREG_B0_P5_U0_CFG11 0x40010a4bu +#define CYREG_B0_P5_U0_CFG12 0x40010a4cu +#define CYREG_B0_P5_U0_CFG13 0x40010a4du +#define CYREG_B0_P5_U0_CFG14 0x40010a4eu +#define CYREG_B0_P5_U0_CFG15 0x40010a4fu +#define CYREG_B0_P5_U0_CFG16 0x40010a50u +#define CYREG_B0_P5_U0_CFG17 0x40010a51u +#define CYREG_B0_P5_U0_CFG18 0x40010a52u +#define CYREG_B0_P5_U0_CFG19 0x40010a53u +#define CYREG_B0_P5_U0_CFG20 0x40010a54u +#define CYREG_B0_P5_U0_CFG21 0x40010a55u +#define CYREG_B0_P5_U0_CFG22 0x40010a56u +#define CYREG_B0_P5_U0_CFG23 0x40010a57u +#define CYREG_B0_P5_U0_CFG24 0x40010a58u +#define CYREG_B0_P5_U0_CFG25 0x40010a59u +#define CYREG_B0_P5_U0_CFG26 0x40010a5au +#define CYREG_B0_P5_U0_CFG27 0x40010a5bu +#define CYREG_B0_P5_U0_CFG28 0x40010a5cu +#define CYREG_B0_P5_U0_CFG29 0x40010a5du +#define CYREG_B0_P5_U0_CFG30 0x40010a5eu +#define CYREG_B0_P5_U0_CFG31 0x40010a5fu +#define CYREG_B0_P5_U0_DCFG0 0x40010a60u +#define CYREG_B0_P5_U0_DCFG1 0x40010a62u +#define CYREG_B0_P5_U0_DCFG2 0x40010a64u +#define CYREG_B0_P5_U0_DCFG3 0x40010a66u +#define CYREG_B0_P5_U0_DCFG4 0x40010a68u +#define CYREG_B0_P5_U0_DCFG5 0x40010a6au +#define CYREG_B0_P5_U0_DCFG6 0x40010a6cu +#define CYREG_B0_P5_U0_DCFG7 0x40010a6eu +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070u +#define CYREG_B0_P5_U1_PLD_IT0 0x40010a80u +#define CYREG_B0_P5_U1_PLD_IT1 0x40010a84u +#define CYREG_B0_P5_U1_PLD_IT2 0x40010a88u +#define CYREG_B0_P5_U1_PLD_IT3 0x40010a8cu +#define CYREG_B0_P5_U1_PLD_IT4 0x40010a90u +#define CYREG_B0_P5_U1_PLD_IT5 0x40010a94u +#define CYREG_B0_P5_U1_PLD_IT6 0x40010a98u +#define CYREG_B0_P5_U1_PLD_IT7 0x40010a9cu +#define CYREG_B0_P5_U1_PLD_IT8 0x40010aa0u +#define CYREG_B0_P5_U1_PLD_IT9 0x40010aa4u +#define CYREG_B0_P5_U1_PLD_IT10 0x40010aa8u +#define CYREG_B0_P5_U1_PLD_IT11 0x40010aacu +#define CYREG_B0_P5_U1_PLD_ORT0 0x40010ab0u +#define CYREG_B0_P5_U1_PLD_ORT1 0x40010ab2u +#define CYREG_B0_P5_U1_PLD_ORT2 0x40010ab4u +#define CYREG_B0_P5_U1_PLD_ORT3 0x40010ab6u +#define CYREG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8u +#define CYREG_B0_P5_U1_MC_CFG_XORFB 0x40010abau +#define CYREG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abcu +#define CYREG_B0_P5_U1_MC_CFG_BYPASS 0x40010abeu +#define CYREG_B0_P5_U1_CFG0 0x40010ac0u +#define CYREG_B0_P5_U1_CFG1 0x40010ac1u +#define CYREG_B0_P5_U1_CFG2 0x40010ac2u +#define CYREG_B0_P5_U1_CFG3 0x40010ac3u +#define CYREG_B0_P5_U1_CFG4 0x40010ac4u +#define CYREG_B0_P5_U1_CFG5 0x40010ac5u +#define CYREG_B0_P5_U1_CFG6 0x40010ac6u +#define CYREG_B0_P5_U1_CFG7 0x40010ac7u +#define CYREG_B0_P5_U1_CFG8 0x40010ac8u +#define CYREG_B0_P5_U1_CFG9 0x40010ac9u +#define CYREG_B0_P5_U1_CFG10 0x40010acau +#define CYREG_B0_P5_U1_CFG11 0x40010acbu +#define CYREG_B0_P5_U1_CFG12 0x40010accu +#define CYREG_B0_P5_U1_CFG13 0x40010acdu +#define CYREG_B0_P5_U1_CFG14 0x40010aceu +#define CYREG_B0_P5_U1_CFG15 0x40010acfu +#define CYREG_B0_P5_U1_CFG16 0x40010ad0u +#define CYREG_B0_P5_U1_CFG17 0x40010ad1u +#define CYREG_B0_P5_U1_CFG18 0x40010ad2u +#define CYREG_B0_P5_U1_CFG19 0x40010ad3u +#define CYREG_B0_P5_U1_CFG20 0x40010ad4u +#define CYREG_B0_P5_U1_CFG21 0x40010ad5u +#define CYREG_B0_P5_U1_CFG22 0x40010ad6u +#define CYREG_B0_P5_U1_CFG23 0x40010ad7u +#define CYREG_B0_P5_U1_CFG24 0x40010ad8u +#define CYREG_B0_P5_U1_CFG25 0x40010ad9u +#define CYREG_B0_P5_U1_CFG26 0x40010adau +#define CYREG_B0_P5_U1_CFG27 0x40010adbu +#define CYREG_B0_P5_U1_CFG28 0x40010adcu +#define CYREG_B0_P5_U1_CFG29 0x40010addu +#define CYREG_B0_P5_U1_CFG30 0x40010adeu +#define CYREG_B0_P5_U1_CFG31 0x40010adfu +#define CYREG_B0_P5_U1_DCFG0 0x40010ae0u +#define CYREG_B0_P5_U1_DCFG1 0x40010ae2u +#define CYREG_B0_P5_U1_DCFG2 0x40010ae4u +#define CYREG_B0_P5_U1_DCFG3 0x40010ae6u +#define CYREG_B0_P5_U1_DCFG4 0x40010ae8u +#define CYREG_B0_P5_U1_DCFG5 0x40010aeau +#define CYREG_B0_P5_U1_DCFG6 0x40010aecu +#define CYREG_B0_P5_U1_DCFG7 0x40010aeeu +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00u +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070u +#define CYREG_B0_P6_U0_PLD_IT0 0x40010c00u +#define CYREG_B0_P6_U0_PLD_IT1 0x40010c04u +#define CYREG_B0_P6_U0_PLD_IT2 0x40010c08u +#define CYREG_B0_P6_U0_PLD_IT3 0x40010c0cu +#define CYREG_B0_P6_U0_PLD_IT4 0x40010c10u +#define CYREG_B0_P6_U0_PLD_IT5 0x40010c14u +#define CYREG_B0_P6_U0_PLD_IT6 0x40010c18u +#define CYREG_B0_P6_U0_PLD_IT7 0x40010c1cu +#define CYREG_B0_P6_U0_PLD_IT8 0x40010c20u +#define CYREG_B0_P6_U0_PLD_IT9 0x40010c24u +#define CYREG_B0_P6_U0_PLD_IT10 0x40010c28u +#define CYREG_B0_P6_U0_PLD_IT11 0x40010c2cu +#define CYREG_B0_P6_U0_PLD_ORT0 0x40010c30u +#define CYREG_B0_P6_U0_PLD_ORT1 0x40010c32u +#define CYREG_B0_P6_U0_PLD_ORT2 0x40010c34u +#define CYREG_B0_P6_U0_PLD_ORT3 0x40010c36u +#define CYREG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38u +#define CYREG_B0_P6_U0_MC_CFG_XORFB 0x40010c3au +#define CYREG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3cu +#define CYREG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3eu +#define CYREG_B0_P6_U0_CFG0 0x40010c40u +#define CYREG_B0_P6_U0_CFG1 0x40010c41u +#define CYREG_B0_P6_U0_CFG2 0x40010c42u +#define CYREG_B0_P6_U0_CFG3 0x40010c43u +#define CYREG_B0_P6_U0_CFG4 0x40010c44u +#define CYREG_B0_P6_U0_CFG5 0x40010c45u +#define CYREG_B0_P6_U0_CFG6 0x40010c46u +#define CYREG_B0_P6_U0_CFG7 0x40010c47u +#define CYREG_B0_P6_U0_CFG8 0x40010c48u +#define CYREG_B0_P6_U0_CFG9 0x40010c49u +#define CYREG_B0_P6_U0_CFG10 0x40010c4au +#define CYREG_B0_P6_U0_CFG11 0x40010c4bu +#define CYREG_B0_P6_U0_CFG12 0x40010c4cu +#define CYREG_B0_P6_U0_CFG13 0x40010c4du +#define CYREG_B0_P6_U0_CFG14 0x40010c4eu +#define CYREG_B0_P6_U0_CFG15 0x40010c4fu +#define CYREG_B0_P6_U0_CFG16 0x40010c50u +#define CYREG_B0_P6_U0_CFG17 0x40010c51u +#define CYREG_B0_P6_U0_CFG18 0x40010c52u +#define CYREG_B0_P6_U0_CFG19 0x40010c53u +#define CYREG_B0_P6_U0_CFG20 0x40010c54u +#define CYREG_B0_P6_U0_CFG21 0x40010c55u +#define CYREG_B0_P6_U0_CFG22 0x40010c56u +#define CYREG_B0_P6_U0_CFG23 0x40010c57u +#define CYREG_B0_P6_U0_CFG24 0x40010c58u +#define CYREG_B0_P6_U0_CFG25 0x40010c59u +#define CYREG_B0_P6_U0_CFG26 0x40010c5au +#define CYREG_B0_P6_U0_CFG27 0x40010c5bu +#define CYREG_B0_P6_U0_CFG28 0x40010c5cu +#define CYREG_B0_P6_U0_CFG29 0x40010c5du +#define CYREG_B0_P6_U0_CFG30 0x40010c5eu +#define CYREG_B0_P6_U0_CFG31 0x40010c5fu +#define CYREG_B0_P6_U0_DCFG0 0x40010c60u +#define CYREG_B0_P6_U0_DCFG1 0x40010c62u +#define CYREG_B0_P6_U0_DCFG2 0x40010c64u +#define CYREG_B0_P6_U0_DCFG3 0x40010c66u +#define CYREG_B0_P6_U0_DCFG4 0x40010c68u +#define CYREG_B0_P6_U0_DCFG5 0x40010c6au +#define CYREG_B0_P6_U0_DCFG6 0x40010c6cu +#define CYREG_B0_P6_U0_DCFG7 0x40010c6eu +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070u +#define CYREG_B0_P6_U1_PLD_IT0 0x40010c80u +#define CYREG_B0_P6_U1_PLD_IT1 0x40010c84u +#define CYREG_B0_P6_U1_PLD_IT2 0x40010c88u +#define CYREG_B0_P6_U1_PLD_IT3 0x40010c8cu +#define CYREG_B0_P6_U1_PLD_IT4 0x40010c90u +#define CYREG_B0_P6_U1_PLD_IT5 0x40010c94u +#define CYREG_B0_P6_U1_PLD_IT6 0x40010c98u +#define CYREG_B0_P6_U1_PLD_IT7 0x40010c9cu +#define CYREG_B0_P6_U1_PLD_IT8 0x40010ca0u +#define CYREG_B0_P6_U1_PLD_IT9 0x40010ca4u +#define CYREG_B0_P6_U1_PLD_IT10 0x40010ca8u +#define CYREG_B0_P6_U1_PLD_IT11 0x40010cacu +#define CYREG_B0_P6_U1_PLD_ORT0 0x40010cb0u +#define CYREG_B0_P6_U1_PLD_ORT1 0x40010cb2u +#define CYREG_B0_P6_U1_PLD_ORT2 0x40010cb4u +#define CYREG_B0_P6_U1_PLD_ORT3 0x40010cb6u +#define CYREG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8u +#define CYREG_B0_P6_U1_MC_CFG_XORFB 0x40010cbau +#define CYREG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbcu +#define CYREG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbeu +#define CYREG_B0_P6_U1_CFG0 0x40010cc0u +#define CYREG_B0_P6_U1_CFG1 0x40010cc1u +#define CYREG_B0_P6_U1_CFG2 0x40010cc2u +#define CYREG_B0_P6_U1_CFG3 0x40010cc3u +#define CYREG_B0_P6_U1_CFG4 0x40010cc4u +#define CYREG_B0_P6_U1_CFG5 0x40010cc5u +#define CYREG_B0_P6_U1_CFG6 0x40010cc6u +#define CYREG_B0_P6_U1_CFG7 0x40010cc7u +#define CYREG_B0_P6_U1_CFG8 0x40010cc8u +#define CYREG_B0_P6_U1_CFG9 0x40010cc9u +#define CYREG_B0_P6_U1_CFG10 0x40010ccau +#define CYREG_B0_P6_U1_CFG11 0x40010ccbu +#define CYREG_B0_P6_U1_CFG12 0x40010cccu +#define CYREG_B0_P6_U1_CFG13 0x40010ccdu +#define CYREG_B0_P6_U1_CFG14 0x40010cceu +#define CYREG_B0_P6_U1_CFG15 0x40010ccfu +#define CYREG_B0_P6_U1_CFG16 0x40010cd0u +#define CYREG_B0_P6_U1_CFG17 0x40010cd1u +#define CYREG_B0_P6_U1_CFG18 0x40010cd2u +#define CYREG_B0_P6_U1_CFG19 0x40010cd3u +#define CYREG_B0_P6_U1_CFG20 0x40010cd4u +#define CYREG_B0_P6_U1_CFG21 0x40010cd5u +#define CYREG_B0_P6_U1_CFG22 0x40010cd6u +#define CYREG_B0_P6_U1_CFG23 0x40010cd7u +#define CYREG_B0_P6_U1_CFG24 0x40010cd8u +#define CYREG_B0_P6_U1_CFG25 0x40010cd9u +#define CYREG_B0_P6_U1_CFG26 0x40010cdau +#define CYREG_B0_P6_U1_CFG27 0x40010cdbu +#define CYREG_B0_P6_U1_CFG28 0x40010cdcu +#define CYREG_B0_P6_U1_CFG29 0x40010cddu +#define CYREG_B0_P6_U1_CFG30 0x40010cdeu +#define CYREG_B0_P6_U1_CFG31 0x40010cdfu +#define CYREG_B0_P6_U1_DCFG0 0x40010ce0u +#define CYREG_B0_P6_U1_DCFG1 0x40010ce2u +#define CYREG_B0_P6_U1_DCFG2 0x40010ce4u +#define CYREG_B0_P6_U1_DCFG3 0x40010ce6u +#define CYREG_B0_P6_U1_DCFG4 0x40010ce8u +#define CYREG_B0_P6_U1_DCFG5 0x40010ceau +#define CYREG_B0_P6_U1_DCFG6 0x40010cecu +#define CYREG_B0_P6_U1_DCFG7 0x40010ceeu +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00u +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070u +#define CYREG_B0_P7_U0_PLD_IT0 0x40010e00u +#define CYREG_B0_P7_U0_PLD_IT1 0x40010e04u +#define CYREG_B0_P7_U0_PLD_IT2 0x40010e08u +#define CYREG_B0_P7_U0_PLD_IT3 0x40010e0cu +#define CYREG_B0_P7_U0_PLD_IT4 0x40010e10u +#define CYREG_B0_P7_U0_PLD_IT5 0x40010e14u +#define CYREG_B0_P7_U0_PLD_IT6 0x40010e18u +#define CYREG_B0_P7_U0_PLD_IT7 0x40010e1cu +#define CYREG_B0_P7_U0_PLD_IT8 0x40010e20u +#define CYREG_B0_P7_U0_PLD_IT9 0x40010e24u +#define CYREG_B0_P7_U0_PLD_IT10 0x40010e28u +#define CYREG_B0_P7_U0_PLD_IT11 0x40010e2cu +#define CYREG_B0_P7_U0_PLD_ORT0 0x40010e30u +#define CYREG_B0_P7_U0_PLD_ORT1 0x40010e32u +#define CYREG_B0_P7_U0_PLD_ORT2 0x40010e34u +#define CYREG_B0_P7_U0_PLD_ORT3 0x40010e36u +#define CYREG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38u +#define CYREG_B0_P7_U0_MC_CFG_XORFB 0x40010e3au +#define CYREG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3cu +#define CYREG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3eu +#define CYREG_B0_P7_U0_CFG0 0x40010e40u +#define CYREG_B0_P7_U0_CFG1 0x40010e41u +#define CYREG_B0_P7_U0_CFG2 0x40010e42u +#define CYREG_B0_P7_U0_CFG3 0x40010e43u +#define CYREG_B0_P7_U0_CFG4 0x40010e44u +#define CYREG_B0_P7_U0_CFG5 0x40010e45u +#define CYREG_B0_P7_U0_CFG6 0x40010e46u +#define CYREG_B0_P7_U0_CFG7 0x40010e47u +#define CYREG_B0_P7_U0_CFG8 0x40010e48u +#define CYREG_B0_P7_U0_CFG9 0x40010e49u +#define CYREG_B0_P7_U0_CFG10 0x40010e4au +#define CYREG_B0_P7_U0_CFG11 0x40010e4bu +#define CYREG_B0_P7_U0_CFG12 0x40010e4cu +#define CYREG_B0_P7_U0_CFG13 0x40010e4du +#define CYREG_B0_P7_U0_CFG14 0x40010e4eu +#define CYREG_B0_P7_U0_CFG15 0x40010e4fu +#define CYREG_B0_P7_U0_CFG16 0x40010e50u +#define CYREG_B0_P7_U0_CFG17 0x40010e51u +#define CYREG_B0_P7_U0_CFG18 0x40010e52u +#define CYREG_B0_P7_U0_CFG19 0x40010e53u +#define CYREG_B0_P7_U0_CFG20 0x40010e54u +#define CYREG_B0_P7_U0_CFG21 0x40010e55u +#define CYREG_B0_P7_U0_CFG22 0x40010e56u +#define CYREG_B0_P7_U0_CFG23 0x40010e57u +#define CYREG_B0_P7_U0_CFG24 0x40010e58u +#define CYREG_B0_P7_U0_CFG25 0x40010e59u +#define CYREG_B0_P7_U0_CFG26 0x40010e5au +#define CYREG_B0_P7_U0_CFG27 0x40010e5bu +#define CYREG_B0_P7_U0_CFG28 0x40010e5cu +#define CYREG_B0_P7_U0_CFG29 0x40010e5du +#define CYREG_B0_P7_U0_CFG30 0x40010e5eu +#define CYREG_B0_P7_U0_CFG31 0x40010e5fu +#define CYREG_B0_P7_U0_DCFG0 0x40010e60u +#define CYREG_B0_P7_U0_DCFG1 0x40010e62u +#define CYREG_B0_P7_U0_DCFG2 0x40010e64u +#define CYREG_B0_P7_U0_DCFG3 0x40010e66u +#define CYREG_B0_P7_U0_DCFG4 0x40010e68u +#define CYREG_B0_P7_U0_DCFG5 0x40010e6au +#define CYREG_B0_P7_U0_DCFG6 0x40010e6cu +#define CYREG_B0_P7_U0_DCFG7 0x40010e6eu +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070u +#define CYREG_B0_P7_U1_PLD_IT0 0x40010e80u +#define CYREG_B0_P7_U1_PLD_IT1 0x40010e84u +#define CYREG_B0_P7_U1_PLD_IT2 0x40010e88u +#define CYREG_B0_P7_U1_PLD_IT3 0x40010e8cu +#define CYREG_B0_P7_U1_PLD_IT4 0x40010e90u +#define CYREG_B0_P7_U1_PLD_IT5 0x40010e94u +#define CYREG_B0_P7_U1_PLD_IT6 0x40010e98u +#define CYREG_B0_P7_U1_PLD_IT7 0x40010e9cu +#define CYREG_B0_P7_U1_PLD_IT8 0x40010ea0u +#define CYREG_B0_P7_U1_PLD_IT9 0x40010ea4u +#define CYREG_B0_P7_U1_PLD_IT10 0x40010ea8u +#define CYREG_B0_P7_U1_PLD_IT11 0x40010eacu +#define CYREG_B0_P7_U1_PLD_ORT0 0x40010eb0u +#define CYREG_B0_P7_U1_PLD_ORT1 0x40010eb2u +#define CYREG_B0_P7_U1_PLD_ORT2 0x40010eb4u +#define CYREG_B0_P7_U1_PLD_ORT3 0x40010eb6u +#define CYREG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8u +#define CYREG_B0_P7_U1_MC_CFG_XORFB 0x40010ebau +#define CYREG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebcu +#define CYREG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebeu +#define CYREG_B0_P7_U1_CFG0 0x40010ec0u +#define CYREG_B0_P7_U1_CFG1 0x40010ec1u +#define CYREG_B0_P7_U1_CFG2 0x40010ec2u +#define CYREG_B0_P7_U1_CFG3 0x40010ec3u +#define CYREG_B0_P7_U1_CFG4 0x40010ec4u +#define CYREG_B0_P7_U1_CFG5 0x40010ec5u +#define CYREG_B0_P7_U1_CFG6 0x40010ec6u +#define CYREG_B0_P7_U1_CFG7 0x40010ec7u +#define CYREG_B0_P7_U1_CFG8 0x40010ec8u +#define CYREG_B0_P7_U1_CFG9 0x40010ec9u +#define CYREG_B0_P7_U1_CFG10 0x40010ecau +#define CYREG_B0_P7_U1_CFG11 0x40010ecbu +#define CYREG_B0_P7_U1_CFG12 0x40010eccu +#define CYREG_B0_P7_U1_CFG13 0x40010ecdu +#define CYREG_B0_P7_U1_CFG14 0x40010eceu +#define CYREG_B0_P7_U1_CFG15 0x40010ecfu +#define CYREG_B0_P7_U1_CFG16 0x40010ed0u +#define CYREG_B0_P7_U1_CFG17 0x40010ed1u +#define CYREG_B0_P7_U1_CFG18 0x40010ed2u +#define CYREG_B0_P7_U1_CFG19 0x40010ed3u +#define CYREG_B0_P7_U1_CFG20 0x40010ed4u +#define CYREG_B0_P7_U1_CFG21 0x40010ed5u +#define CYREG_B0_P7_U1_CFG22 0x40010ed6u +#define CYREG_B0_P7_U1_CFG23 0x40010ed7u +#define CYREG_B0_P7_U1_CFG24 0x40010ed8u +#define CYREG_B0_P7_U1_CFG25 0x40010ed9u +#define CYREG_B0_P7_U1_CFG26 0x40010edau +#define CYREG_B0_P7_U1_CFG27 0x40010edbu +#define CYREG_B0_P7_U1_CFG28 0x40010edcu +#define CYREG_B0_P7_U1_CFG29 0x40010eddu +#define CYREG_B0_P7_U1_CFG30 0x40010edeu +#define CYREG_B0_P7_U1_CFG31 0x40010edfu +#define CYREG_B0_P7_U1_DCFG0 0x40010ee0u +#define CYREG_B0_P7_U1_DCFG1 0x40010ee2u +#define CYREG_B0_P7_U1_DCFG2 0x40010ee4u +#define CYREG_B0_P7_U1_DCFG3 0x40010ee6u +#define CYREG_B0_P7_U1_DCFG4 0x40010ee8u +#define CYREG_B0_P7_U1_DCFG5 0x40010eeau +#define CYREG_B0_P7_U1_DCFG6 0x40010eecu +#define CYREG_B0_P7_U1_DCFG7 0x40010eeeu +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00u +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_BASE 0x40011000u +#define CYDEV_UCFG_B1_SIZE 0x00000fefu +#define CYDEV_UCFG_B1_P2_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070u +#define CYREG_B1_P2_U0_PLD_IT0 0x40011400u +#define CYREG_B1_P2_U0_PLD_IT1 0x40011404u +#define CYREG_B1_P2_U0_PLD_IT2 0x40011408u +#define CYREG_B1_P2_U0_PLD_IT3 0x4001140cu +#define CYREG_B1_P2_U0_PLD_IT4 0x40011410u +#define CYREG_B1_P2_U0_PLD_IT5 0x40011414u +#define CYREG_B1_P2_U0_PLD_IT6 0x40011418u +#define CYREG_B1_P2_U0_PLD_IT7 0x4001141cu +#define CYREG_B1_P2_U0_PLD_IT8 0x40011420u +#define CYREG_B1_P2_U0_PLD_IT9 0x40011424u +#define CYREG_B1_P2_U0_PLD_IT10 0x40011428u +#define CYREG_B1_P2_U0_PLD_IT11 0x4001142cu +#define CYREG_B1_P2_U0_PLD_ORT0 0x40011430u +#define CYREG_B1_P2_U0_PLD_ORT1 0x40011432u +#define CYREG_B1_P2_U0_PLD_ORT2 0x40011434u +#define CYREG_B1_P2_U0_PLD_ORT3 0x40011436u +#define CYREG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438u +#define CYREG_B1_P2_U0_MC_CFG_XORFB 0x4001143au +#define CYREG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143cu +#define CYREG_B1_P2_U0_MC_CFG_BYPASS 0x4001143eu +#define CYREG_B1_P2_U0_CFG0 0x40011440u +#define CYREG_B1_P2_U0_CFG1 0x40011441u +#define CYREG_B1_P2_U0_CFG2 0x40011442u +#define CYREG_B1_P2_U0_CFG3 0x40011443u +#define CYREG_B1_P2_U0_CFG4 0x40011444u +#define CYREG_B1_P2_U0_CFG5 0x40011445u +#define CYREG_B1_P2_U0_CFG6 0x40011446u +#define CYREG_B1_P2_U0_CFG7 0x40011447u +#define CYREG_B1_P2_U0_CFG8 0x40011448u +#define CYREG_B1_P2_U0_CFG9 0x40011449u +#define CYREG_B1_P2_U0_CFG10 0x4001144au +#define CYREG_B1_P2_U0_CFG11 0x4001144bu +#define CYREG_B1_P2_U0_CFG12 0x4001144cu +#define CYREG_B1_P2_U0_CFG13 0x4001144du +#define CYREG_B1_P2_U0_CFG14 0x4001144eu +#define CYREG_B1_P2_U0_CFG15 0x4001144fu +#define CYREG_B1_P2_U0_CFG16 0x40011450u +#define CYREG_B1_P2_U0_CFG17 0x40011451u +#define CYREG_B1_P2_U0_CFG18 0x40011452u +#define CYREG_B1_P2_U0_CFG19 0x40011453u +#define CYREG_B1_P2_U0_CFG20 0x40011454u +#define CYREG_B1_P2_U0_CFG21 0x40011455u +#define CYREG_B1_P2_U0_CFG22 0x40011456u +#define CYREG_B1_P2_U0_CFG23 0x40011457u +#define CYREG_B1_P2_U0_CFG24 0x40011458u +#define CYREG_B1_P2_U0_CFG25 0x40011459u +#define CYREG_B1_P2_U0_CFG26 0x4001145au +#define CYREG_B1_P2_U0_CFG27 0x4001145bu +#define CYREG_B1_P2_U0_CFG28 0x4001145cu +#define CYREG_B1_P2_U0_CFG29 0x4001145du +#define CYREG_B1_P2_U0_CFG30 0x4001145eu +#define CYREG_B1_P2_U0_CFG31 0x4001145fu +#define CYREG_B1_P2_U0_DCFG0 0x40011460u +#define CYREG_B1_P2_U0_DCFG1 0x40011462u +#define CYREG_B1_P2_U0_DCFG2 0x40011464u +#define CYREG_B1_P2_U0_DCFG3 0x40011466u +#define CYREG_B1_P2_U0_DCFG4 0x40011468u +#define CYREG_B1_P2_U0_DCFG5 0x4001146au +#define CYREG_B1_P2_U0_DCFG6 0x4001146cu +#define CYREG_B1_P2_U0_DCFG7 0x4001146eu +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070u +#define CYREG_B1_P2_U1_PLD_IT0 0x40011480u +#define CYREG_B1_P2_U1_PLD_IT1 0x40011484u +#define CYREG_B1_P2_U1_PLD_IT2 0x40011488u +#define CYREG_B1_P2_U1_PLD_IT3 0x4001148cu +#define CYREG_B1_P2_U1_PLD_IT4 0x40011490u +#define CYREG_B1_P2_U1_PLD_IT5 0x40011494u +#define CYREG_B1_P2_U1_PLD_IT6 0x40011498u +#define CYREG_B1_P2_U1_PLD_IT7 0x4001149cu +#define CYREG_B1_P2_U1_PLD_IT8 0x400114a0u +#define CYREG_B1_P2_U1_PLD_IT9 0x400114a4u +#define CYREG_B1_P2_U1_PLD_IT10 0x400114a8u +#define CYREG_B1_P2_U1_PLD_IT11 0x400114acu +#define CYREG_B1_P2_U1_PLD_ORT0 0x400114b0u +#define CYREG_B1_P2_U1_PLD_ORT1 0x400114b2u +#define CYREG_B1_P2_U1_PLD_ORT2 0x400114b4u +#define CYREG_B1_P2_U1_PLD_ORT3 0x400114b6u +#define CYREG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8u +#define CYREG_B1_P2_U1_MC_CFG_XORFB 0x400114bau +#define CYREG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bcu +#define CYREG_B1_P2_U1_MC_CFG_BYPASS 0x400114beu +#define CYREG_B1_P2_U1_CFG0 0x400114c0u +#define CYREG_B1_P2_U1_CFG1 0x400114c1u +#define CYREG_B1_P2_U1_CFG2 0x400114c2u +#define CYREG_B1_P2_U1_CFG3 0x400114c3u +#define CYREG_B1_P2_U1_CFG4 0x400114c4u +#define CYREG_B1_P2_U1_CFG5 0x400114c5u +#define CYREG_B1_P2_U1_CFG6 0x400114c6u +#define CYREG_B1_P2_U1_CFG7 0x400114c7u +#define CYREG_B1_P2_U1_CFG8 0x400114c8u +#define CYREG_B1_P2_U1_CFG9 0x400114c9u +#define CYREG_B1_P2_U1_CFG10 0x400114cau +#define CYREG_B1_P2_U1_CFG11 0x400114cbu +#define CYREG_B1_P2_U1_CFG12 0x400114ccu +#define CYREG_B1_P2_U1_CFG13 0x400114cdu +#define CYREG_B1_P2_U1_CFG14 0x400114ceu +#define CYREG_B1_P2_U1_CFG15 0x400114cfu +#define CYREG_B1_P2_U1_CFG16 0x400114d0u +#define CYREG_B1_P2_U1_CFG17 0x400114d1u +#define CYREG_B1_P2_U1_CFG18 0x400114d2u +#define CYREG_B1_P2_U1_CFG19 0x400114d3u +#define CYREG_B1_P2_U1_CFG20 0x400114d4u +#define CYREG_B1_P2_U1_CFG21 0x400114d5u +#define CYREG_B1_P2_U1_CFG22 0x400114d6u +#define CYREG_B1_P2_U1_CFG23 0x400114d7u +#define CYREG_B1_P2_U1_CFG24 0x400114d8u +#define CYREG_B1_P2_U1_CFG25 0x400114d9u +#define CYREG_B1_P2_U1_CFG26 0x400114dau +#define CYREG_B1_P2_U1_CFG27 0x400114dbu +#define CYREG_B1_P2_U1_CFG28 0x400114dcu +#define CYREG_B1_P2_U1_CFG29 0x400114ddu +#define CYREG_B1_P2_U1_CFG30 0x400114deu +#define CYREG_B1_P2_U1_CFG31 0x400114dfu +#define CYREG_B1_P2_U1_DCFG0 0x400114e0u +#define CYREG_B1_P2_U1_DCFG1 0x400114e2u +#define CYREG_B1_P2_U1_DCFG2 0x400114e4u +#define CYREG_B1_P2_U1_DCFG3 0x400114e6u +#define CYREG_B1_P2_U1_DCFG4 0x400114e8u +#define CYREG_B1_P2_U1_DCFG5 0x400114eau +#define CYREG_B1_P2_U1_DCFG6 0x400114ecu +#define CYREG_B1_P2_U1_DCFG7 0x400114eeu +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500u +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P3_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070u +#define CYREG_B1_P3_U0_PLD_IT0 0x40011600u +#define CYREG_B1_P3_U0_PLD_IT1 0x40011604u +#define CYREG_B1_P3_U0_PLD_IT2 0x40011608u +#define CYREG_B1_P3_U0_PLD_IT3 0x4001160cu +#define CYREG_B1_P3_U0_PLD_IT4 0x40011610u +#define CYREG_B1_P3_U0_PLD_IT5 0x40011614u +#define CYREG_B1_P3_U0_PLD_IT6 0x40011618u +#define CYREG_B1_P3_U0_PLD_IT7 0x4001161cu +#define CYREG_B1_P3_U0_PLD_IT8 0x40011620u +#define CYREG_B1_P3_U0_PLD_IT9 0x40011624u +#define CYREG_B1_P3_U0_PLD_IT10 0x40011628u +#define CYREG_B1_P3_U0_PLD_IT11 0x4001162cu +#define CYREG_B1_P3_U0_PLD_ORT0 0x40011630u +#define CYREG_B1_P3_U0_PLD_ORT1 0x40011632u +#define CYREG_B1_P3_U0_PLD_ORT2 0x40011634u +#define CYREG_B1_P3_U0_PLD_ORT3 0x40011636u +#define CYREG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638u +#define CYREG_B1_P3_U0_MC_CFG_XORFB 0x4001163au +#define CYREG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163cu +#define CYREG_B1_P3_U0_MC_CFG_BYPASS 0x4001163eu +#define CYREG_B1_P3_U0_CFG0 0x40011640u +#define CYREG_B1_P3_U0_CFG1 0x40011641u +#define CYREG_B1_P3_U0_CFG2 0x40011642u +#define CYREG_B1_P3_U0_CFG3 0x40011643u +#define CYREG_B1_P3_U0_CFG4 0x40011644u +#define CYREG_B1_P3_U0_CFG5 0x40011645u +#define CYREG_B1_P3_U0_CFG6 0x40011646u +#define CYREG_B1_P3_U0_CFG7 0x40011647u +#define CYREG_B1_P3_U0_CFG8 0x40011648u +#define CYREG_B1_P3_U0_CFG9 0x40011649u +#define CYREG_B1_P3_U0_CFG10 0x4001164au +#define CYREG_B1_P3_U0_CFG11 0x4001164bu +#define CYREG_B1_P3_U0_CFG12 0x4001164cu +#define CYREG_B1_P3_U0_CFG13 0x4001164du +#define CYREG_B1_P3_U0_CFG14 0x4001164eu +#define CYREG_B1_P3_U0_CFG15 0x4001164fu +#define CYREG_B1_P3_U0_CFG16 0x40011650u +#define CYREG_B1_P3_U0_CFG17 0x40011651u +#define CYREG_B1_P3_U0_CFG18 0x40011652u +#define CYREG_B1_P3_U0_CFG19 0x40011653u +#define CYREG_B1_P3_U0_CFG20 0x40011654u +#define CYREG_B1_P3_U0_CFG21 0x40011655u +#define CYREG_B1_P3_U0_CFG22 0x40011656u +#define CYREG_B1_P3_U0_CFG23 0x40011657u +#define CYREG_B1_P3_U0_CFG24 0x40011658u +#define CYREG_B1_P3_U0_CFG25 0x40011659u +#define CYREG_B1_P3_U0_CFG26 0x4001165au +#define CYREG_B1_P3_U0_CFG27 0x4001165bu +#define CYREG_B1_P3_U0_CFG28 0x4001165cu +#define CYREG_B1_P3_U0_CFG29 0x4001165du +#define CYREG_B1_P3_U0_CFG30 0x4001165eu +#define CYREG_B1_P3_U0_CFG31 0x4001165fu +#define CYREG_B1_P3_U0_DCFG0 0x40011660u +#define CYREG_B1_P3_U0_DCFG1 0x40011662u +#define CYREG_B1_P3_U0_DCFG2 0x40011664u +#define CYREG_B1_P3_U0_DCFG3 0x40011666u +#define CYREG_B1_P3_U0_DCFG4 0x40011668u +#define CYREG_B1_P3_U0_DCFG5 0x4001166au +#define CYREG_B1_P3_U0_DCFG6 0x4001166cu +#define CYREG_B1_P3_U0_DCFG7 0x4001166eu +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070u +#define CYREG_B1_P3_U1_PLD_IT0 0x40011680u +#define CYREG_B1_P3_U1_PLD_IT1 0x40011684u +#define CYREG_B1_P3_U1_PLD_IT2 0x40011688u +#define CYREG_B1_P3_U1_PLD_IT3 0x4001168cu +#define CYREG_B1_P3_U1_PLD_IT4 0x40011690u +#define CYREG_B1_P3_U1_PLD_IT5 0x40011694u +#define CYREG_B1_P3_U1_PLD_IT6 0x40011698u +#define CYREG_B1_P3_U1_PLD_IT7 0x4001169cu +#define CYREG_B1_P3_U1_PLD_IT8 0x400116a0u +#define CYREG_B1_P3_U1_PLD_IT9 0x400116a4u +#define CYREG_B1_P3_U1_PLD_IT10 0x400116a8u +#define CYREG_B1_P3_U1_PLD_IT11 0x400116acu +#define CYREG_B1_P3_U1_PLD_ORT0 0x400116b0u +#define CYREG_B1_P3_U1_PLD_ORT1 0x400116b2u +#define CYREG_B1_P3_U1_PLD_ORT2 0x400116b4u +#define CYREG_B1_P3_U1_PLD_ORT3 0x400116b6u +#define CYREG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8u +#define CYREG_B1_P3_U1_MC_CFG_XORFB 0x400116bau +#define CYREG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bcu +#define CYREG_B1_P3_U1_MC_CFG_BYPASS 0x400116beu +#define CYREG_B1_P3_U1_CFG0 0x400116c0u +#define CYREG_B1_P3_U1_CFG1 0x400116c1u +#define CYREG_B1_P3_U1_CFG2 0x400116c2u +#define CYREG_B1_P3_U1_CFG3 0x400116c3u +#define CYREG_B1_P3_U1_CFG4 0x400116c4u +#define CYREG_B1_P3_U1_CFG5 0x400116c5u +#define CYREG_B1_P3_U1_CFG6 0x400116c6u +#define CYREG_B1_P3_U1_CFG7 0x400116c7u +#define CYREG_B1_P3_U1_CFG8 0x400116c8u +#define CYREG_B1_P3_U1_CFG9 0x400116c9u +#define CYREG_B1_P3_U1_CFG10 0x400116cau +#define CYREG_B1_P3_U1_CFG11 0x400116cbu +#define CYREG_B1_P3_U1_CFG12 0x400116ccu +#define CYREG_B1_P3_U1_CFG13 0x400116cdu +#define CYREG_B1_P3_U1_CFG14 0x400116ceu +#define CYREG_B1_P3_U1_CFG15 0x400116cfu +#define CYREG_B1_P3_U1_CFG16 0x400116d0u +#define CYREG_B1_P3_U1_CFG17 0x400116d1u +#define CYREG_B1_P3_U1_CFG18 0x400116d2u +#define CYREG_B1_P3_U1_CFG19 0x400116d3u +#define CYREG_B1_P3_U1_CFG20 0x400116d4u +#define CYREG_B1_P3_U1_CFG21 0x400116d5u +#define CYREG_B1_P3_U1_CFG22 0x400116d6u +#define CYREG_B1_P3_U1_CFG23 0x400116d7u +#define CYREG_B1_P3_U1_CFG24 0x400116d8u +#define CYREG_B1_P3_U1_CFG25 0x400116d9u +#define CYREG_B1_P3_U1_CFG26 0x400116dau +#define CYREG_B1_P3_U1_CFG27 0x400116dbu +#define CYREG_B1_P3_U1_CFG28 0x400116dcu +#define CYREG_B1_P3_U1_CFG29 0x400116ddu +#define CYREG_B1_P3_U1_CFG30 0x400116deu +#define CYREG_B1_P3_U1_CFG31 0x400116dfu +#define CYREG_B1_P3_U1_DCFG0 0x400116e0u +#define CYREG_B1_P3_U1_DCFG1 0x400116e2u +#define CYREG_B1_P3_U1_DCFG2 0x400116e4u +#define CYREG_B1_P3_U1_DCFG3 0x400116e6u +#define CYREG_B1_P3_U1_DCFG4 0x400116e8u +#define CYREG_B1_P3_U1_DCFG5 0x400116eau +#define CYREG_B1_P3_U1_DCFG6 0x400116ecu +#define CYREG_B1_P3_U1_DCFG7 0x400116eeu +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700u +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P4_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070u +#define CYREG_B1_P4_U0_PLD_IT0 0x40011800u +#define CYREG_B1_P4_U0_PLD_IT1 0x40011804u +#define CYREG_B1_P4_U0_PLD_IT2 0x40011808u +#define CYREG_B1_P4_U0_PLD_IT3 0x4001180cu +#define CYREG_B1_P4_U0_PLD_IT4 0x40011810u +#define CYREG_B1_P4_U0_PLD_IT5 0x40011814u +#define CYREG_B1_P4_U0_PLD_IT6 0x40011818u +#define CYREG_B1_P4_U0_PLD_IT7 0x4001181cu +#define CYREG_B1_P4_U0_PLD_IT8 0x40011820u +#define CYREG_B1_P4_U0_PLD_IT9 0x40011824u +#define CYREG_B1_P4_U0_PLD_IT10 0x40011828u +#define CYREG_B1_P4_U0_PLD_IT11 0x4001182cu +#define CYREG_B1_P4_U0_PLD_ORT0 0x40011830u +#define CYREG_B1_P4_U0_PLD_ORT1 0x40011832u +#define CYREG_B1_P4_U0_PLD_ORT2 0x40011834u +#define CYREG_B1_P4_U0_PLD_ORT3 0x40011836u +#define CYREG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838u +#define CYREG_B1_P4_U0_MC_CFG_XORFB 0x4001183au +#define CYREG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183cu +#define CYREG_B1_P4_U0_MC_CFG_BYPASS 0x4001183eu +#define CYREG_B1_P4_U0_CFG0 0x40011840u +#define CYREG_B1_P4_U0_CFG1 0x40011841u +#define CYREG_B1_P4_U0_CFG2 0x40011842u +#define CYREG_B1_P4_U0_CFG3 0x40011843u +#define CYREG_B1_P4_U0_CFG4 0x40011844u +#define CYREG_B1_P4_U0_CFG5 0x40011845u +#define CYREG_B1_P4_U0_CFG6 0x40011846u +#define CYREG_B1_P4_U0_CFG7 0x40011847u +#define CYREG_B1_P4_U0_CFG8 0x40011848u +#define CYREG_B1_P4_U0_CFG9 0x40011849u +#define CYREG_B1_P4_U0_CFG10 0x4001184au +#define CYREG_B1_P4_U0_CFG11 0x4001184bu +#define CYREG_B1_P4_U0_CFG12 0x4001184cu +#define CYREG_B1_P4_U0_CFG13 0x4001184du +#define CYREG_B1_P4_U0_CFG14 0x4001184eu +#define CYREG_B1_P4_U0_CFG15 0x4001184fu +#define CYREG_B1_P4_U0_CFG16 0x40011850u +#define CYREG_B1_P4_U0_CFG17 0x40011851u +#define CYREG_B1_P4_U0_CFG18 0x40011852u +#define CYREG_B1_P4_U0_CFG19 0x40011853u +#define CYREG_B1_P4_U0_CFG20 0x40011854u +#define CYREG_B1_P4_U0_CFG21 0x40011855u +#define CYREG_B1_P4_U0_CFG22 0x40011856u +#define CYREG_B1_P4_U0_CFG23 0x40011857u +#define CYREG_B1_P4_U0_CFG24 0x40011858u +#define CYREG_B1_P4_U0_CFG25 0x40011859u +#define CYREG_B1_P4_U0_CFG26 0x4001185au +#define CYREG_B1_P4_U0_CFG27 0x4001185bu +#define CYREG_B1_P4_U0_CFG28 0x4001185cu +#define CYREG_B1_P4_U0_CFG29 0x4001185du +#define CYREG_B1_P4_U0_CFG30 0x4001185eu +#define CYREG_B1_P4_U0_CFG31 0x4001185fu +#define CYREG_B1_P4_U0_DCFG0 0x40011860u +#define CYREG_B1_P4_U0_DCFG1 0x40011862u +#define CYREG_B1_P4_U0_DCFG2 0x40011864u +#define CYREG_B1_P4_U0_DCFG3 0x40011866u +#define CYREG_B1_P4_U0_DCFG4 0x40011868u +#define CYREG_B1_P4_U0_DCFG5 0x4001186au +#define CYREG_B1_P4_U0_DCFG6 0x4001186cu +#define CYREG_B1_P4_U0_DCFG7 0x4001186eu +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070u +#define CYREG_B1_P4_U1_PLD_IT0 0x40011880u +#define CYREG_B1_P4_U1_PLD_IT1 0x40011884u +#define CYREG_B1_P4_U1_PLD_IT2 0x40011888u +#define CYREG_B1_P4_U1_PLD_IT3 0x4001188cu +#define CYREG_B1_P4_U1_PLD_IT4 0x40011890u +#define CYREG_B1_P4_U1_PLD_IT5 0x40011894u +#define CYREG_B1_P4_U1_PLD_IT6 0x40011898u +#define CYREG_B1_P4_U1_PLD_IT7 0x4001189cu +#define CYREG_B1_P4_U1_PLD_IT8 0x400118a0u +#define CYREG_B1_P4_U1_PLD_IT9 0x400118a4u +#define CYREG_B1_P4_U1_PLD_IT10 0x400118a8u +#define CYREG_B1_P4_U1_PLD_IT11 0x400118acu +#define CYREG_B1_P4_U1_PLD_ORT0 0x400118b0u +#define CYREG_B1_P4_U1_PLD_ORT1 0x400118b2u +#define CYREG_B1_P4_U1_PLD_ORT2 0x400118b4u +#define CYREG_B1_P4_U1_PLD_ORT3 0x400118b6u +#define CYREG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8u +#define CYREG_B1_P4_U1_MC_CFG_XORFB 0x400118bau +#define CYREG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bcu +#define CYREG_B1_P4_U1_MC_CFG_BYPASS 0x400118beu +#define CYREG_B1_P4_U1_CFG0 0x400118c0u +#define CYREG_B1_P4_U1_CFG1 0x400118c1u +#define CYREG_B1_P4_U1_CFG2 0x400118c2u +#define CYREG_B1_P4_U1_CFG3 0x400118c3u +#define CYREG_B1_P4_U1_CFG4 0x400118c4u +#define CYREG_B1_P4_U1_CFG5 0x400118c5u +#define CYREG_B1_P4_U1_CFG6 0x400118c6u +#define CYREG_B1_P4_U1_CFG7 0x400118c7u +#define CYREG_B1_P4_U1_CFG8 0x400118c8u +#define CYREG_B1_P4_U1_CFG9 0x400118c9u +#define CYREG_B1_P4_U1_CFG10 0x400118cau +#define CYREG_B1_P4_U1_CFG11 0x400118cbu +#define CYREG_B1_P4_U1_CFG12 0x400118ccu +#define CYREG_B1_P4_U1_CFG13 0x400118cdu +#define CYREG_B1_P4_U1_CFG14 0x400118ceu +#define CYREG_B1_P4_U1_CFG15 0x400118cfu +#define CYREG_B1_P4_U1_CFG16 0x400118d0u +#define CYREG_B1_P4_U1_CFG17 0x400118d1u +#define CYREG_B1_P4_U1_CFG18 0x400118d2u +#define CYREG_B1_P4_U1_CFG19 0x400118d3u +#define CYREG_B1_P4_U1_CFG20 0x400118d4u +#define CYREG_B1_P4_U1_CFG21 0x400118d5u +#define CYREG_B1_P4_U1_CFG22 0x400118d6u +#define CYREG_B1_P4_U1_CFG23 0x400118d7u +#define CYREG_B1_P4_U1_CFG24 0x400118d8u +#define CYREG_B1_P4_U1_CFG25 0x400118d9u +#define CYREG_B1_P4_U1_CFG26 0x400118dau +#define CYREG_B1_P4_U1_CFG27 0x400118dbu +#define CYREG_B1_P4_U1_CFG28 0x400118dcu +#define CYREG_B1_P4_U1_CFG29 0x400118ddu +#define CYREG_B1_P4_U1_CFG30 0x400118deu +#define CYREG_B1_P4_U1_CFG31 0x400118dfu +#define CYREG_B1_P4_U1_DCFG0 0x400118e0u +#define CYREG_B1_P4_U1_DCFG1 0x400118e2u +#define CYREG_B1_P4_U1_DCFG2 0x400118e4u +#define CYREG_B1_P4_U1_DCFG3 0x400118e6u +#define CYREG_B1_P4_U1_DCFG4 0x400118e8u +#define CYREG_B1_P4_U1_DCFG5 0x400118eau +#define CYREG_B1_P4_U1_DCFG6 0x400118ecu +#define CYREG_B1_P4_U1_DCFG7 0x400118eeu +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900u +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070u +#define CYREG_B1_P5_U0_PLD_IT0 0x40011a00u +#define CYREG_B1_P5_U0_PLD_IT1 0x40011a04u +#define CYREG_B1_P5_U0_PLD_IT2 0x40011a08u +#define CYREG_B1_P5_U0_PLD_IT3 0x40011a0cu +#define CYREG_B1_P5_U0_PLD_IT4 0x40011a10u +#define CYREG_B1_P5_U0_PLD_IT5 0x40011a14u +#define CYREG_B1_P5_U0_PLD_IT6 0x40011a18u +#define CYREG_B1_P5_U0_PLD_IT7 0x40011a1cu +#define CYREG_B1_P5_U0_PLD_IT8 0x40011a20u +#define CYREG_B1_P5_U0_PLD_IT9 0x40011a24u +#define CYREG_B1_P5_U0_PLD_IT10 0x40011a28u +#define CYREG_B1_P5_U0_PLD_IT11 0x40011a2cu +#define CYREG_B1_P5_U0_PLD_ORT0 0x40011a30u +#define CYREG_B1_P5_U0_PLD_ORT1 0x40011a32u +#define CYREG_B1_P5_U0_PLD_ORT2 0x40011a34u +#define CYREG_B1_P5_U0_PLD_ORT3 0x40011a36u +#define CYREG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38u +#define CYREG_B1_P5_U0_MC_CFG_XORFB 0x40011a3au +#define CYREG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3cu +#define CYREG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3eu +#define CYREG_B1_P5_U0_CFG0 0x40011a40u +#define CYREG_B1_P5_U0_CFG1 0x40011a41u +#define CYREG_B1_P5_U0_CFG2 0x40011a42u +#define CYREG_B1_P5_U0_CFG3 0x40011a43u +#define CYREG_B1_P5_U0_CFG4 0x40011a44u +#define CYREG_B1_P5_U0_CFG5 0x40011a45u +#define CYREG_B1_P5_U0_CFG6 0x40011a46u +#define CYREG_B1_P5_U0_CFG7 0x40011a47u +#define CYREG_B1_P5_U0_CFG8 0x40011a48u +#define CYREG_B1_P5_U0_CFG9 0x40011a49u +#define CYREG_B1_P5_U0_CFG10 0x40011a4au +#define CYREG_B1_P5_U0_CFG11 0x40011a4bu +#define CYREG_B1_P5_U0_CFG12 0x40011a4cu +#define CYREG_B1_P5_U0_CFG13 0x40011a4du +#define CYREG_B1_P5_U0_CFG14 0x40011a4eu +#define CYREG_B1_P5_U0_CFG15 0x40011a4fu +#define CYREG_B1_P5_U0_CFG16 0x40011a50u +#define CYREG_B1_P5_U0_CFG17 0x40011a51u +#define CYREG_B1_P5_U0_CFG18 0x40011a52u +#define CYREG_B1_P5_U0_CFG19 0x40011a53u +#define CYREG_B1_P5_U0_CFG20 0x40011a54u +#define CYREG_B1_P5_U0_CFG21 0x40011a55u +#define CYREG_B1_P5_U0_CFG22 0x40011a56u +#define CYREG_B1_P5_U0_CFG23 0x40011a57u +#define CYREG_B1_P5_U0_CFG24 0x40011a58u +#define CYREG_B1_P5_U0_CFG25 0x40011a59u +#define CYREG_B1_P5_U0_CFG26 0x40011a5au +#define CYREG_B1_P5_U0_CFG27 0x40011a5bu +#define CYREG_B1_P5_U0_CFG28 0x40011a5cu +#define CYREG_B1_P5_U0_CFG29 0x40011a5du +#define CYREG_B1_P5_U0_CFG30 0x40011a5eu +#define CYREG_B1_P5_U0_CFG31 0x40011a5fu +#define CYREG_B1_P5_U0_DCFG0 0x40011a60u +#define CYREG_B1_P5_U0_DCFG1 0x40011a62u +#define CYREG_B1_P5_U0_DCFG2 0x40011a64u +#define CYREG_B1_P5_U0_DCFG3 0x40011a66u +#define CYREG_B1_P5_U0_DCFG4 0x40011a68u +#define CYREG_B1_P5_U0_DCFG5 0x40011a6au +#define CYREG_B1_P5_U0_DCFG6 0x40011a6cu +#define CYREG_B1_P5_U0_DCFG7 0x40011a6eu +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070u +#define CYREG_B1_P5_U1_PLD_IT0 0x40011a80u +#define CYREG_B1_P5_U1_PLD_IT1 0x40011a84u +#define CYREG_B1_P5_U1_PLD_IT2 0x40011a88u +#define CYREG_B1_P5_U1_PLD_IT3 0x40011a8cu +#define CYREG_B1_P5_U1_PLD_IT4 0x40011a90u +#define CYREG_B1_P5_U1_PLD_IT5 0x40011a94u +#define CYREG_B1_P5_U1_PLD_IT6 0x40011a98u +#define CYREG_B1_P5_U1_PLD_IT7 0x40011a9cu +#define CYREG_B1_P5_U1_PLD_IT8 0x40011aa0u +#define CYREG_B1_P5_U1_PLD_IT9 0x40011aa4u +#define CYREG_B1_P5_U1_PLD_IT10 0x40011aa8u +#define CYREG_B1_P5_U1_PLD_IT11 0x40011aacu +#define CYREG_B1_P5_U1_PLD_ORT0 0x40011ab0u +#define CYREG_B1_P5_U1_PLD_ORT1 0x40011ab2u +#define CYREG_B1_P5_U1_PLD_ORT2 0x40011ab4u +#define CYREG_B1_P5_U1_PLD_ORT3 0x40011ab6u +#define CYREG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8u +#define CYREG_B1_P5_U1_MC_CFG_XORFB 0x40011abau +#define CYREG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abcu +#define CYREG_B1_P5_U1_MC_CFG_BYPASS 0x40011abeu +#define CYREG_B1_P5_U1_CFG0 0x40011ac0u +#define CYREG_B1_P5_U1_CFG1 0x40011ac1u +#define CYREG_B1_P5_U1_CFG2 0x40011ac2u +#define CYREG_B1_P5_U1_CFG3 0x40011ac3u +#define CYREG_B1_P5_U1_CFG4 0x40011ac4u +#define CYREG_B1_P5_U1_CFG5 0x40011ac5u +#define CYREG_B1_P5_U1_CFG6 0x40011ac6u +#define CYREG_B1_P5_U1_CFG7 0x40011ac7u +#define CYREG_B1_P5_U1_CFG8 0x40011ac8u +#define CYREG_B1_P5_U1_CFG9 0x40011ac9u +#define CYREG_B1_P5_U1_CFG10 0x40011acau +#define CYREG_B1_P5_U1_CFG11 0x40011acbu +#define CYREG_B1_P5_U1_CFG12 0x40011accu +#define CYREG_B1_P5_U1_CFG13 0x40011acdu +#define CYREG_B1_P5_U1_CFG14 0x40011aceu +#define CYREG_B1_P5_U1_CFG15 0x40011acfu +#define CYREG_B1_P5_U1_CFG16 0x40011ad0u +#define CYREG_B1_P5_U1_CFG17 0x40011ad1u +#define CYREG_B1_P5_U1_CFG18 0x40011ad2u +#define CYREG_B1_P5_U1_CFG19 0x40011ad3u +#define CYREG_B1_P5_U1_CFG20 0x40011ad4u +#define CYREG_B1_P5_U1_CFG21 0x40011ad5u +#define CYREG_B1_P5_U1_CFG22 0x40011ad6u +#define CYREG_B1_P5_U1_CFG23 0x40011ad7u +#define CYREG_B1_P5_U1_CFG24 0x40011ad8u +#define CYREG_B1_P5_U1_CFG25 0x40011ad9u +#define CYREG_B1_P5_U1_CFG26 0x40011adau +#define CYREG_B1_P5_U1_CFG27 0x40011adbu +#define CYREG_B1_P5_U1_CFG28 0x40011adcu +#define CYREG_B1_P5_U1_CFG29 0x40011addu +#define CYREG_B1_P5_U1_CFG30 0x40011adeu +#define CYREG_B1_P5_U1_CFG31 0x40011adfu +#define CYREG_B1_P5_U1_DCFG0 0x40011ae0u +#define CYREG_B1_P5_U1_DCFG1 0x40011ae2u +#define CYREG_B1_P5_U1_DCFG2 0x40011ae4u +#define CYREG_B1_P5_U1_DCFG3 0x40011ae6u +#define CYREG_B1_P5_U1_DCFG4 0x40011ae8u +#define CYREG_B1_P5_U1_DCFG5 0x40011aeau +#define CYREG_B1_P5_U1_DCFG6 0x40011aecu +#define CYREG_B1_P5_U1_DCFG7 0x40011aeeu +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00u +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_DSI0_BASE 0x40014000u +#define CYDEV_UCFG_DSI0_SIZE 0x000000efu +#define CYDEV_UCFG_DSI1_BASE 0x40014100u +#define CYDEV_UCFG_DSI1_SIZE 0x000000efu +#define CYDEV_UCFG_DSI2_BASE 0x40014200u +#define CYDEV_UCFG_DSI2_SIZE 0x000000efu +#define CYDEV_UCFG_DSI3_BASE 0x40014300u +#define CYDEV_UCFG_DSI3_SIZE 0x000000efu +#define CYDEV_UCFG_DSI4_BASE 0x40014400u +#define CYDEV_UCFG_DSI4_SIZE 0x000000efu +#define CYDEV_UCFG_DSI5_BASE 0x40014500u +#define CYDEV_UCFG_DSI5_SIZE 0x000000efu +#define CYDEV_UCFG_DSI6_BASE 0x40014600u +#define CYDEV_UCFG_DSI6_SIZE 0x000000efu +#define CYDEV_UCFG_DSI7_BASE 0x40014700u +#define CYDEV_UCFG_DSI7_SIZE 0x000000efu +#define CYDEV_UCFG_DSI8_BASE 0x40014800u +#define CYDEV_UCFG_DSI8_SIZE 0x000000efu +#define CYDEV_UCFG_DSI9_BASE 0x40014900u +#define CYDEV_UCFG_DSI9_SIZE 0x000000efu +#define CYDEV_UCFG_DSI12_BASE 0x40014c00u +#define CYDEV_UCFG_DSI12_SIZE 0x000000efu +#define CYDEV_UCFG_DSI13_BASE 0x40014d00u +#define CYDEV_UCFG_DSI13_SIZE 0x000000efu +#define CYDEV_UCFG_BCTL0_BASE 0x40015000u +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010u +#define CYREG_BCTL0_MDCLK_EN 0x40015000u +#define CYREG_BCTL0_MBCLK_EN 0x40015001u +#define CYREG_BCTL0_WAIT_CFG 0x40015002u +#define CYREG_BCTL0_BANK_CTL 0x40015003u +#define CYREG_BCTL0_UDB_TEST_3 0x40015007u +#define CYREG_BCTL0_DCLK_EN0 0x40015008u +#define CYREG_BCTL0_BCLK_EN0 0x40015009u +#define CYREG_BCTL0_DCLK_EN1 0x4001500au +#define CYREG_BCTL0_BCLK_EN1 0x4001500bu +#define CYREG_BCTL0_DCLK_EN2 0x4001500cu +#define CYREG_BCTL0_BCLK_EN2 0x4001500du +#define CYREG_BCTL0_DCLK_EN3 0x4001500eu +#define CYREG_BCTL0_BCLK_EN3 0x4001500fu +#define CYDEV_UCFG_BCTL1_BASE 0x40015010u +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010u +#define CYREG_BCTL1_MDCLK_EN 0x40015010u +#define CYREG_BCTL1_MBCLK_EN 0x40015011u +#define CYREG_BCTL1_WAIT_CFG 0x40015012u +#define CYREG_BCTL1_BANK_CTL 0x40015013u +#define CYREG_BCTL1_UDB_TEST_3 0x40015017u +#define CYREG_BCTL1_DCLK_EN0 0x40015018u +#define CYREG_BCTL1_BCLK_EN0 0x40015019u +#define CYREG_BCTL1_DCLK_EN1 0x4001501au +#define CYREG_BCTL1_BCLK_EN1 0x4001501bu +#define CYREG_BCTL1_DCLK_EN2 0x4001501cu +#define CYREG_BCTL1_BCLK_EN2 0x4001501du +#define CYREG_BCTL1_DCLK_EN3 0x4001501eu +#define CYREG_BCTL1_BCLK_EN3 0x4001501fu +#define CYDEV_IDMUX_BASE 0x40015100u +#define CYDEV_IDMUX_SIZE 0x00000016u +#define CYREG_IDMUX_IRQ_CTL0 0x40015100u +#define CYREG_IDMUX_IRQ_CTL1 0x40015101u +#define CYREG_IDMUX_IRQ_CTL2 0x40015102u +#define CYREG_IDMUX_IRQ_CTL3 0x40015103u +#define CYREG_IDMUX_IRQ_CTL4 0x40015104u +#define CYREG_IDMUX_IRQ_CTL5 0x40015105u +#define CYREG_IDMUX_IRQ_CTL6 0x40015106u +#define CYREG_IDMUX_IRQ_CTL7 0x40015107u +#define CYREG_IDMUX_DRQ_CTL0 0x40015110u +#define CYREG_IDMUX_DRQ_CTL1 0x40015111u +#define CYREG_IDMUX_DRQ_CTL2 0x40015112u +#define CYREG_IDMUX_DRQ_CTL3 0x40015113u +#define CYREG_IDMUX_DRQ_CTL4 0x40015114u +#define CYREG_IDMUX_DRQ_CTL5 0x40015115u +#define CYDEV_CACHERAM_BASE 0x40030000u +#define CYDEV_CACHERAM_SIZE 0x00000400u +#define CYREG_CACHERAM_DATA_MBASE 0x40030000u +#define CYREG_CACHERAM_DATA_MSIZE 0x00000400u +#define CYDEV_SFR_BASE 0x40050100u +#define CYDEV_SFR_SIZE 0x000000fbu +#define CYREG_SFR_GPIO0 0x40050180u +#define CYREG_SFR_GPIRD0 0x40050189u +#define CYREG_SFR_GPIO0_SEL 0x4005018au +#define CYREG_SFR_GPIO1 0x40050190u +#define CYREG_SFR_GPIRD1 0x40050191u +#define CYREG_SFR_GPIO2 0x40050198u +#define CYREG_SFR_GPIRD2 0x40050199u +#define CYREG_SFR_GPIO2_SEL 0x4005019au +#define CYREG_SFR_GPIO1_SEL 0x400501a2u +#define CYREG_SFR_GPIO3 0x400501b0u +#define CYREG_SFR_GPIRD3 0x400501b1u +#define CYREG_SFR_GPIO3_SEL 0x400501b2u +#define CYREG_SFR_GPIO4 0x400501c0u +#define CYREG_SFR_GPIRD4 0x400501c1u +#define CYREG_SFR_GPIO4_SEL 0x400501c2u +#define CYREG_SFR_GPIO5 0x400501c8u +#define CYREG_SFR_GPIRD5 0x400501c9u +#define CYREG_SFR_GPIO5_SEL 0x400501cau +#define CYREG_SFR_GPIO6 0x400501d8u +#define CYREG_SFR_GPIRD6 0x400501d9u +#define CYREG_SFR_GPIO6_SEL 0x400501dau +#define CYREG_SFR_GPIO12 0x400501e8u +#define CYREG_SFR_GPIRD12 0x400501e9u +#define CYREG_SFR_GPIO12_SEL 0x400501f2u +#define CYREG_SFR_GPIO15 0x400501f8u +#define CYREG_SFR_GPIRD15 0x400501f9u +#define CYREG_SFR_GPIO15_SEL 0x400501fau +#define CYDEV_P3BA_BASE 0x40050300u +#define CYDEV_P3BA_SIZE 0x0000002bu +#define CYREG_P3BA_Y_START 0x40050300u +#define CYREG_P3BA_YROLL 0x40050301u +#define CYREG_P3BA_YCFG 0x40050302u +#define CYREG_P3BA_X_START1 0x40050303u +#define CYREG_P3BA_X_START2 0x40050304u +#define CYREG_P3BA_XROLL1 0x40050305u +#define CYREG_P3BA_XROLL2 0x40050306u +#define CYREG_P3BA_XINC 0x40050307u +#define CYREG_P3BA_XCFG 0x40050308u +#define CYREG_P3BA_OFFSETADDR1 0x40050309u +#define CYREG_P3BA_OFFSETADDR2 0x4005030au +#define CYREG_P3BA_OFFSETADDR3 0x4005030bu +#define CYREG_P3BA_ABSADDR1 0x4005030cu +#define CYREG_P3BA_ABSADDR2 0x4005030du +#define CYREG_P3BA_ABSADDR3 0x4005030eu +#define CYREG_P3BA_ABSADDR4 0x4005030fu +#define CYREG_P3BA_DATCFG1 0x40050310u +#define CYREG_P3BA_DATCFG2 0x40050311u +#define CYREG_P3BA_CMP_RSLT1 0x40050314u +#define CYREG_P3BA_CMP_RSLT2 0x40050315u +#define CYREG_P3BA_CMP_RSLT3 0x40050316u +#define CYREG_P3BA_CMP_RSLT4 0x40050317u +#define CYREG_P3BA_DATA_REG1 0x40050318u +#define CYREG_P3BA_DATA_REG2 0x40050319u +#define CYREG_P3BA_DATA_REG3 0x4005031au +#define CYREG_P3BA_DATA_REG4 0x4005031bu +#define CYREG_P3BA_EXP_DATA1 0x4005031cu +#define CYREG_P3BA_EXP_DATA2 0x4005031du +#define CYREG_P3BA_EXP_DATA3 0x4005031eu +#define CYREG_P3BA_EXP_DATA4 0x4005031fu +#define CYREG_P3BA_MSTR_HRDATA1 0x40050320u +#define CYREG_P3BA_MSTR_HRDATA2 0x40050321u +#define CYREG_P3BA_MSTR_HRDATA3 0x40050322u +#define CYREG_P3BA_MSTR_HRDATA4 0x40050323u +#define CYREG_P3BA_BIST_EN 0x40050324u +#define CYREG_P3BA_PHUB_MASTER_SSR 0x40050325u +#define CYREG_P3BA_SEQCFG1 0x40050326u +#define CYREG_P3BA_SEQCFG2 0x40050327u +#define CYREG_P3BA_Y_CURR 0x40050328u +#define CYREG_P3BA_X_CURR1 0x40050329u +#define CYREG_P3BA_X_CURR2 0x4005032au +#define CYDEV_PANTHER_BASE 0x40080000u +#define CYDEV_PANTHER_SIZE 0x00000020u +#define CYREG_PANTHER_STCALIB_CFG 0x40080000u +#define CYREG_PANTHER_WAITPIPE 0x40080004u +#define CYREG_PANTHER_TRACE_CFG 0x40080008u +#define CYREG_PANTHER_DBG_CFG 0x4008000cu +#define CYREG_PANTHER_CM3_LCKRST_STAT 0x40080018u +#define CYREG_PANTHER_DEVICE_ID 0x4008001cu +#define CYDEV_FLSECC_BASE 0x48000000u +#define CYDEV_FLSECC_SIZE 0x00008000u +#define CYREG_FLSECC_DATA_MBASE 0x48000000u +#define CYREG_FLSECC_DATA_MSIZE 0x00008000u +#define CYDEV_FLSHID_BASE 0x49000000u +#define CYDEV_FLSHID_SIZE 0x00000200u +#define CYREG_FLSHID_RSVD_MBASE 0x49000000u +#define CYREG_FLSHID_RSVD_MSIZE 0x00000080u +#define CYREG_FLSHID_CUST_MDATA_MBASE 0x49000080u +#define CYREG_FLSHID_CUST_MDATA_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040u +#define CYREG_FLSHID_CUST_TABLES_Y_LOC 0x49000100u +#define CYREG_FLSHID_CUST_TABLES_X_LOC 0x49000101u +#define CYREG_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102u +#define CYREG_FLSHID_CUST_TABLES_LOT_LSB 0x49000103u +#define CYREG_FLSHID_CUST_TABLES_LOT_MSB 0x49000104u +#define CYREG_FLSHID_CUST_TABLES_WRK_WK 0x49000105u +#define CYREG_FLSHID_CUST_TABLES_FAB_YR 0x49000106u +#define CYREG_FLSHID_CUST_TABLES_MINOR 0x49000107u +#define CYREG_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108u +#define CYREG_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109u +#define CYREG_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010au +#define CYREG_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010bu +#define CYREG_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010cu +#define CYREG_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010du +#define CYREG_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010eu +#define CYREG_FLSHID_CUST_TABLES_IMO_USB 0x4900010fu +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110u +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111u +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112u +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113u +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114u +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115u +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116u +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117u +#define CYREG_FLSHID_CUST_TABLES_DEC_M1 0x49000118u +#define CYREG_FLSHID_CUST_TABLES_DEC_M2 0x49000119u +#define CYREG_FLSHID_CUST_TABLES_DEC_M3 0x4900011au +#define CYREG_FLSHID_CUST_TABLES_DEC_M4 0x4900011bu +#define CYREG_FLSHID_CUST_TABLES_DEC_M5 0x4900011cu +#define CYREG_FLSHID_CUST_TABLES_DEC_M6 0x4900011du +#define CYREG_FLSHID_CUST_TABLES_DEC_M7 0x4900011eu +#define CYREG_FLSHID_CUST_TABLES_DEC_M8 0x4900011fu +#define CYREG_FLSHID_CUST_TABLES_DAC0_M1 0x49000120u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M2 0x49000121u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M3 0x49000122u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M4 0x49000123u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M5 0x49000124u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M6 0x49000125u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M7 0x49000126u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M8 0x49000127u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M1 0x49000128u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M2 0x49000129u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M3 0x4900012au +#define CYREG_FLSHID_CUST_TABLES_DAC2_M4 0x4900012bu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M5 0x4900012cu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M6 0x4900012du +#define CYREG_FLSHID_CUST_TABLES_DAC2_M7 0x4900012eu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M8 0x4900012fu +#define CYREG_FLSHID_CUST_TABLES_DAC1_M1 0x49000130u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M2 0x49000131u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M3 0x49000132u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M4 0x49000133u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M5 0x49000134u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M6 0x49000135u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M7 0x49000136u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M8 0x49000137u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M1 0x49000138u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M2 0x49000139u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M3 0x4900013au +#define CYREG_FLSHID_CUST_TABLES_DAC3_M4 0x4900013bu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M5 0x4900013cu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M6 0x4900013du +#define CYREG_FLSHID_CUST_TABLES_DAC3_M7 0x4900013eu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M8 0x4900013fu +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180u +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080u +#define CYREG_FLSHID_MFG_CFG_IMO_TR1 0x49000188u +#define CYREG_FLSHID_MFG_CFG_CMP0_TR0 0x490001acu +#define CYREG_FLSHID_MFG_CFG_CMP1_TR0 0x490001aeu +#define CYREG_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0u +#define CYREG_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2u +#define CYREG_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4u +#define CYREG_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6u +#define CYREG_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8u +#define CYREG_FLSHID_MFG_CFG_CMP3_TR1 0x490001bau +#define CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ceu +#define CYDEV_EXTMEM_BASE 0x60000000u +#define CYDEV_EXTMEM_SIZE 0x00800000u +#define CYREG_EXTMEM_DATA_MBASE 0x60000000u +#define CYREG_EXTMEM_DATA_MSIZE 0x00800000u +#define CYDEV_ITM_BASE 0xe0000000u +#define CYDEV_ITM_SIZE 0x00001000u +#define CYREG_ITM_TRACE_EN 0xe0000e00u +#define CYREG_ITM_TRACE_PRIVILEGE 0xe0000e40u +#define CYREG_ITM_TRACE_CTRL 0xe0000e80u +#define CYREG_ITM_LOCK_ACCESS 0xe0000fb0u +#define CYREG_ITM_LOCK_STATUS 0xe0000fb4u +#define CYREG_ITM_PID4 0xe0000fd0u +#define CYREG_ITM_PID5 0xe0000fd4u +#define CYREG_ITM_PID6 0xe0000fd8u +#define CYREG_ITM_PID7 0xe0000fdcu +#define CYREG_ITM_PID0 0xe0000fe0u +#define CYREG_ITM_PID1 0xe0000fe4u +#define CYREG_ITM_PID2 0xe0000fe8u +#define CYREG_ITM_PID3 0xe0000fecu +#define CYREG_ITM_CID0 0xe0000ff0u +#define CYREG_ITM_CID1 0xe0000ff4u +#define CYREG_ITM_CID2 0xe0000ff8u +#define CYREG_ITM_CID3 0xe0000ffcu +#define CYDEV_DWT_BASE 0xe0001000u +#define CYDEV_DWT_SIZE 0x0000005cu +#define CYREG_DWT_CTRL 0xe0001000u +#define CYREG_DWT_CYCLE_COUNT 0xe0001004u +#define CYREG_DWT_CPI_COUNT 0xe0001008u +#define CYREG_DWT_EXC_OVHD_COUNT 0xe000100cu +#define CYREG_DWT_SLEEP_COUNT 0xe0001010u +#define CYREG_DWT_LSU_COUNT 0xe0001014u +#define CYREG_DWT_FOLD_COUNT 0xe0001018u +#define CYREG_DWT_PC_SAMPLE 0xe000101cu +#define CYREG_DWT_COMP_0 0xe0001020u +#define CYREG_DWT_MASK_0 0xe0001024u +#define CYREG_DWT_FUNCTION_0 0xe0001028u +#define CYREG_DWT_COMP_1 0xe0001030u +#define CYREG_DWT_MASK_1 0xe0001034u +#define CYREG_DWT_FUNCTION_1 0xe0001038u +#define CYREG_DWT_COMP_2 0xe0001040u +#define CYREG_DWT_MASK_2 0xe0001044u +#define CYREG_DWT_FUNCTION_2 0xe0001048u +#define CYREG_DWT_COMP_3 0xe0001050u +#define CYREG_DWT_MASK_3 0xe0001054u +#define CYREG_DWT_FUNCTION_3 0xe0001058u +#define CYDEV_FPB_BASE 0xe0002000u +#define CYDEV_FPB_SIZE 0x00001000u +#define CYREG_FPB_CTRL 0xe0002000u +#define CYREG_FPB_REMAP 0xe0002004u +#define CYREG_FPB_FP_COMP_0 0xe0002008u +#define CYREG_FPB_FP_COMP_1 0xe000200cu +#define CYREG_FPB_FP_COMP_2 0xe0002010u +#define CYREG_FPB_FP_COMP_3 0xe0002014u +#define CYREG_FPB_FP_COMP_4 0xe0002018u +#define CYREG_FPB_FP_COMP_5 0xe000201cu +#define CYREG_FPB_FP_COMP_6 0xe0002020u +#define CYREG_FPB_FP_COMP_7 0xe0002024u +#define CYREG_FPB_PID4 0xe0002fd0u +#define CYREG_FPB_PID5 0xe0002fd4u +#define CYREG_FPB_PID6 0xe0002fd8u +#define CYREG_FPB_PID7 0xe0002fdcu +#define CYREG_FPB_PID0 0xe0002fe0u +#define CYREG_FPB_PID1 0xe0002fe4u +#define CYREG_FPB_PID2 0xe0002fe8u +#define CYREG_FPB_PID3 0xe0002fecu +#define CYREG_FPB_CID0 0xe0002ff0u +#define CYREG_FPB_CID1 0xe0002ff4u +#define CYREG_FPB_CID2 0xe0002ff8u +#define CYREG_FPB_CID3 0xe0002ffcu +#define CYDEV_NVIC_BASE 0xe000e000u +#define CYDEV_NVIC_SIZE 0x00000d3cu +#define CYREG_NVIC_INT_CTL_TYPE 0xe000e004u +#define CYREG_NVIC_SYSTICK_CTL 0xe000e010u +#define CYREG_NVIC_SYSTICK_RELOAD 0xe000e014u +#define CYREG_NVIC_SYSTICK_CURRENT 0xe000e018u +#define CYREG_NVIC_SYSTICK_CAL 0xe000e01cu +#define CYREG_NVIC_SETENA0 0xe000e100u +#define CYREG_NVIC_CLRENA0 0xe000e180u +#define CYREG_NVIC_SETPEND0 0xe000e200u +#define CYREG_NVIC_CLRPEND0 0xe000e280u +#define CYREG_NVIC_ACTIVE0 0xe000e300u +#define CYREG_NVIC_PRI_0 0xe000e400u +#define CYREG_NVIC_PRI_1 0xe000e401u +#define CYREG_NVIC_PRI_2 0xe000e402u +#define CYREG_NVIC_PRI_3 0xe000e403u +#define CYREG_NVIC_PRI_4 0xe000e404u +#define CYREG_NVIC_PRI_5 0xe000e405u +#define CYREG_NVIC_PRI_6 0xe000e406u +#define CYREG_NVIC_PRI_7 0xe000e407u +#define CYREG_NVIC_PRI_8 0xe000e408u +#define CYREG_NVIC_PRI_9 0xe000e409u +#define CYREG_NVIC_PRI_10 0xe000e40au +#define CYREG_NVIC_PRI_11 0xe000e40bu +#define CYREG_NVIC_PRI_12 0xe000e40cu +#define CYREG_NVIC_PRI_13 0xe000e40du +#define CYREG_NVIC_PRI_14 0xe000e40eu +#define CYREG_NVIC_PRI_15 0xe000e40fu +#define CYREG_NVIC_PRI_16 0xe000e410u +#define CYREG_NVIC_PRI_17 0xe000e411u +#define CYREG_NVIC_PRI_18 0xe000e412u +#define CYREG_NVIC_PRI_19 0xe000e413u +#define CYREG_NVIC_PRI_20 0xe000e414u +#define CYREG_NVIC_PRI_21 0xe000e415u +#define CYREG_NVIC_PRI_22 0xe000e416u +#define CYREG_NVIC_PRI_23 0xe000e417u +#define CYREG_NVIC_PRI_24 0xe000e418u +#define CYREG_NVIC_PRI_25 0xe000e419u +#define CYREG_NVIC_PRI_26 0xe000e41au +#define CYREG_NVIC_PRI_27 0xe000e41bu +#define CYREG_NVIC_PRI_28 0xe000e41cu +#define CYREG_NVIC_PRI_29 0xe000e41du +#define CYREG_NVIC_PRI_30 0xe000e41eu +#define CYREG_NVIC_PRI_31 0xe000e41fu +#define CYREG_NVIC_CPUID_BASE 0xe000ed00u +#define CYREG_NVIC_INTR_CTRL_STATE 0xe000ed04u +#define CYREG_NVIC_VECT_OFFSET 0xe000ed08u +#define CYREG_NVIC_APPLN_INTR 0xe000ed0cu +#define CYREG_NVIC_SYSTEM_CONTROL 0xe000ed10u +#define CYREG_NVIC_CFG_CONTROL 0xe000ed14u +#define CYREG_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18u +#define CYREG_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1cu +#define CYREG_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20u +#define CYREG_NVIC_SYS_HANDLER_CSR 0xe000ed24u +#define CYREG_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28u +#define CYREG_NVIC_BUS_FAULT_STATUS 0xe000ed29u +#define CYREG_NVIC_USAGE_FAULT_STATUS 0xe000ed2au +#define CYREG_NVIC_HARD_FAULT_STATUS 0xe000ed2cu +#define CYREG_NVIC_DEBUG_FAULT_STATUS 0xe000ed30u +#define CYREG_NVIC_MEMMAN_FAULT_ADD 0xe000ed34u +#define CYREG_NVIC_BUS_FAULT_ADD 0xe000ed38u +#define CYDEV_CORE_DBG_BASE 0xe000edf0u +#define CYDEV_CORE_DBG_SIZE 0x00000010u +#define CYREG_CORE_DBG_DBG_HLT_CS 0xe000edf0u +#define CYREG_CORE_DBG_DBG_REG_SEL 0xe000edf4u +#define CYREG_CORE_DBG_DBG_REG_DATA 0xe000edf8u +#define CYREG_CORE_DBG_EXC_MON_CTL 0xe000edfcu +#define CYDEV_TPIU_BASE 0xe0040000u +#define CYDEV_TPIU_SIZE 0x00001000u +#define CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000u +#define CYREG_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004u +#define CYREG_TPIU_ASYNC_CLK_PRESCALER 0xe0040010u +#define CYREG_TPIU_PROTOCOL 0xe00400f0u +#define CYREG_TPIU_FORM_FLUSH_STAT 0xe0040300u +#define CYREG_TPIU_FORM_FLUSH_CTRL 0xe0040304u +#define CYREG_TPIU_TRIGGER 0xe0040ee8u +#define CYREG_TPIU_ITETMDATA 0xe0040eecu +#define CYREG_TPIU_ITATBCTR2 0xe0040ef0u +#define CYREG_TPIU_ITATBCTR0 0xe0040ef8u +#define CYREG_TPIU_ITITMDATA 0xe0040efcu +#define CYREG_TPIU_ITCTRL 0xe0040f00u +#define CYREG_TPIU_DEVID 0xe0040fc8u +#define CYREG_TPIU_DEVTYPE 0xe0040fccu +#define CYREG_TPIU_PID4 0xe0040fd0u +#define CYREG_TPIU_PID5 0xe0040fd4u +#define CYREG_TPIU_PID6 0xe0040fd8u +#define CYREG_TPIU_PID7 0xe0040fdcu +#define CYREG_TPIU_PID0 0xe0040fe0u +#define CYREG_TPIU_PID1 0xe0040fe4u +#define CYREG_TPIU_PID2 0xe0040fe8u +#define CYREG_TPIU_PID3 0xe0040fecu +#define CYREG_TPIU_CID0 0xe0040ff0u +#define CYREG_TPIU_CID1 0xe0040ff4u +#define CYREG_TPIU_CID2 0xe0040ff8u +#define CYREG_TPIU_CID3 0xe0040ffcu +#define CYDEV_ETM_BASE 0xe0041000u +#define CYDEV_ETM_SIZE 0x00001000u +#define CYREG_ETM_CTL 0xe0041000u +#define CYREG_ETM_CFG_CODE 0xe0041004u +#define CYREG_ETM_TRIG_EVENT 0xe0041008u +#define CYREG_ETM_STATUS 0xe0041010u +#define CYREG_ETM_SYS_CFG 0xe0041014u +#define CYREG_ETM_TRACE_ENB_EVENT 0xe0041020u +#define CYREG_ETM_TRACE_EN_CTRL1 0xe0041024u +#define CYREG_ETM_FIFOFULL_LEVEL 0xe004102cu +#define CYREG_ETM_SYNC_FREQ 0xe00411e0u +#define CYREG_ETM_ETM_ID 0xe00411e4u +#define CYREG_ETM_CFG_CODE_EXT 0xe00411e8u +#define CYREG_ETM_TR_SS_EMBICE_CTRL 0xe00411f0u +#define CYREG_ETM_CS_TRACE_ID 0xe0041200u +#define CYREG_ETM_OS_LOCK_ACCESS 0xe0041300u +#define CYREG_ETM_OS_LOCK_STATUS 0xe0041304u +#define CYREG_ETM_PDSR 0xe0041314u +#define CYREG_ETM_ITMISCIN 0xe0041ee0u +#define CYREG_ETM_ITTRIGOUT 0xe0041ee8u +#define CYREG_ETM_ITATBCTR2 0xe0041ef0u +#define CYREG_ETM_ITATBCTR0 0xe0041ef8u +#define CYREG_ETM_INT_MODE_CTRL 0xe0041f00u +#define CYREG_ETM_CLM_TAG_SET 0xe0041fa0u +#define CYREG_ETM_CLM_TAG_CLR 0xe0041fa4u +#define CYREG_ETM_LOCK_ACCESS 0xe0041fb0u +#define CYREG_ETM_LOCK_STATUS 0xe0041fb4u +#define CYREG_ETM_AUTH_STATUS 0xe0041fb8u +#define CYREG_ETM_DEV_TYPE 0xe0041fccu +#define CYREG_ETM_PID4 0xe0041fd0u +#define CYREG_ETM_PID5 0xe0041fd4u +#define CYREG_ETM_PID6 0xe0041fd8u +#define CYREG_ETM_PID7 0xe0041fdcu +#define CYREG_ETM_PID0 0xe0041fe0u +#define CYREG_ETM_PID1 0xe0041fe4u +#define CYREG_ETM_PID2 0xe0041fe8u +#define CYREG_ETM_PID3 0xe0041fecu +#define CYREG_ETM_CID0 0xe0041ff0u +#define CYREG_ETM_CID1 0xe0041ff4u +#define CYREG_ETM_CID2 0xe0041ff8u +#define CYREG_ETM_CID3 0xe0041ffcu +#define CYDEV_ROM_TABLE_BASE 0xe00ff000u +#define CYDEV_ROM_TABLE_SIZE 0x00001000u +#define CYREG_ROM_TABLE_NVIC 0xe00ff000u +#define CYREG_ROM_TABLE_DWT 0xe00ff004u +#define CYREG_ROM_TABLE_FPB 0xe00ff008u +#define CYREG_ROM_TABLE_ITM 0xe00ff00cu +#define CYREG_ROM_TABLE_TPIU 0xe00ff010u +#define CYREG_ROM_TABLE_ETM 0xe00ff014u +#define CYREG_ROM_TABLE_END 0xe00ff018u +#define CYREG_ROM_TABLE_MEMTYPE 0xe00fffccu +#define CYREG_ROM_TABLE_PID4 0xe00fffd0u +#define CYREG_ROM_TABLE_PID5 0xe00fffd4u +#define CYREG_ROM_TABLE_PID6 0xe00fffd8u +#define CYREG_ROM_TABLE_PID7 0xe00fffdcu +#define CYREG_ROM_TABLE_PID0 0xe00fffe0u +#define CYREG_ROM_TABLE_PID1 0xe00fffe4u +#define CYREG_ROM_TABLE_PID2 0xe00fffe8u +#define CYREG_ROM_TABLE_PID3 0xe00fffecu +#define CYREG_ROM_TABLE_CID0 0xe00ffff0u +#define CYREG_ROM_TABLE_CID1 0xe00ffff4u +#define CYREG_ROM_TABLE_CID2 0xe00ffff8u +#define CYREG_ROM_TABLE_CID3 0xe00ffffcu +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000u +#define CYDEV_FLS_ROW_SIZE 0x00000100u +#define CYDEV_ECC_SECTOR_SIZE 0x00002000u +#define CYDEV_ECC_ROW_SIZE 0x00000020u +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u +#define CYDEV_EEPROM_ROW_SIZE 0x00000010u +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004u +#define CYCLK_LD_SYNC_EN 0x00000002u +#define CYCLK_LD_LOAD 0x00000001u +#define CYCLK_PIPE 0x00000080u +#define CYCLK_SSS 0x00000040u +#define CYCLK_EARLY 0x00000020u +#define CYCLK_DUTY 0x00000010u +#define CYCLK_SYNC 0x00000008u +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 +#endif /* CYDEVICE_TRM_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu.inc new file mode 100755 index 0000000..833c2b6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu.inc @@ -0,0 +1,5357 @@ +/******************************************************************************* +* FILENAME: cydevicegnu.inc +* OBSOLETE: Do not use this file. Use the _trm version instead. +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +.set CYDEV_FLASH_BASE, 0x00000000 +.set CYDEV_FLASH_SIZE, 0x00020000 +.set CYDEV_FLASH_DATA_MBASE, 0x00000000 +.set CYDEV_FLASH_DATA_MSIZE, 0x00020000 +.set CYDEV_SRAM_BASE, 0x1fffc000 +.set CYDEV_SRAM_SIZE, 0x00008000 +.set CYDEV_SRAM_CODE64K_MBASE, 0x1fff8000 +.set CYDEV_SRAM_CODE64K_MSIZE, 0x00004000 +.set CYDEV_SRAM_CODE32K_MBASE, 0x1fffc000 +.set CYDEV_SRAM_CODE32K_MSIZE, 0x00002000 +.set CYDEV_SRAM_CODE16K_MBASE, 0x1fffe000 +.set CYDEV_SRAM_CODE16K_MSIZE, 0x00001000 +.set CYDEV_SRAM_CODE_MBASE, 0x1fffc000 +.set CYDEV_SRAM_CODE_MSIZE, 0x00004000 +.set CYDEV_SRAM_DATA_MBASE, 0x20000000 +.set CYDEV_SRAM_DATA_MSIZE, 0x00004000 +.set CYDEV_SRAM_DATA16K_MBASE, 0x20001000 +.set CYDEV_SRAM_DATA16K_MSIZE, 0x00001000 +.set CYDEV_SRAM_DATA32K_MBASE, 0x20002000 +.set CYDEV_SRAM_DATA32K_MSIZE, 0x00002000 +.set CYDEV_SRAM_DATA64K_MBASE, 0x20004000 +.set CYDEV_SRAM_DATA64K_MSIZE, 0x00004000 +.set CYDEV_DMA_BASE, 0x20008000 +.set CYDEV_DMA_SIZE, 0x00008000 +.set CYDEV_DMA_SRAM64K_MBASE, 0x20008000 +.set CYDEV_DMA_SRAM64K_MSIZE, 0x00004000 +.set CYDEV_DMA_SRAM32K_MBASE, 0x2000c000 +.set CYDEV_DMA_SRAM32K_MSIZE, 0x00002000 +.set CYDEV_DMA_SRAM16K_MBASE, 0x2000e000 +.set CYDEV_DMA_SRAM16K_MSIZE, 0x00001000 +.set CYDEV_DMA_SRAM_MBASE, 0x2000f000 +.set CYDEV_DMA_SRAM_MSIZE, 0x00001000 +.set CYDEV_CLKDIST_BASE, 0x40004000 +.set CYDEV_CLKDIST_SIZE, 0x00000110 +.set CYDEV_CLKDIST_CR, 0x40004000 +.set CYDEV_CLKDIST_LD, 0x40004001 +.set CYDEV_CLKDIST_WRK0, 0x40004002 +.set CYDEV_CLKDIST_WRK1, 0x40004003 +.set CYDEV_CLKDIST_MSTR0, 0x40004004 +.set CYDEV_CLKDIST_MSTR1, 0x40004005 +.set CYDEV_CLKDIST_BCFG0, 0x40004006 +.set CYDEV_CLKDIST_BCFG1, 0x40004007 +.set CYDEV_CLKDIST_BCFG2, 0x40004008 +.set CYDEV_CLKDIST_UCFG, 0x40004009 +.set CYDEV_CLKDIST_DLY0, 0x4000400a +.set CYDEV_CLKDIST_DLY1, 0x4000400b +.set CYDEV_CLKDIST_DMASK, 0x40004010 +.set CYDEV_CLKDIST_AMASK, 0x40004014 +.set CYDEV_CLKDIST_DCFG0_BASE, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG0_CFG0, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_CFG1, 0x40004081 +.set CYDEV_CLKDIST_DCFG0_CFG2, 0x40004082 +.set CYDEV_CLKDIST_DCFG1_BASE, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG1_CFG0, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_CFG1, 0x40004085 +.set CYDEV_CLKDIST_DCFG1_CFG2, 0x40004086 +.set CYDEV_CLKDIST_DCFG2_BASE, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG2_CFG0, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_CFG1, 0x40004089 +.set CYDEV_CLKDIST_DCFG2_CFG2, 0x4000408a +.set CYDEV_CLKDIST_DCFG3_BASE, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG3_CFG0, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_CFG1, 0x4000408d +.set CYDEV_CLKDIST_DCFG3_CFG2, 0x4000408e +.set CYDEV_CLKDIST_DCFG4_BASE, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG4_CFG0, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_CFG1, 0x40004091 +.set CYDEV_CLKDIST_DCFG4_CFG2, 0x40004092 +.set CYDEV_CLKDIST_DCFG5_BASE, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG5_CFG0, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_CFG1, 0x40004095 +.set CYDEV_CLKDIST_DCFG5_CFG2, 0x40004096 +.set CYDEV_CLKDIST_DCFG6_BASE, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG6_CFG0, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_CFG1, 0x40004099 +.set CYDEV_CLKDIST_DCFG6_CFG2, 0x4000409a +.set CYDEV_CLKDIST_DCFG7_BASE, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG7_CFG0, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_CFG1, 0x4000409d +.set CYDEV_CLKDIST_DCFG7_CFG2, 0x4000409e +.set CYDEV_CLKDIST_ACFG0_BASE, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG0_CFG0, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_CFG1, 0x40004101 +.set CYDEV_CLKDIST_ACFG0_CFG2, 0x40004102 +.set CYDEV_CLKDIST_ACFG0_CFG3, 0x40004103 +.set CYDEV_CLKDIST_ACFG1_BASE, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG1_CFG0, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_CFG1, 0x40004105 +.set CYDEV_CLKDIST_ACFG1_CFG2, 0x40004106 +.set CYDEV_CLKDIST_ACFG1_CFG3, 0x40004107 +.set CYDEV_CLKDIST_ACFG2_BASE, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG2_CFG0, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_CFG1, 0x40004109 +.set CYDEV_CLKDIST_ACFG2_CFG2, 0x4000410a +.set CYDEV_CLKDIST_ACFG2_CFG3, 0x4000410b +.set CYDEV_CLKDIST_ACFG3_BASE, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG3_CFG0, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_CFG1, 0x4000410d +.set CYDEV_CLKDIST_ACFG3_CFG2, 0x4000410e +.set CYDEV_CLKDIST_ACFG3_CFG3, 0x4000410f +.set CYDEV_FASTCLK_BASE, 0x40004200 +.set CYDEV_FASTCLK_SIZE, 0x00000026 +.set CYDEV_FASTCLK_IMO_BASE, 0x40004200 +.set CYDEV_FASTCLK_IMO_SIZE, 0x00000001 +.set CYDEV_FASTCLK_IMO_CR, 0x40004200 +.set CYDEV_FASTCLK_XMHZ_BASE, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_SIZE, 0x00000004 +.set CYDEV_FASTCLK_XMHZ_CSR, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_CFG0, 0x40004212 +.set CYDEV_FASTCLK_XMHZ_CFG1, 0x40004213 +.set CYDEV_FASTCLK_PLL_BASE, 0x40004220 +.set CYDEV_FASTCLK_PLL_SIZE, 0x00000006 +.set CYDEV_FASTCLK_PLL_CFG0, 0x40004220 +.set CYDEV_FASTCLK_PLL_CFG1, 0x40004221 +.set CYDEV_FASTCLK_PLL_P, 0x40004222 +.set CYDEV_FASTCLK_PLL_Q, 0x40004223 +.set CYDEV_FASTCLK_PLL_SR, 0x40004225 +.set CYDEV_SLOWCLK_BASE, 0x40004300 +.set CYDEV_SLOWCLK_SIZE, 0x0000000b +.set CYDEV_SLOWCLK_ILO_BASE, 0x40004300 +.set CYDEV_SLOWCLK_ILO_SIZE, 0x00000002 +.set CYDEV_SLOWCLK_ILO_CR0, 0x40004300 +.set CYDEV_SLOWCLK_ILO_CR1, 0x40004301 +.set CYDEV_SLOWCLK_X32_BASE, 0x40004308 +.set CYDEV_SLOWCLK_X32_SIZE, 0x00000003 +.set CYDEV_SLOWCLK_X32_CR, 0x40004308 +.set CYDEV_SLOWCLK_X32_CFG, 0x40004309 +.set CYDEV_SLOWCLK_X32_TST, 0x4000430a +.set CYDEV_BOOST_BASE, 0x40004320 +.set CYDEV_BOOST_SIZE, 0x00000007 +.set CYDEV_BOOST_CR0, 0x40004320 +.set CYDEV_BOOST_CR1, 0x40004321 +.set CYDEV_BOOST_CR2, 0x40004322 +.set CYDEV_BOOST_CR3, 0x40004323 +.set CYDEV_BOOST_SR, 0x40004324 +.set CYDEV_BOOST_CR4, 0x40004325 +.set CYDEV_BOOST_SR2, 0x40004326 +.set CYDEV_PWRSYS_BASE, 0x40004330 +.set CYDEV_PWRSYS_SIZE, 0x00000002 +.set CYDEV_PWRSYS_CR0, 0x40004330 +.set CYDEV_PWRSYS_CR1, 0x40004331 +.set CYDEV_PM_BASE, 0x40004380 +.set CYDEV_PM_SIZE, 0x00000057 +.set CYDEV_PM_TW_CFG0, 0x40004380 +.set CYDEV_PM_TW_CFG1, 0x40004381 +.set CYDEV_PM_TW_CFG2, 0x40004382 +.set CYDEV_PM_WDT_CFG, 0x40004383 +.set CYDEV_PM_WDT_CR, 0x40004384 +.set CYDEV_PM_INT_SR, 0x40004390 +.set CYDEV_PM_MODE_CFG0, 0x40004391 +.set CYDEV_PM_MODE_CFG1, 0x40004392 +.set CYDEV_PM_MODE_CSR, 0x40004393 +.set CYDEV_PM_USB_CR0, 0x40004394 +.set CYDEV_PM_WAKEUP_CFG0, 0x40004398 +.set CYDEV_PM_WAKEUP_CFG1, 0x40004399 +.set CYDEV_PM_WAKEUP_CFG2, 0x4000439a +.set CYDEV_PM_ACT_BASE, 0x400043a0 +.set CYDEV_PM_ACT_SIZE, 0x0000000e +.set CYDEV_PM_ACT_CFG0, 0x400043a0 +.set CYDEV_PM_ACT_CFG1, 0x400043a1 +.set CYDEV_PM_ACT_CFG2, 0x400043a2 +.set CYDEV_PM_ACT_CFG3, 0x400043a3 +.set CYDEV_PM_ACT_CFG4, 0x400043a4 +.set CYDEV_PM_ACT_CFG5, 0x400043a5 +.set CYDEV_PM_ACT_CFG6, 0x400043a6 +.set CYDEV_PM_ACT_CFG7, 0x400043a7 +.set CYDEV_PM_ACT_CFG8, 0x400043a8 +.set CYDEV_PM_ACT_CFG9, 0x400043a9 +.set CYDEV_PM_ACT_CFG10, 0x400043aa +.set CYDEV_PM_ACT_CFG11, 0x400043ab +.set CYDEV_PM_ACT_CFG12, 0x400043ac +.set CYDEV_PM_ACT_CFG13, 0x400043ad +.set CYDEV_PM_STBY_BASE, 0x400043b0 +.set CYDEV_PM_STBY_SIZE, 0x0000000e +.set CYDEV_PM_STBY_CFG0, 0x400043b0 +.set CYDEV_PM_STBY_CFG1, 0x400043b1 +.set CYDEV_PM_STBY_CFG2, 0x400043b2 +.set CYDEV_PM_STBY_CFG3, 0x400043b3 +.set CYDEV_PM_STBY_CFG4, 0x400043b4 +.set CYDEV_PM_STBY_CFG5, 0x400043b5 +.set CYDEV_PM_STBY_CFG6, 0x400043b6 +.set CYDEV_PM_STBY_CFG7, 0x400043b7 +.set CYDEV_PM_STBY_CFG8, 0x400043b8 +.set CYDEV_PM_STBY_CFG9, 0x400043b9 +.set CYDEV_PM_STBY_CFG10, 0x400043ba +.set CYDEV_PM_STBY_CFG11, 0x400043bb +.set CYDEV_PM_STBY_CFG12, 0x400043bc +.set CYDEV_PM_STBY_CFG13, 0x400043bd +.set CYDEV_PM_AVAIL_BASE, 0x400043c0 +.set CYDEV_PM_AVAIL_SIZE, 0x00000017 +.set CYDEV_PM_AVAIL_CR0, 0x400043c0 +.set CYDEV_PM_AVAIL_CR1, 0x400043c1 +.set CYDEV_PM_AVAIL_CR2, 0x400043c2 +.set CYDEV_PM_AVAIL_CR3, 0x400043c3 +.set CYDEV_PM_AVAIL_CR4, 0x400043c4 +.set CYDEV_PM_AVAIL_CR5, 0x400043c5 +.set CYDEV_PM_AVAIL_CR6, 0x400043c6 +.set CYDEV_PM_AVAIL_SR0, 0x400043d0 +.set CYDEV_PM_AVAIL_SR1, 0x400043d1 +.set CYDEV_PM_AVAIL_SR2, 0x400043d2 +.set CYDEV_PM_AVAIL_SR3, 0x400043d3 +.set CYDEV_PM_AVAIL_SR4, 0x400043d4 +.set CYDEV_PM_AVAIL_SR5, 0x400043d5 +.set CYDEV_PM_AVAIL_SR6, 0x400043d6 +.set CYDEV_PICU_BASE, 0x40004500 +.set CYDEV_PICU_SIZE, 0x000000b0 +.set CYDEV_PICU_INTTYPE_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_SIZE, 0x00000080 +.set CYDEV_PICU_INTTYPE_PICU0_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE0, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE1, 0x40004501 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE2, 0x40004502 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE3, 0x40004503 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE4, 0x40004504 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE5, 0x40004505 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE6, 0x40004506 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE7, 0x40004507 +.set CYDEV_PICU_INTTYPE_PICU1_BASE, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE0, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE1, 0x40004509 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE2, 0x4000450a +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE3, 0x4000450b +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE4, 0x4000450c +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE5, 0x4000450d +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE6, 0x4000450e +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE7, 0x4000450f +.set CYDEV_PICU_INTTYPE_PICU2_BASE, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE0, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE1, 0x40004511 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE2, 0x40004512 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE3, 0x40004513 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE4, 0x40004514 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE5, 0x40004515 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE6, 0x40004516 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE7, 0x40004517 +.set CYDEV_PICU_INTTYPE_PICU3_BASE, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE0, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE1, 0x40004519 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE2, 0x4000451a +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE3, 0x4000451b +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE4, 0x4000451c +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE5, 0x4000451d +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE6, 0x4000451e +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE7, 0x4000451f +.set CYDEV_PICU_INTTYPE_PICU4_BASE, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE0, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE1, 0x40004521 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE2, 0x40004522 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE3, 0x40004523 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE4, 0x40004524 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE5, 0x40004525 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE6, 0x40004526 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE7, 0x40004527 +.set CYDEV_PICU_INTTYPE_PICU5_BASE, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE0, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE1, 0x40004529 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE2, 0x4000452a +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE3, 0x4000452b +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE4, 0x4000452c +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE5, 0x4000452d +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE6, 0x4000452e +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE7, 0x4000452f +.set CYDEV_PICU_INTTYPE_PICU6_BASE, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE0, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE1, 0x40004531 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE2, 0x40004532 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE3, 0x40004533 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE4, 0x40004534 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE5, 0x40004535 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE6, 0x40004536 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE7, 0x40004537 +.set CYDEV_PICU_INTTYPE_PICU12_BASE, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE0, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE1, 0x40004561 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE2, 0x40004562 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE3, 0x40004563 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE4, 0x40004564 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE5, 0x40004565 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE6, 0x40004566 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE7, 0x40004567 +.set CYDEV_PICU_INTTYPE_PICU15_BASE, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE0, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE1, 0x40004579 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE2, 0x4000457a +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE3, 0x4000457b +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE4, 0x4000457c +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE5, 0x4000457d +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE6, 0x4000457e +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE7, 0x4000457f +.set CYDEV_PICU_STAT_BASE, 0x40004580 +.set CYDEV_PICU_STAT_SIZE, 0x00000010 +.set CYDEV_PICU_STAT_PICU0_BASE, 0x40004580 +.set CYDEV_PICU_STAT_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU0_INTSTAT, 0x40004580 +.set CYDEV_PICU_STAT_PICU1_BASE, 0x40004581 +.set CYDEV_PICU_STAT_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU1_INTSTAT, 0x40004581 +.set CYDEV_PICU_STAT_PICU2_BASE, 0x40004582 +.set CYDEV_PICU_STAT_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU2_INTSTAT, 0x40004582 +.set CYDEV_PICU_STAT_PICU3_BASE, 0x40004583 +.set CYDEV_PICU_STAT_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU3_INTSTAT, 0x40004583 +.set CYDEV_PICU_STAT_PICU4_BASE, 0x40004584 +.set CYDEV_PICU_STAT_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU4_INTSTAT, 0x40004584 +.set CYDEV_PICU_STAT_PICU5_BASE, 0x40004585 +.set CYDEV_PICU_STAT_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU5_INTSTAT, 0x40004585 +.set CYDEV_PICU_STAT_PICU6_BASE, 0x40004586 +.set CYDEV_PICU_STAT_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU6_INTSTAT, 0x40004586 +.set CYDEV_PICU_STAT_PICU12_BASE, 0x4000458c +.set CYDEV_PICU_STAT_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU12_INTSTAT, 0x4000458c +.set CYDEV_PICU_STAT_PICU15_BASE, 0x4000458f +.set CYDEV_PICU_STAT_PICU15_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU15_INTSTAT, 0x4000458f +.set CYDEV_PICU_SNAP_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_SIZE, 0x00000010 +.set CYDEV_PICU_SNAP_PICU0_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU0_SNAP, 0x40004590 +.set CYDEV_PICU_SNAP_PICU1_BASE, 0x40004591 +.set CYDEV_PICU_SNAP_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU1_SNAP, 0x40004591 +.set CYDEV_PICU_SNAP_PICU2_BASE, 0x40004592 +.set CYDEV_PICU_SNAP_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU2_SNAP, 0x40004592 +.set CYDEV_PICU_SNAP_PICU3_BASE, 0x40004593 +.set CYDEV_PICU_SNAP_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU3_SNAP, 0x40004593 +.set CYDEV_PICU_SNAP_PICU4_BASE, 0x40004594 +.set CYDEV_PICU_SNAP_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU4_SNAP, 0x40004594 +.set CYDEV_PICU_SNAP_PICU5_BASE, 0x40004595 +.set CYDEV_PICU_SNAP_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU5_SNAP, 0x40004595 +.set CYDEV_PICU_SNAP_PICU6_BASE, 0x40004596 +.set CYDEV_PICU_SNAP_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU6_SNAP, 0x40004596 +.set CYDEV_PICU_SNAP_PICU12_BASE, 0x4000459c +.set CYDEV_PICU_SNAP_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU12_SNAP, 0x4000459c +.set CYDEV_PICU_SNAP_PICU_15_BASE, 0x4000459f +.set CYDEV_PICU_SNAP_PICU_15_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU_15_SNAP_15, 0x4000459f +.set CYDEV_PICU_DISABLE_COR_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_SIZE, 0x00000010 +.set CYDEV_PICU_DISABLE_COR_PICU0_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU1_BASE, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU2_BASE, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU3_BASE, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU4_BASE, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU5_BASE, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU6_BASE, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU12_BASE, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU15_BASE, 0x400045af +.set CYDEV_PICU_DISABLE_COR_PICU15_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR, 0x400045af +.set CYDEV_MFGCFG_BASE, 0x40004600 +.set CYDEV_MFGCFG_SIZE, 0x000000ed +.set CYDEV_MFGCFG_ANAIF_BASE, 0x40004600 +.set CYDEV_MFGCFG_ANAIF_SIZE, 0x00000038 +.set CYDEV_MFGCFG_ANAIF_DAC0_BASE, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC0_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC0_TR, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC1_BASE, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC1_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC1_TR, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC2_BASE, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC2_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC2_TR, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC3_BASE, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_DAC3_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC3_TR, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_SAR0_BASE, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR0_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_SAR0_TR0, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR1_BASE, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_SAR1_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_SAR1_TR0, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_BASE, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_TR0, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_TR1, 0x40004621 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_BASE, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_TR0, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_TR1, 0x40004623 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_BASE, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_TR0, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_TR1, 0x40004625 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_BASE, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_TR0, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_TR1, 0x40004627 +.set CYDEV_MFGCFG_ANAIF_CMP0_BASE, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP0_TR0, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_TR1, 0x40004631 +.set CYDEV_MFGCFG_ANAIF_CMP1_BASE, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP1_TR0, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_TR1, 0x40004633 +.set CYDEV_MFGCFG_ANAIF_CMP2_BASE, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP2_TR0, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_TR1, 0x40004635 +.set CYDEV_MFGCFG_ANAIF_CMP3_BASE, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP3_TR0, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_TR1, 0x40004637 +.set CYDEV_MFGCFG_PWRSYS_BASE, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_SIZE, 0x0000000b +.set CYDEV_MFGCFG_PWRSYS_HIB_TR0, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_HIB_TR1, 0x40004681 +.set CYDEV_MFGCFG_PWRSYS_I2C_TR, 0x40004682 +.set CYDEV_MFGCFG_PWRSYS_SLP_TR, 0x40004683 +.set CYDEV_MFGCFG_PWRSYS_BUZZ_TR, 0x40004684 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR0, 0x40004685 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR1, 0x40004686 +.set CYDEV_MFGCFG_PWRSYS_BREF_TR, 0x40004687 +.set CYDEV_MFGCFG_PWRSYS_BG_TR, 0x40004688 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR2, 0x40004689 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR3, 0x4000468a +.set CYDEV_MFGCFG_ILO_BASE, 0x40004690 +.set CYDEV_MFGCFG_ILO_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ILO_TR0, 0x40004690 +.set CYDEV_MFGCFG_ILO_TR1, 0x40004691 +.set CYDEV_MFGCFG_X32_BASE, 0x40004698 +.set CYDEV_MFGCFG_X32_SIZE, 0x00000001 +.set CYDEV_MFGCFG_X32_TR, 0x40004698 +.set CYDEV_MFGCFG_IMO_BASE, 0x400046a0 +.set CYDEV_MFGCFG_IMO_SIZE, 0x00000005 +.set CYDEV_MFGCFG_IMO_TR0, 0x400046a0 +.set CYDEV_MFGCFG_IMO_TR1, 0x400046a1 +.set CYDEV_MFGCFG_IMO_GAIN, 0x400046a2 +.set CYDEV_MFGCFG_IMO_C36M, 0x400046a3 +.set CYDEV_MFGCFG_IMO_TR2, 0x400046a4 +.set CYDEV_MFGCFG_XMHZ_BASE, 0x400046a8 +.set CYDEV_MFGCFG_XMHZ_SIZE, 0x00000001 +.set CYDEV_MFGCFG_XMHZ_TR, 0x400046a8 +.set CYDEV_MFGCFG_DLY, 0x400046c0 +.set CYDEV_MFGCFG_MLOGIC_BASE, 0x400046e0 +.set CYDEV_MFGCFG_MLOGIC_SIZE, 0x0000000d +.set CYDEV_MFGCFG_MLOGIC_DMPSTR, 0x400046e2 +.set CYDEV_MFGCFG_MLOGIC_SEG_BASE, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_SIZE, 0x00000002 +.set CYDEV_MFGCFG_MLOGIC_SEG_CR, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_CFG0, 0x400046e5 +.set CYDEV_MFGCFG_MLOGIC_DEBUG, 0x400046e8 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE, 0x00000001 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_REV_ID, 0x400046ec +.set CYDEV_RESET_BASE, 0x400046f0 +.set CYDEV_RESET_SIZE, 0x0000000f +.set CYDEV_RESET_IPOR_CR0, 0x400046f0 +.set CYDEV_RESET_IPOR_CR1, 0x400046f1 +.set CYDEV_RESET_IPOR_CR2, 0x400046f2 +.set CYDEV_RESET_IPOR_CR3, 0x400046f3 +.set CYDEV_RESET_CR0, 0x400046f4 +.set CYDEV_RESET_CR1, 0x400046f5 +.set CYDEV_RESET_CR2, 0x400046f6 +.set CYDEV_RESET_CR3, 0x400046f7 +.set CYDEV_RESET_CR4, 0x400046f8 +.set CYDEV_RESET_CR5, 0x400046f9 +.set CYDEV_RESET_SR0, 0x400046fa +.set CYDEV_RESET_SR1, 0x400046fb +.set CYDEV_RESET_SR2, 0x400046fc +.set CYDEV_RESET_SR3, 0x400046fd +.set CYDEV_RESET_TR, 0x400046fe +.set CYDEV_SPC_BASE, 0x40004700 +.set CYDEV_SPC_SIZE, 0x00000100 +.set CYDEV_SPC_FM_EE_CR, 0x40004700 +.set CYDEV_SPC_FM_EE_WAKE_CNT, 0x40004701 +.set CYDEV_SPC_EE_SCR, 0x40004702 +.set CYDEV_SPC_EE_ERR, 0x40004703 +.set CYDEV_SPC_CPU_DATA, 0x40004720 +.set CYDEV_SPC_DMA_DATA, 0x40004721 +.set CYDEV_SPC_SR, 0x40004722 +.set CYDEV_SPC_CR, 0x40004723 +.set CYDEV_SPC_DMM_MAP_BASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SIZE, 0x00000080 +.set CYDEV_SPC_DMM_MAP_SRAM_MBASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SRAM_MSIZE, 0x00000080 +.set CYDEV_CACHE_BASE, 0x40004800 +.set CYDEV_CACHE_SIZE, 0x0000009c +.set CYDEV_CACHE_CC_CTL, 0x40004800 +.set CYDEV_CACHE_ECC_CORR, 0x40004880 +.set CYDEV_CACHE_ECC_ERR, 0x40004888 +.set CYDEV_CACHE_FLASH_ERR, 0x40004890 +.set CYDEV_CACHE_HITMISS, 0x40004898 +.set CYDEV_I2C_BASE, 0x40004900 +.set CYDEV_I2C_SIZE, 0x000000e1 +.set CYDEV_I2C_XCFG, 0x400049c8 +.set CYDEV_I2C_ADR, 0x400049ca +.set CYDEV_I2C_CFG, 0x400049d6 +.set CYDEV_I2C_CSR, 0x400049d7 +.set CYDEV_I2C_D, 0x400049d8 +.set CYDEV_I2C_MCSR, 0x400049d9 +.set CYDEV_I2C_CLK_DIV1, 0x400049db +.set CYDEV_I2C_CLK_DIV2, 0x400049dc +.set CYDEV_I2C_TMOUT_CSR, 0x400049dd +.set CYDEV_I2C_TMOUT_SR, 0x400049de +.set CYDEV_I2C_TMOUT_CFG0, 0x400049df +.set CYDEV_I2C_TMOUT_CFG1, 0x400049e0 +.set CYDEV_DEC_BASE, 0x40004e00 +.set CYDEV_DEC_SIZE, 0x00000015 +.set CYDEV_DEC_CR, 0x40004e00 +.set CYDEV_DEC_SR, 0x40004e01 +.set CYDEV_DEC_SHIFT1, 0x40004e02 +.set CYDEV_DEC_SHIFT2, 0x40004e03 +.set CYDEV_DEC_DR2, 0x40004e04 +.set CYDEV_DEC_DR2H, 0x40004e05 +.set CYDEV_DEC_DR1, 0x40004e06 +.set CYDEV_DEC_OCOR, 0x40004e08 +.set CYDEV_DEC_OCORM, 0x40004e09 +.set CYDEV_DEC_OCORH, 0x40004e0a +.set CYDEV_DEC_GCOR, 0x40004e0c +.set CYDEV_DEC_GCORH, 0x40004e0d +.set CYDEV_DEC_GVAL, 0x40004e0e +.set CYDEV_DEC_OUTSAMP, 0x40004e10 +.set CYDEV_DEC_OUTSAMPM, 0x40004e11 +.set CYDEV_DEC_OUTSAMPH, 0x40004e12 +.set CYDEV_DEC_OUTSAMPS, 0x40004e13 +.set CYDEV_DEC_COHER, 0x40004e14 +.set CYDEV_TMR0_BASE, 0x40004f00 +.set CYDEV_TMR0_SIZE, 0x0000000c +.set CYDEV_TMR0_CFG0, 0x40004f00 +.set CYDEV_TMR0_CFG1, 0x40004f01 +.set CYDEV_TMR0_CFG2, 0x40004f02 +.set CYDEV_TMR0_SR0, 0x40004f03 +.set CYDEV_TMR0_PER0, 0x40004f04 +.set CYDEV_TMR0_PER1, 0x40004f05 +.set CYDEV_TMR0_CNT_CMP0, 0x40004f06 +.set CYDEV_TMR0_CNT_CMP1, 0x40004f07 +.set CYDEV_TMR0_CAP0, 0x40004f08 +.set CYDEV_TMR0_CAP1, 0x40004f09 +.set CYDEV_TMR0_RT0, 0x40004f0a +.set CYDEV_TMR0_RT1, 0x40004f0b +.set CYDEV_TMR1_BASE, 0x40004f0c +.set CYDEV_TMR1_SIZE, 0x0000000c +.set CYDEV_TMR1_CFG0, 0x40004f0c +.set CYDEV_TMR1_CFG1, 0x40004f0d +.set CYDEV_TMR1_CFG2, 0x40004f0e +.set CYDEV_TMR1_SR0, 0x40004f0f +.set CYDEV_TMR1_PER0, 0x40004f10 +.set CYDEV_TMR1_PER1, 0x40004f11 +.set CYDEV_TMR1_CNT_CMP0, 0x40004f12 +.set CYDEV_TMR1_CNT_CMP1, 0x40004f13 +.set CYDEV_TMR1_CAP0, 0x40004f14 +.set CYDEV_TMR1_CAP1, 0x40004f15 +.set CYDEV_TMR1_RT0, 0x40004f16 +.set CYDEV_TMR1_RT1, 0x40004f17 +.set CYDEV_TMR2_BASE, 0x40004f18 +.set CYDEV_TMR2_SIZE, 0x0000000c +.set CYDEV_TMR2_CFG0, 0x40004f18 +.set CYDEV_TMR2_CFG1, 0x40004f19 +.set CYDEV_TMR2_CFG2, 0x40004f1a +.set CYDEV_TMR2_SR0, 0x40004f1b +.set CYDEV_TMR2_PER0, 0x40004f1c +.set CYDEV_TMR2_PER1, 0x40004f1d +.set CYDEV_TMR2_CNT_CMP0, 0x40004f1e +.set CYDEV_TMR2_CNT_CMP1, 0x40004f1f +.set CYDEV_TMR2_CAP0, 0x40004f20 +.set CYDEV_TMR2_CAP1, 0x40004f21 +.set CYDEV_TMR2_RT0, 0x40004f22 +.set CYDEV_TMR2_RT1, 0x40004f23 +.set CYDEV_TMR3_BASE, 0x40004f24 +.set CYDEV_TMR3_SIZE, 0x0000000c +.set CYDEV_TMR3_CFG0, 0x40004f24 +.set CYDEV_TMR3_CFG1, 0x40004f25 +.set CYDEV_TMR3_CFG2, 0x40004f26 +.set CYDEV_TMR3_SR0, 0x40004f27 +.set CYDEV_TMR3_PER0, 0x40004f28 +.set CYDEV_TMR3_PER1, 0x40004f29 +.set CYDEV_TMR3_CNT_CMP0, 0x40004f2a +.set CYDEV_TMR3_CNT_CMP1, 0x40004f2b +.set CYDEV_TMR3_CAP0, 0x40004f2c +.set CYDEV_TMR3_CAP1, 0x40004f2d +.set CYDEV_TMR3_RT0, 0x40004f2e +.set CYDEV_TMR3_RT1, 0x40004f2f +.set CYDEV_IO_BASE, 0x40005000 +.set CYDEV_IO_SIZE, 0x00000200 +.set CYDEV_IO_PC_BASE, 0x40005000 +.set CYDEV_IO_PC_SIZE, 0x00000080 +.set CYDEV_IO_PC_PRT0_BASE, 0x40005000 +.set CYDEV_IO_PC_PRT0_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT0_PC0, 0x40005000 +.set CYDEV_IO_PC_PRT0_PC1, 0x40005001 +.set CYDEV_IO_PC_PRT0_PC2, 0x40005002 +.set CYDEV_IO_PC_PRT0_PC3, 0x40005003 +.set CYDEV_IO_PC_PRT0_PC4, 0x40005004 +.set CYDEV_IO_PC_PRT0_PC5, 0x40005005 +.set CYDEV_IO_PC_PRT0_PC6, 0x40005006 +.set CYDEV_IO_PC_PRT0_PC7, 0x40005007 +.set CYDEV_IO_PC_PRT1_BASE, 0x40005008 +.set CYDEV_IO_PC_PRT1_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT1_PC0, 0x40005008 +.set CYDEV_IO_PC_PRT1_PC1, 0x40005009 +.set CYDEV_IO_PC_PRT1_PC2, 0x4000500a +.set CYDEV_IO_PC_PRT1_PC3, 0x4000500b +.set CYDEV_IO_PC_PRT1_PC4, 0x4000500c +.set CYDEV_IO_PC_PRT1_PC5, 0x4000500d +.set CYDEV_IO_PC_PRT1_PC6, 0x4000500e +.set CYDEV_IO_PC_PRT1_PC7, 0x4000500f +.set CYDEV_IO_PC_PRT2_BASE, 0x40005010 +.set CYDEV_IO_PC_PRT2_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT2_PC0, 0x40005010 +.set CYDEV_IO_PC_PRT2_PC1, 0x40005011 +.set CYDEV_IO_PC_PRT2_PC2, 0x40005012 +.set CYDEV_IO_PC_PRT2_PC3, 0x40005013 +.set CYDEV_IO_PC_PRT2_PC4, 0x40005014 +.set CYDEV_IO_PC_PRT2_PC5, 0x40005015 +.set CYDEV_IO_PC_PRT2_PC6, 0x40005016 +.set CYDEV_IO_PC_PRT2_PC7, 0x40005017 +.set CYDEV_IO_PC_PRT3_BASE, 0x40005018 +.set CYDEV_IO_PC_PRT3_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT3_PC0, 0x40005018 +.set CYDEV_IO_PC_PRT3_PC1, 0x40005019 +.set CYDEV_IO_PC_PRT3_PC2, 0x4000501a +.set CYDEV_IO_PC_PRT3_PC3, 0x4000501b +.set CYDEV_IO_PC_PRT3_PC4, 0x4000501c +.set CYDEV_IO_PC_PRT3_PC5, 0x4000501d +.set CYDEV_IO_PC_PRT3_PC6, 0x4000501e +.set CYDEV_IO_PC_PRT3_PC7, 0x4000501f +.set CYDEV_IO_PC_PRT4_BASE, 0x40005020 +.set CYDEV_IO_PC_PRT4_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT4_PC0, 0x40005020 +.set CYDEV_IO_PC_PRT4_PC1, 0x40005021 +.set CYDEV_IO_PC_PRT4_PC2, 0x40005022 +.set CYDEV_IO_PC_PRT4_PC3, 0x40005023 +.set CYDEV_IO_PC_PRT4_PC4, 0x40005024 +.set CYDEV_IO_PC_PRT4_PC5, 0x40005025 +.set CYDEV_IO_PC_PRT4_PC6, 0x40005026 +.set CYDEV_IO_PC_PRT4_PC7, 0x40005027 +.set CYDEV_IO_PC_PRT5_BASE, 0x40005028 +.set CYDEV_IO_PC_PRT5_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT5_PC0, 0x40005028 +.set CYDEV_IO_PC_PRT5_PC1, 0x40005029 +.set CYDEV_IO_PC_PRT5_PC2, 0x4000502a +.set CYDEV_IO_PC_PRT5_PC3, 0x4000502b +.set CYDEV_IO_PC_PRT5_PC4, 0x4000502c +.set CYDEV_IO_PC_PRT5_PC5, 0x4000502d +.set CYDEV_IO_PC_PRT5_PC6, 0x4000502e +.set CYDEV_IO_PC_PRT5_PC7, 0x4000502f +.set CYDEV_IO_PC_PRT6_BASE, 0x40005030 +.set CYDEV_IO_PC_PRT6_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT6_PC0, 0x40005030 +.set CYDEV_IO_PC_PRT6_PC1, 0x40005031 +.set CYDEV_IO_PC_PRT6_PC2, 0x40005032 +.set CYDEV_IO_PC_PRT6_PC3, 0x40005033 +.set CYDEV_IO_PC_PRT6_PC4, 0x40005034 +.set CYDEV_IO_PC_PRT6_PC5, 0x40005035 +.set CYDEV_IO_PC_PRT6_PC6, 0x40005036 +.set CYDEV_IO_PC_PRT6_PC7, 0x40005037 +.set CYDEV_IO_PC_PRT12_BASE, 0x40005060 +.set CYDEV_IO_PC_PRT12_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT12_PC0, 0x40005060 +.set CYDEV_IO_PC_PRT12_PC1, 0x40005061 +.set CYDEV_IO_PC_PRT12_PC2, 0x40005062 +.set CYDEV_IO_PC_PRT12_PC3, 0x40005063 +.set CYDEV_IO_PC_PRT12_PC4, 0x40005064 +.set CYDEV_IO_PC_PRT12_PC5, 0x40005065 +.set CYDEV_IO_PC_PRT12_PC6, 0x40005066 +.set CYDEV_IO_PC_PRT12_PC7, 0x40005067 +.set CYDEV_IO_PC_PRT15_BASE, 0x40005078 +.set CYDEV_IO_PC_PRT15_SIZE, 0x00000006 +.set CYDEV_IO_PC_PRT15_PC0, 0x40005078 +.set CYDEV_IO_PC_PRT15_PC1, 0x40005079 +.set CYDEV_IO_PC_PRT15_PC2, 0x4000507a +.set CYDEV_IO_PC_PRT15_PC3, 0x4000507b +.set CYDEV_IO_PC_PRT15_PC4, 0x4000507c +.set CYDEV_IO_PC_PRT15_PC5, 0x4000507d +.set CYDEV_IO_PC_PRT15_7_6_BASE, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_SIZE, 0x00000002 +.set CYDEV_IO_PC_PRT15_7_6_PC0, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_PC1, 0x4000507f +.set CYDEV_IO_DR_BASE, 0x40005080 +.set CYDEV_IO_DR_SIZE, 0x00000010 +.set CYDEV_IO_DR_PRT0_BASE, 0x40005080 +.set CYDEV_IO_DR_PRT0_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT0_DR_ALIAS, 0x40005080 +.set CYDEV_IO_DR_PRT1_BASE, 0x40005081 +.set CYDEV_IO_DR_PRT1_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT1_DR_ALIAS, 0x40005081 +.set CYDEV_IO_DR_PRT2_BASE, 0x40005082 +.set CYDEV_IO_DR_PRT2_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT2_DR_ALIAS, 0x40005082 +.set CYDEV_IO_DR_PRT3_BASE, 0x40005083 +.set CYDEV_IO_DR_PRT3_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT3_DR_ALIAS, 0x40005083 +.set CYDEV_IO_DR_PRT4_BASE, 0x40005084 +.set CYDEV_IO_DR_PRT4_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT4_DR_ALIAS, 0x40005084 +.set CYDEV_IO_DR_PRT5_BASE, 0x40005085 +.set CYDEV_IO_DR_PRT5_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT5_DR_ALIAS, 0x40005085 +.set CYDEV_IO_DR_PRT6_BASE, 0x40005086 +.set CYDEV_IO_DR_PRT6_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT6_DR_ALIAS, 0x40005086 +.set CYDEV_IO_DR_PRT12_BASE, 0x4000508c +.set CYDEV_IO_DR_PRT12_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT12_DR_ALIAS, 0x4000508c +.set CYDEV_IO_DR_PRT15_BASE, 0x4000508f +.set CYDEV_IO_DR_PRT15_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT15_DR_15_ALIAS, 0x4000508f +.set CYDEV_IO_PS_BASE, 0x40005090 +.set CYDEV_IO_PS_SIZE, 0x00000010 +.set CYDEV_IO_PS_PRT0_BASE, 0x40005090 +.set CYDEV_IO_PS_PRT0_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT0_PS_ALIAS, 0x40005090 +.set CYDEV_IO_PS_PRT1_BASE, 0x40005091 +.set CYDEV_IO_PS_PRT1_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT1_PS_ALIAS, 0x40005091 +.set CYDEV_IO_PS_PRT2_BASE, 0x40005092 +.set CYDEV_IO_PS_PRT2_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT2_PS_ALIAS, 0x40005092 +.set CYDEV_IO_PS_PRT3_BASE, 0x40005093 +.set CYDEV_IO_PS_PRT3_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT3_PS_ALIAS, 0x40005093 +.set CYDEV_IO_PS_PRT4_BASE, 0x40005094 +.set CYDEV_IO_PS_PRT4_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT4_PS_ALIAS, 0x40005094 +.set CYDEV_IO_PS_PRT5_BASE, 0x40005095 +.set CYDEV_IO_PS_PRT5_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT5_PS_ALIAS, 0x40005095 +.set CYDEV_IO_PS_PRT6_BASE, 0x40005096 +.set CYDEV_IO_PS_PRT6_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT6_PS_ALIAS, 0x40005096 +.set CYDEV_IO_PS_PRT12_BASE, 0x4000509c +.set CYDEV_IO_PS_PRT12_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT12_PS_ALIAS, 0x4000509c +.set CYDEV_IO_PS_PRT15_BASE, 0x4000509f +.set CYDEV_IO_PS_PRT15_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT15_PS15_ALIAS, 0x4000509f +.set CYDEV_IO_PRT_BASE, 0x40005100 +.set CYDEV_IO_PRT_SIZE, 0x00000100 +.set CYDEV_IO_PRT_PRT0_BASE, 0x40005100 +.set CYDEV_IO_PRT_PRT0_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT0_DR, 0x40005100 +.set CYDEV_IO_PRT_PRT0_PS, 0x40005101 +.set CYDEV_IO_PRT_PRT0_DM0, 0x40005102 +.set CYDEV_IO_PRT_PRT0_DM1, 0x40005103 +.set CYDEV_IO_PRT_PRT0_DM2, 0x40005104 +.set CYDEV_IO_PRT_PRT0_SLW, 0x40005105 +.set CYDEV_IO_PRT_PRT0_BYP, 0x40005106 +.set CYDEV_IO_PRT_PRT0_BIE, 0x40005107 +.set CYDEV_IO_PRT_PRT0_INP_DIS, 0x40005108 +.set CYDEV_IO_PRT_PRT0_CTL, 0x40005109 +.set CYDEV_IO_PRT_PRT0_PRT, 0x4000510a +.set CYDEV_IO_PRT_PRT0_BIT_MASK, 0x4000510b +.set CYDEV_IO_PRT_PRT0_AMUX, 0x4000510c +.set CYDEV_IO_PRT_PRT0_AG, 0x4000510d +.set CYDEV_IO_PRT_PRT0_LCD_COM_SEG, 0x4000510e +.set CYDEV_IO_PRT_PRT0_LCD_EN, 0x4000510f +.set CYDEV_IO_PRT_PRT1_BASE, 0x40005110 +.set CYDEV_IO_PRT_PRT1_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT1_DR, 0x40005110 +.set CYDEV_IO_PRT_PRT1_PS, 0x40005111 +.set CYDEV_IO_PRT_PRT1_DM0, 0x40005112 +.set CYDEV_IO_PRT_PRT1_DM1, 0x40005113 +.set CYDEV_IO_PRT_PRT1_DM2, 0x40005114 +.set CYDEV_IO_PRT_PRT1_SLW, 0x40005115 +.set CYDEV_IO_PRT_PRT1_BYP, 0x40005116 +.set CYDEV_IO_PRT_PRT1_BIE, 0x40005117 +.set CYDEV_IO_PRT_PRT1_INP_DIS, 0x40005118 +.set CYDEV_IO_PRT_PRT1_CTL, 0x40005119 +.set CYDEV_IO_PRT_PRT1_PRT, 0x4000511a +.set CYDEV_IO_PRT_PRT1_BIT_MASK, 0x4000511b +.set CYDEV_IO_PRT_PRT1_AMUX, 0x4000511c +.set CYDEV_IO_PRT_PRT1_AG, 0x4000511d +.set CYDEV_IO_PRT_PRT1_LCD_COM_SEG, 0x4000511e +.set CYDEV_IO_PRT_PRT1_LCD_EN, 0x4000511f +.set CYDEV_IO_PRT_PRT2_BASE, 0x40005120 +.set CYDEV_IO_PRT_PRT2_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT2_DR, 0x40005120 +.set CYDEV_IO_PRT_PRT2_PS, 0x40005121 +.set CYDEV_IO_PRT_PRT2_DM0, 0x40005122 +.set CYDEV_IO_PRT_PRT2_DM1, 0x40005123 +.set CYDEV_IO_PRT_PRT2_DM2, 0x40005124 +.set CYDEV_IO_PRT_PRT2_SLW, 0x40005125 +.set CYDEV_IO_PRT_PRT2_BYP, 0x40005126 +.set CYDEV_IO_PRT_PRT2_BIE, 0x40005127 +.set CYDEV_IO_PRT_PRT2_INP_DIS, 0x40005128 +.set CYDEV_IO_PRT_PRT2_CTL, 0x40005129 +.set CYDEV_IO_PRT_PRT2_PRT, 0x4000512a +.set CYDEV_IO_PRT_PRT2_BIT_MASK, 0x4000512b +.set CYDEV_IO_PRT_PRT2_AMUX, 0x4000512c +.set CYDEV_IO_PRT_PRT2_AG, 0x4000512d +.set CYDEV_IO_PRT_PRT2_LCD_COM_SEG, 0x4000512e +.set CYDEV_IO_PRT_PRT2_LCD_EN, 0x4000512f +.set CYDEV_IO_PRT_PRT3_BASE, 0x40005130 +.set CYDEV_IO_PRT_PRT3_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT3_DR, 0x40005130 +.set CYDEV_IO_PRT_PRT3_PS, 0x40005131 +.set CYDEV_IO_PRT_PRT3_DM0, 0x40005132 +.set CYDEV_IO_PRT_PRT3_DM1, 0x40005133 +.set CYDEV_IO_PRT_PRT3_DM2, 0x40005134 +.set CYDEV_IO_PRT_PRT3_SLW, 0x40005135 +.set CYDEV_IO_PRT_PRT3_BYP, 0x40005136 +.set CYDEV_IO_PRT_PRT3_BIE, 0x40005137 +.set CYDEV_IO_PRT_PRT3_INP_DIS, 0x40005138 +.set CYDEV_IO_PRT_PRT3_CTL, 0x40005139 +.set CYDEV_IO_PRT_PRT3_PRT, 0x4000513a +.set CYDEV_IO_PRT_PRT3_BIT_MASK, 0x4000513b +.set CYDEV_IO_PRT_PRT3_AMUX, 0x4000513c +.set CYDEV_IO_PRT_PRT3_AG, 0x4000513d +.set CYDEV_IO_PRT_PRT3_LCD_COM_SEG, 0x4000513e +.set CYDEV_IO_PRT_PRT3_LCD_EN, 0x4000513f +.set CYDEV_IO_PRT_PRT4_BASE, 0x40005140 +.set CYDEV_IO_PRT_PRT4_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT4_DR, 0x40005140 +.set CYDEV_IO_PRT_PRT4_PS, 0x40005141 +.set CYDEV_IO_PRT_PRT4_DM0, 0x40005142 +.set CYDEV_IO_PRT_PRT4_DM1, 0x40005143 +.set CYDEV_IO_PRT_PRT4_DM2, 0x40005144 +.set CYDEV_IO_PRT_PRT4_SLW, 0x40005145 +.set CYDEV_IO_PRT_PRT4_BYP, 0x40005146 +.set CYDEV_IO_PRT_PRT4_BIE, 0x40005147 +.set CYDEV_IO_PRT_PRT4_INP_DIS, 0x40005148 +.set CYDEV_IO_PRT_PRT4_CTL, 0x40005149 +.set CYDEV_IO_PRT_PRT4_PRT, 0x4000514a +.set CYDEV_IO_PRT_PRT4_BIT_MASK, 0x4000514b +.set CYDEV_IO_PRT_PRT4_AMUX, 0x4000514c +.set CYDEV_IO_PRT_PRT4_AG, 0x4000514d +.set CYDEV_IO_PRT_PRT4_LCD_COM_SEG, 0x4000514e +.set CYDEV_IO_PRT_PRT4_LCD_EN, 0x4000514f +.set CYDEV_IO_PRT_PRT5_BASE, 0x40005150 +.set CYDEV_IO_PRT_PRT5_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT5_DR, 0x40005150 +.set CYDEV_IO_PRT_PRT5_PS, 0x40005151 +.set CYDEV_IO_PRT_PRT5_DM0, 0x40005152 +.set CYDEV_IO_PRT_PRT5_DM1, 0x40005153 +.set CYDEV_IO_PRT_PRT5_DM2, 0x40005154 +.set CYDEV_IO_PRT_PRT5_SLW, 0x40005155 +.set CYDEV_IO_PRT_PRT5_BYP, 0x40005156 +.set CYDEV_IO_PRT_PRT5_BIE, 0x40005157 +.set CYDEV_IO_PRT_PRT5_INP_DIS, 0x40005158 +.set CYDEV_IO_PRT_PRT5_CTL, 0x40005159 +.set CYDEV_IO_PRT_PRT5_PRT, 0x4000515a +.set CYDEV_IO_PRT_PRT5_BIT_MASK, 0x4000515b +.set CYDEV_IO_PRT_PRT5_AMUX, 0x4000515c +.set CYDEV_IO_PRT_PRT5_AG, 0x4000515d +.set CYDEV_IO_PRT_PRT5_LCD_COM_SEG, 0x4000515e +.set CYDEV_IO_PRT_PRT5_LCD_EN, 0x4000515f +.set CYDEV_IO_PRT_PRT6_BASE, 0x40005160 +.set CYDEV_IO_PRT_PRT6_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT6_DR, 0x40005160 +.set CYDEV_IO_PRT_PRT6_PS, 0x40005161 +.set CYDEV_IO_PRT_PRT6_DM0, 0x40005162 +.set CYDEV_IO_PRT_PRT6_DM1, 0x40005163 +.set CYDEV_IO_PRT_PRT6_DM2, 0x40005164 +.set CYDEV_IO_PRT_PRT6_SLW, 0x40005165 +.set CYDEV_IO_PRT_PRT6_BYP, 0x40005166 +.set CYDEV_IO_PRT_PRT6_BIE, 0x40005167 +.set CYDEV_IO_PRT_PRT6_INP_DIS, 0x40005168 +.set CYDEV_IO_PRT_PRT6_CTL, 0x40005169 +.set CYDEV_IO_PRT_PRT6_PRT, 0x4000516a +.set CYDEV_IO_PRT_PRT6_BIT_MASK, 0x4000516b +.set CYDEV_IO_PRT_PRT6_AMUX, 0x4000516c +.set CYDEV_IO_PRT_PRT6_AG, 0x4000516d +.set CYDEV_IO_PRT_PRT6_LCD_COM_SEG, 0x4000516e +.set CYDEV_IO_PRT_PRT6_LCD_EN, 0x4000516f +.set CYDEV_IO_PRT_PRT12_BASE, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT12_DR, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_PS, 0x400051c1 +.set CYDEV_IO_PRT_PRT12_DM0, 0x400051c2 +.set CYDEV_IO_PRT_PRT12_DM1, 0x400051c3 +.set CYDEV_IO_PRT_PRT12_DM2, 0x400051c4 +.set CYDEV_IO_PRT_PRT12_SLW, 0x400051c5 +.set CYDEV_IO_PRT_PRT12_BYP, 0x400051c6 +.set CYDEV_IO_PRT_PRT12_BIE, 0x400051c7 +.set CYDEV_IO_PRT_PRT12_INP_DIS, 0x400051c8 +.set CYDEV_IO_PRT_PRT12_SIO_HYST_EN, 0x400051c9 +.set CYDEV_IO_PRT_PRT12_PRT, 0x400051ca +.set CYDEV_IO_PRT_PRT12_BIT_MASK, 0x400051cb +.set CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ, 0x400051cc +.set CYDEV_IO_PRT_PRT12_AG, 0x400051cd +.set CYDEV_IO_PRT_PRT12_SIO_CFG, 0x400051ce +.set CYDEV_IO_PRT_PRT12_SIO_DIFF, 0x400051cf +.set CYDEV_IO_PRT_PRT15_BASE, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT15_DR, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_PS, 0x400051f1 +.set CYDEV_IO_PRT_PRT15_DM0, 0x400051f2 +.set CYDEV_IO_PRT_PRT15_DM1, 0x400051f3 +.set CYDEV_IO_PRT_PRT15_DM2, 0x400051f4 +.set CYDEV_IO_PRT_PRT15_SLW, 0x400051f5 +.set CYDEV_IO_PRT_PRT15_BYP, 0x400051f6 +.set CYDEV_IO_PRT_PRT15_BIE, 0x400051f7 +.set CYDEV_IO_PRT_PRT15_INP_DIS, 0x400051f8 +.set CYDEV_IO_PRT_PRT15_CTL, 0x400051f9 +.set CYDEV_IO_PRT_PRT15_PRT, 0x400051fa +.set CYDEV_IO_PRT_PRT15_BIT_MASK, 0x400051fb +.set CYDEV_IO_PRT_PRT15_AMUX, 0x400051fc +.set CYDEV_IO_PRT_PRT15_AG, 0x400051fd +.set CYDEV_IO_PRT_PRT15_LCD_COM_SEG, 0x400051fe +.set CYDEV_IO_PRT_PRT15_LCD_EN, 0x400051ff +.set CYDEV_PRTDSI_BASE, 0x40005200 +.set CYDEV_PRTDSI_SIZE, 0x0000007f +.set CYDEV_PRTDSI_PRT0_BASE, 0x40005200 +.set CYDEV_PRTDSI_PRT0_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT0_OUT_SEL0, 0x40005200 +.set CYDEV_PRTDSI_PRT0_OUT_SEL1, 0x40005201 +.set CYDEV_PRTDSI_PRT0_OE_SEL0, 0x40005202 +.set CYDEV_PRTDSI_PRT0_OE_SEL1, 0x40005203 +.set CYDEV_PRTDSI_PRT0_DBL_SYNC_IN, 0x40005204 +.set CYDEV_PRTDSI_PRT0_SYNC_OUT, 0x40005205 +.set CYDEV_PRTDSI_PRT0_CAPS_SEL, 0x40005206 +.set CYDEV_PRTDSI_PRT1_BASE, 0x40005208 +.set CYDEV_PRTDSI_PRT1_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT1_OUT_SEL0, 0x40005208 +.set CYDEV_PRTDSI_PRT1_OUT_SEL1, 0x40005209 +.set CYDEV_PRTDSI_PRT1_OE_SEL0, 0x4000520a +.set CYDEV_PRTDSI_PRT1_OE_SEL1, 0x4000520b +.set CYDEV_PRTDSI_PRT1_DBL_SYNC_IN, 0x4000520c +.set CYDEV_PRTDSI_PRT1_SYNC_OUT, 0x4000520d +.set CYDEV_PRTDSI_PRT1_CAPS_SEL, 0x4000520e +.set CYDEV_PRTDSI_PRT2_BASE, 0x40005210 +.set CYDEV_PRTDSI_PRT2_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT2_OUT_SEL0, 0x40005210 +.set CYDEV_PRTDSI_PRT2_OUT_SEL1, 0x40005211 +.set CYDEV_PRTDSI_PRT2_OE_SEL0, 0x40005212 +.set CYDEV_PRTDSI_PRT2_OE_SEL1, 0x40005213 +.set CYDEV_PRTDSI_PRT2_DBL_SYNC_IN, 0x40005214 +.set CYDEV_PRTDSI_PRT2_SYNC_OUT, 0x40005215 +.set CYDEV_PRTDSI_PRT2_CAPS_SEL, 0x40005216 +.set CYDEV_PRTDSI_PRT3_BASE, 0x40005218 +.set CYDEV_PRTDSI_PRT3_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT3_OUT_SEL0, 0x40005218 +.set CYDEV_PRTDSI_PRT3_OUT_SEL1, 0x40005219 +.set CYDEV_PRTDSI_PRT3_OE_SEL0, 0x4000521a +.set CYDEV_PRTDSI_PRT3_OE_SEL1, 0x4000521b +.set CYDEV_PRTDSI_PRT3_DBL_SYNC_IN, 0x4000521c +.set CYDEV_PRTDSI_PRT3_SYNC_OUT, 0x4000521d +.set CYDEV_PRTDSI_PRT3_CAPS_SEL, 0x4000521e +.set CYDEV_PRTDSI_PRT4_BASE, 0x40005220 +.set CYDEV_PRTDSI_PRT4_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT4_OUT_SEL0, 0x40005220 +.set CYDEV_PRTDSI_PRT4_OUT_SEL1, 0x40005221 +.set CYDEV_PRTDSI_PRT4_OE_SEL0, 0x40005222 +.set CYDEV_PRTDSI_PRT4_OE_SEL1, 0x40005223 +.set CYDEV_PRTDSI_PRT4_DBL_SYNC_IN, 0x40005224 +.set CYDEV_PRTDSI_PRT4_SYNC_OUT, 0x40005225 +.set CYDEV_PRTDSI_PRT4_CAPS_SEL, 0x40005226 +.set CYDEV_PRTDSI_PRT5_BASE, 0x40005228 +.set CYDEV_PRTDSI_PRT5_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT5_OUT_SEL0, 0x40005228 +.set CYDEV_PRTDSI_PRT5_OUT_SEL1, 0x40005229 +.set CYDEV_PRTDSI_PRT5_OE_SEL0, 0x4000522a +.set CYDEV_PRTDSI_PRT5_OE_SEL1, 0x4000522b +.set CYDEV_PRTDSI_PRT5_DBL_SYNC_IN, 0x4000522c +.set CYDEV_PRTDSI_PRT5_SYNC_OUT, 0x4000522d +.set CYDEV_PRTDSI_PRT5_CAPS_SEL, 0x4000522e +.set CYDEV_PRTDSI_PRT6_BASE, 0x40005230 +.set CYDEV_PRTDSI_PRT6_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT6_OUT_SEL0, 0x40005230 +.set CYDEV_PRTDSI_PRT6_OUT_SEL1, 0x40005231 +.set CYDEV_PRTDSI_PRT6_OE_SEL0, 0x40005232 +.set CYDEV_PRTDSI_PRT6_OE_SEL1, 0x40005233 +.set CYDEV_PRTDSI_PRT6_DBL_SYNC_IN, 0x40005234 +.set CYDEV_PRTDSI_PRT6_SYNC_OUT, 0x40005235 +.set CYDEV_PRTDSI_PRT6_CAPS_SEL, 0x40005236 +.set CYDEV_PRTDSI_PRT12_BASE, 0x40005260 +.set CYDEV_PRTDSI_PRT12_SIZE, 0x00000006 +.set CYDEV_PRTDSI_PRT12_OUT_SEL0, 0x40005260 +.set CYDEV_PRTDSI_PRT12_OUT_SEL1, 0x40005261 +.set CYDEV_PRTDSI_PRT12_OE_SEL0, 0x40005262 +.set CYDEV_PRTDSI_PRT12_OE_SEL1, 0x40005263 +.set CYDEV_PRTDSI_PRT12_DBL_SYNC_IN, 0x40005264 +.set CYDEV_PRTDSI_PRT12_SYNC_OUT, 0x40005265 +.set CYDEV_PRTDSI_PRT15_BASE, 0x40005278 +.set CYDEV_PRTDSI_PRT15_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT15_OUT_SEL0, 0x40005278 +.set CYDEV_PRTDSI_PRT15_OUT_SEL1, 0x40005279 +.set CYDEV_PRTDSI_PRT15_OE_SEL0, 0x4000527a +.set CYDEV_PRTDSI_PRT15_OE_SEL1, 0x4000527b +.set CYDEV_PRTDSI_PRT15_DBL_SYNC_IN, 0x4000527c +.set CYDEV_PRTDSI_PRT15_SYNC_OUT, 0x4000527d +.set CYDEV_PRTDSI_PRT15_CAPS_SEL, 0x4000527e +.set CYDEV_EMIF_BASE, 0x40005400 +.set CYDEV_EMIF_SIZE, 0x00000007 +.set CYDEV_EMIF_NO_UDB, 0x40005400 +.set CYDEV_EMIF_RP_WAIT_STATES, 0x40005401 +.set CYDEV_EMIF_MEM_DWN, 0x40005402 +.set CYDEV_EMIF_MEMCLK_DIV, 0x40005403 +.set CYDEV_EMIF_CLOCK_EN, 0x40005404 +.set CYDEV_EMIF_EM_TYPE, 0x40005405 +.set CYDEV_EMIF_WP_WAIT_STATES, 0x40005406 +.set CYDEV_ANAIF_BASE, 0x40005800 +.set CYDEV_ANAIF_SIZE, 0x000003a9 +.set CYDEV_ANAIF_CFG_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SIZE, 0x0000010f +.set CYDEV_ANAIF_CFG_SC0_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC0_CR0, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_CR1, 0x40005801 +.set CYDEV_ANAIF_CFG_SC0_CR2, 0x40005802 +.set CYDEV_ANAIF_CFG_SC1_BASE, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC1_CR0, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_CR1, 0x40005805 +.set CYDEV_ANAIF_CFG_SC1_CR2, 0x40005806 +.set CYDEV_ANAIF_CFG_SC2_BASE, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC2_CR0, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_CR1, 0x40005809 +.set CYDEV_ANAIF_CFG_SC2_CR2, 0x4000580a +.set CYDEV_ANAIF_CFG_SC3_BASE, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC3_CR0, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_CR1, 0x4000580d +.set CYDEV_ANAIF_CFG_SC3_CR2, 0x4000580e +.set CYDEV_ANAIF_CFG_DAC0_BASE, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC0_CR0, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_CR1, 0x40005821 +.set CYDEV_ANAIF_CFG_DAC0_TST, 0x40005822 +.set CYDEV_ANAIF_CFG_DAC1_BASE, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC1_CR0, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_CR1, 0x40005825 +.set CYDEV_ANAIF_CFG_DAC1_TST, 0x40005826 +.set CYDEV_ANAIF_CFG_DAC2_BASE, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC2_CR0, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_CR1, 0x40005829 +.set CYDEV_ANAIF_CFG_DAC2_TST, 0x4000582a +.set CYDEV_ANAIF_CFG_DAC3_BASE, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC3_CR0, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_CR1, 0x4000582d +.set CYDEV_ANAIF_CFG_DAC3_TST, 0x4000582e +.set CYDEV_ANAIF_CFG_CMP0_BASE, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP0_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP0_CR, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP1_BASE, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP1_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP1_CR, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP2_BASE, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP2_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP2_CR, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP3_BASE, 0x40005843 +.set CYDEV_ANAIF_CFG_CMP3_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP3_CR, 0x40005843 +.set CYDEV_ANAIF_CFG_LUT0_BASE, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT0_CR, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_MX, 0x40005849 +.set CYDEV_ANAIF_CFG_LUT1_BASE, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT1_CR, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_MX, 0x4000584b +.set CYDEV_ANAIF_CFG_LUT2_BASE, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT2_CR, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_MX, 0x4000584d +.set CYDEV_ANAIF_CFG_LUT3_BASE, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT3_CR, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_MX, 0x4000584f +.set CYDEV_ANAIF_CFG_OPAMP0_BASE, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP0_CR, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_RSVD, 0x40005859 +.set CYDEV_ANAIF_CFG_OPAMP1_BASE, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP1_CR, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_RSVD, 0x4000585b +.set CYDEV_ANAIF_CFG_OPAMP2_BASE, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP2_CR, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_RSVD, 0x4000585d +.set CYDEV_ANAIF_CFG_OPAMP3_BASE, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP3_CR, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_RSVD, 0x4000585f +.set CYDEV_ANAIF_CFG_LCDDAC_BASE, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LCDDAC_CR0, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_CR1, 0x40005869 +.set CYDEV_ANAIF_CFG_LCDDRV_BASE, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDDRV_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_LCDDRV_CR, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDTMR_BASE, 0x4000586b +.set CYDEV_ANAIF_CFG_LCDTMR_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_LCDTMR_CFG, 0x4000586b +.set CYDEV_ANAIF_CFG_BG_BASE, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_SIZE, 0x00000004 +.set CYDEV_ANAIF_CFG_BG_CR0, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_RSVD, 0x4000586d +.set CYDEV_ANAIF_CFG_BG_DFT0, 0x4000586e +.set CYDEV_ANAIF_CFG_BG_DFT1, 0x4000586f +.set CYDEV_ANAIF_CFG_CAPSL_BASE, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_CAPSL_CFG0, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_CFG1, 0x40005871 +.set CYDEV_ANAIF_CFG_CAPSR_BASE, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_CAPSR_CFG0, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_CFG1, 0x40005873 +.set CYDEV_ANAIF_CFG_PUMP_BASE, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_PUMP_CR0, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_CR1, 0x40005877 +.set CYDEV_ANAIF_CFG_LPF0_BASE, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LPF0_CR0, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_RSVD, 0x40005879 +.set CYDEV_ANAIF_CFG_LPF1_BASE, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LPF1_CR0, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_RSVD, 0x4000587b +.set CYDEV_ANAIF_CFG_MISC_BASE, 0x4000587c +.set CYDEV_ANAIF_CFG_MISC_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_MISC_CR0, 0x4000587c +.set CYDEV_ANAIF_CFG_DSM0_BASE, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_SIZE, 0x00000020 +.set CYDEV_ANAIF_CFG_DSM0_CR0, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_CR1, 0x40005881 +.set CYDEV_ANAIF_CFG_DSM0_CR2, 0x40005882 +.set CYDEV_ANAIF_CFG_DSM0_CR3, 0x40005883 +.set CYDEV_ANAIF_CFG_DSM0_CR4, 0x40005884 +.set CYDEV_ANAIF_CFG_DSM0_CR5, 0x40005885 +.set CYDEV_ANAIF_CFG_DSM0_CR6, 0x40005886 +.set CYDEV_ANAIF_CFG_DSM0_CR7, 0x40005887 +.set CYDEV_ANAIF_CFG_DSM0_CR8, 0x40005888 +.set CYDEV_ANAIF_CFG_DSM0_CR9, 0x40005889 +.set CYDEV_ANAIF_CFG_DSM0_CR10, 0x4000588a +.set CYDEV_ANAIF_CFG_DSM0_CR11, 0x4000588b +.set CYDEV_ANAIF_CFG_DSM0_CR12, 0x4000588c +.set CYDEV_ANAIF_CFG_DSM0_CR13, 0x4000588d +.set CYDEV_ANAIF_CFG_DSM0_CR14, 0x4000588e +.set CYDEV_ANAIF_CFG_DSM0_CR15, 0x4000588f +.set CYDEV_ANAIF_CFG_DSM0_CR16, 0x40005890 +.set CYDEV_ANAIF_CFG_DSM0_CR17, 0x40005891 +.set CYDEV_ANAIF_CFG_DSM0_REF0, 0x40005892 +.set CYDEV_ANAIF_CFG_DSM0_REF1, 0x40005893 +.set CYDEV_ANAIF_CFG_DSM0_REF2, 0x40005894 +.set CYDEV_ANAIF_CFG_DSM0_REF3, 0x40005895 +.set CYDEV_ANAIF_CFG_DSM0_DEM0, 0x40005896 +.set CYDEV_ANAIF_CFG_DSM0_DEM1, 0x40005897 +.set CYDEV_ANAIF_CFG_DSM0_TST0, 0x40005898 +.set CYDEV_ANAIF_CFG_DSM0_TST1, 0x40005899 +.set CYDEV_ANAIF_CFG_DSM0_BUF0, 0x4000589a +.set CYDEV_ANAIF_CFG_DSM0_BUF1, 0x4000589b +.set CYDEV_ANAIF_CFG_DSM0_BUF2, 0x4000589c +.set CYDEV_ANAIF_CFG_DSM0_BUF3, 0x4000589d +.set CYDEV_ANAIF_CFG_DSM0_MISC, 0x4000589e +.set CYDEV_ANAIF_CFG_DSM0_RSVD1, 0x4000589f +.set CYDEV_ANAIF_CFG_SAR0_BASE, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_SIZE, 0x00000007 +.set CYDEV_ANAIF_CFG_SAR0_CSR0, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_CSR1, 0x40005901 +.set CYDEV_ANAIF_CFG_SAR0_CSR2, 0x40005902 +.set CYDEV_ANAIF_CFG_SAR0_CSR3, 0x40005903 +.set CYDEV_ANAIF_CFG_SAR0_CSR4, 0x40005904 +.set CYDEV_ANAIF_CFG_SAR0_CSR5, 0x40005905 +.set CYDEV_ANAIF_CFG_SAR0_CSR6, 0x40005906 +.set CYDEV_ANAIF_CFG_SAR1_BASE, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_SIZE, 0x00000007 +.set CYDEV_ANAIF_CFG_SAR1_CSR0, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_CSR1, 0x40005909 +.set CYDEV_ANAIF_CFG_SAR1_CSR2, 0x4000590a +.set CYDEV_ANAIF_CFG_SAR1_CSR3, 0x4000590b +.set CYDEV_ANAIF_CFG_SAR1_CSR4, 0x4000590c +.set CYDEV_ANAIF_CFG_SAR1_CSR5, 0x4000590d +.set CYDEV_ANAIF_CFG_SAR1_CSR6, 0x4000590e +.set CYDEV_ANAIF_RT_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SIZE, 0x00000162 +.set CYDEV_ANAIF_RT_SC0_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC0_SW0, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SW2, 0x40005a02 +.set CYDEV_ANAIF_RT_SC0_SW3, 0x40005a03 +.set CYDEV_ANAIF_RT_SC0_SW4, 0x40005a04 +.set CYDEV_ANAIF_RT_SC0_SW6, 0x40005a06 +.set CYDEV_ANAIF_RT_SC0_SW7, 0x40005a07 +.set CYDEV_ANAIF_RT_SC0_SW8, 0x40005a08 +.set CYDEV_ANAIF_RT_SC0_SW10, 0x40005a0a +.set CYDEV_ANAIF_RT_SC0_CLK, 0x40005a0b +.set CYDEV_ANAIF_RT_SC0_BST, 0x40005a0c +.set CYDEV_ANAIF_RT_SC1_BASE, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC1_SW0, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SW2, 0x40005a12 +.set CYDEV_ANAIF_RT_SC1_SW3, 0x40005a13 +.set CYDEV_ANAIF_RT_SC1_SW4, 0x40005a14 +.set CYDEV_ANAIF_RT_SC1_SW6, 0x40005a16 +.set CYDEV_ANAIF_RT_SC1_SW7, 0x40005a17 +.set CYDEV_ANAIF_RT_SC1_SW8, 0x40005a18 +.set CYDEV_ANAIF_RT_SC1_SW10, 0x40005a1a +.set CYDEV_ANAIF_RT_SC1_CLK, 0x40005a1b +.set CYDEV_ANAIF_RT_SC1_BST, 0x40005a1c +.set CYDEV_ANAIF_RT_SC2_BASE, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC2_SW0, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SW2, 0x40005a22 +.set CYDEV_ANAIF_RT_SC2_SW3, 0x40005a23 +.set CYDEV_ANAIF_RT_SC2_SW4, 0x40005a24 +.set CYDEV_ANAIF_RT_SC2_SW6, 0x40005a26 +.set CYDEV_ANAIF_RT_SC2_SW7, 0x40005a27 +.set CYDEV_ANAIF_RT_SC2_SW8, 0x40005a28 +.set CYDEV_ANAIF_RT_SC2_SW10, 0x40005a2a +.set CYDEV_ANAIF_RT_SC2_CLK, 0x40005a2b +.set CYDEV_ANAIF_RT_SC2_BST, 0x40005a2c +.set CYDEV_ANAIF_RT_SC3_BASE, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC3_SW0, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SW2, 0x40005a32 +.set CYDEV_ANAIF_RT_SC3_SW3, 0x40005a33 +.set CYDEV_ANAIF_RT_SC3_SW4, 0x40005a34 +.set CYDEV_ANAIF_RT_SC3_SW6, 0x40005a36 +.set CYDEV_ANAIF_RT_SC3_SW7, 0x40005a37 +.set CYDEV_ANAIF_RT_SC3_SW8, 0x40005a38 +.set CYDEV_ANAIF_RT_SC3_SW10, 0x40005a3a +.set CYDEV_ANAIF_RT_SC3_CLK, 0x40005a3b +.set CYDEV_ANAIF_RT_SC3_BST, 0x40005a3c +.set CYDEV_ANAIF_RT_DAC0_BASE, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC0_SW0, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SW2, 0x40005a82 +.set CYDEV_ANAIF_RT_DAC0_SW3, 0x40005a83 +.set CYDEV_ANAIF_RT_DAC0_SW4, 0x40005a84 +.set CYDEV_ANAIF_RT_DAC0_STROBE, 0x40005a87 +.set CYDEV_ANAIF_RT_DAC1_BASE, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC1_SW0, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SW2, 0x40005a8a +.set CYDEV_ANAIF_RT_DAC1_SW3, 0x40005a8b +.set CYDEV_ANAIF_RT_DAC1_SW4, 0x40005a8c +.set CYDEV_ANAIF_RT_DAC1_STROBE, 0x40005a8f +.set CYDEV_ANAIF_RT_DAC2_BASE, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC2_SW0, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SW2, 0x40005a92 +.set CYDEV_ANAIF_RT_DAC2_SW3, 0x40005a93 +.set CYDEV_ANAIF_RT_DAC2_SW4, 0x40005a94 +.set CYDEV_ANAIF_RT_DAC2_STROBE, 0x40005a97 +.set CYDEV_ANAIF_RT_DAC3_BASE, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC3_SW0, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SW2, 0x40005a9a +.set CYDEV_ANAIF_RT_DAC3_SW3, 0x40005a9b +.set CYDEV_ANAIF_RT_DAC3_SW4, 0x40005a9c +.set CYDEV_ANAIF_RT_DAC3_STROBE, 0x40005a9f +.set CYDEV_ANAIF_RT_CMP0_BASE, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP0_SW0, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SW2, 0x40005ac2 +.set CYDEV_ANAIF_RT_CMP0_SW3, 0x40005ac3 +.set CYDEV_ANAIF_RT_CMP0_SW4, 0x40005ac4 +.set CYDEV_ANAIF_RT_CMP0_SW6, 0x40005ac6 +.set CYDEV_ANAIF_RT_CMP0_CLK, 0x40005ac7 +.set CYDEV_ANAIF_RT_CMP1_BASE, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP1_SW0, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SW2, 0x40005aca +.set CYDEV_ANAIF_RT_CMP1_SW3, 0x40005acb +.set CYDEV_ANAIF_RT_CMP1_SW4, 0x40005acc +.set CYDEV_ANAIF_RT_CMP1_SW6, 0x40005ace +.set CYDEV_ANAIF_RT_CMP1_CLK, 0x40005acf +.set CYDEV_ANAIF_RT_CMP2_BASE, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP2_SW0, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SW2, 0x40005ad2 +.set CYDEV_ANAIF_RT_CMP2_SW3, 0x40005ad3 +.set CYDEV_ANAIF_RT_CMP2_SW4, 0x40005ad4 +.set CYDEV_ANAIF_RT_CMP2_SW6, 0x40005ad6 +.set CYDEV_ANAIF_RT_CMP2_CLK, 0x40005ad7 +.set CYDEV_ANAIF_RT_CMP3_BASE, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP3_SW0, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SW2, 0x40005ada +.set CYDEV_ANAIF_RT_CMP3_SW3, 0x40005adb +.set CYDEV_ANAIF_RT_CMP3_SW4, 0x40005adc +.set CYDEV_ANAIF_RT_CMP3_SW6, 0x40005ade +.set CYDEV_ANAIF_RT_CMP3_CLK, 0x40005adf +.set CYDEV_ANAIF_RT_DSM0_BASE, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DSM0_SW0, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SW2, 0x40005b02 +.set CYDEV_ANAIF_RT_DSM0_SW3, 0x40005b03 +.set CYDEV_ANAIF_RT_DSM0_SW4, 0x40005b04 +.set CYDEV_ANAIF_RT_DSM0_SW6, 0x40005b06 +.set CYDEV_ANAIF_RT_DSM0_CLK, 0x40005b07 +.set CYDEV_ANAIF_RT_SAR0_BASE, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_SAR0_SW0, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SW2, 0x40005b22 +.set CYDEV_ANAIF_RT_SAR0_SW3, 0x40005b23 +.set CYDEV_ANAIF_RT_SAR0_SW4, 0x40005b24 +.set CYDEV_ANAIF_RT_SAR0_SW6, 0x40005b26 +.set CYDEV_ANAIF_RT_SAR0_CLK, 0x40005b27 +.set CYDEV_ANAIF_RT_SAR1_BASE, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_SAR1_SW0, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SW2, 0x40005b2a +.set CYDEV_ANAIF_RT_SAR1_SW3, 0x40005b2b +.set CYDEV_ANAIF_RT_SAR1_SW4, 0x40005b2c +.set CYDEV_ANAIF_RT_SAR1_SW6, 0x40005b2e +.set CYDEV_ANAIF_RT_SAR1_CLK, 0x40005b2f +.set CYDEV_ANAIF_RT_OPAMP0_BASE, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP0_MX, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SW, 0x40005b41 +.set CYDEV_ANAIF_RT_OPAMP1_BASE, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP1_MX, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SW, 0x40005b43 +.set CYDEV_ANAIF_RT_OPAMP2_BASE, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP2_MX, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SW, 0x40005b45 +.set CYDEV_ANAIF_RT_OPAMP3_BASE, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP3_MX, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SW, 0x40005b47 +.set CYDEV_ANAIF_RT_LCDDAC_BASE, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SIZE, 0x00000005 +.set CYDEV_ANAIF_RT_LCDDAC_SW0, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SW1, 0x40005b51 +.set CYDEV_ANAIF_RT_LCDDAC_SW2, 0x40005b52 +.set CYDEV_ANAIF_RT_LCDDAC_SW3, 0x40005b53 +.set CYDEV_ANAIF_RT_LCDDAC_SW4, 0x40005b54 +.set CYDEV_ANAIF_RT_SC_BASE, 0x40005b56 +.set CYDEV_ANAIF_RT_SC_SIZE, 0x00000001 +.set CYDEV_ANAIF_RT_SC_MISC, 0x40005b56 +.set CYDEV_ANAIF_RT_BUS_BASE, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SIZE, 0x00000004 +.set CYDEV_ANAIF_RT_BUS_SW0, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SW2, 0x40005b5a +.set CYDEV_ANAIF_RT_BUS_SW3, 0x40005b5b +.set CYDEV_ANAIF_RT_DFT_BASE, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_SIZE, 0x00000006 +.set CYDEV_ANAIF_RT_DFT_CR0, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_CR1, 0x40005b5d +.set CYDEV_ANAIF_RT_DFT_CR2, 0x40005b5e +.set CYDEV_ANAIF_RT_DFT_CR3, 0x40005b5f +.set CYDEV_ANAIF_RT_DFT_CR4, 0x40005b60 +.set CYDEV_ANAIF_RT_DFT_CR5, 0x40005b61 +.set CYDEV_ANAIF_WRK_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_SIZE, 0x00000029 +.set CYDEV_ANAIF_WRK_DAC0_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC0_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC0_D, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC1_BASE, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC1_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC1_D, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC2_BASE, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC2_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC2_D, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC3_BASE, 0x40005b83 +.set CYDEV_ANAIF_WRK_DAC3_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC3_D, 0x40005b83 +.set CYDEV_ANAIF_WRK_DSM0_BASE, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_DSM0_OUT0, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_OUT1, 0x40005b89 +.set CYDEV_ANAIF_WRK_LUT_BASE, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_SIZE, 0x00000005 +.set CYDEV_ANAIF_WRK_LUT_SR, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_WRK1, 0x40005b91 +.set CYDEV_ANAIF_WRK_LUT_MSK, 0x40005b92 +.set CYDEV_ANAIF_WRK_LUT_CLK, 0x40005b93 +.set CYDEV_ANAIF_WRK_LUT_CPTR, 0x40005b94 +.set CYDEV_ANAIF_WRK_CMP_BASE, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_CMP_WRK, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_TST, 0x40005b97 +.set CYDEV_ANAIF_WRK_SC_BASE, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_SIZE, 0x00000005 +.set CYDEV_ANAIF_WRK_SC_SR, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_WRK1, 0x40005b99 +.set CYDEV_ANAIF_WRK_SC_MSK, 0x40005b9a +.set CYDEV_ANAIF_WRK_SC_CMPINV, 0x40005b9b +.set CYDEV_ANAIF_WRK_SC_CPTR, 0x40005b9c +.set CYDEV_ANAIF_WRK_SAR0_BASE, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_SAR0_WRK0, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_WRK1, 0x40005ba1 +.set CYDEV_ANAIF_WRK_SAR1_BASE, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_SAR1_WRK0, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_WRK1, 0x40005ba3 +.set CYDEV_ANAIF_WRK_SARS_BASE, 0x40005ba8 +.set CYDEV_ANAIF_WRK_SARS_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_SARS_SOF, 0x40005ba8 +.set CYDEV_USB_BASE, 0x40006000 +.set CYDEV_USB_SIZE, 0x00000300 +.set CYDEV_USB_EP0_DR0, 0x40006000 +.set CYDEV_USB_EP0_DR1, 0x40006001 +.set CYDEV_USB_EP0_DR2, 0x40006002 +.set CYDEV_USB_EP0_DR3, 0x40006003 +.set CYDEV_USB_EP0_DR4, 0x40006004 +.set CYDEV_USB_EP0_DR5, 0x40006005 +.set CYDEV_USB_EP0_DR6, 0x40006006 +.set CYDEV_USB_EP0_DR7, 0x40006007 +.set CYDEV_USB_CR0, 0x40006008 +.set CYDEV_USB_CR1, 0x40006009 +.set CYDEV_USB_SIE_EP_INT_EN, 0x4000600a +.set CYDEV_USB_SIE_EP_INT_SR, 0x4000600b +.set CYDEV_USB_SIE_EP1_BASE, 0x4000600c +.set CYDEV_USB_SIE_EP1_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP1_CNT0, 0x4000600c +.set CYDEV_USB_SIE_EP1_CNT1, 0x4000600d +.set CYDEV_USB_SIE_EP1_CR0, 0x4000600e +.set CYDEV_USB_USBIO_CR0, 0x40006010 +.set CYDEV_USB_USBIO_CR1, 0x40006012 +.set CYDEV_USB_DYN_RECONFIG, 0x40006014 +.set CYDEV_USB_SOF0, 0x40006018 +.set CYDEV_USB_SOF1, 0x40006019 +.set CYDEV_USB_SIE_EP2_BASE, 0x4000601c +.set CYDEV_USB_SIE_EP2_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP2_CNT0, 0x4000601c +.set CYDEV_USB_SIE_EP2_CNT1, 0x4000601d +.set CYDEV_USB_SIE_EP2_CR0, 0x4000601e +.set CYDEV_USB_EP0_CR, 0x40006028 +.set CYDEV_USB_EP0_CNT, 0x40006029 +.set CYDEV_USB_SIE_EP3_BASE, 0x4000602c +.set CYDEV_USB_SIE_EP3_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP3_CNT0, 0x4000602c +.set CYDEV_USB_SIE_EP3_CNT1, 0x4000602d +.set CYDEV_USB_SIE_EP3_CR0, 0x4000602e +.set CYDEV_USB_SIE_EP4_BASE, 0x4000603c +.set CYDEV_USB_SIE_EP4_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP4_CNT0, 0x4000603c +.set CYDEV_USB_SIE_EP4_CNT1, 0x4000603d +.set CYDEV_USB_SIE_EP4_CR0, 0x4000603e +.set CYDEV_USB_SIE_EP5_BASE, 0x4000604c +.set CYDEV_USB_SIE_EP5_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP5_CNT0, 0x4000604c +.set CYDEV_USB_SIE_EP5_CNT1, 0x4000604d +.set CYDEV_USB_SIE_EP5_CR0, 0x4000604e +.set CYDEV_USB_SIE_EP6_BASE, 0x4000605c +.set CYDEV_USB_SIE_EP6_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP6_CNT0, 0x4000605c +.set CYDEV_USB_SIE_EP6_CNT1, 0x4000605d +.set CYDEV_USB_SIE_EP6_CR0, 0x4000605e +.set CYDEV_USB_SIE_EP7_BASE, 0x4000606c +.set CYDEV_USB_SIE_EP7_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP7_CNT0, 0x4000606c +.set CYDEV_USB_SIE_EP7_CNT1, 0x4000606d +.set CYDEV_USB_SIE_EP7_CR0, 0x4000606e +.set CYDEV_USB_SIE_EP8_BASE, 0x4000607c +.set CYDEV_USB_SIE_EP8_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP8_CNT0, 0x4000607c +.set CYDEV_USB_SIE_EP8_CNT1, 0x4000607d +.set CYDEV_USB_SIE_EP8_CR0, 0x4000607e +.set CYDEV_USB_ARB_EP1_BASE, 0x40006080 +.set CYDEV_USB_ARB_EP1_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP1_CFG, 0x40006080 +.set CYDEV_USB_ARB_EP1_INT_EN, 0x40006081 +.set CYDEV_USB_ARB_EP1_SR, 0x40006082 +.set CYDEV_USB_ARB_RW1_BASE, 0x40006084 +.set CYDEV_USB_ARB_RW1_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW1_WA, 0x40006084 +.set CYDEV_USB_ARB_RW1_WA_MSB, 0x40006085 +.set CYDEV_USB_ARB_RW1_RA, 0x40006086 +.set CYDEV_USB_ARB_RW1_RA_MSB, 0x40006087 +.set CYDEV_USB_ARB_RW1_DR, 0x40006088 +.set CYDEV_USB_BUF_SIZE, 0x4000608c +.set CYDEV_USB_EP_ACTIVE, 0x4000608e +.set CYDEV_USB_EP_TYPE, 0x4000608f +.set CYDEV_USB_ARB_EP2_BASE, 0x40006090 +.set CYDEV_USB_ARB_EP2_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP2_CFG, 0x40006090 +.set CYDEV_USB_ARB_EP2_INT_EN, 0x40006091 +.set CYDEV_USB_ARB_EP2_SR, 0x40006092 +.set CYDEV_USB_ARB_RW2_BASE, 0x40006094 +.set CYDEV_USB_ARB_RW2_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW2_WA, 0x40006094 +.set CYDEV_USB_ARB_RW2_WA_MSB, 0x40006095 +.set CYDEV_USB_ARB_RW2_RA, 0x40006096 +.set CYDEV_USB_ARB_RW2_RA_MSB, 0x40006097 +.set CYDEV_USB_ARB_RW2_DR, 0x40006098 +.set CYDEV_USB_ARB_CFG, 0x4000609c +.set CYDEV_USB_USB_CLK_EN, 0x4000609d +.set CYDEV_USB_ARB_INT_EN, 0x4000609e +.set CYDEV_USB_ARB_INT_SR, 0x4000609f +.set CYDEV_USB_ARB_EP3_BASE, 0x400060a0 +.set CYDEV_USB_ARB_EP3_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP3_CFG, 0x400060a0 +.set CYDEV_USB_ARB_EP3_INT_EN, 0x400060a1 +.set CYDEV_USB_ARB_EP3_SR, 0x400060a2 +.set CYDEV_USB_ARB_RW3_BASE, 0x400060a4 +.set CYDEV_USB_ARB_RW3_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW3_WA, 0x400060a4 +.set CYDEV_USB_ARB_RW3_WA_MSB, 0x400060a5 +.set CYDEV_USB_ARB_RW3_RA, 0x400060a6 +.set CYDEV_USB_ARB_RW3_RA_MSB, 0x400060a7 +.set CYDEV_USB_ARB_RW3_DR, 0x400060a8 +.set CYDEV_USB_CWA, 0x400060ac +.set CYDEV_USB_CWA_MSB, 0x400060ad +.set CYDEV_USB_ARB_EP4_BASE, 0x400060b0 +.set CYDEV_USB_ARB_EP4_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP4_CFG, 0x400060b0 +.set CYDEV_USB_ARB_EP4_INT_EN, 0x400060b1 +.set CYDEV_USB_ARB_EP4_SR, 0x400060b2 +.set CYDEV_USB_ARB_RW4_BASE, 0x400060b4 +.set CYDEV_USB_ARB_RW4_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW4_WA, 0x400060b4 +.set CYDEV_USB_ARB_RW4_WA_MSB, 0x400060b5 +.set CYDEV_USB_ARB_RW4_RA, 0x400060b6 +.set CYDEV_USB_ARB_RW4_RA_MSB, 0x400060b7 +.set CYDEV_USB_ARB_RW4_DR, 0x400060b8 +.set CYDEV_USB_DMA_THRES, 0x400060bc +.set CYDEV_USB_DMA_THRES_MSB, 0x400060bd +.set CYDEV_USB_ARB_EP5_BASE, 0x400060c0 +.set CYDEV_USB_ARB_EP5_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP5_CFG, 0x400060c0 +.set CYDEV_USB_ARB_EP5_INT_EN, 0x400060c1 +.set CYDEV_USB_ARB_EP5_SR, 0x400060c2 +.set CYDEV_USB_ARB_RW5_BASE, 0x400060c4 +.set CYDEV_USB_ARB_RW5_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW5_WA, 0x400060c4 +.set CYDEV_USB_ARB_RW5_WA_MSB, 0x400060c5 +.set CYDEV_USB_ARB_RW5_RA, 0x400060c6 +.set CYDEV_USB_ARB_RW5_RA_MSB, 0x400060c7 +.set CYDEV_USB_ARB_RW5_DR, 0x400060c8 +.set CYDEV_USB_BUS_RST_CNT, 0x400060cc +.set CYDEV_USB_ARB_EP6_BASE, 0x400060d0 +.set CYDEV_USB_ARB_EP6_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP6_CFG, 0x400060d0 +.set CYDEV_USB_ARB_EP6_INT_EN, 0x400060d1 +.set CYDEV_USB_ARB_EP6_SR, 0x400060d2 +.set CYDEV_USB_ARB_RW6_BASE, 0x400060d4 +.set CYDEV_USB_ARB_RW6_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW6_WA, 0x400060d4 +.set CYDEV_USB_ARB_RW6_WA_MSB, 0x400060d5 +.set CYDEV_USB_ARB_RW6_RA, 0x400060d6 +.set CYDEV_USB_ARB_RW6_RA_MSB, 0x400060d7 +.set CYDEV_USB_ARB_RW6_DR, 0x400060d8 +.set CYDEV_USB_ARB_EP7_BASE, 0x400060e0 +.set CYDEV_USB_ARB_EP7_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP7_CFG, 0x400060e0 +.set CYDEV_USB_ARB_EP7_INT_EN, 0x400060e1 +.set CYDEV_USB_ARB_EP7_SR, 0x400060e2 +.set CYDEV_USB_ARB_RW7_BASE, 0x400060e4 +.set CYDEV_USB_ARB_RW7_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW7_WA, 0x400060e4 +.set CYDEV_USB_ARB_RW7_WA_MSB, 0x400060e5 +.set CYDEV_USB_ARB_RW7_RA, 0x400060e6 +.set CYDEV_USB_ARB_RW7_RA_MSB, 0x400060e7 +.set CYDEV_USB_ARB_RW7_DR, 0x400060e8 +.set CYDEV_USB_ARB_EP8_BASE, 0x400060f0 +.set CYDEV_USB_ARB_EP8_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP8_CFG, 0x400060f0 +.set CYDEV_USB_ARB_EP8_INT_EN, 0x400060f1 +.set CYDEV_USB_ARB_EP8_SR, 0x400060f2 +.set CYDEV_USB_ARB_RW8_BASE, 0x400060f4 +.set CYDEV_USB_ARB_RW8_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW8_WA, 0x400060f4 +.set CYDEV_USB_ARB_RW8_WA_MSB, 0x400060f5 +.set CYDEV_USB_ARB_RW8_RA, 0x400060f6 +.set CYDEV_USB_ARB_RW8_RA_MSB, 0x400060f7 +.set CYDEV_USB_ARB_RW8_DR, 0x400060f8 +.set CYDEV_USB_MEM_BASE, 0x40006100 +.set CYDEV_USB_MEM_SIZE, 0x00000200 +.set CYDEV_USB_MEM_DATA_MBASE, 0x40006100 +.set CYDEV_USB_MEM_DATA_MSIZE, 0x00000200 +.set CYDEV_UWRK_BASE, 0x40006400 +.set CYDEV_UWRK_SIZE, 0x00000b60 +.set CYDEV_UWRK_UWRK8_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_SIZE, 0x000003b0 +.set CYDEV_UWRK_UWRK8_B0_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_SIZE, 0x000000b0 +.set CYDEV_UWRK_UWRK8_B0_UDB00_A0, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_UDB01_A0, 0x40006401 +.set CYDEV_UWRK_UWRK8_B0_UDB02_A0, 0x40006402 +.set CYDEV_UWRK_UWRK8_B0_UDB03_A0, 0x40006403 +.set CYDEV_UWRK_UWRK8_B0_UDB04_A0, 0x40006404 +.set CYDEV_UWRK_UWRK8_B0_UDB05_A0, 0x40006405 +.set CYDEV_UWRK_UWRK8_B0_UDB06_A0, 0x40006406 +.set CYDEV_UWRK_UWRK8_B0_UDB07_A0, 0x40006407 +.set CYDEV_UWRK_UWRK8_B0_UDB08_A0, 0x40006408 +.set CYDEV_UWRK_UWRK8_B0_UDB09_A0, 0x40006409 +.set CYDEV_UWRK_UWRK8_B0_UDB10_A0, 0x4000640a +.set CYDEV_UWRK_UWRK8_B0_UDB11_A0, 0x4000640b +.set CYDEV_UWRK_UWRK8_B0_UDB12_A0, 0x4000640c +.set CYDEV_UWRK_UWRK8_B0_UDB13_A0, 0x4000640d +.set CYDEV_UWRK_UWRK8_B0_UDB14_A0, 0x4000640e +.set CYDEV_UWRK_UWRK8_B0_UDB15_A0, 0x4000640f +.set CYDEV_UWRK_UWRK8_B0_UDB00_A1, 0x40006410 +.set CYDEV_UWRK_UWRK8_B0_UDB01_A1, 0x40006411 +.set CYDEV_UWRK_UWRK8_B0_UDB02_A1, 0x40006412 +.set CYDEV_UWRK_UWRK8_B0_UDB03_A1, 0x40006413 +.set CYDEV_UWRK_UWRK8_B0_UDB04_A1, 0x40006414 +.set CYDEV_UWRK_UWRK8_B0_UDB05_A1, 0x40006415 +.set CYDEV_UWRK_UWRK8_B0_UDB06_A1, 0x40006416 +.set CYDEV_UWRK_UWRK8_B0_UDB07_A1, 0x40006417 +.set CYDEV_UWRK_UWRK8_B0_UDB08_A1, 0x40006418 +.set CYDEV_UWRK_UWRK8_B0_UDB09_A1, 0x40006419 +.set CYDEV_UWRK_UWRK8_B0_UDB10_A1, 0x4000641a +.set CYDEV_UWRK_UWRK8_B0_UDB11_A1, 0x4000641b +.set CYDEV_UWRK_UWRK8_B0_UDB12_A1, 0x4000641c +.set CYDEV_UWRK_UWRK8_B0_UDB13_A1, 0x4000641d +.set CYDEV_UWRK_UWRK8_B0_UDB14_A1, 0x4000641e +.set CYDEV_UWRK_UWRK8_B0_UDB15_A1, 0x4000641f +.set CYDEV_UWRK_UWRK8_B0_UDB00_D0, 0x40006420 +.set CYDEV_UWRK_UWRK8_B0_UDB01_D0, 0x40006421 +.set CYDEV_UWRK_UWRK8_B0_UDB02_D0, 0x40006422 +.set CYDEV_UWRK_UWRK8_B0_UDB03_D0, 0x40006423 +.set CYDEV_UWRK_UWRK8_B0_UDB04_D0, 0x40006424 +.set CYDEV_UWRK_UWRK8_B0_UDB05_D0, 0x40006425 +.set CYDEV_UWRK_UWRK8_B0_UDB06_D0, 0x40006426 +.set CYDEV_UWRK_UWRK8_B0_UDB07_D0, 0x40006427 +.set CYDEV_UWRK_UWRK8_B0_UDB08_D0, 0x40006428 +.set CYDEV_UWRK_UWRK8_B0_UDB09_D0, 0x40006429 +.set CYDEV_UWRK_UWRK8_B0_UDB10_D0, 0x4000642a +.set CYDEV_UWRK_UWRK8_B0_UDB11_D0, 0x4000642b +.set CYDEV_UWRK_UWRK8_B0_UDB12_D0, 0x4000642c +.set CYDEV_UWRK_UWRK8_B0_UDB13_D0, 0x4000642d +.set CYDEV_UWRK_UWRK8_B0_UDB14_D0, 0x4000642e +.set CYDEV_UWRK_UWRK8_B0_UDB15_D0, 0x4000642f +.set CYDEV_UWRK_UWRK8_B0_UDB00_D1, 0x40006430 +.set CYDEV_UWRK_UWRK8_B0_UDB01_D1, 0x40006431 +.set CYDEV_UWRK_UWRK8_B0_UDB02_D1, 0x40006432 +.set CYDEV_UWRK_UWRK8_B0_UDB03_D1, 0x40006433 +.set CYDEV_UWRK_UWRK8_B0_UDB04_D1, 0x40006434 +.set CYDEV_UWRK_UWRK8_B0_UDB05_D1, 0x40006435 +.set CYDEV_UWRK_UWRK8_B0_UDB06_D1, 0x40006436 +.set CYDEV_UWRK_UWRK8_B0_UDB07_D1, 0x40006437 +.set CYDEV_UWRK_UWRK8_B0_UDB08_D1, 0x40006438 +.set CYDEV_UWRK_UWRK8_B0_UDB09_D1, 0x40006439 +.set CYDEV_UWRK_UWRK8_B0_UDB10_D1, 0x4000643a +.set CYDEV_UWRK_UWRK8_B0_UDB11_D1, 0x4000643b +.set CYDEV_UWRK_UWRK8_B0_UDB12_D1, 0x4000643c +.set CYDEV_UWRK_UWRK8_B0_UDB13_D1, 0x4000643d +.set CYDEV_UWRK_UWRK8_B0_UDB14_D1, 0x4000643e +.set CYDEV_UWRK_UWRK8_B0_UDB15_D1, 0x4000643f +.set CYDEV_UWRK_UWRK8_B0_UDB00_F0, 0x40006440 +.set CYDEV_UWRK_UWRK8_B0_UDB01_F0, 0x40006441 +.set CYDEV_UWRK_UWRK8_B0_UDB02_F0, 0x40006442 +.set CYDEV_UWRK_UWRK8_B0_UDB03_F0, 0x40006443 +.set CYDEV_UWRK_UWRK8_B0_UDB04_F0, 0x40006444 +.set CYDEV_UWRK_UWRK8_B0_UDB05_F0, 0x40006445 +.set CYDEV_UWRK_UWRK8_B0_UDB06_F0, 0x40006446 +.set CYDEV_UWRK_UWRK8_B0_UDB07_F0, 0x40006447 +.set CYDEV_UWRK_UWRK8_B0_UDB08_F0, 0x40006448 +.set CYDEV_UWRK_UWRK8_B0_UDB09_F0, 0x40006449 +.set CYDEV_UWRK_UWRK8_B0_UDB10_F0, 0x4000644a +.set CYDEV_UWRK_UWRK8_B0_UDB11_F0, 0x4000644b +.set CYDEV_UWRK_UWRK8_B0_UDB12_F0, 0x4000644c +.set CYDEV_UWRK_UWRK8_B0_UDB13_F0, 0x4000644d +.set CYDEV_UWRK_UWRK8_B0_UDB14_F0, 0x4000644e +.set CYDEV_UWRK_UWRK8_B0_UDB15_F0, 0x4000644f +.set CYDEV_UWRK_UWRK8_B0_UDB00_F1, 0x40006450 +.set CYDEV_UWRK_UWRK8_B0_UDB01_F1, 0x40006451 +.set CYDEV_UWRK_UWRK8_B0_UDB02_F1, 0x40006452 +.set CYDEV_UWRK_UWRK8_B0_UDB03_F1, 0x40006453 +.set CYDEV_UWRK_UWRK8_B0_UDB04_F1, 0x40006454 +.set CYDEV_UWRK_UWRK8_B0_UDB05_F1, 0x40006455 +.set CYDEV_UWRK_UWRK8_B0_UDB06_F1, 0x40006456 +.set CYDEV_UWRK_UWRK8_B0_UDB07_F1, 0x40006457 +.set CYDEV_UWRK_UWRK8_B0_UDB08_F1, 0x40006458 +.set CYDEV_UWRK_UWRK8_B0_UDB09_F1, 0x40006459 +.set CYDEV_UWRK_UWRK8_B0_UDB10_F1, 0x4000645a +.set CYDEV_UWRK_UWRK8_B0_UDB11_F1, 0x4000645b +.set CYDEV_UWRK_UWRK8_B0_UDB12_F1, 0x4000645c +.set CYDEV_UWRK_UWRK8_B0_UDB13_F1, 0x4000645d +.set CYDEV_UWRK_UWRK8_B0_UDB14_F1, 0x4000645e +.set CYDEV_UWRK_UWRK8_B0_UDB15_F1, 0x4000645f +.set CYDEV_UWRK_UWRK8_B0_UDB00_ST, 0x40006460 +.set CYDEV_UWRK_UWRK8_B0_UDB01_ST, 0x40006461 +.set CYDEV_UWRK_UWRK8_B0_UDB02_ST, 0x40006462 +.set CYDEV_UWRK_UWRK8_B0_UDB03_ST, 0x40006463 +.set CYDEV_UWRK_UWRK8_B0_UDB04_ST, 0x40006464 +.set CYDEV_UWRK_UWRK8_B0_UDB05_ST, 0x40006465 +.set CYDEV_UWRK_UWRK8_B0_UDB06_ST, 0x40006466 +.set CYDEV_UWRK_UWRK8_B0_UDB07_ST, 0x40006467 +.set CYDEV_UWRK_UWRK8_B0_UDB08_ST, 0x40006468 +.set CYDEV_UWRK_UWRK8_B0_UDB09_ST, 0x40006469 +.set CYDEV_UWRK_UWRK8_B0_UDB10_ST, 0x4000646a +.set CYDEV_UWRK_UWRK8_B0_UDB11_ST, 0x4000646b +.set CYDEV_UWRK_UWRK8_B0_UDB12_ST, 0x4000646c +.set CYDEV_UWRK_UWRK8_B0_UDB13_ST, 0x4000646d +.set CYDEV_UWRK_UWRK8_B0_UDB14_ST, 0x4000646e +.set CYDEV_UWRK_UWRK8_B0_UDB15_ST, 0x4000646f +.set CYDEV_UWRK_UWRK8_B0_UDB00_CTL, 0x40006470 +.set CYDEV_UWRK_UWRK8_B0_UDB01_CTL, 0x40006471 +.set CYDEV_UWRK_UWRK8_B0_UDB02_CTL, 0x40006472 +.set CYDEV_UWRK_UWRK8_B0_UDB03_CTL, 0x40006473 +.set CYDEV_UWRK_UWRK8_B0_UDB04_CTL, 0x40006474 +.set CYDEV_UWRK_UWRK8_B0_UDB05_CTL, 0x40006475 +.set CYDEV_UWRK_UWRK8_B0_UDB06_CTL, 0x40006476 +.set CYDEV_UWRK_UWRK8_B0_UDB07_CTL, 0x40006477 +.set CYDEV_UWRK_UWRK8_B0_UDB08_CTL, 0x40006478 +.set CYDEV_UWRK_UWRK8_B0_UDB09_CTL, 0x40006479 +.set CYDEV_UWRK_UWRK8_B0_UDB10_CTL, 0x4000647a +.set CYDEV_UWRK_UWRK8_B0_UDB11_CTL, 0x4000647b +.set CYDEV_UWRK_UWRK8_B0_UDB12_CTL, 0x4000647c +.set CYDEV_UWRK_UWRK8_B0_UDB13_CTL, 0x4000647d +.set CYDEV_UWRK_UWRK8_B0_UDB14_CTL, 0x4000647e +.set CYDEV_UWRK_UWRK8_B0_UDB15_CTL, 0x4000647f +.set CYDEV_UWRK_UWRK8_B0_UDB00_MSK, 0x40006480 +.set CYDEV_UWRK_UWRK8_B0_UDB01_MSK, 0x40006481 +.set CYDEV_UWRK_UWRK8_B0_UDB02_MSK, 0x40006482 +.set CYDEV_UWRK_UWRK8_B0_UDB03_MSK, 0x40006483 +.set CYDEV_UWRK_UWRK8_B0_UDB04_MSK, 0x40006484 +.set CYDEV_UWRK_UWRK8_B0_UDB05_MSK, 0x40006485 +.set CYDEV_UWRK_UWRK8_B0_UDB06_MSK, 0x40006486 +.set CYDEV_UWRK_UWRK8_B0_UDB07_MSK, 0x40006487 +.set CYDEV_UWRK_UWRK8_B0_UDB08_MSK, 0x40006488 +.set CYDEV_UWRK_UWRK8_B0_UDB09_MSK, 0x40006489 +.set CYDEV_UWRK_UWRK8_B0_UDB10_MSK, 0x4000648a +.set CYDEV_UWRK_UWRK8_B0_UDB11_MSK, 0x4000648b +.set CYDEV_UWRK_UWRK8_B0_UDB12_MSK, 0x4000648c +.set CYDEV_UWRK_UWRK8_B0_UDB13_MSK, 0x4000648d +.set CYDEV_UWRK_UWRK8_B0_UDB14_MSK, 0x4000648e +.set CYDEV_UWRK_UWRK8_B0_UDB15_MSK, 0x4000648f +.set CYDEV_UWRK_UWRK8_B0_UDB00_ACTL, 0x40006490 +.set CYDEV_UWRK_UWRK8_B0_UDB01_ACTL, 0x40006491 +.set CYDEV_UWRK_UWRK8_B0_UDB02_ACTL, 0x40006492 +.set CYDEV_UWRK_UWRK8_B0_UDB03_ACTL, 0x40006493 +.set CYDEV_UWRK_UWRK8_B0_UDB04_ACTL, 0x40006494 +.set CYDEV_UWRK_UWRK8_B0_UDB05_ACTL, 0x40006495 +.set CYDEV_UWRK_UWRK8_B0_UDB06_ACTL, 0x40006496 +.set CYDEV_UWRK_UWRK8_B0_UDB07_ACTL, 0x40006497 +.set CYDEV_UWRK_UWRK8_B0_UDB08_ACTL, 0x40006498 +.set CYDEV_UWRK_UWRK8_B0_UDB09_ACTL, 0x40006499 +.set CYDEV_UWRK_UWRK8_B0_UDB10_ACTL, 0x4000649a +.set CYDEV_UWRK_UWRK8_B0_UDB11_ACTL, 0x4000649b +.set CYDEV_UWRK_UWRK8_B0_UDB12_ACTL, 0x4000649c +.set CYDEV_UWRK_UWRK8_B0_UDB13_ACTL, 0x4000649d +.set CYDEV_UWRK_UWRK8_B0_UDB14_ACTL, 0x4000649e +.set CYDEV_UWRK_UWRK8_B0_UDB15_ACTL, 0x4000649f +.set CYDEV_UWRK_UWRK8_B0_UDB00_MC, 0x400064a0 +.set CYDEV_UWRK_UWRK8_B0_UDB01_MC, 0x400064a1 +.set CYDEV_UWRK_UWRK8_B0_UDB02_MC, 0x400064a2 +.set CYDEV_UWRK_UWRK8_B0_UDB03_MC, 0x400064a3 +.set CYDEV_UWRK_UWRK8_B0_UDB04_MC, 0x400064a4 +.set CYDEV_UWRK_UWRK8_B0_UDB05_MC, 0x400064a5 +.set CYDEV_UWRK_UWRK8_B0_UDB06_MC, 0x400064a6 +.set CYDEV_UWRK_UWRK8_B0_UDB07_MC, 0x400064a7 +.set CYDEV_UWRK_UWRK8_B0_UDB08_MC, 0x400064a8 +.set CYDEV_UWRK_UWRK8_B0_UDB09_MC, 0x400064a9 +.set CYDEV_UWRK_UWRK8_B0_UDB10_MC, 0x400064aa +.set CYDEV_UWRK_UWRK8_B0_UDB11_MC, 0x400064ab +.set CYDEV_UWRK_UWRK8_B0_UDB12_MC, 0x400064ac +.set CYDEV_UWRK_UWRK8_B0_UDB13_MC, 0x400064ad +.set CYDEV_UWRK_UWRK8_B0_UDB14_MC, 0x400064ae +.set CYDEV_UWRK_UWRK8_B0_UDB15_MC, 0x400064af +.set CYDEV_UWRK_UWRK8_B1_BASE, 0x40006500 +.set CYDEV_UWRK_UWRK8_B1_SIZE, 0x000000b0 +.set CYDEV_UWRK_UWRK8_B1_UDB04_A0, 0x40006504 +.set CYDEV_UWRK_UWRK8_B1_UDB05_A0, 0x40006505 +.set CYDEV_UWRK_UWRK8_B1_UDB06_A0, 0x40006506 +.set CYDEV_UWRK_UWRK8_B1_UDB07_A0, 0x40006507 +.set CYDEV_UWRK_UWRK8_B1_UDB08_A0, 0x40006508 +.set CYDEV_UWRK_UWRK8_B1_UDB09_A0, 0x40006509 +.set CYDEV_UWRK_UWRK8_B1_UDB10_A0, 0x4000650a +.set CYDEV_UWRK_UWRK8_B1_UDB11_A0, 0x4000650b +.set CYDEV_UWRK_UWRK8_B1_UDB04_A1, 0x40006514 +.set CYDEV_UWRK_UWRK8_B1_UDB05_A1, 0x40006515 +.set CYDEV_UWRK_UWRK8_B1_UDB06_A1, 0x40006516 +.set CYDEV_UWRK_UWRK8_B1_UDB07_A1, 0x40006517 +.set CYDEV_UWRK_UWRK8_B1_UDB08_A1, 0x40006518 +.set CYDEV_UWRK_UWRK8_B1_UDB09_A1, 0x40006519 +.set CYDEV_UWRK_UWRK8_B1_UDB10_A1, 0x4000651a +.set CYDEV_UWRK_UWRK8_B1_UDB11_A1, 0x4000651b +.set CYDEV_UWRK_UWRK8_B1_UDB04_D0, 0x40006524 +.set CYDEV_UWRK_UWRK8_B1_UDB05_D0, 0x40006525 +.set CYDEV_UWRK_UWRK8_B1_UDB06_D0, 0x40006526 +.set CYDEV_UWRK_UWRK8_B1_UDB07_D0, 0x40006527 +.set CYDEV_UWRK_UWRK8_B1_UDB08_D0, 0x40006528 +.set CYDEV_UWRK_UWRK8_B1_UDB09_D0, 0x40006529 +.set CYDEV_UWRK_UWRK8_B1_UDB10_D0, 0x4000652a +.set CYDEV_UWRK_UWRK8_B1_UDB11_D0, 0x4000652b +.set CYDEV_UWRK_UWRK8_B1_UDB04_D1, 0x40006534 +.set CYDEV_UWRK_UWRK8_B1_UDB05_D1, 0x40006535 +.set CYDEV_UWRK_UWRK8_B1_UDB06_D1, 0x40006536 +.set CYDEV_UWRK_UWRK8_B1_UDB07_D1, 0x40006537 +.set CYDEV_UWRK_UWRK8_B1_UDB08_D1, 0x40006538 +.set CYDEV_UWRK_UWRK8_B1_UDB09_D1, 0x40006539 +.set CYDEV_UWRK_UWRK8_B1_UDB10_D1, 0x4000653a +.set CYDEV_UWRK_UWRK8_B1_UDB11_D1, 0x4000653b +.set CYDEV_UWRK_UWRK8_B1_UDB04_F0, 0x40006544 +.set CYDEV_UWRK_UWRK8_B1_UDB05_F0, 0x40006545 +.set CYDEV_UWRK_UWRK8_B1_UDB06_F0, 0x40006546 +.set CYDEV_UWRK_UWRK8_B1_UDB07_F0, 0x40006547 +.set CYDEV_UWRK_UWRK8_B1_UDB08_F0, 0x40006548 +.set CYDEV_UWRK_UWRK8_B1_UDB09_F0, 0x40006549 +.set CYDEV_UWRK_UWRK8_B1_UDB10_F0, 0x4000654a +.set CYDEV_UWRK_UWRK8_B1_UDB11_F0, 0x4000654b +.set CYDEV_UWRK_UWRK8_B1_UDB04_F1, 0x40006554 +.set CYDEV_UWRK_UWRK8_B1_UDB05_F1, 0x40006555 +.set CYDEV_UWRK_UWRK8_B1_UDB06_F1, 0x40006556 +.set CYDEV_UWRK_UWRK8_B1_UDB07_F1, 0x40006557 +.set CYDEV_UWRK_UWRK8_B1_UDB08_F1, 0x40006558 +.set CYDEV_UWRK_UWRK8_B1_UDB09_F1, 0x40006559 +.set CYDEV_UWRK_UWRK8_B1_UDB10_F1, 0x4000655a +.set CYDEV_UWRK_UWRK8_B1_UDB11_F1, 0x4000655b +.set CYDEV_UWRK_UWRK8_B1_UDB04_ST, 0x40006564 +.set CYDEV_UWRK_UWRK8_B1_UDB05_ST, 0x40006565 +.set CYDEV_UWRK_UWRK8_B1_UDB06_ST, 0x40006566 +.set CYDEV_UWRK_UWRK8_B1_UDB07_ST, 0x40006567 +.set CYDEV_UWRK_UWRK8_B1_UDB08_ST, 0x40006568 +.set CYDEV_UWRK_UWRK8_B1_UDB09_ST, 0x40006569 +.set CYDEV_UWRK_UWRK8_B1_UDB10_ST, 0x4000656a +.set CYDEV_UWRK_UWRK8_B1_UDB11_ST, 0x4000656b +.set CYDEV_UWRK_UWRK8_B1_UDB04_CTL, 0x40006574 +.set CYDEV_UWRK_UWRK8_B1_UDB05_CTL, 0x40006575 +.set CYDEV_UWRK_UWRK8_B1_UDB06_CTL, 0x40006576 +.set CYDEV_UWRK_UWRK8_B1_UDB07_CTL, 0x40006577 +.set CYDEV_UWRK_UWRK8_B1_UDB08_CTL, 0x40006578 +.set CYDEV_UWRK_UWRK8_B1_UDB09_CTL, 0x40006579 +.set CYDEV_UWRK_UWRK8_B1_UDB10_CTL, 0x4000657a +.set CYDEV_UWRK_UWRK8_B1_UDB11_CTL, 0x4000657b +.set CYDEV_UWRK_UWRK8_B1_UDB04_MSK, 0x40006584 +.set CYDEV_UWRK_UWRK8_B1_UDB05_MSK, 0x40006585 +.set CYDEV_UWRK_UWRK8_B1_UDB06_MSK, 0x40006586 +.set CYDEV_UWRK_UWRK8_B1_UDB07_MSK, 0x40006587 +.set CYDEV_UWRK_UWRK8_B1_UDB08_MSK, 0x40006588 +.set CYDEV_UWRK_UWRK8_B1_UDB09_MSK, 0x40006589 +.set CYDEV_UWRK_UWRK8_B1_UDB10_MSK, 0x4000658a +.set CYDEV_UWRK_UWRK8_B1_UDB11_MSK, 0x4000658b +.set CYDEV_UWRK_UWRK8_B1_UDB04_ACTL, 0x40006594 +.set CYDEV_UWRK_UWRK8_B1_UDB05_ACTL, 0x40006595 +.set CYDEV_UWRK_UWRK8_B1_UDB06_ACTL, 0x40006596 +.set CYDEV_UWRK_UWRK8_B1_UDB07_ACTL, 0x40006597 +.set CYDEV_UWRK_UWRK8_B1_UDB08_ACTL, 0x40006598 +.set CYDEV_UWRK_UWRK8_B1_UDB09_ACTL, 0x40006599 +.set CYDEV_UWRK_UWRK8_B1_UDB10_ACTL, 0x4000659a +.set CYDEV_UWRK_UWRK8_B1_UDB11_ACTL, 0x4000659b +.set CYDEV_UWRK_UWRK8_B1_UDB04_MC, 0x400065a4 +.set CYDEV_UWRK_UWRK8_B1_UDB05_MC, 0x400065a5 +.set CYDEV_UWRK_UWRK8_B1_UDB06_MC, 0x400065a6 +.set CYDEV_UWRK_UWRK8_B1_UDB07_MC, 0x400065a7 +.set CYDEV_UWRK_UWRK8_B1_UDB08_MC, 0x400065a8 +.set CYDEV_UWRK_UWRK8_B1_UDB09_MC, 0x400065a9 +.set CYDEV_UWRK_UWRK8_B1_UDB10_MC, 0x400065aa +.set CYDEV_UWRK_UWRK8_B1_UDB11_MC, 0x400065ab +.set CYDEV_UWRK_UWRK16_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_SIZE, 0x00000160 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1, 0x40006802 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1, 0x40006804 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1, 0x40006806 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1, 0x40006808 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1, 0x4000680a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1, 0x4000680c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1, 0x4000680e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1, 0x40006810 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1, 0x40006812 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1, 0x40006814 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1, 0x40006816 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1, 0x40006818 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1, 0x4000681a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1, 0x4000681c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1, 0x4000681e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1, 0x40006840 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1, 0x40006842 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1, 0x40006844 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1, 0x40006846 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1, 0x40006848 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1, 0x4000684a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1, 0x4000684c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1, 0x4000684e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1, 0x40006850 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1, 0x40006852 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1, 0x40006854 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1, 0x40006856 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1, 0x40006858 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1, 0x4000685a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1, 0x4000685c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1, 0x4000685e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1, 0x40006880 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1, 0x40006882 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1, 0x40006884 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1, 0x40006886 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1, 0x40006888 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1, 0x4000688a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1, 0x4000688c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1, 0x4000688e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1, 0x40006890 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1, 0x40006892 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1, 0x40006894 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1, 0x40006896 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1, 0x40006898 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1, 0x4000689a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1, 0x4000689c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1, 0x4000689e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL, 0x400068c0 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL, 0x400068c2 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL, 0x400068c4 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL, 0x400068c6 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL, 0x400068c8 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL, 0x400068ca +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL, 0x400068cc +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL, 0x400068ce +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL, 0x400068d0 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL, 0x400068d2 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL, 0x400068d4 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL, 0x400068d6 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL, 0x400068d8 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL, 0x400068da +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL, 0x400068dc +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL, 0x400068de +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL, 0x40006900 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL, 0x40006902 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL, 0x40006904 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL, 0x40006906 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL, 0x40006908 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL, 0x4000690a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL, 0x4000690c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL, 0x4000690e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL, 0x40006910 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL, 0x40006912 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL, 0x40006914 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL, 0x40006916 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL, 0x40006918 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL, 0x4000691a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL, 0x4000691c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL, 0x4000691e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00, 0x40006940 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00, 0x40006942 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00, 0x40006944 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00, 0x40006946 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00, 0x40006948 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00, 0x4000694a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00, 0x4000694c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00, 0x4000694e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00, 0x40006950 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00, 0x40006952 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00, 0x40006954 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00, 0x40006956 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00, 0x40006958 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00, 0x4000695a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00, 0x4000695c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00, 0x4000695e +.set CYDEV_UWRK_UWRK16_CAT_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_CAT_B1_SIZE, 0x00000160 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1, 0x40006a08 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1, 0x40006a0a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1, 0x40006a0c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1, 0x40006a0e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1, 0x40006a10 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1, 0x40006a12 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1, 0x40006a14 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1, 0x40006a16 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1, 0x40006a48 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1, 0x40006a4a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1, 0x40006a4c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1, 0x40006a4e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1, 0x40006a50 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1, 0x40006a52 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1, 0x40006a54 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1, 0x40006a56 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1, 0x40006a88 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1, 0x40006a8a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1, 0x40006a8c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1, 0x40006a8e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1, 0x40006a90 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1, 0x40006a92 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1, 0x40006a94 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1, 0x40006a96 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL, 0x40006ac8 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL, 0x40006aca +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL, 0x40006acc +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL, 0x40006ace +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL, 0x40006ad0 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL, 0x40006ad2 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL, 0x40006ad4 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL, 0x40006ad6 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL, 0x40006b08 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL, 0x40006b0a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL, 0x40006b0c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL, 0x40006b0e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL, 0x40006b10 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL, 0x40006b12 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL, 0x40006b14 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL, 0x40006b16 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00, 0x40006b48 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00, 0x40006b4a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00, 0x40006b4c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00, 0x40006b4e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00, 0x40006b50 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00, 0x40006b52 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00, 0x40006b54 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00, 0x40006b56 +.set CYDEV_UWRK_UWRK16_DEF_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_SIZE, 0x0000075e +.set CYDEV_UWRK_UWRK16_DEF_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_SIZE, 0x0000015e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0, 0x40006802 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0, 0x40006804 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0, 0x40006806 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0, 0x40006808 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0, 0x4000680a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0, 0x4000680c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0, 0x4000680e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0, 0x40006810 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0, 0x40006812 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0, 0x40006814 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0, 0x40006816 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0, 0x40006818 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0, 0x4000681a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0, 0x4000681c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1, 0x40006820 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1, 0x40006822 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1, 0x40006824 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1, 0x40006826 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1, 0x40006828 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1, 0x4000682a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1, 0x4000682c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1, 0x4000682e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1, 0x40006830 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1, 0x40006832 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1, 0x40006834 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1, 0x40006836 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1, 0x40006838 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1, 0x4000683a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1, 0x4000683c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0, 0x40006840 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0, 0x40006842 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0, 0x40006844 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0, 0x40006846 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0, 0x40006848 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0, 0x4000684a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0, 0x4000684c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0, 0x4000684e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0, 0x40006850 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0, 0x40006852 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0, 0x40006854 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0, 0x40006856 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0, 0x40006858 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0, 0x4000685a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0, 0x4000685c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1, 0x40006860 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1, 0x40006862 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1, 0x40006864 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1, 0x40006866 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1, 0x40006868 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1, 0x4000686a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1, 0x4000686c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1, 0x4000686e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1, 0x40006870 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1, 0x40006872 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1, 0x40006874 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1, 0x40006876 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1, 0x40006878 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1, 0x4000687a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1, 0x4000687c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0, 0x40006880 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0, 0x40006882 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0, 0x40006884 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0, 0x40006886 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0, 0x40006888 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0, 0x4000688a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0, 0x4000688c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0, 0x4000688e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0, 0x40006890 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0, 0x40006892 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0, 0x40006894 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0, 0x40006896 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0, 0x40006898 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0, 0x4000689a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0, 0x4000689c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1, 0x400068a0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1, 0x400068a2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1, 0x400068a4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1, 0x400068a6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1, 0x400068a8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1, 0x400068aa +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1, 0x400068ac +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1, 0x400068ae +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1, 0x400068b0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1, 0x400068b2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1, 0x400068b4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1, 0x400068b6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1, 0x400068b8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1, 0x400068ba +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1, 0x400068bc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST, 0x400068c0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST, 0x400068c2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST, 0x400068c4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST, 0x400068c6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST, 0x400068c8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST, 0x400068ca +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST, 0x400068cc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST, 0x400068ce +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST, 0x400068d0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST, 0x400068d2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST, 0x400068d4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST, 0x400068d6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST, 0x400068d8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST, 0x400068da +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST, 0x400068dc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL, 0x400068e0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL, 0x400068e2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL, 0x400068e4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL, 0x400068e6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL, 0x400068e8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL, 0x400068ea +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL, 0x400068ec +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL, 0x400068ee +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL, 0x400068f0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL, 0x400068f2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL, 0x400068f4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL, 0x400068f6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL, 0x400068f8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL, 0x400068fa +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL, 0x400068fc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK, 0x40006900 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK, 0x40006902 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK, 0x40006904 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK, 0x40006906 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK, 0x40006908 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK, 0x4000690a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK, 0x4000690c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK, 0x4000690e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK, 0x40006910 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK, 0x40006912 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK, 0x40006914 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK, 0x40006916 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK, 0x40006918 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK, 0x4000691a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK, 0x4000691c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL, 0x40006920 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL, 0x40006922 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL, 0x40006924 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL, 0x40006926 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL, 0x40006928 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL, 0x4000692a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL, 0x4000692c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL, 0x4000692e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL, 0x40006930 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL, 0x40006932 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL, 0x40006934 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL, 0x40006936 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL, 0x40006938 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL, 0x4000693a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL, 0x4000693c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC, 0x40006940 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC, 0x40006942 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC, 0x40006944 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC, 0x40006946 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC, 0x40006948 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC, 0x4000694a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC, 0x4000694c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC, 0x4000694e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC, 0x40006950 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC, 0x40006952 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC, 0x40006954 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC, 0x40006956 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC, 0x40006958 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC, 0x4000695a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC, 0x4000695c +.set CYDEV_UWRK_UWRK16_DEF_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_DEF_B1_SIZE, 0x0000015e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0, 0x40006a08 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0, 0x40006a0a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0, 0x40006a0c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0, 0x40006a0e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0, 0x40006a10 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0, 0x40006a12 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0, 0x40006a14 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0, 0x40006a16 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1, 0x40006a28 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1, 0x40006a2a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1, 0x40006a2c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1, 0x40006a2e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1, 0x40006a30 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1, 0x40006a32 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1, 0x40006a34 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1, 0x40006a36 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0, 0x40006a48 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0, 0x40006a4a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0, 0x40006a4c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0, 0x40006a4e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0, 0x40006a50 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0, 0x40006a52 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0, 0x40006a54 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0, 0x40006a56 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1, 0x40006a68 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1, 0x40006a6a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1, 0x40006a6c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1, 0x40006a6e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1, 0x40006a70 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1, 0x40006a72 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1, 0x40006a74 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1, 0x40006a76 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0, 0x40006a88 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0, 0x40006a8a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0, 0x40006a8c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0, 0x40006a8e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0, 0x40006a90 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0, 0x40006a92 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0, 0x40006a94 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0, 0x40006a96 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1, 0x40006aa8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1, 0x40006aaa +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1, 0x40006aac +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1, 0x40006aae +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1, 0x40006ab0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1, 0x40006ab2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1, 0x40006ab4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1, 0x40006ab6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST, 0x40006ac8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST, 0x40006aca +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST, 0x40006acc +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST, 0x40006ace +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST, 0x40006ad0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST, 0x40006ad2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST, 0x40006ad4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST, 0x40006ad6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL, 0x40006ae8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL, 0x40006aea +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL, 0x40006aec +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL, 0x40006aee +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL, 0x40006af0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL, 0x40006af2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL, 0x40006af4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL, 0x40006af6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK, 0x40006b08 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK, 0x40006b0a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK, 0x40006b0c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK, 0x40006b0e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK, 0x40006b10 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK, 0x40006b12 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK, 0x40006b14 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK, 0x40006b16 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL, 0x40006b28 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL, 0x40006b2a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL, 0x40006b2c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL, 0x40006b2e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL, 0x40006b30 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL, 0x40006b32 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL, 0x40006b34 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL, 0x40006b36 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC, 0x40006b48 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC, 0x40006b4a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC, 0x40006b4c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC, 0x40006b4e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC, 0x40006b50 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC, 0x40006b52 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC, 0x40006b54 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC, 0x40006b56 +.set CYDEV_PHUB_BASE, 0x40007000 +.set CYDEV_PHUB_SIZE, 0x00000c00 +.set CYDEV_PHUB_CFG, 0x40007000 +.set CYDEV_PHUB_ERR, 0x40007004 +.set CYDEV_PHUB_ERR_ADR, 0x40007008 +.set CYDEV_PHUB_CH0_BASE, 0x40007010 +.set CYDEV_PHUB_CH0_SIZE, 0x0000000c +.set CYDEV_PHUB_CH0_BASIC_CFG, 0x40007010 +.set CYDEV_PHUB_CH0_ACTION, 0x40007014 +.set CYDEV_PHUB_CH0_BASIC_STATUS, 0x40007018 +.set CYDEV_PHUB_CH1_BASE, 0x40007020 +.set CYDEV_PHUB_CH1_SIZE, 0x0000000c +.set CYDEV_PHUB_CH1_BASIC_CFG, 0x40007020 +.set CYDEV_PHUB_CH1_ACTION, 0x40007024 +.set CYDEV_PHUB_CH1_BASIC_STATUS, 0x40007028 +.set CYDEV_PHUB_CH2_BASE, 0x40007030 +.set CYDEV_PHUB_CH2_SIZE, 0x0000000c +.set CYDEV_PHUB_CH2_BASIC_CFG, 0x40007030 +.set CYDEV_PHUB_CH2_ACTION, 0x40007034 +.set CYDEV_PHUB_CH2_BASIC_STATUS, 0x40007038 +.set CYDEV_PHUB_CH3_BASE, 0x40007040 +.set CYDEV_PHUB_CH3_SIZE, 0x0000000c +.set CYDEV_PHUB_CH3_BASIC_CFG, 0x40007040 +.set CYDEV_PHUB_CH3_ACTION, 0x40007044 +.set CYDEV_PHUB_CH3_BASIC_STATUS, 0x40007048 +.set CYDEV_PHUB_CH4_BASE, 0x40007050 +.set CYDEV_PHUB_CH4_SIZE, 0x0000000c +.set CYDEV_PHUB_CH4_BASIC_CFG, 0x40007050 +.set CYDEV_PHUB_CH4_ACTION, 0x40007054 +.set CYDEV_PHUB_CH4_BASIC_STATUS, 0x40007058 +.set CYDEV_PHUB_CH5_BASE, 0x40007060 +.set CYDEV_PHUB_CH5_SIZE, 0x0000000c +.set CYDEV_PHUB_CH5_BASIC_CFG, 0x40007060 +.set CYDEV_PHUB_CH5_ACTION, 0x40007064 +.set CYDEV_PHUB_CH5_BASIC_STATUS, 0x40007068 +.set CYDEV_PHUB_CH6_BASE, 0x40007070 +.set CYDEV_PHUB_CH6_SIZE, 0x0000000c +.set CYDEV_PHUB_CH6_BASIC_CFG, 0x40007070 +.set CYDEV_PHUB_CH6_ACTION, 0x40007074 +.set CYDEV_PHUB_CH6_BASIC_STATUS, 0x40007078 +.set CYDEV_PHUB_CH7_BASE, 0x40007080 +.set CYDEV_PHUB_CH7_SIZE, 0x0000000c +.set CYDEV_PHUB_CH7_BASIC_CFG, 0x40007080 +.set CYDEV_PHUB_CH7_ACTION, 0x40007084 +.set CYDEV_PHUB_CH7_BASIC_STATUS, 0x40007088 +.set CYDEV_PHUB_CH8_BASE, 0x40007090 +.set CYDEV_PHUB_CH8_SIZE, 0x0000000c +.set CYDEV_PHUB_CH8_BASIC_CFG, 0x40007090 +.set CYDEV_PHUB_CH8_ACTION, 0x40007094 +.set CYDEV_PHUB_CH8_BASIC_STATUS, 0x40007098 +.set CYDEV_PHUB_CH9_BASE, 0x400070a0 +.set CYDEV_PHUB_CH9_SIZE, 0x0000000c +.set CYDEV_PHUB_CH9_BASIC_CFG, 0x400070a0 +.set CYDEV_PHUB_CH9_ACTION, 0x400070a4 +.set CYDEV_PHUB_CH9_BASIC_STATUS, 0x400070a8 +.set CYDEV_PHUB_CH10_BASE, 0x400070b0 +.set CYDEV_PHUB_CH10_SIZE, 0x0000000c +.set CYDEV_PHUB_CH10_BASIC_CFG, 0x400070b0 +.set CYDEV_PHUB_CH10_ACTION, 0x400070b4 +.set CYDEV_PHUB_CH10_BASIC_STATUS, 0x400070b8 +.set CYDEV_PHUB_CH11_BASE, 0x400070c0 +.set CYDEV_PHUB_CH11_SIZE, 0x0000000c +.set CYDEV_PHUB_CH11_BASIC_CFG, 0x400070c0 +.set CYDEV_PHUB_CH11_ACTION, 0x400070c4 +.set CYDEV_PHUB_CH11_BASIC_STATUS, 0x400070c8 +.set CYDEV_PHUB_CH12_BASE, 0x400070d0 +.set CYDEV_PHUB_CH12_SIZE, 0x0000000c +.set CYDEV_PHUB_CH12_BASIC_CFG, 0x400070d0 +.set CYDEV_PHUB_CH12_ACTION, 0x400070d4 +.set CYDEV_PHUB_CH12_BASIC_STATUS, 0x400070d8 +.set CYDEV_PHUB_CH13_BASE, 0x400070e0 +.set CYDEV_PHUB_CH13_SIZE, 0x0000000c +.set CYDEV_PHUB_CH13_BASIC_CFG, 0x400070e0 +.set CYDEV_PHUB_CH13_ACTION, 0x400070e4 +.set CYDEV_PHUB_CH13_BASIC_STATUS, 0x400070e8 +.set CYDEV_PHUB_CH14_BASE, 0x400070f0 +.set CYDEV_PHUB_CH14_SIZE, 0x0000000c +.set CYDEV_PHUB_CH14_BASIC_CFG, 0x400070f0 +.set CYDEV_PHUB_CH14_ACTION, 0x400070f4 +.set CYDEV_PHUB_CH14_BASIC_STATUS, 0x400070f8 +.set CYDEV_PHUB_CH15_BASE, 0x40007100 +.set CYDEV_PHUB_CH15_SIZE, 0x0000000c +.set CYDEV_PHUB_CH15_BASIC_CFG, 0x40007100 +.set CYDEV_PHUB_CH15_ACTION, 0x40007104 +.set CYDEV_PHUB_CH15_BASIC_STATUS, 0x40007108 +.set CYDEV_PHUB_CH16_BASE, 0x40007110 +.set CYDEV_PHUB_CH16_SIZE, 0x0000000c +.set CYDEV_PHUB_CH16_BASIC_CFG, 0x40007110 +.set CYDEV_PHUB_CH16_ACTION, 0x40007114 +.set CYDEV_PHUB_CH16_BASIC_STATUS, 0x40007118 +.set CYDEV_PHUB_CH17_BASE, 0x40007120 +.set CYDEV_PHUB_CH17_SIZE, 0x0000000c +.set CYDEV_PHUB_CH17_BASIC_CFG, 0x40007120 +.set CYDEV_PHUB_CH17_ACTION, 0x40007124 +.set CYDEV_PHUB_CH17_BASIC_STATUS, 0x40007128 +.set CYDEV_PHUB_CH18_BASE, 0x40007130 +.set CYDEV_PHUB_CH18_SIZE, 0x0000000c +.set CYDEV_PHUB_CH18_BASIC_CFG, 0x40007130 +.set CYDEV_PHUB_CH18_ACTION, 0x40007134 +.set CYDEV_PHUB_CH18_BASIC_STATUS, 0x40007138 +.set CYDEV_PHUB_CH19_BASE, 0x40007140 +.set CYDEV_PHUB_CH19_SIZE, 0x0000000c +.set CYDEV_PHUB_CH19_BASIC_CFG, 0x40007140 +.set CYDEV_PHUB_CH19_ACTION, 0x40007144 +.set CYDEV_PHUB_CH19_BASIC_STATUS, 0x40007148 +.set CYDEV_PHUB_CH20_BASE, 0x40007150 +.set CYDEV_PHUB_CH20_SIZE, 0x0000000c +.set CYDEV_PHUB_CH20_BASIC_CFG, 0x40007150 +.set CYDEV_PHUB_CH20_ACTION, 0x40007154 +.set CYDEV_PHUB_CH20_BASIC_STATUS, 0x40007158 +.set CYDEV_PHUB_CH21_BASE, 0x40007160 +.set CYDEV_PHUB_CH21_SIZE, 0x0000000c +.set CYDEV_PHUB_CH21_BASIC_CFG, 0x40007160 +.set CYDEV_PHUB_CH21_ACTION, 0x40007164 +.set CYDEV_PHUB_CH21_BASIC_STATUS, 0x40007168 +.set CYDEV_PHUB_CH22_BASE, 0x40007170 +.set CYDEV_PHUB_CH22_SIZE, 0x0000000c +.set CYDEV_PHUB_CH22_BASIC_CFG, 0x40007170 +.set CYDEV_PHUB_CH22_ACTION, 0x40007174 +.set CYDEV_PHUB_CH22_BASIC_STATUS, 0x40007178 +.set CYDEV_PHUB_CH23_BASE, 0x40007180 +.set CYDEV_PHUB_CH23_SIZE, 0x0000000c +.set CYDEV_PHUB_CH23_BASIC_CFG, 0x40007180 +.set CYDEV_PHUB_CH23_ACTION, 0x40007184 +.set CYDEV_PHUB_CH23_BASIC_STATUS, 0x40007188 +.set CYDEV_PHUB_CFGMEM0_BASE, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM0_CFG0, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_CFG1, 0x40007604 +.set CYDEV_PHUB_CFGMEM1_BASE, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM1_CFG0, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_CFG1, 0x4000760c +.set CYDEV_PHUB_CFGMEM2_BASE, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM2_CFG0, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_CFG1, 0x40007614 +.set CYDEV_PHUB_CFGMEM3_BASE, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM3_CFG0, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_CFG1, 0x4000761c +.set CYDEV_PHUB_CFGMEM4_BASE, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM4_CFG0, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_CFG1, 0x40007624 +.set CYDEV_PHUB_CFGMEM5_BASE, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM5_CFG0, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_CFG1, 0x4000762c +.set CYDEV_PHUB_CFGMEM6_BASE, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM6_CFG0, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_CFG1, 0x40007634 +.set CYDEV_PHUB_CFGMEM7_BASE, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM7_CFG0, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_CFG1, 0x4000763c +.set CYDEV_PHUB_CFGMEM8_BASE, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM8_CFG0, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_CFG1, 0x40007644 +.set CYDEV_PHUB_CFGMEM9_BASE, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM9_CFG0, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_CFG1, 0x4000764c +.set CYDEV_PHUB_CFGMEM10_BASE, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM10_CFG0, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_CFG1, 0x40007654 +.set CYDEV_PHUB_CFGMEM11_BASE, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM11_CFG0, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_CFG1, 0x4000765c +.set CYDEV_PHUB_CFGMEM12_BASE, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM12_CFG0, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_CFG1, 0x40007664 +.set CYDEV_PHUB_CFGMEM13_BASE, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM13_CFG0, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_CFG1, 0x4000766c +.set CYDEV_PHUB_CFGMEM14_BASE, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM14_CFG0, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_CFG1, 0x40007674 +.set CYDEV_PHUB_CFGMEM15_BASE, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM15_CFG0, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_CFG1, 0x4000767c +.set CYDEV_PHUB_CFGMEM16_BASE, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM16_CFG0, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_CFG1, 0x40007684 +.set CYDEV_PHUB_CFGMEM17_BASE, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM17_CFG0, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_CFG1, 0x4000768c +.set CYDEV_PHUB_CFGMEM18_BASE, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM18_CFG0, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_CFG1, 0x40007694 +.set CYDEV_PHUB_CFGMEM19_BASE, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM19_CFG0, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_CFG1, 0x4000769c +.set CYDEV_PHUB_CFGMEM20_BASE, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM20_CFG0, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_CFG1, 0x400076a4 +.set CYDEV_PHUB_CFGMEM21_BASE, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM21_CFG0, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_CFG1, 0x400076ac +.set CYDEV_PHUB_CFGMEM22_BASE, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM22_CFG0, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_CFG1, 0x400076b4 +.set CYDEV_PHUB_CFGMEM23_BASE, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM23_CFG0, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_CFG1, 0x400076bc +.set CYDEV_PHUB_TDMEM0_BASE, 0x40007800 +.set CYDEV_PHUB_TDMEM0_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM0_ORIG_TD0, 0x40007800 +.set CYDEV_PHUB_TDMEM0_ORIG_TD1, 0x40007804 +.set CYDEV_PHUB_TDMEM1_BASE, 0x40007808 +.set CYDEV_PHUB_TDMEM1_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM1_ORIG_TD0, 0x40007808 +.set CYDEV_PHUB_TDMEM1_ORIG_TD1, 0x4000780c +.set CYDEV_PHUB_TDMEM2_BASE, 0x40007810 +.set CYDEV_PHUB_TDMEM2_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM2_ORIG_TD0, 0x40007810 +.set CYDEV_PHUB_TDMEM2_ORIG_TD1, 0x40007814 +.set CYDEV_PHUB_TDMEM3_BASE, 0x40007818 +.set CYDEV_PHUB_TDMEM3_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM3_ORIG_TD0, 0x40007818 +.set CYDEV_PHUB_TDMEM3_ORIG_TD1, 0x4000781c +.set CYDEV_PHUB_TDMEM4_BASE, 0x40007820 +.set CYDEV_PHUB_TDMEM4_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM4_ORIG_TD0, 0x40007820 +.set CYDEV_PHUB_TDMEM4_ORIG_TD1, 0x40007824 +.set CYDEV_PHUB_TDMEM5_BASE, 0x40007828 +.set CYDEV_PHUB_TDMEM5_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM5_ORIG_TD0, 0x40007828 +.set CYDEV_PHUB_TDMEM5_ORIG_TD1, 0x4000782c +.set CYDEV_PHUB_TDMEM6_BASE, 0x40007830 +.set CYDEV_PHUB_TDMEM6_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM6_ORIG_TD0, 0x40007830 +.set CYDEV_PHUB_TDMEM6_ORIG_TD1, 0x40007834 +.set CYDEV_PHUB_TDMEM7_BASE, 0x40007838 +.set CYDEV_PHUB_TDMEM7_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM7_ORIG_TD0, 0x40007838 +.set CYDEV_PHUB_TDMEM7_ORIG_TD1, 0x4000783c +.set CYDEV_PHUB_TDMEM8_BASE, 0x40007840 +.set CYDEV_PHUB_TDMEM8_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM8_ORIG_TD0, 0x40007840 +.set CYDEV_PHUB_TDMEM8_ORIG_TD1, 0x40007844 +.set CYDEV_PHUB_TDMEM9_BASE, 0x40007848 +.set CYDEV_PHUB_TDMEM9_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM9_ORIG_TD0, 0x40007848 +.set CYDEV_PHUB_TDMEM9_ORIG_TD1, 0x4000784c +.set CYDEV_PHUB_TDMEM10_BASE, 0x40007850 +.set CYDEV_PHUB_TDMEM10_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM10_ORIG_TD0, 0x40007850 +.set CYDEV_PHUB_TDMEM10_ORIG_TD1, 0x40007854 +.set CYDEV_PHUB_TDMEM11_BASE, 0x40007858 +.set CYDEV_PHUB_TDMEM11_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM11_ORIG_TD0, 0x40007858 +.set CYDEV_PHUB_TDMEM11_ORIG_TD1, 0x4000785c +.set CYDEV_PHUB_TDMEM12_BASE, 0x40007860 +.set CYDEV_PHUB_TDMEM12_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM12_ORIG_TD0, 0x40007860 +.set CYDEV_PHUB_TDMEM12_ORIG_TD1, 0x40007864 +.set CYDEV_PHUB_TDMEM13_BASE, 0x40007868 +.set CYDEV_PHUB_TDMEM13_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM13_ORIG_TD0, 0x40007868 +.set CYDEV_PHUB_TDMEM13_ORIG_TD1, 0x4000786c +.set CYDEV_PHUB_TDMEM14_BASE, 0x40007870 +.set CYDEV_PHUB_TDMEM14_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM14_ORIG_TD0, 0x40007870 +.set CYDEV_PHUB_TDMEM14_ORIG_TD1, 0x40007874 +.set CYDEV_PHUB_TDMEM15_BASE, 0x40007878 +.set CYDEV_PHUB_TDMEM15_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM15_ORIG_TD0, 0x40007878 +.set CYDEV_PHUB_TDMEM15_ORIG_TD1, 0x4000787c +.set CYDEV_PHUB_TDMEM16_BASE, 0x40007880 +.set CYDEV_PHUB_TDMEM16_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM16_ORIG_TD0, 0x40007880 +.set CYDEV_PHUB_TDMEM16_ORIG_TD1, 0x40007884 +.set CYDEV_PHUB_TDMEM17_BASE, 0x40007888 +.set CYDEV_PHUB_TDMEM17_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM17_ORIG_TD0, 0x40007888 +.set CYDEV_PHUB_TDMEM17_ORIG_TD1, 0x4000788c +.set CYDEV_PHUB_TDMEM18_BASE, 0x40007890 +.set CYDEV_PHUB_TDMEM18_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM18_ORIG_TD0, 0x40007890 +.set CYDEV_PHUB_TDMEM18_ORIG_TD1, 0x40007894 +.set CYDEV_PHUB_TDMEM19_BASE, 0x40007898 +.set CYDEV_PHUB_TDMEM19_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM19_ORIG_TD0, 0x40007898 +.set CYDEV_PHUB_TDMEM19_ORIG_TD1, 0x4000789c +.set CYDEV_PHUB_TDMEM20_BASE, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM20_ORIG_TD0, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_ORIG_TD1, 0x400078a4 +.set CYDEV_PHUB_TDMEM21_BASE, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM21_ORIG_TD0, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_ORIG_TD1, 0x400078ac +.set CYDEV_PHUB_TDMEM22_BASE, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM22_ORIG_TD0, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_ORIG_TD1, 0x400078b4 +.set CYDEV_PHUB_TDMEM23_BASE, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM23_ORIG_TD0, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_ORIG_TD1, 0x400078bc +.set CYDEV_PHUB_TDMEM24_BASE, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM24_ORIG_TD0, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_ORIG_TD1, 0x400078c4 +.set CYDEV_PHUB_TDMEM25_BASE, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM25_ORIG_TD0, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_ORIG_TD1, 0x400078cc +.set CYDEV_PHUB_TDMEM26_BASE, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM26_ORIG_TD0, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_ORIG_TD1, 0x400078d4 +.set CYDEV_PHUB_TDMEM27_BASE, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM27_ORIG_TD0, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_ORIG_TD1, 0x400078dc +.set CYDEV_PHUB_TDMEM28_BASE, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM28_ORIG_TD0, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_ORIG_TD1, 0x400078e4 +.set CYDEV_PHUB_TDMEM29_BASE, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM29_ORIG_TD0, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_ORIG_TD1, 0x400078ec +.set CYDEV_PHUB_TDMEM30_BASE, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM30_ORIG_TD0, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_ORIG_TD1, 0x400078f4 +.set CYDEV_PHUB_TDMEM31_BASE, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM31_ORIG_TD0, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_ORIG_TD1, 0x400078fc +.set CYDEV_PHUB_TDMEM32_BASE, 0x40007900 +.set CYDEV_PHUB_TDMEM32_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM32_ORIG_TD0, 0x40007900 +.set CYDEV_PHUB_TDMEM32_ORIG_TD1, 0x40007904 +.set CYDEV_PHUB_TDMEM33_BASE, 0x40007908 +.set CYDEV_PHUB_TDMEM33_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM33_ORIG_TD0, 0x40007908 +.set CYDEV_PHUB_TDMEM33_ORIG_TD1, 0x4000790c +.set CYDEV_PHUB_TDMEM34_BASE, 0x40007910 +.set CYDEV_PHUB_TDMEM34_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM34_ORIG_TD0, 0x40007910 +.set CYDEV_PHUB_TDMEM34_ORIG_TD1, 0x40007914 +.set CYDEV_PHUB_TDMEM35_BASE, 0x40007918 +.set CYDEV_PHUB_TDMEM35_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM35_ORIG_TD0, 0x40007918 +.set CYDEV_PHUB_TDMEM35_ORIG_TD1, 0x4000791c +.set CYDEV_PHUB_TDMEM36_BASE, 0x40007920 +.set CYDEV_PHUB_TDMEM36_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM36_ORIG_TD0, 0x40007920 +.set CYDEV_PHUB_TDMEM36_ORIG_TD1, 0x40007924 +.set CYDEV_PHUB_TDMEM37_BASE, 0x40007928 +.set CYDEV_PHUB_TDMEM37_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM37_ORIG_TD0, 0x40007928 +.set CYDEV_PHUB_TDMEM37_ORIG_TD1, 0x4000792c +.set CYDEV_PHUB_TDMEM38_BASE, 0x40007930 +.set CYDEV_PHUB_TDMEM38_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM38_ORIG_TD0, 0x40007930 +.set CYDEV_PHUB_TDMEM38_ORIG_TD1, 0x40007934 +.set CYDEV_PHUB_TDMEM39_BASE, 0x40007938 +.set CYDEV_PHUB_TDMEM39_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM39_ORIG_TD0, 0x40007938 +.set CYDEV_PHUB_TDMEM39_ORIG_TD1, 0x4000793c +.set CYDEV_PHUB_TDMEM40_BASE, 0x40007940 +.set CYDEV_PHUB_TDMEM40_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM40_ORIG_TD0, 0x40007940 +.set CYDEV_PHUB_TDMEM40_ORIG_TD1, 0x40007944 +.set CYDEV_PHUB_TDMEM41_BASE, 0x40007948 +.set CYDEV_PHUB_TDMEM41_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM41_ORIG_TD0, 0x40007948 +.set CYDEV_PHUB_TDMEM41_ORIG_TD1, 0x4000794c +.set CYDEV_PHUB_TDMEM42_BASE, 0x40007950 +.set CYDEV_PHUB_TDMEM42_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM42_ORIG_TD0, 0x40007950 +.set CYDEV_PHUB_TDMEM42_ORIG_TD1, 0x40007954 +.set CYDEV_PHUB_TDMEM43_BASE, 0x40007958 +.set CYDEV_PHUB_TDMEM43_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM43_ORIG_TD0, 0x40007958 +.set CYDEV_PHUB_TDMEM43_ORIG_TD1, 0x4000795c +.set CYDEV_PHUB_TDMEM44_BASE, 0x40007960 +.set CYDEV_PHUB_TDMEM44_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM44_ORIG_TD0, 0x40007960 +.set CYDEV_PHUB_TDMEM44_ORIG_TD1, 0x40007964 +.set CYDEV_PHUB_TDMEM45_BASE, 0x40007968 +.set CYDEV_PHUB_TDMEM45_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM45_ORIG_TD0, 0x40007968 +.set CYDEV_PHUB_TDMEM45_ORIG_TD1, 0x4000796c +.set CYDEV_PHUB_TDMEM46_BASE, 0x40007970 +.set CYDEV_PHUB_TDMEM46_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM46_ORIG_TD0, 0x40007970 +.set CYDEV_PHUB_TDMEM46_ORIG_TD1, 0x40007974 +.set CYDEV_PHUB_TDMEM47_BASE, 0x40007978 +.set CYDEV_PHUB_TDMEM47_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM47_ORIG_TD0, 0x40007978 +.set CYDEV_PHUB_TDMEM47_ORIG_TD1, 0x4000797c +.set CYDEV_PHUB_TDMEM48_BASE, 0x40007980 +.set CYDEV_PHUB_TDMEM48_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM48_ORIG_TD0, 0x40007980 +.set CYDEV_PHUB_TDMEM48_ORIG_TD1, 0x40007984 +.set CYDEV_PHUB_TDMEM49_BASE, 0x40007988 +.set CYDEV_PHUB_TDMEM49_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM49_ORIG_TD0, 0x40007988 +.set CYDEV_PHUB_TDMEM49_ORIG_TD1, 0x4000798c +.set CYDEV_PHUB_TDMEM50_BASE, 0x40007990 +.set CYDEV_PHUB_TDMEM50_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM50_ORIG_TD0, 0x40007990 +.set CYDEV_PHUB_TDMEM50_ORIG_TD1, 0x40007994 +.set CYDEV_PHUB_TDMEM51_BASE, 0x40007998 +.set CYDEV_PHUB_TDMEM51_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM51_ORIG_TD0, 0x40007998 +.set CYDEV_PHUB_TDMEM51_ORIG_TD1, 0x4000799c +.set CYDEV_PHUB_TDMEM52_BASE, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM52_ORIG_TD0, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_ORIG_TD1, 0x400079a4 +.set CYDEV_PHUB_TDMEM53_BASE, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM53_ORIG_TD0, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_ORIG_TD1, 0x400079ac +.set CYDEV_PHUB_TDMEM54_BASE, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM54_ORIG_TD0, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_ORIG_TD1, 0x400079b4 +.set CYDEV_PHUB_TDMEM55_BASE, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM55_ORIG_TD0, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_ORIG_TD1, 0x400079bc +.set CYDEV_PHUB_TDMEM56_BASE, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM56_ORIG_TD0, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_ORIG_TD1, 0x400079c4 +.set CYDEV_PHUB_TDMEM57_BASE, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM57_ORIG_TD0, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_ORIG_TD1, 0x400079cc +.set CYDEV_PHUB_TDMEM58_BASE, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM58_ORIG_TD0, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_ORIG_TD1, 0x400079d4 +.set CYDEV_PHUB_TDMEM59_BASE, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM59_ORIG_TD0, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_ORIG_TD1, 0x400079dc +.set CYDEV_PHUB_TDMEM60_BASE, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM60_ORIG_TD0, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_ORIG_TD1, 0x400079e4 +.set CYDEV_PHUB_TDMEM61_BASE, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM61_ORIG_TD0, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_ORIG_TD1, 0x400079ec +.set CYDEV_PHUB_TDMEM62_BASE, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM62_ORIG_TD0, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_ORIG_TD1, 0x400079f4 +.set CYDEV_PHUB_TDMEM63_BASE, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM63_ORIG_TD0, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_ORIG_TD1, 0x400079fc +.set CYDEV_PHUB_TDMEM64_BASE, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM64_ORIG_TD0, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_ORIG_TD1, 0x40007a04 +.set CYDEV_PHUB_TDMEM65_BASE, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM65_ORIG_TD0, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_ORIG_TD1, 0x40007a0c +.set CYDEV_PHUB_TDMEM66_BASE, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM66_ORIG_TD0, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_ORIG_TD1, 0x40007a14 +.set CYDEV_PHUB_TDMEM67_BASE, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM67_ORIG_TD0, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_ORIG_TD1, 0x40007a1c +.set CYDEV_PHUB_TDMEM68_BASE, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM68_ORIG_TD0, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_ORIG_TD1, 0x40007a24 +.set CYDEV_PHUB_TDMEM69_BASE, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM69_ORIG_TD0, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_ORIG_TD1, 0x40007a2c +.set CYDEV_PHUB_TDMEM70_BASE, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM70_ORIG_TD0, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_ORIG_TD1, 0x40007a34 +.set CYDEV_PHUB_TDMEM71_BASE, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM71_ORIG_TD0, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_ORIG_TD1, 0x40007a3c +.set CYDEV_PHUB_TDMEM72_BASE, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM72_ORIG_TD0, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_ORIG_TD1, 0x40007a44 +.set CYDEV_PHUB_TDMEM73_BASE, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM73_ORIG_TD0, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_ORIG_TD1, 0x40007a4c +.set CYDEV_PHUB_TDMEM74_BASE, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM74_ORIG_TD0, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_ORIG_TD1, 0x40007a54 +.set CYDEV_PHUB_TDMEM75_BASE, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM75_ORIG_TD0, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_ORIG_TD1, 0x40007a5c +.set CYDEV_PHUB_TDMEM76_BASE, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM76_ORIG_TD0, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_ORIG_TD1, 0x40007a64 +.set CYDEV_PHUB_TDMEM77_BASE, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM77_ORIG_TD0, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_ORIG_TD1, 0x40007a6c +.set CYDEV_PHUB_TDMEM78_BASE, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM78_ORIG_TD0, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_ORIG_TD1, 0x40007a74 +.set CYDEV_PHUB_TDMEM79_BASE, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM79_ORIG_TD0, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_ORIG_TD1, 0x40007a7c +.set CYDEV_PHUB_TDMEM80_BASE, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM80_ORIG_TD0, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_ORIG_TD1, 0x40007a84 +.set CYDEV_PHUB_TDMEM81_BASE, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM81_ORIG_TD0, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_ORIG_TD1, 0x40007a8c +.set CYDEV_PHUB_TDMEM82_BASE, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM82_ORIG_TD0, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_ORIG_TD1, 0x40007a94 +.set CYDEV_PHUB_TDMEM83_BASE, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM83_ORIG_TD0, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_ORIG_TD1, 0x40007a9c +.set CYDEV_PHUB_TDMEM84_BASE, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM84_ORIG_TD0, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_ORIG_TD1, 0x40007aa4 +.set CYDEV_PHUB_TDMEM85_BASE, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM85_ORIG_TD0, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_ORIG_TD1, 0x40007aac +.set CYDEV_PHUB_TDMEM86_BASE, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM86_ORIG_TD0, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_ORIG_TD1, 0x40007ab4 +.set CYDEV_PHUB_TDMEM87_BASE, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM87_ORIG_TD0, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_ORIG_TD1, 0x40007abc +.set CYDEV_PHUB_TDMEM88_BASE, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM88_ORIG_TD0, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_ORIG_TD1, 0x40007ac4 +.set CYDEV_PHUB_TDMEM89_BASE, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM89_ORIG_TD0, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_ORIG_TD1, 0x40007acc +.set CYDEV_PHUB_TDMEM90_BASE, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM90_ORIG_TD0, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_ORIG_TD1, 0x40007ad4 +.set CYDEV_PHUB_TDMEM91_BASE, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM91_ORIG_TD0, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_ORIG_TD1, 0x40007adc +.set CYDEV_PHUB_TDMEM92_BASE, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM92_ORIG_TD0, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_ORIG_TD1, 0x40007ae4 +.set CYDEV_PHUB_TDMEM93_BASE, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM93_ORIG_TD0, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_ORIG_TD1, 0x40007aec +.set CYDEV_PHUB_TDMEM94_BASE, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM94_ORIG_TD0, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_ORIG_TD1, 0x40007af4 +.set CYDEV_PHUB_TDMEM95_BASE, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM95_ORIG_TD0, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_ORIG_TD1, 0x40007afc +.set CYDEV_PHUB_TDMEM96_BASE, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM96_ORIG_TD0, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_ORIG_TD1, 0x40007b04 +.set CYDEV_PHUB_TDMEM97_BASE, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM97_ORIG_TD0, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_ORIG_TD1, 0x40007b0c +.set CYDEV_PHUB_TDMEM98_BASE, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM98_ORIG_TD0, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_ORIG_TD1, 0x40007b14 +.set CYDEV_PHUB_TDMEM99_BASE, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM99_ORIG_TD0, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_ORIG_TD1, 0x40007b1c +.set CYDEV_PHUB_TDMEM100_BASE, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM100_ORIG_TD0, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_ORIG_TD1, 0x40007b24 +.set CYDEV_PHUB_TDMEM101_BASE, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM101_ORIG_TD0, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_ORIG_TD1, 0x40007b2c +.set CYDEV_PHUB_TDMEM102_BASE, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM102_ORIG_TD0, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_ORIG_TD1, 0x40007b34 +.set CYDEV_PHUB_TDMEM103_BASE, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM103_ORIG_TD0, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_ORIG_TD1, 0x40007b3c +.set CYDEV_PHUB_TDMEM104_BASE, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM104_ORIG_TD0, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_ORIG_TD1, 0x40007b44 +.set CYDEV_PHUB_TDMEM105_BASE, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM105_ORIG_TD0, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_ORIG_TD1, 0x40007b4c +.set CYDEV_PHUB_TDMEM106_BASE, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM106_ORIG_TD0, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_ORIG_TD1, 0x40007b54 +.set CYDEV_PHUB_TDMEM107_BASE, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM107_ORIG_TD0, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_ORIG_TD1, 0x40007b5c +.set CYDEV_PHUB_TDMEM108_BASE, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM108_ORIG_TD0, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_ORIG_TD1, 0x40007b64 +.set CYDEV_PHUB_TDMEM109_BASE, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM109_ORIG_TD0, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_ORIG_TD1, 0x40007b6c +.set CYDEV_PHUB_TDMEM110_BASE, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM110_ORIG_TD0, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_ORIG_TD1, 0x40007b74 +.set CYDEV_PHUB_TDMEM111_BASE, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM111_ORIG_TD0, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_ORIG_TD1, 0x40007b7c +.set CYDEV_PHUB_TDMEM112_BASE, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM112_ORIG_TD0, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_ORIG_TD1, 0x40007b84 +.set CYDEV_PHUB_TDMEM113_BASE, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM113_ORIG_TD0, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_ORIG_TD1, 0x40007b8c +.set CYDEV_PHUB_TDMEM114_BASE, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM114_ORIG_TD0, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_ORIG_TD1, 0x40007b94 +.set CYDEV_PHUB_TDMEM115_BASE, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM115_ORIG_TD0, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_ORIG_TD1, 0x40007b9c +.set CYDEV_PHUB_TDMEM116_BASE, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM116_ORIG_TD0, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_ORIG_TD1, 0x40007ba4 +.set CYDEV_PHUB_TDMEM117_BASE, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM117_ORIG_TD0, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_ORIG_TD1, 0x40007bac +.set CYDEV_PHUB_TDMEM118_BASE, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM118_ORIG_TD0, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_ORIG_TD1, 0x40007bb4 +.set CYDEV_PHUB_TDMEM119_BASE, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM119_ORIG_TD0, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_ORIG_TD1, 0x40007bbc +.set CYDEV_PHUB_TDMEM120_BASE, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM120_ORIG_TD0, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_ORIG_TD1, 0x40007bc4 +.set CYDEV_PHUB_TDMEM121_BASE, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM121_ORIG_TD0, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_ORIG_TD1, 0x40007bcc +.set CYDEV_PHUB_TDMEM122_BASE, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM122_ORIG_TD0, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_ORIG_TD1, 0x40007bd4 +.set CYDEV_PHUB_TDMEM123_BASE, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM123_ORIG_TD0, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_ORIG_TD1, 0x40007bdc +.set CYDEV_PHUB_TDMEM124_BASE, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM124_ORIG_TD0, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_ORIG_TD1, 0x40007be4 +.set CYDEV_PHUB_TDMEM125_BASE, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM125_ORIG_TD0, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_ORIG_TD1, 0x40007bec +.set CYDEV_PHUB_TDMEM126_BASE, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM126_ORIG_TD0, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_ORIG_TD1, 0x40007bf4 +.set CYDEV_PHUB_TDMEM127_BASE, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM127_ORIG_TD0, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_ORIG_TD1, 0x40007bfc +.set CYDEV_EE_BASE, 0x40008000 +.set CYDEV_EE_SIZE, 0x00000800 +.set CYDEV_EE_DATA_MBASE, 0x40008000 +.set CYDEV_EE_DATA_MSIZE, 0x00000800 +.set CYDEV_CAN0_BASE, 0x4000a000 +.set CYDEV_CAN0_SIZE, 0x000002a0 +.set CYDEV_CAN0_CSR_BASE, 0x4000a000 +.set CYDEV_CAN0_CSR_SIZE, 0x00000018 +.set CYDEV_CAN0_CSR_INT_SR, 0x4000a000 +.set CYDEV_CAN0_CSR_INT_EN, 0x4000a004 +.set CYDEV_CAN0_CSR_BUF_SR, 0x4000a008 +.set CYDEV_CAN0_CSR_ERR_SR, 0x4000a00c +.set CYDEV_CAN0_CSR_CMD, 0x4000a010 +.set CYDEV_CAN0_CSR_CFG, 0x4000a014 +.set CYDEV_CAN0_TX0_BASE, 0x4000a020 +.set CYDEV_CAN0_TX0_SIZE, 0x00000010 +.set CYDEV_CAN0_TX0_CMD, 0x4000a020 +.set CYDEV_CAN0_TX0_ID, 0x4000a024 +.set CYDEV_CAN0_TX0_DH, 0x4000a028 +.set CYDEV_CAN0_TX0_DL, 0x4000a02c +.set CYDEV_CAN0_TX1_BASE, 0x4000a030 +.set CYDEV_CAN0_TX1_SIZE, 0x00000010 +.set CYDEV_CAN0_TX1_CMD, 0x4000a030 +.set CYDEV_CAN0_TX1_ID, 0x4000a034 +.set CYDEV_CAN0_TX1_DH, 0x4000a038 +.set CYDEV_CAN0_TX1_DL, 0x4000a03c +.set CYDEV_CAN0_TX2_BASE, 0x4000a040 +.set CYDEV_CAN0_TX2_SIZE, 0x00000010 +.set CYDEV_CAN0_TX2_CMD, 0x4000a040 +.set CYDEV_CAN0_TX2_ID, 0x4000a044 +.set CYDEV_CAN0_TX2_DH, 0x4000a048 +.set CYDEV_CAN0_TX2_DL, 0x4000a04c +.set CYDEV_CAN0_TX3_BASE, 0x4000a050 +.set CYDEV_CAN0_TX3_SIZE, 0x00000010 +.set CYDEV_CAN0_TX3_CMD, 0x4000a050 +.set CYDEV_CAN0_TX3_ID, 0x4000a054 +.set CYDEV_CAN0_TX3_DH, 0x4000a058 +.set CYDEV_CAN0_TX3_DL, 0x4000a05c +.set CYDEV_CAN0_TX4_BASE, 0x4000a060 +.set CYDEV_CAN0_TX4_SIZE, 0x00000010 +.set CYDEV_CAN0_TX4_CMD, 0x4000a060 +.set CYDEV_CAN0_TX4_ID, 0x4000a064 +.set CYDEV_CAN0_TX4_DH, 0x4000a068 +.set CYDEV_CAN0_TX4_DL, 0x4000a06c +.set CYDEV_CAN0_TX5_BASE, 0x4000a070 +.set CYDEV_CAN0_TX5_SIZE, 0x00000010 +.set CYDEV_CAN0_TX5_CMD, 0x4000a070 +.set CYDEV_CAN0_TX5_ID, 0x4000a074 +.set CYDEV_CAN0_TX5_DH, 0x4000a078 +.set CYDEV_CAN0_TX5_DL, 0x4000a07c +.set CYDEV_CAN0_TX6_BASE, 0x4000a080 +.set CYDEV_CAN0_TX6_SIZE, 0x00000010 +.set CYDEV_CAN0_TX6_CMD, 0x4000a080 +.set CYDEV_CAN0_TX6_ID, 0x4000a084 +.set CYDEV_CAN0_TX6_DH, 0x4000a088 +.set CYDEV_CAN0_TX6_DL, 0x4000a08c +.set CYDEV_CAN0_TX7_BASE, 0x4000a090 +.set CYDEV_CAN0_TX7_SIZE, 0x00000010 +.set CYDEV_CAN0_TX7_CMD, 0x4000a090 +.set CYDEV_CAN0_TX7_ID, 0x4000a094 +.set CYDEV_CAN0_TX7_DH, 0x4000a098 +.set CYDEV_CAN0_TX7_DL, 0x4000a09c +.set CYDEV_CAN0_RX0_BASE, 0x4000a0a0 +.set CYDEV_CAN0_RX0_SIZE, 0x00000020 +.set CYDEV_CAN0_RX0_CMD, 0x4000a0a0 +.set CYDEV_CAN0_RX0_ID, 0x4000a0a4 +.set CYDEV_CAN0_RX0_DH, 0x4000a0a8 +.set CYDEV_CAN0_RX0_DL, 0x4000a0ac +.set CYDEV_CAN0_RX0_AMR, 0x4000a0b0 +.set CYDEV_CAN0_RX0_ACR, 0x4000a0b4 +.set CYDEV_CAN0_RX0_AMRD, 0x4000a0b8 +.set CYDEV_CAN0_RX0_ACRD, 0x4000a0bc +.set CYDEV_CAN0_RX1_BASE, 0x4000a0c0 +.set CYDEV_CAN0_RX1_SIZE, 0x00000020 +.set CYDEV_CAN0_RX1_CMD, 0x4000a0c0 +.set CYDEV_CAN0_RX1_ID, 0x4000a0c4 +.set CYDEV_CAN0_RX1_DH, 0x4000a0c8 +.set CYDEV_CAN0_RX1_DL, 0x4000a0cc +.set CYDEV_CAN0_RX1_AMR, 0x4000a0d0 +.set CYDEV_CAN0_RX1_ACR, 0x4000a0d4 +.set CYDEV_CAN0_RX1_AMRD, 0x4000a0d8 +.set CYDEV_CAN0_RX1_ACRD, 0x4000a0dc +.set CYDEV_CAN0_RX2_BASE, 0x4000a0e0 +.set CYDEV_CAN0_RX2_SIZE, 0x00000020 +.set CYDEV_CAN0_RX2_CMD, 0x4000a0e0 +.set CYDEV_CAN0_RX2_ID, 0x4000a0e4 +.set CYDEV_CAN0_RX2_DH, 0x4000a0e8 +.set CYDEV_CAN0_RX2_DL, 0x4000a0ec +.set CYDEV_CAN0_RX2_AMR, 0x4000a0f0 +.set CYDEV_CAN0_RX2_ACR, 0x4000a0f4 +.set CYDEV_CAN0_RX2_AMRD, 0x4000a0f8 +.set CYDEV_CAN0_RX2_ACRD, 0x4000a0fc +.set CYDEV_CAN0_RX3_BASE, 0x4000a100 +.set CYDEV_CAN0_RX3_SIZE, 0x00000020 +.set CYDEV_CAN0_RX3_CMD, 0x4000a100 +.set CYDEV_CAN0_RX3_ID, 0x4000a104 +.set CYDEV_CAN0_RX3_DH, 0x4000a108 +.set CYDEV_CAN0_RX3_DL, 0x4000a10c +.set CYDEV_CAN0_RX3_AMR, 0x4000a110 +.set CYDEV_CAN0_RX3_ACR, 0x4000a114 +.set CYDEV_CAN0_RX3_AMRD, 0x4000a118 +.set CYDEV_CAN0_RX3_ACRD, 0x4000a11c +.set CYDEV_CAN0_RX4_BASE, 0x4000a120 +.set CYDEV_CAN0_RX4_SIZE, 0x00000020 +.set CYDEV_CAN0_RX4_CMD, 0x4000a120 +.set CYDEV_CAN0_RX4_ID, 0x4000a124 +.set CYDEV_CAN0_RX4_DH, 0x4000a128 +.set CYDEV_CAN0_RX4_DL, 0x4000a12c +.set CYDEV_CAN0_RX4_AMR, 0x4000a130 +.set CYDEV_CAN0_RX4_ACR, 0x4000a134 +.set CYDEV_CAN0_RX4_AMRD, 0x4000a138 +.set CYDEV_CAN0_RX4_ACRD, 0x4000a13c +.set CYDEV_CAN0_RX5_BASE, 0x4000a140 +.set CYDEV_CAN0_RX5_SIZE, 0x00000020 +.set CYDEV_CAN0_RX5_CMD, 0x4000a140 +.set CYDEV_CAN0_RX5_ID, 0x4000a144 +.set CYDEV_CAN0_RX5_DH, 0x4000a148 +.set CYDEV_CAN0_RX5_DL, 0x4000a14c +.set CYDEV_CAN0_RX5_AMR, 0x4000a150 +.set CYDEV_CAN0_RX5_ACR, 0x4000a154 +.set CYDEV_CAN0_RX5_AMRD, 0x4000a158 +.set CYDEV_CAN0_RX5_ACRD, 0x4000a15c +.set CYDEV_CAN0_RX6_BASE, 0x4000a160 +.set CYDEV_CAN0_RX6_SIZE, 0x00000020 +.set CYDEV_CAN0_RX6_CMD, 0x4000a160 +.set CYDEV_CAN0_RX6_ID, 0x4000a164 +.set CYDEV_CAN0_RX6_DH, 0x4000a168 +.set CYDEV_CAN0_RX6_DL, 0x4000a16c +.set CYDEV_CAN0_RX6_AMR, 0x4000a170 +.set CYDEV_CAN0_RX6_ACR, 0x4000a174 +.set CYDEV_CAN0_RX6_AMRD, 0x4000a178 +.set CYDEV_CAN0_RX6_ACRD, 0x4000a17c +.set CYDEV_CAN0_RX7_BASE, 0x4000a180 +.set CYDEV_CAN0_RX7_SIZE, 0x00000020 +.set CYDEV_CAN0_RX7_CMD, 0x4000a180 +.set CYDEV_CAN0_RX7_ID, 0x4000a184 +.set CYDEV_CAN0_RX7_DH, 0x4000a188 +.set CYDEV_CAN0_RX7_DL, 0x4000a18c +.set CYDEV_CAN0_RX7_AMR, 0x4000a190 +.set CYDEV_CAN0_RX7_ACR, 0x4000a194 +.set CYDEV_CAN0_RX7_AMRD, 0x4000a198 +.set CYDEV_CAN0_RX7_ACRD, 0x4000a19c +.set CYDEV_CAN0_RX8_BASE, 0x4000a1a0 +.set CYDEV_CAN0_RX8_SIZE, 0x00000020 +.set CYDEV_CAN0_RX8_CMD, 0x4000a1a0 +.set CYDEV_CAN0_RX8_ID, 0x4000a1a4 +.set CYDEV_CAN0_RX8_DH, 0x4000a1a8 +.set CYDEV_CAN0_RX8_DL, 0x4000a1ac +.set CYDEV_CAN0_RX8_AMR, 0x4000a1b0 +.set CYDEV_CAN0_RX8_ACR, 0x4000a1b4 +.set CYDEV_CAN0_RX8_AMRD, 0x4000a1b8 +.set CYDEV_CAN0_RX8_ACRD, 0x4000a1bc +.set CYDEV_CAN0_RX9_BASE, 0x4000a1c0 +.set CYDEV_CAN0_RX9_SIZE, 0x00000020 +.set CYDEV_CAN0_RX9_CMD, 0x4000a1c0 +.set CYDEV_CAN0_RX9_ID, 0x4000a1c4 +.set CYDEV_CAN0_RX9_DH, 0x4000a1c8 +.set CYDEV_CAN0_RX9_DL, 0x4000a1cc +.set CYDEV_CAN0_RX9_AMR, 0x4000a1d0 +.set CYDEV_CAN0_RX9_ACR, 0x4000a1d4 +.set CYDEV_CAN0_RX9_AMRD, 0x4000a1d8 +.set CYDEV_CAN0_RX9_ACRD, 0x4000a1dc +.set CYDEV_CAN0_RX10_BASE, 0x4000a1e0 +.set CYDEV_CAN0_RX10_SIZE, 0x00000020 +.set CYDEV_CAN0_RX10_CMD, 0x4000a1e0 +.set CYDEV_CAN0_RX10_ID, 0x4000a1e4 +.set CYDEV_CAN0_RX10_DH, 0x4000a1e8 +.set CYDEV_CAN0_RX10_DL, 0x4000a1ec +.set CYDEV_CAN0_RX10_AMR, 0x4000a1f0 +.set CYDEV_CAN0_RX10_ACR, 0x4000a1f4 +.set CYDEV_CAN0_RX10_AMRD, 0x4000a1f8 +.set CYDEV_CAN0_RX10_ACRD, 0x4000a1fc +.set CYDEV_CAN0_RX11_BASE, 0x4000a200 +.set CYDEV_CAN0_RX11_SIZE, 0x00000020 +.set CYDEV_CAN0_RX11_CMD, 0x4000a200 +.set CYDEV_CAN0_RX11_ID, 0x4000a204 +.set CYDEV_CAN0_RX11_DH, 0x4000a208 +.set CYDEV_CAN0_RX11_DL, 0x4000a20c +.set CYDEV_CAN0_RX11_AMR, 0x4000a210 +.set CYDEV_CAN0_RX11_ACR, 0x4000a214 +.set CYDEV_CAN0_RX11_AMRD, 0x4000a218 +.set CYDEV_CAN0_RX11_ACRD, 0x4000a21c +.set CYDEV_CAN0_RX12_BASE, 0x4000a220 +.set CYDEV_CAN0_RX12_SIZE, 0x00000020 +.set CYDEV_CAN0_RX12_CMD, 0x4000a220 +.set CYDEV_CAN0_RX12_ID, 0x4000a224 +.set CYDEV_CAN0_RX12_DH, 0x4000a228 +.set CYDEV_CAN0_RX12_DL, 0x4000a22c +.set CYDEV_CAN0_RX12_AMR, 0x4000a230 +.set CYDEV_CAN0_RX12_ACR, 0x4000a234 +.set CYDEV_CAN0_RX12_AMRD, 0x4000a238 +.set CYDEV_CAN0_RX12_ACRD, 0x4000a23c +.set CYDEV_CAN0_RX13_BASE, 0x4000a240 +.set CYDEV_CAN0_RX13_SIZE, 0x00000020 +.set CYDEV_CAN0_RX13_CMD, 0x4000a240 +.set CYDEV_CAN0_RX13_ID, 0x4000a244 +.set CYDEV_CAN0_RX13_DH, 0x4000a248 +.set CYDEV_CAN0_RX13_DL, 0x4000a24c +.set CYDEV_CAN0_RX13_AMR, 0x4000a250 +.set CYDEV_CAN0_RX13_ACR, 0x4000a254 +.set CYDEV_CAN0_RX13_AMRD, 0x4000a258 +.set CYDEV_CAN0_RX13_ACRD, 0x4000a25c +.set CYDEV_CAN0_RX14_BASE, 0x4000a260 +.set CYDEV_CAN0_RX14_SIZE, 0x00000020 +.set CYDEV_CAN0_RX14_CMD, 0x4000a260 +.set CYDEV_CAN0_RX14_ID, 0x4000a264 +.set CYDEV_CAN0_RX14_DH, 0x4000a268 +.set CYDEV_CAN0_RX14_DL, 0x4000a26c +.set CYDEV_CAN0_RX14_AMR, 0x4000a270 +.set CYDEV_CAN0_RX14_ACR, 0x4000a274 +.set CYDEV_CAN0_RX14_AMRD, 0x4000a278 +.set CYDEV_CAN0_RX14_ACRD, 0x4000a27c +.set CYDEV_CAN0_RX15_BASE, 0x4000a280 +.set CYDEV_CAN0_RX15_SIZE, 0x00000020 +.set CYDEV_CAN0_RX15_CMD, 0x4000a280 +.set CYDEV_CAN0_RX15_ID, 0x4000a284 +.set CYDEV_CAN0_RX15_DH, 0x4000a288 +.set CYDEV_CAN0_RX15_DL, 0x4000a28c +.set CYDEV_CAN0_RX15_AMR, 0x4000a290 +.set CYDEV_CAN0_RX15_ACR, 0x4000a294 +.set CYDEV_CAN0_RX15_AMRD, 0x4000a298 +.set CYDEV_CAN0_RX15_ACRD, 0x4000a29c +.set CYDEV_DFB0_BASE, 0x4000c000 +.set CYDEV_DFB0_SIZE, 0x000007b5 +.set CYDEV_DFB0_DPA_SRAM_BASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_SIZE, 0x00000200 +.set CYDEV_DFB0_DPA_SRAM_DATA_MBASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_BASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_SIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_DATA_MBASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_CSA_SRAM_BASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_CSA_SRAM_DATA_MBASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_BASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_DATA_MBASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_BASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_DATA_MBASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_ACU_SRAM_BASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_SIZE, 0x00000040 +.set CYDEV_DFB0_ACU_SRAM_DATA_MBASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_DATA_MSIZE, 0x00000040 +.set CYDEV_DFB0_CR, 0x4000c780 +.set CYDEV_DFB0_SR, 0x4000c784 +.set CYDEV_DFB0_RAM_EN, 0x4000c788 +.set CYDEV_DFB0_RAM_DIR, 0x4000c78c +.set CYDEV_DFB0_SEMA, 0x4000c790 +.set CYDEV_DFB0_DSI_CTRL, 0x4000c794 +.set CYDEV_DFB0_INT_CTRL, 0x4000c798 +.set CYDEV_DFB0_DMA_CTRL, 0x4000c79c +.set CYDEV_DFB0_STAGEA, 0x4000c7a0 +.set CYDEV_DFB0_STAGEAM, 0x4000c7a1 +.set CYDEV_DFB0_STAGEAH, 0x4000c7a2 +.set CYDEV_DFB0_STAGEB, 0x4000c7a4 +.set CYDEV_DFB0_STAGEBM, 0x4000c7a5 +.set CYDEV_DFB0_STAGEBH, 0x4000c7a6 +.set CYDEV_DFB0_HOLDA, 0x4000c7a8 +.set CYDEV_DFB0_HOLDAM, 0x4000c7a9 +.set CYDEV_DFB0_HOLDAH, 0x4000c7aa +.set CYDEV_DFB0_HOLDAS, 0x4000c7ab +.set CYDEV_DFB0_HOLDB, 0x4000c7ac +.set CYDEV_DFB0_HOLDBM, 0x4000c7ad +.set CYDEV_DFB0_HOLDBH, 0x4000c7ae +.set CYDEV_DFB0_HOLDBS, 0x4000c7af +.set CYDEV_DFB0_COHER, 0x4000c7b0 +.set CYDEV_DFB0_DALIGN, 0x4000c7b4 +.set CYDEV_UCFG_BASE, 0x40010000 +.set CYDEV_UCFG_SIZE, 0x00005040 +.set CYDEV_UCFG_B0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_SIZE, 0x00000fef +.set CYDEV_UCFG_B0_P0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P0_U0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT0, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT1, 0x40010004 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT2, 0x40010008 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT3, 0x4001000c +.set CYDEV_UCFG_B0_P0_U0_PLD_IT4, 0x40010010 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT5, 0x40010014 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT6, 0x40010018 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT7, 0x4001001c +.set CYDEV_UCFG_B0_P0_U0_PLD_IT8, 0x40010020 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT9, 0x40010024 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT10, 0x40010028 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT11, 0x4001002c +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT0, 0x40010030 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT1, 0x40010032 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT2, 0x40010034 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT3, 0x40010036 +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST, 0x40010038 +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB, 0x4001003a +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET, 0x4001003c +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS, 0x4001003e +.set CYDEV_UCFG_B0_P0_U0_CFG0, 0x40010040 +.set CYDEV_UCFG_B0_P0_U0_CFG1, 0x40010041 +.set CYDEV_UCFG_B0_P0_U0_CFG2, 0x40010042 +.set CYDEV_UCFG_B0_P0_U0_CFG3, 0x40010043 +.set CYDEV_UCFG_B0_P0_U0_CFG4, 0x40010044 +.set CYDEV_UCFG_B0_P0_U0_CFG5, 0x40010045 +.set CYDEV_UCFG_B0_P0_U0_CFG6, 0x40010046 +.set CYDEV_UCFG_B0_P0_U0_CFG7, 0x40010047 +.set CYDEV_UCFG_B0_P0_U0_CFG8, 0x40010048 +.set CYDEV_UCFG_B0_P0_U0_CFG9, 0x40010049 +.set CYDEV_UCFG_B0_P0_U0_CFG10, 0x4001004a +.set CYDEV_UCFG_B0_P0_U0_CFG11, 0x4001004b +.set CYDEV_UCFG_B0_P0_U0_CFG12, 0x4001004c +.set CYDEV_UCFG_B0_P0_U0_CFG13, 0x4001004d +.set CYDEV_UCFG_B0_P0_U0_CFG14, 0x4001004e +.set CYDEV_UCFG_B0_P0_U0_CFG15, 0x4001004f +.set CYDEV_UCFG_B0_P0_U0_CFG16, 0x40010050 +.set CYDEV_UCFG_B0_P0_U0_CFG17, 0x40010051 +.set CYDEV_UCFG_B0_P0_U0_CFG18, 0x40010052 +.set CYDEV_UCFG_B0_P0_U0_CFG19, 0x40010053 +.set CYDEV_UCFG_B0_P0_U0_CFG20, 0x40010054 +.set CYDEV_UCFG_B0_P0_U0_CFG21, 0x40010055 +.set CYDEV_UCFG_B0_P0_U0_CFG22, 0x40010056 +.set CYDEV_UCFG_B0_P0_U0_CFG23, 0x40010057 +.set CYDEV_UCFG_B0_P0_U0_CFG24, 0x40010058 +.set CYDEV_UCFG_B0_P0_U0_CFG25, 0x40010059 +.set CYDEV_UCFG_B0_P0_U0_CFG26, 0x4001005a +.set CYDEV_UCFG_B0_P0_U0_CFG27, 0x4001005b +.set CYDEV_UCFG_B0_P0_U0_CFG28, 0x4001005c +.set CYDEV_UCFG_B0_P0_U0_CFG29, 0x4001005d +.set CYDEV_UCFG_B0_P0_U0_CFG30, 0x4001005e +.set CYDEV_UCFG_B0_P0_U0_CFG31, 0x4001005f +.set CYDEV_UCFG_B0_P0_U0_DCFG0, 0x40010060 +.set CYDEV_UCFG_B0_P0_U0_DCFG1, 0x40010062 +.set CYDEV_UCFG_B0_P0_U0_DCFG2, 0x40010064 +.set CYDEV_UCFG_B0_P0_U0_DCFG3, 0x40010066 +.set CYDEV_UCFG_B0_P0_U0_DCFG4, 0x40010068 +.set CYDEV_UCFG_B0_P0_U0_DCFG5, 0x4001006a +.set CYDEV_UCFG_B0_P0_U0_DCFG6, 0x4001006c +.set CYDEV_UCFG_B0_P0_U0_DCFG7, 0x4001006e +.set CYDEV_UCFG_B0_P0_U1_BASE, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT0, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT1, 0x40010084 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT2, 0x40010088 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT3, 0x4001008c +.set CYDEV_UCFG_B0_P0_U1_PLD_IT4, 0x40010090 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT5, 0x40010094 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT6, 0x40010098 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT7, 0x4001009c +.set CYDEV_UCFG_B0_P0_U1_PLD_IT8, 0x400100a0 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT9, 0x400100a4 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT10, 0x400100a8 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT11, 0x400100ac +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT0, 0x400100b0 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT1, 0x400100b2 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT2, 0x400100b4 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT3, 0x400100b6 +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST, 0x400100b8 +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB, 0x400100ba +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET, 0x400100bc +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS, 0x400100be +.set CYDEV_UCFG_B0_P0_U1_CFG0, 0x400100c0 +.set CYDEV_UCFG_B0_P0_U1_CFG1, 0x400100c1 +.set CYDEV_UCFG_B0_P0_U1_CFG2, 0x400100c2 +.set CYDEV_UCFG_B0_P0_U1_CFG3, 0x400100c3 +.set CYDEV_UCFG_B0_P0_U1_CFG4, 0x400100c4 +.set CYDEV_UCFG_B0_P0_U1_CFG5, 0x400100c5 +.set CYDEV_UCFG_B0_P0_U1_CFG6, 0x400100c6 +.set CYDEV_UCFG_B0_P0_U1_CFG7, 0x400100c7 +.set CYDEV_UCFG_B0_P0_U1_CFG8, 0x400100c8 +.set CYDEV_UCFG_B0_P0_U1_CFG9, 0x400100c9 +.set CYDEV_UCFG_B0_P0_U1_CFG10, 0x400100ca +.set CYDEV_UCFG_B0_P0_U1_CFG11, 0x400100cb +.set CYDEV_UCFG_B0_P0_U1_CFG12, 0x400100cc +.set CYDEV_UCFG_B0_P0_U1_CFG13, 0x400100cd +.set CYDEV_UCFG_B0_P0_U1_CFG14, 0x400100ce +.set CYDEV_UCFG_B0_P0_U1_CFG15, 0x400100cf +.set CYDEV_UCFG_B0_P0_U1_CFG16, 0x400100d0 +.set CYDEV_UCFG_B0_P0_U1_CFG17, 0x400100d1 +.set CYDEV_UCFG_B0_P0_U1_CFG18, 0x400100d2 +.set CYDEV_UCFG_B0_P0_U1_CFG19, 0x400100d3 +.set CYDEV_UCFG_B0_P0_U1_CFG20, 0x400100d4 +.set CYDEV_UCFG_B0_P0_U1_CFG21, 0x400100d5 +.set CYDEV_UCFG_B0_P0_U1_CFG22, 0x400100d6 +.set CYDEV_UCFG_B0_P0_U1_CFG23, 0x400100d7 +.set CYDEV_UCFG_B0_P0_U1_CFG24, 0x400100d8 +.set CYDEV_UCFG_B0_P0_U1_CFG25, 0x400100d9 +.set CYDEV_UCFG_B0_P0_U1_CFG26, 0x400100da +.set CYDEV_UCFG_B0_P0_U1_CFG27, 0x400100db +.set CYDEV_UCFG_B0_P0_U1_CFG28, 0x400100dc +.set CYDEV_UCFG_B0_P0_U1_CFG29, 0x400100dd +.set CYDEV_UCFG_B0_P0_U1_CFG30, 0x400100de +.set CYDEV_UCFG_B0_P0_U1_CFG31, 0x400100df +.set CYDEV_UCFG_B0_P0_U1_DCFG0, 0x400100e0 +.set CYDEV_UCFG_B0_P0_U1_DCFG1, 0x400100e2 +.set CYDEV_UCFG_B0_P0_U1_DCFG2, 0x400100e4 +.set CYDEV_UCFG_B0_P0_U1_DCFG3, 0x400100e6 +.set CYDEV_UCFG_B0_P0_U1_DCFG4, 0x400100e8 +.set CYDEV_UCFG_B0_P0_U1_DCFG5, 0x400100ea +.set CYDEV_UCFG_B0_P0_U1_DCFG6, 0x400100ec +.set CYDEV_UCFG_B0_P0_U1_DCFG7, 0x400100ee +.set CYDEV_UCFG_B0_P0_ROUTE_BASE, 0x40010100 +.set CYDEV_UCFG_B0_P0_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P1_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P1_U0_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT0, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT1, 0x40010204 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT2, 0x40010208 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT3, 0x4001020c +.set CYDEV_UCFG_B0_P1_U0_PLD_IT4, 0x40010210 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT5, 0x40010214 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT6, 0x40010218 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT7, 0x4001021c +.set CYDEV_UCFG_B0_P1_U0_PLD_IT8, 0x40010220 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT9, 0x40010224 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT10, 0x40010228 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT11, 0x4001022c +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT0, 0x40010230 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT1, 0x40010232 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT2, 0x40010234 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT3, 0x40010236 +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST, 0x40010238 +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB, 0x4001023a +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET, 0x4001023c +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS, 0x4001023e +.set CYDEV_UCFG_B0_P1_U0_CFG0, 0x40010240 +.set CYDEV_UCFG_B0_P1_U0_CFG1, 0x40010241 +.set CYDEV_UCFG_B0_P1_U0_CFG2, 0x40010242 +.set CYDEV_UCFG_B0_P1_U0_CFG3, 0x40010243 +.set CYDEV_UCFG_B0_P1_U0_CFG4, 0x40010244 +.set CYDEV_UCFG_B0_P1_U0_CFG5, 0x40010245 +.set CYDEV_UCFG_B0_P1_U0_CFG6, 0x40010246 +.set CYDEV_UCFG_B0_P1_U0_CFG7, 0x40010247 +.set CYDEV_UCFG_B0_P1_U0_CFG8, 0x40010248 +.set CYDEV_UCFG_B0_P1_U0_CFG9, 0x40010249 +.set CYDEV_UCFG_B0_P1_U0_CFG10, 0x4001024a +.set CYDEV_UCFG_B0_P1_U0_CFG11, 0x4001024b +.set CYDEV_UCFG_B0_P1_U0_CFG12, 0x4001024c +.set CYDEV_UCFG_B0_P1_U0_CFG13, 0x4001024d +.set CYDEV_UCFG_B0_P1_U0_CFG14, 0x4001024e +.set CYDEV_UCFG_B0_P1_U0_CFG15, 0x4001024f +.set CYDEV_UCFG_B0_P1_U0_CFG16, 0x40010250 +.set CYDEV_UCFG_B0_P1_U0_CFG17, 0x40010251 +.set CYDEV_UCFG_B0_P1_U0_CFG18, 0x40010252 +.set CYDEV_UCFG_B0_P1_U0_CFG19, 0x40010253 +.set CYDEV_UCFG_B0_P1_U0_CFG20, 0x40010254 +.set CYDEV_UCFG_B0_P1_U0_CFG21, 0x40010255 +.set CYDEV_UCFG_B0_P1_U0_CFG22, 0x40010256 +.set CYDEV_UCFG_B0_P1_U0_CFG23, 0x40010257 +.set CYDEV_UCFG_B0_P1_U0_CFG24, 0x40010258 +.set CYDEV_UCFG_B0_P1_U0_CFG25, 0x40010259 +.set CYDEV_UCFG_B0_P1_U0_CFG26, 0x4001025a +.set CYDEV_UCFG_B0_P1_U0_CFG27, 0x4001025b +.set CYDEV_UCFG_B0_P1_U0_CFG28, 0x4001025c +.set CYDEV_UCFG_B0_P1_U0_CFG29, 0x4001025d +.set CYDEV_UCFG_B0_P1_U0_CFG30, 0x4001025e +.set CYDEV_UCFG_B0_P1_U0_CFG31, 0x4001025f +.set CYDEV_UCFG_B0_P1_U0_DCFG0, 0x40010260 +.set CYDEV_UCFG_B0_P1_U0_DCFG1, 0x40010262 +.set CYDEV_UCFG_B0_P1_U0_DCFG2, 0x40010264 +.set CYDEV_UCFG_B0_P1_U0_DCFG3, 0x40010266 +.set CYDEV_UCFG_B0_P1_U0_DCFG4, 0x40010268 +.set CYDEV_UCFG_B0_P1_U0_DCFG5, 0x4001026a +.set CYDEV_UCFG_B0_P1_U0_DCFG6, 0x4001026c +.set CYDEV_UCFG_B0_P1_U0_DCFG7, 0x4001026e +.set CYDEV_UCFG_B0_P1_U1_BASE, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT0, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT1, 0x40010284 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT2, 0x40010288 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT3, 0x4001028c +.set CYDEV_UCFG_B0_P1_U1_PLD_IT4, 0x40010290 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT5, 0x40010294 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT6, 0x40010298 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT7, 0x4001029c +.set CYDEV_UCFG_B0_P1_U1_PLD_IT8, 0x400102a0 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT9, 0x400102a4 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT10, 0x400102a8 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT11, 0x400102ac +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT0, 0x400102b0 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT1, 0x400102b2 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT2, 0x400102b4 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT3, 0x400102b6 +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST, 0x400102b8 +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB, 0x400102ba +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET, 0x400102bc +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS, 0x400102be +.set CYDEV_UCFG_B0_P1_U1_CFG0, 0x400102c0 +.set CYDEV_UCFG_B0_P1_U1_CFG1, 0x400102c1 +.set CYDEV_UCFG_B0_P1_U1_CFG2, 0x400102c2 +.set CYDEV_UCFG_B0_P1_U1_CFG3, 0x400102c3 +.set CYDEV_UCFG_B0_P1_U1_CFG4, 0x400102c4 +.set CYDEV_UCFG_B0_P1_U1_CFG5, 0x400102c5 +.set CYDEV_UCFG_B0_P1_U1_CFG6, 0x400102c6 +.set CYDEV_UCFG_B0_P1_U1_CFG7, 0x400102c7 +.set CYDEV_UCFG_B0_P1_U1_CFG8, 0x400102c8 +.set CYDEV_UCFG_B0_P1_U1_CFG9, 0x400102c9 +.set CYDEV_UCFG_B0_P1_U1_CFG10, 0x400102ca +.set CYDEV_UCFG_B0_P1_U1_CFG11, 0x400102cb +.set CYDEV_UCFG_B0_P1_U1_CFG12, 0x400102cc +.set CYDEV_UCFG_B0_P1_U1_CFG13, 0x400102cd +.set CYDEV_UCFG_B0_P1_U1_CFG14, 0x400102ce +.set CYDEV_UCFG_B0_P1_U1_CFG15, 0x400102cf +.set CYDEV_UCFG_B0_P1_U1_CFG16, 0x400102d0 +.set CYDEV_UCFG_B0_P1_U1_CFG17, 0x400102d1 +.set CYDEV_UCFG_B0_P1_U1_CFG18, 0x400102d2 +.set CYDEV_UCFG_B0_P1_U1_CFG19, 0x400102d3 +.set CYDEV_UCFG_B0_P1_U1_CFG20, 0x400102d4 +.set CYDEV_UCFG_B0_P1_U1_CFG21, 0x400102d5 +.set CYDEV_UCFG_B0_P1_U1_CFG22, 0x400102d6 +.set CYDEV_UCFG_B0_P1_U1_CFG23, 0x400102d7 +.set CYDEV_UCFG_B0_P1_U1_CFG24, 0x400102d8 +.set CYDEV_UCFG_B0_P1_U1_CFG25, 0x400102d9 +.set CYDEV_UCFG_B0_P1_U1_CFG26, 0x400102da +.set CYDEV_UCFG_B0_P1_U1_CFG27, 0x400102db +.set CYDEV_UCFG_B0_P1_U1_CFG28, 0x400102dc +.set CYDEV_UCFG_B0_P1_U1_CFG29, 0x400102dd +.set CYDEV_UCFG_B0_P1_U1_CFG30, 0x400102de +.set CYDEV_UCFG_B0_P1_U1_CFG31, 0x400102df +.set CYDEV_UCFG_B0_P1_U1_DCFG0, 0x400102e0 +.set CYDEV_UCFG_B0_P1_U1_DCFG1, 0x400102e2 +.set CYDEV_UCFG_B0_P1_U1_DCFG2, 0x400102e4 +.set CYDEV_UCFG_B0_P1_U1_DCFG3, 0x400102e6 +.set CYDEV_UCFG_B0_P1_U1_DCFG4, 0x400102e8 +.set CYDEV_UCFG_B0_P1_U1_DCFG5, 0x400102ea +.set CYDEV_UCFG_B0_P1_U1_DCFG6, 0x400102ec +.set CYDEV_UCFG_B0_P1_U1_DCFG7, 0x400102ee +.set CYDEV_UCFG_B0_P1_ROUTE_BASE, 0x40010300 +.set CYDEV_UCFG_B0_P1_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P2_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P2_U0_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT0, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT1, 0x40010404 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT2, 0x40010408 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT3, 0x4001040c +.set CYDEV_UCFG_B0_P2_U0_PLD_IT4, 0x40010410 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT5, 0x40010414 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT6, 0x40010418 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT7, 0x4001041c +.set CYDEV_UCFG_B0_P2_U0_PLD_IT8, 0x40010420 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT9, 0x40010424 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT10, 0x40010428 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT11, 0x4001042c +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT0, 0x40010430 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT1, 0x40010432 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT2, 0x40010434 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT3, 0x40010436 +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST, 0x40010438 +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB, 0x4001043a +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET, 0x4001043c +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS, 0x4001043e +.set CYDEV_UCFG_B0_P2_U0_CFG0, 0x40010440 +.set CYDEV_UCFG_B0_P2_U0_CFG1, 0x40010441 +.set CYDEV_UCFG_B0_P2_U0_CFG2, 0x40010442 +.set CYDEV_UCFG_B0_P2_U0_CFG3, 0x40010443 +.set CYDEV_UCFG_B0_P2_U0_CFG4, 0x40010444 +.set CYDEV_UCFG_B0_P2_U0_CFG5, 0x40010445 +.set CYDEV_UCFG_B0_P2_U0_CFG6, 0x40010446 +.set CYDEV_UCFG_B0_P2_U0_CFG7, 0x40010447 +.set CYDEV_UCFG_B0_P2_U0_CFG8, 0x40010448 +.set CYDEV_UCFG_B0_P2_U0_CFG9, 0x40010449 +.set CYDEV_UCFG_B0_P2_U0_CFG10, 0x4001044a +.set CYDEV_UCFG_B0_P2_U0_CFG11, 0x4001044b +.set CYDEV_UCFG_B0_P2_U0_CFG12, 0x4001044c +.set CYDEV_UCFG_B0_P2_U0_CFG13, 0x4001044d +.set CYDEV_UCFG_B0_P2_U0_CFG14, 0x4001044e +.set CYDEV_UCFG_B0_P2_U0_CFG15, 0x4001044f +.set CYDEV_UCFG_B0_P2_U0_CFG16, 0x40010450 +.set CYDEV_UCFG_B0_P2_U0_CFG17, 0x40010451 +.set CYDEV_UCFG_B0_P2_U0_CFG18, 0x40010452 +.set CYDEV_UCFG_B0_P2_U0_CFG19, 0x40010453 +.set CYDEV_UCFG_B0_P2_U0_CFG20, 0x40010454 +.set CYDEV_UCFG_B0_P2_U0_CFG21, 0x40010455 +.set CYDEV_UCFG_B0_P2_U0_CFG22, 0x40010456 +.set CYDEV_UCFG_B0_P2_U0_CFG23, 0x40010457 +.set CYDEV_UCFG_B0_P2_U0_CFG24, 0x40010458 +.set CYDEV_UCFG_B0_P2_U0_CFG25, 0x40010459 +.set CYDEV_UCFG_B0_P2_U0_CFG26, 0x4001045a +.set CYDEV_UCFG_B0_P2_U0_CFG27, 0x4001045b +.set CYDEV_UCFG_B0_P2_U0_CFG28, 0x4001045c +.set CYDEV_UCFG_B0_P2_U0_CFG29, 0x4001045d +.set CYDEV_UCFG_B0_P2_U0_CFG30, 0x4001045e +.set CYDEV_UCFG_B0_P2_U0_CFG31, 0x4001045f +.set CYDEV_UCFG_B0_P2_U0_DCFG0, 0x40010460 +.set CYDEV_UCFG_B0_P2_U0_DCFG1, 0x40010462 +.set CYDEV_UCFG_B0_P2_U0_DCFG2, 0x40010464 +.set CYDEV_UCFG_B0_P2_U0_DCFG3, 0x40010466 +.set CYDEV_UCFG_B0_P2_U0_DCFG4, 0x40010468 +.set CYDEV_UCFG_B0_P2_U0_DCFG5, 0x4001046a +.set CYDEV_UCFG_B0_P2_U0_DCFG6, 0x4001046c +.set CYDEV_UCFG_B0_P2_U0_DCFG7, 0x4001046e +.set CYDEV_UCFG_B0_P2_U1_BASE, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT0, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT1, 0x40010484 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT2, 0x40010488 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT3, 0x4001048c +.set CYDEV_UCFG_B0_P2_U1_PLD_IT4, 0x40010490 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT5, 0x40010494 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT6, 0x40010498 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT7, 0x4001049c +.set CYDEV_UCFG_B0_P2_U1_PLD_IT8, 0x400104a0 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT9, 0x400104a4 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT10, 0x400104a8 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT11, 0x400104ac +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT0, 0x400104b0 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT1, 0x400104b2 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT2, 0x400104b4 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT3, 0x400104b6 +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST, 0x400104b8 +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB, 0x400104ba +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET, 0x400104bc +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS, 0x400104be +.set CYDEV_UCFG_B0_P2_U1_CFG0, 0x400104c0 +.set CYDEV_UCFG_B0_P2_U1_CFG1, 0x400104c1 +.set CYDEV_UCFG_B0_P2_U1_CFG2, 0x400104c2 +.set CYDEV_UCFG_B0_P2_U1_CFG3, 0x400104c3 +.set CYDEV_UCFG_B0_P2_U1_CFG4, 0x400104c4 +.set CYDEV_UCFG_B0_P2_U1_CFG5, 0x400104c5 +.set CYDEV_UCFG_B0_P2_U1_CFG6, 0x400104c6 +.set CYDEV_UCFG_B0_P2_U1_CFG7, 0x400104c7 +.set CYDEV_UCFG_B0_P2_U1_CFG8, 0x400104c8 +.set CYDEV_UCFG_B0_P2_U1_CFG9, 0x400104c9 +.set CYDEV_UCFG_B0_P2_U1_CFG10, 0x400104ca +.set CYDEV_UCFG_B0_P2_U1_CFG11, 0x400104cb +.set CYDEV_UCFG_B0_P2_U1_CFG12, 0x400104cc +.set CYDEV_UCFG_B0_P2_U1_CFG13, 0x400104cd +.set CYDEV_UCFG_B0_P2_U1_CFG14, 0x400104ce +.set CYDEV_UCFG_B0_P2_U1_CFG15, 0x400104cf +.set CYDEV_UCFG_B0_P2_U1_CFG16, 0x400104d0 +.set CYDEV_UCFG_B0_P2_U1_CFG17, 0x400104d1 +.set CYDEV_UCFG_B0_P2_U1_CFG18, 0x400104d2 +.set CYDEV_UCFG_B0_P2_U1_CFG19, 0x400104d3 +.set CYDEV_UCFG_B0_P2_U1_CFG20, 0x400104d4 +.set CYDEV_UCFG_B0_P2_U1_CFG21, 0x400104d5 +.set CYDEV_UCFG_B0_P2_U1_CFG22, 0x400104d6 +.set CYDEV_UCFG_B0_P2_U1_CFG23, 0x400104d7 +.set CYDEV_UCFG_B0_P2_U1_CFG24, 0x400104d8 +.set CYDEV_UCFG_B0_P2_U1_CFG25, 0x400104d9 +.set CYDEV_UCFG_B0_P2_U1_CFG26, 0x400104da +.set CYDEV_UCFG_B0_P2_U1_CFG27, 0x400104db +.set CYDEV_UCFG_B0_P2_U1_CFG28, 0x400104dc +.set CYDEV_UCFG_B0_P2_U1_CFG29, 0x400104dd +.set CYDEV_UCFG_B0_P2_U1_CFG30, 0x400104de +.set CYDEV_UCFG_B0_P2_U1_CFG31, 0x400104df +.set CYDEV_UCFG_B0_P2_U1_DCFG0, 0x400104e0 +.set CYDEV_UCFG_B0_P2_U1_DCFG1, 0x400104e2 +.set CYDEV_UCFG_B0_P2_U1_DCFG2, 0x400104e4 +.set CYDEV_UCFG_B0_P2_U1_DCFG3, 0x400104e6 +.set CYDEV_UCFG_B0_P2_U1_DCFG4, 0x400104e8 +.set CYDEV_UCFG_B0_P2_U1_DCFG5, 0x400104ea +.set CYDEV_UCFG_B0_P2_U1_DCFG6, 0x400104ec +.set CYDEV_UCFG_B0_P2_U1_DCFG7, 0x400104ee +.set CYDEV_UCFG_B0_P2_ROUTE_BASE, 0x40010500 +.set CYDEV_UCFG_B0_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P3_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P3_U0_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT0, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT1, 0x40010604 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT2, 0x40010608 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT3, 0x4001060c +.set CYDEV_UCFG_B0_P3_U0_PLD_IT4, 0x40010610 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT5, 0x40010614 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT6, 0x40010618 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT7, 0x4001061c +.set CYDEV_UCFG_B0_P3_U0_PLD_IT8, 0x40010620 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT9, 0x40010624 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT10, 0x40010628 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT11, 0x4001062c +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT0, 0x40010630 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT1, 0x40010632 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT2, 0x40010634 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT3, 0x40010636 +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST, 0x40010638 +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB, 0x4001063a +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET, 0x4001063c +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS, 0x4001063e +.set CYDEV_UCFG_B0_P3_U0_CFG0, 0x40010640 +.set CYDEV_UCFG_B0_P3_U0_CFG1, 0x40010641 +.set CYDEV_UCFG_B0_P3_U0_CFG2, 0x40010642 +.set CYDEV_UCFG_B0_P3_U0_CFG3, 0x40010643 +.set CYDEV_UCFG_B0_P3_U0_CFG4, 0x40010644 +.set CYDEV_UCFG_B0_P3_U0_CFG5, 0x40010645 +.set CYDEV_UCFG_B0_P3_U0_CFG6, 0x40010646 +.set CYDEV_UCFG_B0_P3_U0_CFG7, 0x40010647 +.set CYDEV_UCFG_B0_P3_U0_CFG8, 0x40010648 +.set CYDEV_UCFG_B0_P3_U0_CFG9, 0x40010649 +.set CYDEV_UCFG_B0_P3_U0_CFG10, 0x4001064a +.set CYDEV_UCFG_B0_P3_U0_CFG11, 0x4001064b +.set CYDEV_UCFG_B0_P3_U0_CFG12, 0x4001064c +.set CYDEV_UCFG_B0_P3_U0_CFG13, 0x4001064d +.set CYDEV_UCFG_B0_P3_U0_CFG14, 0x4001064e +.set CYDEV_UCFG_B0_P3_U0_CFG15, 0x4001064f +.set CYDEV_UCFG_B0_P3_U0_CFG16, 0x40010650 +.set CYDEV_UCFG_B0_P3_U0_CFG17, 0x40010651 +.set CYDEV_UCFG_B0_P3_U0_CFG18, 0x40010652 +.set CYDEV_UCFG_B0_P3_U0_CFG19, 0x40010653 +.set CYDEV_UCFG_B0_P3_U0_CFG20, 0x40010654 +.set CYDEV_UCFG_B0_P3_U0_CFG21, 0x40010655 +.set CYDEV_UCFG_B0_P3_U0_CFG22, 0x40010656 +.set CYDEV_UCFG_B0_P3_U0_CFG23, 0x40010657 +.set CYDEV_UCFG_B0_P3_U0_CFG24, 0x40010658 +.set CYDEV_UCFG_B0_P3_U0_CFG25, 0x40010659 +.set CYDEV_UCFG_B0_P3_U0_CFG26, 0x4001065a +.set CYDEV_UCFG_B0_P3_U0_CFG27, 0x4001065b +.set CYDEV_UCFG_B0_P3_U0_CFG28, 0x4001065c +.set CYDEV_UCFG_B0_P3_U0_CFG29, 0x4001065d +.set CYDEV_UCFG_B0_P3_U0_CFG30, 0x4001065e +.set CYDEV_UCFG_B0_P3_U0_CFG31, 0x4001065f +.set CYDEV_UCFG_B0_P3_U0_DCFG0, 0x40010660 +.set CYDEV_UCFG_B0_P3_U0_DCFG1, 0x40010662 +.set CYDEV_UCFG_B0_P3_U0_DCFG2, 0x40010664 +.set CYDEV_UCFG_B0_P3_U0_DCFG3, 0x40010666 +.set CYDEV_UCFG_B0_P3_U0_DCFG4, 0x40010668 +.set CYDEV_UCFG_B0_P3_U0_DCFG5, 0x4001066a +.set CYDEV_UCFG_B0_P3_U0_DCFG6, 0x4001066c +.set CYDEV_UCFG_B0_P3_U0_DCFG7, 0x4001066e +.set CYDEV_UCFG_B0_P3_U1_BASE, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT0, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT1, 0x40010684 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT2, 0x40010688 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT3, 0x4001068c +.set CYDEV_UCFG_B0_P3_U1_PLD_IT4, 0x40010690 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT5, 0x40010694 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT6, 0x40010698 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT7, 0x4001069c +.set CYDEV_UCFG_B0_P3_U1_PLD_IT8, 0x400106a0 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT9, 0x400106a4 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT10, 0x400106a8 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT11, 0x400106ac +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT0, 0x400106b0 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT1, 0x400106b2 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT2, 0x400106b4 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT3, 0x400106b6 +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST, 0x400106b8 +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB, 0x400106ba +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET, 0x400106bc +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS, 0x400106be +.set CYDEV_UCFG_B0_P3_U1_CFG0, 0x400106c0 +.set CYDEV_UCFG_B0_P3_U1_CFG1, 0x400106c1 +.set CYDEV_UCFG_B0_P3_U1_CFG2, 0x400106c2 +.set CYDEV_UCFG_B0_P3_U1_CFG3, 0x400106c3 +.set CYDEV_UCFG_B0_P3_U1_CFG4, 0x400106c4 +.set CYDEV_UCFG_B0_P3_U1_CFG5, 0x400106c5 +.set CYDEV_UCFG_B0_P3_U1_CFG6, 0x400106c6 +.set CYDEV_UCFG_B0_P3_U1_CFG7, 0x400106c7 +.set CYDEV_UCFG_B0_P3_U1_CFG8, 0x400106c8 +.set CYDEV_UCFG_B0_P3_U1_CFG9, 0x400106c9 +.set CYDEV_UCFG_B0_P3_U1_CFG10, 0x400106ca +.set CYDEV_UCFG_B0_P3_U1_CFG11, 0x400106cb +.set CYDEV_UCFG_B0_P3_U1_CFG12, 0x400106cc +.set CYDEV_UCFG_B0_P3_U1_CFG13, 0x400106cd +.set CYDEV_UCFG_B0_P3_U1_CFG14, 0x400106ce +.set CYDEV_UCFG_B0_P3_U1_CFG15, 0x400106cf +.set CYDEV_UCFG_B0_P3_U1_CFG16, 0x400106d0 +.set CYDEV_UCFG_B0_P3_U1_CFG17, 0x400106d1 +.set CYDEV_UCFG_B0_P3_U1_CFG18, 0x400106d2 +.set CYDEV_UCFG_B0_P3_U1_CFG19, 0x400106d3 +.set CYDEV_UCFG_B0_P3_U1_CFG20, 0x400106d4 +.set CYDEV_UCFG_B0_P3_U1_CFG21, 0x400106d5 +.set CYDEV_UCFG_B0_P3_U1_CFG22, 0x400106d6 +.set CYDEV_UCFG_B0_P3_U1_CFG23, 0x400106d7 +.set CYDEV_UCFG_B0_P3_U1_CFG24, 0x400106d8 +.set CYDEV_UCFG_B0_P3_U1_CFG25, 0x400106d9 +.set CYDEV_UCFG_B0_P3_U1_CFG26, 0x400106da +.set CYDEV_UCFG_B0_P3_U1_CFG27, 0x400106db +.set CYDEV_UCFG_B0_P3_U1_CFG28, 0x400106dc +.set CYDEV_UCFG_B0_P3_U1_CFG29, 0x400106dd +.set CYDEV_UCFG_B0_P3_U1_CFG30, 0x400106de +.set CYDEV_UCFG_B0_P3_U1_CFG31, 0x400106df +.set CYDEV_UCFG_B0_P3_U1_DCFG0, 0x400106e0 +.set CYDEV_UCFG_B0_P3_U1_DCFG1, 0x400106e2 +.set CYDEV_UCFG_B0_P3_U1_DCFG2, 0x400106e4 +.set CYDEV_UCFG_B0_P3_U1_DCFG3, 0x400106e6 +.set CYDEV_UCFG_B0_P3_U1_DCFG4, 0x400106e8 +.set CYDEV_UCFG_B0_P3_U1_DCFG5, 0x400106ea +.set CYDEV_UCFG_B0_P3_U1_DCFG6, 0x400106ec +.set CYDEV_UCFG_B0_P3_U1_DCFG7, 0x400106ee +.set CYDEV_UCFG_B0_P3_ROUTE_BASE, 0x40010700 +.set CYDEV_UCFG_B0_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P4_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P4_U0_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT0, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT1, 0x40010804 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT2, 0x40010808 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT3, 0x4001080c +.set CYDEV_UCFG_B0_P4_U0_PLD_IT4, 0x40010810 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT5, 0x40010814 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT6, 0x40010818 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT7, 0x4001081c +.set CYDEV_UCFG_B0_P4_U0_PLD_IT8, 0x40010820 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT9, 0x40010824 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT10, 0x40010828 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT11, 0x4001082c +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT0, 0x40010830 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT1, 0x40010832 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT2, 0x40010834 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT3, 0x40010836 +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST, 0x40010838 +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB, 0x4001083a +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET, 0x4001083c +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS, 0x4001083e +.set CYDEV_UCFG_B0_P4_U0_CFG0, 0x40010840 +.set CYDEV_UCFG_B0_P4_U0_CFG1, 0x40010841 +.set CYDEV_UCFG_B0_P4_U0_CFG2, 0x40010842 +.set CYDEV_UCFG_B0_P4_U0_CFG3, 0x40010843 +.set CYDEV_UCFG_B0_P4_U0_CFG4, 0x40010844 +.set CYDEV_UCFG_B0_P4_U0_CFG5, 0x40010845 +.set CYDEV_UCFG_B0_P4_U0_CFG6, 0x40010846 +.set CYDEV_UCFG_B0_P4_U0_CFG7, 0x40010847 +.set CYDEV_UCFG_B0_P4_U0_CFG8, 0x40010848 +.set CYDEV_UCFG_B0_P4_U0_CFG9, 0x40010849 +.set CYDEV_UCFG_B0_P4_U0_CFG10, 0x4001084a +.set CYDEV_UCFG_B0_P4_U0_CFG11, 0x4001084b +.set CYDEV_UCFG_B0_P4_U0_CFG12, 0x4001084c +.set CYDEV_UCFG_B0_P4_U0_CFG13, 0x4001084d +.set CYDEV_UCFG_B0_P4_U0_CFG14, 0x4001084e +.set CYDEV_UCFG_B0_P4_U0_CFG15, 0x4001084f +.set CYDEV_UCFG_B0_P4_U0_CFG16, 0x40010850 +.set CYDEV_UCFG_B0_P4_U0_CFG17, 0x40010851 +.set CYDEV_UCFG_B0_P4_U0_CFG18, 0x40010852 +.set CYDEV_UCFG_B0_P4_U0_CFG19, 0x40010853 +.set CYDEV_UCFG_B0_P4_U0_CFG20, 0x40010854 +.set CYDEV_UCFG_B0_P4_U0_CFG21, 0x40010855 +.set CYDEV_UCFG_B0_P4_U0_CFG22, 0x40010856 +.set CYDEV_UCFG_B0_P4_U0_CFG23, 0x40010857 +.set CYDEV_UCFG_B0_P4_U0_CFG24, 0x40010858 +.set CYDEV_UCFG_B0_P4_U0_CFG25, 0x40010859 +.set CYDEV_UCFG_B0_P4_U0_CFG26, 0x4001085a +.set CYDEV_UCFG_B0_P4_U0_CFG27, 0x4001085b +.set CYDEV_UCFG_B0_P4_U0_CFG28, 0x4001085c +.set CYDEV_UCFG_B0_P4_U0_CFG29, 0x4001085d +.set CYDEV_UCFG_B0_P4_U0_CFG30, 0x4001085e +.set CYDEV_UCFG_B0_P4_U0_CFG31, 0x4001085f +.set CYDEV_UCFG_B0_P4_U0_DCFG0, 0x40010860 +.set CYDEV_UCFG_B0_P4_U0_DCFG1, 0x40010862 +.set CYDEV_UCFG_B0_P4_U0_DCFG2, 0x40010864 +.set CYDEV_UCFG_B0_P4_U0_DCFG3, 0x40010866 +.set CYDEV_UCFG_B0_P4_U0_DCFG4, 0x40010868 +.set CYDEV_UCFG_B0_P4_U0_DCFG5, 0x4001086a +.set CYDEV_UCFG_B0_P4_U0_DCFG6, 0x4001086c +.set CYDEV_UCFG_B0_P4_U0_DCFG7, 0x4001086e +.set CYDEV_UCFG_B0_P4_U1_BASE, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT0, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT1, 0x40010884 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT2, 0x40010888 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT3, 0x4001088c +.set CYDEV_UCFG_B0_P4_U1_PLD_IT4, 0x40010890 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT5, 0x40010894 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT6, 0x40010898 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT7, 0x4001089c +.set CYDEV_UCFG_B0_P4_U1_PLD_IT8, 0x400108a0 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT9, 0x400108a4 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT10, 0x400108a8 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT11, 0x400108ac +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT0, 0x400108b0 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT1, 0x400108b2 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT2, 0x400108b4 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT3, 0x400108b6 +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST, 0x400108b8 +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB, 0x400108ba +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET, 0x400108bc +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS, 0x400108be +.set CYDEV_UCFG_B0_P4_U1_CFG0, 0x400108c0 +.set CYDEV_UCFG_B0_P4_U1_CFG1, 0x400108c1 +.set CYDEV_UCFG_B0_P4_U1_CFG2, 0x400108c2 +.set CYDEV_UCFG_B0_P4_U1_CFG3, 0x400108c3 +.set CYDEV_UCFG_B0_P4_U1_CFG4, 0x400108c4 +.set CYDEV_UCFG_B0_P4_U1_CFG5, 0x400108c5 +.set CYDEV_UCFG_B0_P4_U1_CFG6, 0x400108c6 +.set CYDEV_UCFG_B0_P4_U1_CFG7, 0x400108c7 +.set CYDEV_UCFG_B0_P4_U1_CFG8, 0x400108c8 +.set CYDEV_UCFG_B0_P4_U1_CFG9, 0x400108c9 +.set CYDEV_UCFG_B0_P4_U1_CFG10, 0x400108ca +.set CYDEV_UCFG_B0_P4_U1_CFG11, 0x400108cb +.set CYDEV_UCFG_B0_P4_U1_CFG12, 0x400108cc +.set CYDEV_UCFG_B0_P4_U1_CFG13, 0x400108cd +.set CYDEV_UCFG_B0_P4_U1_CFG14, 0x400108ce +.set CYDEV_UCFG_B0_P4_U1_CFG15, 0x400108cf +.set CYDEV_UCFG_B0_P4_U1_CFG16, 0x400108d0 +.set CYDEV_UCFG_B0_P4_U1_CFG17, 0x400108d1 +.set CYDEV_UCFG_B0_P4_U1_CFG18, 0x400108d2 +.set CYDEV_UCFG_B0_P4_U1_CFG19, 0x400108d3 +.set CYDEV_UCFG_B0_P4_U1_CFG20, 0x400108d4 +.set CYDEV_UCFG_B0_P4_U1_CFG21, 0x400108d5 +.set CYDEV_UCFG_B0_P4_U1_CFG22, 0x400108d6 +.set CYDEV_UCFG_B0_P4_U1_CFG23, 0x400108d7 +.set CYDEV_UCFG_B0_P4_U1_CFG24, 0x400108d8 +.set CYDEV_UCFG_B0_P4_U1_CFG25, 0x400108d9 +.set CYDEV_UCFG_B0_P4_U1_CFG26, 0x400108da +.set CYDEV_UCFG_B0_P4_U1_CFG27, 0x400108db +.set CYDEV_UCFG_B0_P4_U1_CFG28, 0x400108dc +.set CYDEV_UCFG_B0_P4_U1_CFG29, 0x400108dd +.set CYDEV_UCFG_B0_P4_U1_CFG30, 0x400108de +.set CYDEV_UCFG_B0_P4_U1_CFG31, 0x400108df +.set CYDEV_UCFG_B0_P4_U1_DCFG0, 0x400108e0 +.set CYDEV_UCFG_B0_P4_U1_DCFG1, 0x400108e2 +.set CYDEV_UCFG_B0_P4_U1_DCFG2, 0x400108e4 +.set CYDEV_UCFG_B0_P4_U1_DCFG3, 0x400108e6 +.set CYDEV_UCFG_B0_P4_U1_DCFG4, 0x400108e8 +.set CYDEV_UCFG_B0_P4_U1_DCFG5, 0x400108ea +.set CYDEV_UCFG_B0_P4_U1_DCFG6, 0x400108ec +.set CYDEV_UCFG_B0_P4_U1_DCFG7, 0x400108ee +.set CYDEV_UCFG_B0_P4_ROUTE_BASE, 0x40010900 +.set CYDEV_UCFG_B0_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P5_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P5_U0_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT0, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT1, 0x40010a04 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT2, 0x40010a08 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT3, 0x40010a0c +.set CYDEV_UCFG_B0_P5_U0_PLD_IT4, 0x40010a10 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT5, 0x40010a14 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT6, 0x40010a18 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT7, 0x40010a1c +.set CYDEV_UCFG_B0_P5_U0_PLD_IT8, 0x40010a20 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT9, 0x40010a24 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT10, 0x40010a28 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT11, 0x40010a2c +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT0, 0x40010a30 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT1, 0x40010a32 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT2, 0x40010a34 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT3, 0x40010a36 +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST, 0x40010a38 +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB, 0x40010a3a +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET, 0x40010a3c +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS, 0x40010a3e +.set CYDEV_UCFG_B0_P5_U0_CFG0, 0x40010a40 +.set CYDEV_UCFG_B0_P5_U0_CFG1, 0x40010a41 +.set CYDEV_UCFG_B0_P5_U0_CFG2, 0x40010a42 +.set CYDEV_UCFG_B0_P5_U0_CFG3, 0x40010a43 +.set CYDEV_UCFG_B0_P5_U0_CFG4, 0x40010a44 +.set CYDEV_UCFG_B0_P5_U0_CFG5, 0x40010a45 +.set CYDEV_UCFG_B0_P5_U0_CFG6, 0x40010a46 +.set CYDEV_UCFG_B0_P5_U0_CFG7, 0x40010a47 +.set CYDEV_UCFG_B0_P5_U0_CFG8, 0x40010a48 +.set CYDEV_UCFG_B0_P5_U0_CFG9, 0x40010a49 +.set CYDEV_UCFG_B0_P5_U0_CFG10, 0x40010a4a +.set CYDEV_UCFG_B0_P5_U0_CFG11, 0x40010a4b +.set CYDEV_UCFG_B0_P5_U0_CFG12, 0x40010a4c +.set CYDEV_UCFG_B0_P5_U0_CFG13, 0x40010a4d +.set CYDEV_UCFG_B0_P5_U0_CFG14, 0x40010a4e +.set CYDEV_UCFG_B0_P5_U0_CFG15, 0x40010a4f +.set CYDEV_UCFG_B0_P5_U0_CFG16, 0x40010a50 +.set CYDEV_UCFG_B0_P5_U0_CFG17, 0x40010a51 +.set CYDEV_UCFG_B0_P5_U0_CFG18, 0x40010a52 +.set CYDEV_UCFG_B0_P5_U0_CFG19, 0x40010a53 +.set CYDEV_UCFG_B0_P5_U0_CFG20, 0x40010a54 +.set CYDEV_UCFG_B0_P5_U0_CFG21, 0x40010a55 +.set CYDEV_UCFG_B0_P5_U0_CFG22, 0x40010a56 +.set CYDEV_UCFG_B0_P5_U0_CFG23, 0x40010a57 +.set CYDEV_UCFG_B0_P5_U0_CFG24, 0x40010a58 +.set CYDEV_UCFG_B0_P5_U0_CFG25, 0x40010a59 +.set CYDEV_UCFG_B0_P5_U0_CFG26, 0x40010a5a +.set CYDEV_UCFG_B0_P5_U0_CFG27, 0x40010a5b +.set CYDEV_UCFG_B0_P5_U0_CFG28, 0x40010a5c +.set CYDEV_UCFG_B0_P5_U0_CFG29, 0x40010a5d +.set CYDEV_UCFG_B0_P5_U0_CFG30, 0x40010a5e +.set CYDEV_UCFG_B0_P5_U0_CFG31, 0x40010a5f +.set CYDEV_UCFG_B0_P5_U0_DCFG0, 0x40010a60 +.set CYDEV_UCFG_B0_P5_U0_DCFG1, 0x40010a62 +.set CYDEV_UCFG_B0_P5_U0_DCFG2, 0x40010a64 +.set CYDEV_UCFG_B0_P5_U0_DCFG3, 0x40010a66 +.set CYDEV_UCFG_B0_P5_U0_DCFG4, 0x40010a68 +.set CYDEV_UCFG_B0_P5_U0_DCFG5, 0x40010a6a +.set CYDEV_UCFG_B0_P5_U0_DCFG6, 0x40010a6c +.set CYDEV_UCFG_B0_P5_U0_DCFG7, 0x40010a6e +.set CYDEV_UCFG_B0_P5_U1_BASE, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT0, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT1, 0x40010a84 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT2, 0x40010a88 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT3, 0x40010a8c +.set CYDEV_UCFG_B0_P5_U1_PLD_IT4, 0x40010a90 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT5, 0x40010a94 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT6, 0x40010a98 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT7, 0x40010a9c +.set CYDEV_UCFG_B0_P5_U1_PLD_IT8, 0x40010aa0 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT9, 0x40010aa4 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT10, 0x40010aa8 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT11, 0x40010aac +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT0, 0x40010ab0 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT1, 0x40010ab2 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT2, 0x40010ab4 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT3, 0x40010ab6 +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST, 0x40010ab8 +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB, 0x40010aba +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET, 0x40010abc +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS, 0x40010abe +.set CYDEV_UCFG_B0_P5_U1_CFG0, 0x40010ac0 +.set CYDEV_UCFG_B0_P5_U1_CFG1, 0x40010ac1 +.set CYDEV_UCFG_B0_P5_U1_CFG2, 0x40010ac2 +.set CYDEV_UCFG_B0_P5_U1_CFG3, 0x40010ac3 +.set CYDEV_UCFG_B0_P5_U1_CFG4, 0x40010ac4 +.set CYDEV_UCFG_B0_P5_U1_CFG5, 0x40010ac5 +.set CYDEV_UCFG_B0_P5_U1_CFG6, 0x40010ac6 +.set CYDEV_UCFG_B0_P5_U1_CFG7, 0x40010ac7 +.set CYDEV_UCFG_B0_P5_U1_CFG8, 0x40010ac8 +.set CYDEV_UCFG_B0_P5_U1_CFG9, 0x40010ac9 +.set CYDEV_UCFG_B0_P5_U1_CFG10, 0x40010aca +.set CYDEV_UCFG_B0_P5_U1_CFG11, 0x40010acb +.set CYDEV_UCFG_B0_P5_U1_CFG12, 0x40010acc +.set CYDEV_UCFG_B0_P5_U1_CFG13, 0x40010acd +.set CYDEV_UCFG_B0_P5_U1_CFG14, 0x40010ace +.set CYDEV_UCFG_B0_P5_U1_CFG15, 0x40010acf +.set CYDEV_UCFG_B0_P5_U1_CFG16, 0x40010ad0 +.set CYDEV_UCFG_B0_P5_U1_CFG17, 0x40010ad1 +.set CYDEV_UCFG_B0_P5_U1_CFG18, 0x40010ad2 +.set CYDEV_UCFG_B0_P5_U1_CFG19, 0x40010ad3 +.set CYDEV_UCFG_B0_P5_U1_CFG20, 0x40010ad4 +.set CYDEV_UCFG_B0_P5_U1_CFG21, 0x40010ad5 +.set CYDEV_UCFG_B0_P5_U1_CFG22, 0x40010ad6 +.set CYDEV_UCFG_B0_P5_U1_CFG23, 0x40010ad7 +.set CYDEV_UCFG_B0_P5_U1_CFG24, 0x40010ad8 +.set CYDEV_UCFG_B0_P5_U1_CFG25, 0x40010ad9 +.set CYDEV_UCFG_B0_P5_U1_CFG26, 0x40010ada +.set CYDEV_UCFG_B0_P5_U1_CFG27, 0x40010adb +.set CYDEV_UCFG_B0_P5_U1_CFG28, 0x40010adc +.set CYDEV_UCFG_B0_P5_U1_CFG29, 0x40010add +.set CYDEV_UCFG_B0_P5_U1_CFG30, 0x40010ade +.set CYDEV_UCFG_B0_P5_U1_CFG31, 0x40010adf +.set CYDEV_UCFG_B0_P5_U1_DCFG0, 0x40010ae0 +.set CYDEV_UCFG_B0_P5_U1_DCFG1, 0x40010ae2 +.set CYDEV_UCFG_B0_P5_U1_DCFG2, 0x40010ae4 +.set CYDEV_UCFG_B0_P5_U1_DCFG3, 0x40010ae6 +.set CYDEV_UCFG_B0_P5_U1_DCFG4, 0x40010ae8 +.set CYDEV_UCFG_B0_P5_U1_DCFG5, 0x40010aea +.set CYDEV_UCFG_B0_P5_U1_DCFG6, 0x40010aec +.set CYDEV_UCFG_B0_P5_U1_DCFG7, 0x40010aee +.set CYDEV_UCFG_B0_P5_ROUTE_BASE, 0x40010b00 +.set CYDEV_UCFG_B0_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P6_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P6_U0_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT0, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT1, 0x40010c04 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT2, 0x40010c08 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT3, 0x40010c0c +.set CYDEV_UCFG_B0_P6_U0_PLD_IT4, 0x40010c10 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT5, 0x40010c14 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT6, 0x40010c18 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT7, 0x40010c1c +.set CYDEV_UCFG_B0_P6_U0_PLD_IT8, 0x40010c20 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT9, 0x40010c24 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT10, 0x40010c28 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT11, 0x40010c2c +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT0, 0x40010c30 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT1, 0x40010c32 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT2, 0x40010c34 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT3, 0x40010c36 +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST, 0x40010c38 +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB, 0x40010c3a +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET, 0x40010c3c +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS, 0x40010c3e +.set CYDEV_UCFG_B0_P6_U0_CFG0, 0x40010c40 +.set CYDEV_UCFG_B0_P6_U0_CFG1, 0x40010c41 +.set CYDEV_UCFG_B0_P6_U0_CFG2, 0x40010c42 +.set CYDEV_UCFG_B0_P6_U0_CFG3, 0x40010c43 +.set CYDEV_UCFG_B0_P6_U0_CFG4, 0x40010c44 +.set CYDEV_UCFG_B0_P6_U0_CFG5, 0x40010c45 +.set CYDEV_UCFG_B0_P6_U0_CFG6, 0x40010c46 +.set CYDEV_UCFG_B0_P6_U0_CFG7, 0x40010c47 +.set CYDEV_UCFG_B0_P6_U0_CFG8, 0x40010c48 +.set CYDEV_UCFG_B0_P6_U0_CFG9, 0x40010c49 +.set CYDEV_UCFG_B0_P6_U0_CFG10, 0x40010c4a +.set CYDEV_UCFG_B0_P6_U0_CFG11, 0x40010c4b +.set CYDEV_UCFG_B0_P6_U0_CFG12, 0x40010c4c +.set CYDEV_UCFG_B0_P6_U0_CFG13, 0x40010c4d +.set CYDEV_UCFG_B0_P6_U0_CFG14, 0x40010c4e +.set CYDEV_UCFG_B0_P6_U0_CFG15, 0x40010c4f +.set CYDEV_UCFG_B0_P6_U0_CFG16, 0x40010c50 +.set CYDEV_UCFG_B0_P6_U0_CFG17, 0x40010c51 +.set CYDEV_UCFG_B0_P6_U0_CFG18, 0x40010c52 +.set CYDEV_UCFG_B0_P6_U0_CFG19, 0x40010c53 +.set CYDEV_UCFG_B0_P6_U0_CFG20, 0x40010c54 +.set CYDEV_UCFG_B0_P6_U0_CFG21, 0x40010c55 +.set CYDEV_UCFG_B0_P6_U0_CFG22, 0x40010c56 +.set CYDEV_UCFG_B0_P6_U0_CFG23, 0x40010c57 +.set CYDEV_UCFG_B0_P6_U0_CFG24, 0x40010c58 +.set CYDEV_UCFG_B0_P6_U0_CFG25, 0x40010c59 +.set CYDEV_UCFG_B0_P6_U0_CFG26, 0x40010c5a +.set CYDEV_UCFG_B0_P6_U0_CFG27, 0x40010c5b +.set CYDEV_UCFG_B0_P6_U0_CFG28, 0x40010c5c +.set CYDEV_UCFG_B0_P6_U0_CFG29, 0x40010c5d +.set CYDEV_UCFG_B0_P6_U0_CFG30, 0x40010c5e +.set CYDEV_UCFG_B0_P6_U0_CFG31, 0x40010c5f +.set CYDEV_UCFG_B0_P6_U0_DCFG0, 0x40010c60 +.set CYDEV_UCFG_B0_P6_U0_DCFG1, 0x40010c62 +.set CYDEV_UCFG_B0_P6_U0_DCFG2, 0x40010c64 +.set CYDEV_UCFG_B0_P6_U0_DCFG3, 0x40010c66 +.set CYDEV_UCFG_B0_P6_U0_DCFG4, 0x40010c68 +.set CYDEV_UCFG_B0_P6_U0_DCFG5, 0x40010c6a +.set CYDEV_UCFG_B0_P6_U0_DCFG6, 0x40010c6c +.set CYDEV_UCFG_B0_P6_U0_DCFG7, 0x40010c6e +.set CYDEV_UCFG_B0_P6_U1_BASE, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT0, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT1, 0x40010c84 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT2, 0x40010c88 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT3, 0x40010c8c +.set CYDEV_UCFG_B0_P6_U1_PLD_IT4, 0x40010c90 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT5, 0x40010c94 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT6, 0x40010c98 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT7, 0x40010c9c +.set CYDEV_UCFG_B0_P6_U1_PLD_IT8, 0x40010ca0 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT9, 0x40010ca4 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT10, 0x40010ca8 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT11, 0x40010cac +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT0, 0x40010cb0 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT1, 0x40010cb2 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT2, 0x40010cb4 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT3, 0x40010cb6 +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST, 0x40010cb8 +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB, 0x40010cba +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET, 0x40010cbc +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS, 0x40010cbe +.set CYDEV_UCFG_B0_P6_U1_CFG0, 0x40010cc0 +.set CYDEV_UCFG_B0_P6_U1_CFG1, 0x40010cc1 +.set CYDEV_UCFG_B0_P6_U1_CFG2, 0x40010cc2 +.set CYDEV_UCFG_B0_P6_U1_CFG3, 0x40010cc3 +.set CYDEV_UCFG_B0_P6_U1_CFG4, 0x40010cc4 +.set CYDEV_UCFG_B0_P6_U1_CFG5, 0x40010cc5 +.set CYDEV_UCFG_B0_P6_U1_CFG6, 0x40010cc6 +.set CYDEV_UCFG_B0_P6_U1_CFG7, 0x40010cc7 +.set CYDEV_UCFG_B0_P6_U1_CFG8, 0x40010cc8 +.set CYDEV_UCFG_B0_P6_U1_CFG9, 0x40010cc9 +.set CYDEV_UCFG_B0_P6_U1_CFG10, 0x40010cca +.set CYDEV_UCFG_B0_P6_U1_CFG11, 0x40010ccb +.set CYDEV_UCFG_B0_P6_U1_CFG12, 0x40010ccc +.set CYDEV_UCFG_B0_P6_U1_CFG13, 0x40010ccd +.set CYDEV_UCFG_B0_P6_U1_CFG14, 0x40010cce +.set CYDEV_UCFG_B0_P6_U1_CFG15, 0x40010ccf +.set CYDEV_UCFG_B0_P6_U1_CFG16, 0x40010cd0 +.set CYDEV_UCFG_B0_P6_U1_CFG17, 0x40010cd1 +.set CYDEV_UCFG_B0_P6_U1_CFG18, 0x40010cd2 +.set CYDEV_UCFG_B0_P6_U1_CFG19, 0x40010cd3 +.set CYDEV_UCFG_B0_P6_U1_CFG20, 0x40010cd4 +.set CYDEV_UCFG_B0_P6_U1_CFG21, 0x40010cd5 +.set CYDEV_UCFG_B0_P6_U1_CFG22, 0x40010cd6 +.set CYDEV_UCFG_B0_P6_U1_CFG23, 0x40010cd7 +.set CYDEV_UCFG_B0_P6_U1_CFG24, 0x40010cd8 +.set CYDEV_UCFG_B0_P6_U1_CFG25, 0x40010cd9 +.set CYDEV_UCFG_B0_P6_U1_CFG26, 0x40010cda +.set CYDEV_UCFG_B0_P6_U1_CFG27, 0x40010cdb +.set CYDEV_UCFG_B0_P6_U1_CFG28, 0x40010cdc +.set CYDEV_UCFG_B0_P6_U1_CFG29, 0x40010cdd +.set CYDEV_UCFG_B0_P6_U1_CFG30, 0x40010cde +.set CYDEV_UCFG_B0_P6_U1_CFG31, 0x40010cdf +.set CYDEV_UCFG_B0_P6_U1_DCFG0, 0x40010ce0 +.set CYDEV_UCFG_B0_P6_U1_DCFG1, 0x40010ce2 +.set CYDEV_UCFG_B0_P6_U1_DCFG2, 0x40010ce4 +.set CYDEV_UCFG_B0_P6_U1_DCFG3, 0x40010ce6 +.set CYDEV_UCFG_B0_P6_U1_DCFG4, 0x40010ce8 +.set CYDEV_UCFG_B0_P6_U1_DCFG5, 0x40010cea +.set CYDEV_UCFG_B0_P6_U1_DCFG6, 0x40010cec +.set CYDEV_UCFG_B0_P6_U1_DCFG7, 0x40010cee +.set CYDEV_UCFG_B0_P6_ROUTE_BASE, 0x40010d00 +.set CYDEV_UCFG_B0_P6_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P7_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P7_U0_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT0, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT1, 0x40010e04 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT2, 0x40010e08 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT3, 0x40010e0c +.set CYDEV_UCFG_B0_P7_U0_PLD_IT4, 0x40010e10 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT5, 0x40010e14 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT6, 0x40010e18 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT7, 0x40010e1c +.set CYDEV_UCFG_B0_P7_U0_PLD_IT8, 0x40010e20 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT9, 0x40010e24 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT10, 0x40010e28 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT11, 0x40010e2c +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT0, 0x40010e30 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT1, 0x40010e32 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT2, 0x40010e34 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT3, 0x40010e36 +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST, 0x40010e38 +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB, 0x40010e3a +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET, 0x40010e3c +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS, 0x40010e3e +.set CYDEV_UCFG_B0_P7_U0_CFG0, 0x40010e40 +.set CYDEV_UCFG_B0_P7_U0_CFG1, 0x40010e41 +.set CYDEV_UCFG_B0_P7_U0_CFG2, 0x40010e42 +.set CYDEV_UCFG_B0_P7_U0_CFG3, 0x40010e43 +.set CYDEV_UCFG_B0_P7_U0_CFG4, 0x40010e44 +.set CYDEV_UCFG_B0_P7_U0_CFG5, 0x40010e45 +.set CYDEV_UCFG_B0_P7_U0_CFG6, 0x40010e46 +.set CYDEV_UCFG_B0_P7_U0_CFG7, 0x40010e47 +.set CYDEV_UCFG_B0_P7_U0_CFG8, 0x40010e48 +.set CYDEV_UCFG_B0_P7_U0_CFG9, 0x40010e49 +.set CYDEV_UCFG_B0_P7_U0_CFG10, 0x40010e4a +.set CYDEV_UCFG_B0_P7_U0_CFG11, 0x40010e4b +.set CYDEV_UCFG_B0_P7_U0_CFG12, 0x40010e4c +.set CYDEV_UCFG_B0_P7_U0_CFG13, 0x40010e4d +.set CYDEV_UCFG_B0_P7_U0_CFG14, 0x40010e4e +.set CYDEV_UCFG_B0_P7_U0_CFG15, 0x40010e4f +.set CYDEV_UCFG_B0_P7_U0_CFG16, 0x40010e50 +.set CYDEV_UCFG_B0_P7_U0_CFG17, 0x40010e51 +.set CYDEV_UCFG_B0_P7_U0_CFG18, 0x40010e52 +.set CYDEV_UCFG_B0_P7_U0_CFG19, 0x40010e53 +.set CYDEV_UCFG_B0_P7_U0_CFG20, 0x40010e54 +.set CYDEV_UCFG_B0_P7_U0_CFG21, 0x40010e55 +.set CYDEV_UCFG_B0_P7_U0_CFG22, 0x40010e56 +.set CYDEV_UCFG_B0_P7_U0_CFG23, 0x40010e57 +.set CYDEV_UCFG_B0_P7_U0_CFG24, 0x40010e58 +.set CYDEV_UCFG_B0_P7_U0_CFG25, 0x40010e59 +.set CYDEV_UCFG_B0_P7_U0_CFG26, 0x40010e5a +.set CYDEV_UCFG_B0_P7_U0_CFG27, 0x40010e5b +.set CYDEV_UCFG_B0_P7_U0_CFG28, 0x40010e5c +.set CYDEV_UCFG_B0_P7_U0_CFG29, 0x40010e5d +.set CYDEV_UCFG_B0_P7_U0_CFG30, 0x40010e5e +.set CYDEV_UCFG_B0_P7_U0_CFG31, 0x40010e5f +.set CYDEV_UCFG_B0_P7_U0_DCFG0, 0x40010e60 +.set CYDEV_UCFG_B0_P7_U0_DCFG1, 0x40010e62 +.set CYDEV_UCFG_B0_P7_U0_DCFG2, 0x40010e64 +.set CYDEV_UCFG_B0_P7_U0_DCFG3, 0x40010e66 +.set CYDEV_UCFG_B0_P7_U0_DCFG4, 0x40010e68 +.set CYDEV_UCFG_B0_P7_U0_DCFG5, 0x40010e6a +.set CYDEV_UCFG_B0_P7_U0_DCFG6, 0x40010e6c +.set CYDEV_UCFG_B0_P7_U0_DCFG7, 0x40010e6e +.set CYDEV_UCFG_B0_P7_U1_BASE, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT0, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT1, 0x40010e84 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT2, 0x40010e88 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT3, 0x40010e8c +.set CYDEV_UCFG_B0_P7_U1_PLD_IT4, 0x40010e90 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT5, 0x40010e94 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT6, 0x40010e98 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT7, 0x40010e9c +.set CYDEV_UCFG_B0_P7_U1_PLD_IT8, 0x40010ea0 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT9, 0x40010ea4 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT10, 0x40010ea8 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT11, 0x40010eac +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT0, 0x40010eb0 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT1, 0x40010eb2 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT2, 0x40010eb4 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT3, 0x40010eb6 +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST, 0x40010eb8 +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB, 0x40010eba +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET, 0x40010ebc +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS, 0x40010ebe +.set CYDEV_UCFG_B0_P7_U1_CFG0, 0x40010ec0 +.set CYDEV_UCFG_B0_P7_U1_CFG1, 0x40010ec1 +.set CYDEV_UCFG_B0_P7_U1_CFG2, 0x40010ec2 +.set CYDEV_UCFG_B0_P7_U1_CFG3, 0x40010ec3 +.set CYDEV_UCFG_B0_P7_U1_CFG4, 0x40010ec4 +.set CYDEV_UCFG_B0_P7_U1_CFG5, 0x40010ec5 +.set CYDEV_UCFG_B0_P7_U1_CFG6, 0x40010ec6 +.set CYDEV_UCFG_B0_P7_U1_CFG7, 0x40010ec7 +.set CYDEV_UCFG_B0_P7_U1_CFG8, 0x40010ec8 +.set CYDEV_UCFG_B0_P7_U1_CFG9, 0x40010ec9 +.set CYDEV_UCFG_B0_P7_U1_CFG10, 0x40010eca +.set CYDEV_UCFG_B0_P7_U1_CFG11, 0x40010ecb +.set CYDEV_UCFG_B0_P7_U1_CFG12, 0x40010ecc +.set CYDEV_UCFG_B0_P7_U1_CFG13, 0x40010ecd +.set CYDEV_UCFG_B0_P7_U1_CFG14, 0x40010ece +.set CYDEV_UCFG_B0_P7_U1_CFG15, 0x40010ecf +.set CYDEV_UCFG_B0_P7_U1_CFG16, 0x40010ed0 +.set CYDEV_UCFG_B0_P7_U1_CFG17, 0x40010ed1 +.set CYDEV_UCFG_B0_P7_U1_CFG18, 0x40010ed2 +.set CYDEV_UCFG_B0_P7_U1_CFG19, 0x40010ed3 +.set CYDEV_UCFG_B0_P7_U1_CFG20, 0x40010ed4 +.set CYDEV_UCFG_B0_P7_U1_CFG21, 0x40010ed5 +.set CYDEV_UCFG_B0_P7_U1_CFG22, 0x40010ed6 +.set CYDEV_UCFG_B0_P7_U1_CFG23, 0x40010ed7 +.set CYDEV_UCFG_B0_P7_U1_CFG24, 0x40010ed8 +.set CYDEV_UCFG_B0_P7_U1_CFG25, 0x40010ed9 +.set CYDEV_UCFG_B0_P7_U1_CFG26, 0x40010eda +.set CYDEV_UCFG_B0_P7_U1_CFG27, 0x40010edb +.set CYDEV_UCFG_B0_P7_U1_CFG28, 0x40010edc +.set CYDEV_UCFG_B0_P7_U1_CFG29, 0x40010edd +.set CYDEV_UCFG_B0_P7_U1_CFG30, 0x40010ede +.set CYDEV_UCFG_B0_P7_U1_CFG31, 0x40010edf +.set CYDEV_UCFG_B0_P7_U1_DCFG0, 0x40010ee0 +.set CYDEV_UCFG_B0_P7_U1_DCFG1, 0x40010ee2 +.set CYDEV_UCFG_B0_P7_U1_DCFG2, 0x40010ee4 +.set CYDEV_UCFG_B0_P7_U1_DCFG3, 0x40010ee6 +.set CYDEV_UCFG_B0_P7_U1_DCFG4, 0x40010ee8 +.set CYDEV_UCFG_B0_P7_U1_DCFG5, 0x40010eea +.set CYDEV_UCFG_B0_P7_U1_DCFG6, 0x40010eec +.set CYDEV_UCFG_B0_P7_U1_DCFG7, 0x40010eee +.set CYDEV_UCFG_B0_P7_ROUTE_BASE, 0x40010f00 +.set CYDEV_UCFG_B0_P7_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_BASE, 0x40011000 +.set CYDEV_UCFG_B1_SIZE, 0x00000fef +.set CYDEV_UCFG_B1_P2_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P2_U0_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT0, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT1, 0x40011404 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT2, 0x40011408 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT3, 0x4001140c +.set CYDEV_UCFG_B1_P2_U0_PLD_IT4, 0x40011410 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT5, 0x40011414 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT6, 0x40011418 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT7, 0x4001141c +.set CYDEV_UCFG_B1_P2_U0_PLD_IT8, 0x40011420 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT9, 0x40011424 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT10, 0x40011428 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT11, 0x4001142c +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT0, 0x40011430 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT1, 0x40011432 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT2, 0x40011434 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT3, 0x40011436 +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST, 0x40011438 +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB, 0x4001143a +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET, 0x4001143c +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS, 0x4001143e +.set CYDEV_UCFG_B1_P2_U0_CFG0, 0x40011440 +.set CYDEV_UCFG_B1_P2_U0_CFG1, 0x40011441 +.set CYDEV_UCFG_B1_P2_U0_CFG2, 0x40011442 +.set CYDEV_UCFG_B1_P2_U0_CFG3, 0x40011443 +.set CYDEV_UCFG_B1_P2_U0_CFG4, 0x40011444 +.set CYDEV_UCFG_B1_P2_U0_CFG5, 0x40011445 +.set CYDEV_UCFG_B1_P2_U0_CFG6, 0x40011446 +.set CYDEV_UCFG_B1_P2_U0_CFG7, 0x40011447 +.set CYDEV_UCFG_B1_P2_U0_CFG8, 0x40011448 +.set CYDEV_UCFG_B1_P2_U0_CFG9, 0x40011449 +.set CYDEV_UCFG_B1_P2_U0_CFG10, 0x4001144a +.set CYDEV_UCFG_B1_P2_U0_CFG11, 0x4001144b +.set CYDEV_UCFG_B1_P2_U0_CFG12, 0x4001144c +.set CYDEV_UCFG_B1_P2_U0_CFG13, 0x4001144d +.set CYDEV_UCFG_B1_P2_U0_CFG14, 0x4001144e +.set CYDEV_UCFG_B1_P2_U0_CFG15, 0x4001144f +.set CYDEV_UCFG_B1_P2_U0_CFG16, 0x40011450 +.set CYDEV_UCFG_B1_P2_U0_CFG17, 0x40011451 +.set CYDEV_UCFG_B1_P2_U0_CFG18, 0x40011452 +.set CYDEV_UCFG_B1_P2_U0_CFG19, 0x40011453 +.set CYDEV_UCFG_B1_P2_U0_CFG20, 0x40011454 +.set CYDEV_UCFG_B1_P2_U0_CFG21, 0x40011455 +.set CYDEV_UCFG_B1_P2_U0_CFG22, 0x40011456 +.set CYDEV_UCFG_B1_P2_U0_CFG23, 0x40011457 +.set CYDEV_UCFG_B1_P2_U0_CFG24, 0x40011458 +.set CYDEV_UCFG_B1_P2_U0_CFG25, 0x40011459 +.set CYDEV_UCFG_B1_P2_U0_CFG26, 0x4001145a +.set CYDEV_UCFG_B1_P2_U0_CFG27, 0x4001145b +.set CYDEV_UCFG_B1_P2_U0_CFG28, 0x4001145c +.set CYDEV_UCFG_B1_P2_U0_CFG29, 0x4001145d +.set CYDEV_UCFG_B1_P2_U0_CFG30, 0x4001145e +.set CYDEV_UCFG_B1_P2_U0_CFG31, 0x4001145f +.set CYDEV_UCFG_B1_P2_U0_DCFG0, 0x40011460 +.set CYDEV_UCFG_B1_P2_U0_DCFG1, 0x40011462 +.set CYDEV_UCFG_B1_P2_U0_DCFG2, 0x40011464 +.set CYDEV_UCFG_B1_P2_U0_DCFG3, 0x40011466 +.set CYDEV_UCFG_B1_P2_U0_DCFG4, 0x40011468 +.set CYDEV_UCFG_B1_P2_U0_DCFG5, 0x4001146a +.set CYDEV_UCFG_B1_P2_U0_DCFG6, 0x4001146c +.set CYDEV_UCFG_B1_P2_U0_DCFG7, 0x4001146e +.set CYDEV_UCFG_B1_P2_U1_BASE, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT0, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT1, 0x40011484 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT2, 0x40011488 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT3, 0x4001148c +.set CYDEV_UCFG_B1_P2_U1_PLD_IT4, 0x40011490 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT5, 0x40011494 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT6, 0x40011498 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT7, 0x4001149c +.set CYDEV_UCFG_B1_P2_U1_PLD_IT8, 0x400114a0 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT9, 0x400114a4 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT10, 0x400114a8 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT11, 0x400114ac +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT0, 0x400114b0 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT1, 0x400114b2 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT2, 0x400114b4 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT3, 0x400114b6 +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST, 0x400114b8 +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB, 0x400114ba +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET, 0x400114bc +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS, 0x400114be +.set CYDEV_UCFG_B1_P2_U1_CFG0, 0x400114c0 +.set CYDEV_UCFG_B1_P2_U1_CFG1, 0x400114c1 +.set CYDEV_UCFG_B1_P2_U1_CFG2, 0x400114c2 +.set CYDEV_UCFG_B1_P2_U1_CFG3, 0x400114c3 +.set CYDEV_UCFG_B1_P2_U1_CFG4, 0x400114c4 +.set CYDEV_UCFG_B1_P2_U1_CFG5, 0x400114c5 +.set CYDEV_UCFG_B1_P2_U1_CFG6, 0x400114c6 +.set CYDEV_UCFG_B1_P2_U1_CFG7, 0x400114c7 +.set CYDEV_UCFG_B1_P2_U1_CFG8, 0x400114c8 +.set CYDEV_UCFG_B1_P2_U1_CFG9, 0x400114c9 +.set CYDEV_UCFG_B1_P2_U1_CFG10, 0x400114ca +.set CYDEV_UCFG_B1_P2_U1_CFG11, 0x400114cb +.set CYDEV_UCFG_B1_P2_U1_CFG12, 0x400114cc +.set CYDEV_UCFG_B1_P2_U1_CFG13, 0x400114cd +.set CYDEV_UCFG_B1_P2_U1_CFG14, 0x400114ce +.set CYDEV_UCFG_B1_P2_U1_CFG15, 0x400114cf +.set CYDEV_UCFG_B1_P2_U1_CFG16, 0x400114d0 +.set CYDEV_UCFG_B1_P2_U1_CFG17, 0x400114d1 +.set CYDEV_UCFG_B1_P2_U1_CFG18, 0x400114d2 +.set CYDEV_UCFG_B1_P2_U1_CFG19, 0x400114d3 +.set CYDEV_UCFG_B1_P2_U1_CFG20, 0x400114d4 +.set CYDEV_UCFG_B1_P2_U1_CFG21, 0x400114d5 +.set CYDEV_UCFG_B1_P2_U1_CFG22, 0x400114d6 +.set CYDEV_UCFG_B1_P2_U1_CFG23, 0x400114d7 +.set CYDEV_UCFG_B1_P2_U1_CFG24, 0x400114d8 +.set CYDEV_UCFG_B1_P2_U1_CFG25, 0x400114d9 +.set CYDEV_UCFG_B1_P2_U1_CFG26, 0x400114da +.set CYDEV_UCFG_B1_P2_U1_CFG27, 0x400114db +.set CYDEV_UCFG_B1_P2_U1_CFG28, 0x400114dc +.set CYDEV_UCFG_B1_P2_U1_CFG29, 0x400114dd +.set CYDEV_UCFG_B1_P2_U1_CFG30, 0x400114de +.set CYDEV_UCFG_B1_P2_U1_CFG31, 0x400114df +.set CYDEV_UCFG_B1_P2_U1_DCFG0, 0x400114e0 +.set CYDEV_UCFG_B1_P2_U1_DCFG1, 0x400114e2 +.set CYDEV_UCFG_B1_P2_U1_DCFG2, 0x400114e4 +.set CYDEV_UCFG_B1_P2_U1_DCFG3, 0x400114e6 +.set CYDEV_UCFG_B1_P2_U1_DCFG4, 0x400114e8 +.set CYDEV_UCFG_B1_P2_U1_DCFG5, 0x400114ea +.set CYDEV_UCFG_B1_P2_U1_DCFG6, 0x400114ec +.set CYDEV_UCFG_B1_P2_U1_DCFG7, 0x400114ee +.set CYDEV_UCFG_B1_P2_ROUTE_BASE, 0x40011500 +.set CYDEV_UCFG_B1_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P3_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P3_U0_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT0, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT1, 0x40011604 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT2, 0x40011608 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT3, 0x4001160c +.set CYDEV_UCFG_B1_P3_U0_PLD_IT4, 0x40011610 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT5, 0x40011614 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT6, 0x40011618 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT7, 0x4001161c +.set CYDEV_UCFG_B1_P3_U0_PLD_IT8, 0x40011620 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT9, 0x40011624 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT10, 0x40011628 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT11, 0x4001162c +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT0, 0x40011630 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT1, 0x40011632 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT2, 0x40011634 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT3, 0x40011636 +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST, 0x40011638 +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB, 0x4001163a +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET, 0x4001163c +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS, 0x4001163e +.set CYDEV_UCFG_B1_P3_U0_CFG0, 0x40011640 +.set CYDEV_UCFG_B1_P3_U0_CFG1, 0x40011641 +.set CYDEV_UCFG_B1_P3_U0_CFG2, 0x40011642 +.set CYDEV_UCFG_B1_P3_U0_CFG3, 0x40011643 +.set CYDEV_UCFG_B1_P3_U0_CFG4, 0x40011644 +.set CYDEV_UCFG_B1_P3_U0_CFG5, 0x40011645 +.set CYDEV_UCFG_B1_P3_U0_CFG6, 0x40011646 +.set CYDEV_UCFG_B1_P3_U0_CFG7, 0x40011647 +.set CYDEV_UCFG_B1_P3_U0_CFG8, 0x40011648 +.set CYDEV_UCFG_B1_P3_U0_CFG9, 0x40011649 +.set CYDEV_UCFG_B1_P3_U0_CFG10, 0x4001164a +.set CYDEV_UCFG_B1_P3_U0_CFG11, 0x4001164b +.set CYDEV_UCFG_B1_P3_U0_CFG12, 0x4001164c +.set CYDEV_UCFG_B1_P3_U0_CFG13, 0x4001164d +.set CYDEV_UCFG_B1_P3_U0_CFG14, 0x4001164e +.set CYDEV_UCFG_B1_P3_U0_CFG15, 0x4001164f +.set CYDEV_UCFG_B1_P3_U0_CFG16, 0x40011650 +.set CYDEV_UCFG_B1_P3_U0_CFG17, 0x40011651 +.set CYDEV_UCFG_B1_P3_U0_CFG18, 0x40011652 +.set CYDEV_UCFG_B1_P3_U0_CFG19, 0x40011653 +.set CYDEV_UCFG_B1_P3_U0_CFG20, 0x40011654 +.set CYDEV_UCFG_B1_P3_U0_CFG21, 0x40011655 +.set CYDEV_UCFG_B1_P3_U0_CFG22, 0x40011656 +.set CYDEV_UCFG_B1_P3_U0_CFG23, 0x40011657 +.set CYDEV_UCFG_B1_P3_U0_CFG24, 0x40011658 +.set CYDEV_UCFG_B1_P3_U0_CFG25, 0x40011659 +.set CYDEV_UCFG_B1_P3_U0_CFG26, 0x4001165a +.set CYDEV_UCFG_B1_P3_U0_CFG27, 0x4001165b +.set CYDEV_UCFG_B1_P3_U0_CFG28, 0x4001165c +.set CYDEV_UCFG_B1_P3_U0_CFG29, 0x4001165d +.set CYDEV_UCFG_B1_P3_U0_CFG30, 0x4001165e +.set CYDEV_UCFG_B1_P3_U0_CFG31, 0x4001165f +.set CYDEV_UCFG_B1_P3_U0_DCFG0, 0x40011660 +.set CYDEV_UCFG_B1_P3_U0_DCFG1, 0x40011662 +.set CYDEV_UCFG_B1_P3_U0_DCFG2, 0x40011664 +.set CYDEV_UCFG_B1_P3_U0_DCFG3, 0x40011666 +.set CYDEV_UCFG_B1_P3_U0_DCFG4, 0x40011668 +.set CYDEV_UCFG_B1_P3_U0_DCFG5, 0x4001166a +.set CYDEV_UCFG_B1_P3_U0_DCFG6, 0x4001166c +.set CYDEV_UCFG_B1_P3_U0_DCFG7, 0x4001166e +.set CYDEV_UCFG_B1_P3_U1_BASE, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT0, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT1, 0x40011684 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT2, 0x40011688 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT3, 0x4001168c +.set CYDEV_UCFG_B1_P3_U1_PLD_IT4, 0x40011690 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT5, 0x40011694 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT6, 0x40011698 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT7, 0x4001169c +.set CYDEV_UCFG_B1_P3_U1_PLD_IT8, 0x400116a0 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT9, 0x400116a4 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT10, 0x400116a8 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT11, 0x400116ac +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT0, 0x400116b0 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT1, 0x400116b2 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT2, 0x400116b4 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT3, 0x400116b6 +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST, 0x400116b8 +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB, 0x400116ba +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET, 0x400116bc +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS, 0x400116be +.set CYDEV_UCFG_B1_P3_U1_CFG0, 0x400116c0 +.set CYDEV_UCFG_B1_P3_U1_CFG1, 0x400116c1 +.set CYDEV_UCFG_B1_P3_U1_CFG2, 0x400116c2 +.set CYDEV_UCFG_B1_P3_U1_CFG3, 0x400116c3 +.set CYDEV_UCFG_B1_P3_U1_CFG4, 0x400116c4 +.set CYDEV_UCFG_B1_P3_U1_CFG5, 0x400116c5 +.set CYDEV_UCFG_B1_P3_U1_CFG6, 0x400116c6 +.set CYDEV_UCFG_B1_P3_U1_CFG7, 0x400116c7 +.set CYDEV_UCFG_B1_P3_U1_CFG8, 0x400116c8 +.set CYDEV_UCFG_B1_P3_U1_CFG9, 0x400116c9 +.set CYDEV_UCFG_B1_P3_U1_CFG10, 0x400116ca +.set CYDEV_UCFG_B1_P3_U1_CFG11, 0x400116cb +.set CYDEV_UCFG_B1_P3_U1_CFG12, 0x400116cc +.set CYDEV_UCFG_B1_P3_U1_CFG13, 0x400116cd +.set CYDEV_UCFG_B1_P3_U1_CFG14, 0x400116ce +.set CYDEV_UCFG_B1_P3_U1_CFG15, 0x400116cf +.set CYDEV_UCFG_B1_P3_U1_CFG16, 0x400116d0 +.set CYDEV_UCFG_B1_P3_U1_CFG17, 0x400116d1 +.set CYDEV_UCFG_B1_P3_U1_CFG18, 0x400116d2 +.set CYDEV_UCFG_B1_P3_U1_CFG19, 0x400116d3 +.set CYDEV_UCFG_B1_P3_U1_CFG20, 0x400116d4 +.set CYDEV_UCFG_B1_P3_U1_CFG21, 0x400116d5 +.set CYDEV_UCFG_B1_P3_U1_CFG22, 0x400116d6 +.set CYDEV_UCFG_B1_P3_U1_CFG23, 0x400116d7 +.set CYDEV_UCFG_B1_P3_U1_CFG24, 0x400116d8 +.set CYDEV_UCFG_B1_P3_U1_CFG25, 0x400116d9 +.set CYDEV_UCFG_B1_P3_U1_CFG26, 0x400116da +.set CYDEV_UCFG_B1_P3_U1_CFG27, 0x400116db +.set CYDEV_UCFG_B1_P3_U1_CFG28, 0x400116dc +.set CYDEV_UCFG_B1_P3_U1_CFG29, 0x400116dd +.set CYDEV_UCFG_B1_P3_U1_CFG30, 0x400116de +.set CYDEV_UCFG_B1_P3_U1_CFG31, 0x400116df +.set CYDEV_UCFG_B1_P3_U1_DCFG0, 0x400116e0 +.set CYDEV_UCFG_B1_P3_U1_DCFG1, 0x400116e2 +.set CYDEV_UCFG_B1_P3_U1_DCFG2, 0x400116e4 +.set CYDEV_UCFG_B1_P3_U1_DCFG3, 0x400116e6 +.set CYDEV_UCFG_B1_P3_U1_DCFG4, 0x400116e8 +.set CYDEV_UCFG_B1_P3_U1_DCFG5, 0x400116ea +.set CYDEV_UCFG_B1_P3_U1_DCFG6, 0x400116ec +.set CYDEV_UCFG_B1_P3_U1_DCFG7, 0x400116ee +.set CYDEV_UCFG_B1_P3_ROUTE_BASE, 0x40011700 +.set CYDEV_UCFG_B1_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P4_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P4_U0_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT0, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT1, 0x40011804 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT2, 0x40011808 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT3, 0x4001180c +.set CYDEV_UCFG_B1_P4_U0_PLD_IT4, 0x40011810 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT5, 0x40011814 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT6, 0x40011818 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT7, 0x4001181c +.set CYDEV_UCFG_B1_P4_U0_PLD_IT8, 0x40011820 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT9, 0x40011824 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT10, 0x40011828 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT11, 0x4001182c +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT0, 0x40011830 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT1, 0x40011832 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT2, 0x40011834 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT3, 0x40011836 +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST, 0x40011838 +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB, 0x4001183a +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET, 0x4001183c +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS, 0x4001183e +.set CYDEV_UCFG_B1_P4_U0_CFG0, 0x40011840 +.set CYDEV_UCFG_B1_P4_U0_CFG1, 0x40011841 +.set CYDEV_UCFG_B1_P4_U0_CFG2, 0x40011842 +.set CYDEV_UCFG_B1_P4_U0_CFG3, 0x40011843 +.set CYDEV_UCFG_B1_P4_U0_CFG4, 0x40011844 +.set CYDEV_UCFG_B1_P4_U0_CFG5, 0x40011845 +.set CYDEV_UCFG_B1_P4_U0_CFG6, 0x40011846 +.set CYDEV_UCFG_B1_P4_U0_CFG7, 0x40011847 +.set CYDEV_UCFG_B1_P4_U0_CFG8, 0x40011848 +.set CYDEV_UCFG_B1_P4_U0_CFG9, 0x40011849 +.set CYDEV_UCFG_B1_P4_U0_CFG10, 0x4001184a +.set CYDEV_UCFG_B1_P4_U0_CFG11, 0x4001184b +.set CYDEV_UCFG_B1_P4_U0_CFG12, 0x4001184c +.set CYDEV_UCFG_B1_P4_U0_CFG13, 0x4001184d +.set CYDEV_UCFG_B1_P4_U0_CFG14, 0x4001184e +.set CYDEV_UCFG_B1_P4_U0_CFG15, 0x4001184f +.set CYDEV_UCFG_B1_P4_U0_CFG16, 0x40011850 +.set CYDEV_UCFG_B1_P4_U0_CFG17, 0x40011851 +.set CYDEV_UCFG_B1_P4_U0_CFG18, 0x40011852 +.set CYDEV_UCFG_B1_P4_U0_CFG19, 0x40011853 +.set CYDEV_UCFG_B1_P4_U0_CFG20, 0x40011854 +.set CYDEV_UCFG_B1_P4_U0_CFG21, 0x40011855 +.set CYDEV_UCFG_B1_P4_U0_CFG22, 0x40011856 +.set CYDEV_UCFG_B1_P4_U0_CFG23, 0x40011857 +.set CYDEV_UCFG_B1_P4_U0_CFG24, 0x40011858 +.set CYDEV_UCFG_B1_P4_U0_CFG25, 0x40011859 +.set CYDEV_UCFG_B1_P4_U0_CFG26, 0x4001185a +.set CYDEV_UCFG_B1_P4_U0_CFG27, 0x4001185b +.set CYDEV_UCFG_B1_P4_U0_CFG28, 0x4001185c +.set CYDEV_UCFG_B1_P4_U0_CFG29, 0x4001185d +.set CYDEV_UCFG_B1_P4_U0_CFG30, 0x4001185e +.set CYDEV_UCFG_B1_P4_U0_CFG31, 0x4001185f +.set CYDEV_UCFG_B1_P4_U0_DCFG0, 0x40011860 +.set CYDEV_UCFG_B1_P4_U0_DCFG1, 0x40011862 +.set CYDEV_UCFG_B1_P4_U0_DCFG2, 0x40011864 +.set CYDEV_UCFG_B1_P4_U0_DCFG3, 0x40011866 +.set CYDEV_UCFG_B1_P4_U0_DCFG4, 0x40011868 +.set CYDEV_UCFG_B1_P4_U0_DCFG5, 0x4001186a +.set CYDEV_UCFG_B1_P4_U0_DCFG6, 0x4001186c +.set CYDEV_UCFG_B1_P4_U0_DCFG7, 0x4001186e +.set CYDEV_UCFG_B1_P4_U1_BASE, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT0, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT1, 0x40011884 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT2, 0x40011888 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT3, 0x4001188c +.set CYDEV_UCFG_B1_P4_U1_PLD_IT4, 0x40011890 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT5, 0x40011894 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT6, 0x40011898 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT7, 0x4001189c +.set CYDEV_UCFG_B1_P4_U1_PLD_IT8, 0x400118a0 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT9, 0x400118a4 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT10, 0x400118a8 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT11, 0x400118ac +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT0, 0x400118b0 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT1, 0x400118b2 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT2, 0x400118b4 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT3, 0x400118b6 +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST, 0x400118b8 +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB, 0x400118ba +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET, 0x400118bc +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS, 0x400118be +.set CYDEV_UCFG_B1_P4_U1_CFG0, 0x400118c0 +.set CYDEV_UCFG_B1_P4_U1_CFG1, 0x400118c1 +.set CYDEV_UCFG_B1_P4_U1_CFG2, 0x400118c2 +.set CYDEV_UCFG_B1_P4_U1_CFG3, 0x400118c3 +.set CYDEV_UCFG_B1_P4_U1_CFG4, 0x400118c4 +.set CYDEV_UCFG_B1_P4_U1_CFG5, 0x400118c5 +.set CYDEV_UCFG_B1_P4_U1_CFG6, 0x400118c6 +.set CYDEV_UCFG_B1_P4_U1_CFG7, 0x400118c7 +.set CYDEV_UCFG_B1_P4_U1_CFG8, 0x400118c8 +.set CYDEV_UCFG_B1_P4_U1_CFG9, 0x400118c9 +.set CYDEV_UCFG_B1_P4_U1_CFG10, 0x400118ca +.set CYDEV_UCFG_B1_P4_U1_CFG11, 0x400118cb +.set CYDEV_UCFG_B1_P4_U1_CFG12, 0x400118cc +.set CYDEV_UCFG_B1_P4_U1_CFG13, 0x400118cd +.set CYDEV_UCFG_B1_P4_U1_CFG14, 0x400118ce +.set CYDEV_UCFG_B1_P4_U1_CFG15, 0x400118cf +.set CYDEV_UCFG_B1_P4_U1_CFG16, 0x400118d0 +.set CYDEV_UCFG_B1_P4_U1_CFG17, 0x400118d1 +.set CYDEV_UCFG_B1_P4_U1_CFG18, 0x400118d2 +.set CYDEV_UCFG_B1_P4_U1_CFG19, 0x400118d3 +.set CYDEV_UCFG_B1_P4_U1_CFG20, 0x400118d4 +.set CYDEV_UCFG_B1_P4_U1_CFG21, 0x400118d5 +.set CYDEV_UCFG_B1_P4_U1_CFG22, 0x400118d6 +.set CYDEV_UCFG_B1_P4_U1_CFG23, 0x400118d7 +.set CYDEV_UCFG_B1_P4_U1_CFG24, 0x400118d8 +.set CYDEV_UCFG_B1_P4_U1_CFG25, 0x400118d9 +.set CYDEV_UCFG_B1_P4_U1_CFG26, 0x400118da +.set CYDEV_UCFG_B1_P4_U1_CFG27, 0x400118db +.set CYDEV_UCFG_B1_P4_U1_CFG28, 0x400118dc +.set CYDEV_UCFG_B1_P4_U1_CFG29, 0x400118dd +.set CYDEV_UCFG_B1_P4_U1_CFG30, 0x400118de +.set CYDEV_UCFG_B1_P4_U1_CFG31, 0x400118df +.set CYDEV_UCFG_B1_P4_U1_DCFG0, 0x400118e0 +.set CYDEV_UCFG_B1_P4_U1_DCFG1, 0x400118e2 +.set CYDEV_UCFG_B1_P4_U1_DCFG2, 0x400118e4 +.set CYDEV_UCFG_B1_P4_U1_DCFG3, 0x400118e6 +.set CYDEV_UCFG_B1_P4_U1_DCFG4, 0x400118e8 +.set CYDEV_UCFG_B1_P4_U1_DCFG5, 0x400118ea +.set CYDEV_UCFG_B1_P4_U1_DCFG6, 0x400118ec +.set CYDEV_UCFG_B1_P4_U1_DCFG7, 0x400118ee +.set CYDEV_UCFG_B1_P4_ROUTE_BASE, 0x40011900 +.set CYDEV_UCFG_B1_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P5_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P5_U0_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT0, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT1, 0x40011a04 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT2, 0x40011a08 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT3, 0x40011a0c +.set CYDEV_UCFG_B1_P5_U0_PLD_IT4, 0x40011a10 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT5, 0x40011a14 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT6, 0x40011a18 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT7, 0x40011a1c +.set CYDEV_UCFG_B1_P5_U0_PLD_IT8, 0x40011a20 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT9, 0x40011a24 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT10, 0x40011a28 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT11, 0x40011a2c +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT0, 0x40011a30 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT1, 0x40011a32 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT2, 0x40011a34 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT3, 0x40011a36 +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST, 0x40011a38 +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB, 0x40011a3a +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET, 0x40011a3c +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS, 0x40011a3e +.set CYDEV_UCFG_B1_P5_U0_CFG0, 0x40011a40 +.set CYDEV_UCFG_B1_P5_U0_CFG1, 0x40011a41 +.set CYDEV_UCFG_B1_P5_U0_CFG2, 0x40011a42 +.set CYDEV_UCFG_B1_P5_U0_CFG3, 0x40011a43 +.set CYDEV_UCFG_B1_P5_U0_CFG4, 0x40011a44 +.set CYDEV_UCFG_B1_P5_U0_CFG5, 0x40011a45 +.set CYDEV_UCFG_B1_P5_U0_CFG6, 0x40011a46 +.set CYDEV_UCFG_B1_P5_U0_CFG7, 0x40011a47 +.set CYDEV_UCFG_B1_P5_U0_CFG8, 0x40011a48 +.set CYDEV_UCFG_B1_P5_U0_CFG9, 0x40011a49 +.set CYDEV_UCFG_B1_P5_U0_CFG10, 0x40011a4a +.set CYDEV_UCFG_B1_P5_U0_CFG11, 0x40011a4b +.set CYDEV_UCFG_B1_P5_U0_CFG12, 0x40011a4c +.set CYDEV_UCFG_B1_P5_U0_CFG13, 0x40011a4d +.set CYDEV_UCFG_B1_P5_U0_CFG14, 0x40011a4e +.set CYDEV_UCFG_B1_P5_U0_CFG15, 0x40011a4f +.set CYDEV_UCFG_B1_P5_U0_CFG16, 0x40011a50 +.set CYDEV_UCFG_B1_P5_U0_CFG17, 0x40011a51 +.set CYDEV_UCFG_B1_P5_U0_CFG18, 0x40011a52 +.set CYDEV_UCFG_B1_P5_U0_CFG19, 0x40011a53 +.set CYDEV_UCFG_B1_P5_U0_CFG20, 0x40011a54 +.set CYDEV_UCFG_B1_P5_U0_CFG21, 0x40011a55 +.set CYDEV_UCFG_B1_P5_U0_CFG22, 0x40011a56 +.set CYDEV_UCFG_B1_P5_U0_CFG23, 0x40011a57 +.set CYDEV_UCFG_B1_P5_U0_CFG24, 0x40011a58 +.set CYDEV_UCFG_B1_P5_U0_CFG25, 0x40011a59 +.set CYDEV_UCFG_B1_P5_U0_CFG26, 0x40011a5a +.set CYDEV_UCFG_B1_P5_U0_CFG27, 0x40011a5b +.set CYDEV_UCFG_B1_P5_U0_CFG28, 0x40011a5c +.set CYDEV_UCFG_B1_P5_U0_CFG29, 0x40011a5d +.set CYDEV_UCFG_B1_P5_U0_CFG30, 0x40011a5e +.set CYDEV_UCFG_B1_P5_U0_CFG31, 0x40011a5f +.set CYDEV_UCFG_B1_P5_U0_DCFG0, 0x40011a60 +.set CYDEV_UCFG_B1_P5_U0_DCFG1, 0x40011a62 +.set CYDEV_UCFG_B1_P5_U0_DCFG2, 0x40011a64 +.set CYDEV_UCFG_B1_P5_U0_DCFG3, 0x40011a66 +.set CYDEV_UCFG_B1_P5_U0_DCFG4, 0x40011a68 +.set CYDEV_UCFG_B1_P5_U0_DCFG5, 0x40011a6a +.set CYDEV_UCFG_B1_P5_U0_DCFG6, 0x40011a6c +.set CYDEV_UCFG_B1_P5_U0_DCFG7, 0x40011a6e +.set CYDEV_UCFG_B1_P5_U1_BASE, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT0, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT1, 0x40011a84 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT2, 0x40011a88 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT3, 0x40011a8c +.set CYDEV_UCFG_B1_P5_U1_PLD_IT4, 0x40011a90 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT5, 0x40011a94 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT6, 0x40011a98 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT7, 0x40011a9c +.set CYDEV_UCFG_B1_P5_U1_PLD_IT8, 0x40011aa0 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT9, 0x40011aa4 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT10, 0x40011aa8 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT11, 0x40011aac +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT0, 0x40011ab0 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT1, 0x40011ab2 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT2, 0x40011ab4 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT3, 0x40011ab6 +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST, 0x40011ab8 +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB, 0x40011aba +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET, 0x40011abc +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS, 0x40011abe +.set CYDEV_UCFG_B1_P5_U1_CFG0, 0x40011ac0 +.set CYDEV_UCFG_B1_P5_U1_CFG1, 0x40011ac1 +.set CYDEV_UCFG_B1_P5_U1_CFG2, 0x40011ac2 +.set CYDEV_UCFG_B1_P5_U1_CFG3, 0x40011ac3 +.set CYDEV_UCFG_B1_P5_U1_CFG4, 0x40011ac4 +.set CYDEV_UCFG_B1_P5_U1_CFG5, 0x40011ac5 +.set CYDEV_UCFG_B1_P5_U1_CFG6, 0x40011ac6 +.set CYDEV_UCFG_B1_P5_U1_CFG7, 0x40011ac7 +.set CYDEV_UCFG_B1_P5_U1_CFG8, 0x40011ac8 +.set CYDEV_UCFG_B1_P5_U1_CFG9, 0x40011ac9 +.set CYDEV_UCFG_B1_P5_U1_CFG10, 0x40011aca +.set CYDEV_UCFG_B1_P5_U1_CFG11, 0x40011acb +.set CYDEV_UCFG_B1_P5_U1_CFG12, 0x40011acc +.set CYDEV_UCFG_B1_P5_U1_CFG13, 0x40011acd +.set CYDEV_UCFG_B1_P5_U1_CFG14, 0x40011ace +.set CYDEV_UCFG_B1_P5_U1_CFG15, 0x40011acf +.set CYDEV_UCFG_B1_P5_U1_CFG16, 0x40011ad0 +.set CYDEV_UCFG_B1_P5_U1_CFG17, 0x40011ad1 +.set CYDEV_UCFG_B1_P5_U1_CFG18, 0x40011ad2 +.set CYDEV_UCFG_B1_P5_U1_CFG19, 0x40011ad3 +.set CYDEV_UCFG_B1_P5_U1_CFG20, 0x40011ad4 +.set CYDEV_UCFG_B1_P5_U1_CFG21, 0x40011ad5 +.set CYDEV_UCFG_B1_P5_U1_CFG22, 0x40011ad6 +.set CYDEV_UCFG_B1_P5_U1_CFG23, 0x40011ad7 +.set CYDEV_UCFG_B1_P5_U1_CFG24, 0x40011ad8 +.set CYDEV_UCFG_B1_P5_U1_CFG25, 0x40011ad9 +.set CYDEV_UCFG_B1_P5_U1_CFG26, 0x40011ada +.set CYDEV_UCFG_B1_P5_U1_CFG27, 0x40011adb +.set CYDEV_UCFG_B1_P5_U1_CFG28, 0x40011adc +.set CYDEV_UCFG_B1_P5_U1_CFG29, 0x40011add +.set CYDEV_UCFG_B1_P5_U1_CFG30, 0x40011ade +.set CYDEV_UCFG_B1_P5_U1_CFG31, 0x40011adf +.set CYDEV_UCFG_B1_P5_U1_DCFG0, 0x40011ae0 +.set CYDEV_UCFG_B1_P5_U1_DCFG1, 0x40011ae2 +.set CYDEV_UCFG_B1_P5_U1_DCFG2, 0x40011ae4 +.set CYDEV_UCFG_B1_P5_U1_DCFG3, 0x40011ae6 +.set CYDEV_UCFG_B1_P5_U1_DCFG4, 0x40011ae8 +.set CYDEV_UCFG_B1_P5_U1_DCFG5, 0x40011aea +.set CYDEV_UCFG_B1_P5_U1_DCFG6, 0x40011aec +.set CYDEV_UCFG_B1_P5_U1_DCFG7, 0x40011aee +.set CYDEV_UCFG_B1_P5_ROUTE_BASE, 0x40011b00 +.set CYDEV_UCFG_B1_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI0_BASE, 0x40014000 +.set CYDEV_UCFG_DSI0_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI1_BASE, 0x40014100 +.set CYDEV_UCFG_DSI1_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI2_BASE, 0x40014200 +.set CYDEV_UCFG_DSI2_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI3_BASE, 0x40014300 +.set CYDEV_UCFG_DSI3_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI4_BASE, 0x40014400 +.set CYDEV_UCFG_DSI4_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI5_BASE, 0x40014500 +.set CYDEV_UCFG_DSI5_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI6_BASE, 0x40014600 +.set CYDEV_UCFG_DSI6_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI7_BASE, 0x40014700 +.set CYDEV_UCFG_DSI7_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI8_BASE, 0x40014800 +.set CYDEV_UCFG_DSI8_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI9_BASE, 0x40014900 +.set CYDEV_UCFG_DSI9_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI12_BASE, 0x40014c00 +.set CYDEV_UCFG_DSI12_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI13_BASE, 0x40014d00 +.set CYDEV_UCFG_DSI13_SIZE, 0x000000ef +.set CYDEV_UCFG_BCTL0_BASE, 0x40015000 +.set CYDEV_UCFG_BCTL0_SIZE, 0x00000010 +.set CYDEV_UCFG_BCTL0_MDCLK_EN, 0x40015000 +.set CYDEV_UCFG_BCTL0_MBCLK_EN, 0x40015001 +.set CYDEV_UCFG_BCTL0_WAIT_CFG, 0x40015002 +.set CYDEV_UCFG_BCTL0_BANK_CTL, 0x40015003 +.set CYDEV_UCFG_BCTL0_UDB_TEST_3, 0x40015007 +.set CYDEV_UCFG_BCTL0_DCLK_EN0, 0x40015008 +.set CYDEV_UCFG_BCTL0_BCLK_EN0, 0x40015009 +.set CYDEV_UCFG_BCTL0_DCLK_EN1, 0x4001500a +.set CYDEV_UCFG_BCTL0_BCLK_EN1, 0x4001500b +.set CYDEV_UCFG_BCTL0_DCLK_EN2, 0x4001500c +.set CYDEV_UCFG_BCTL0_BCLK_EN2, 0x4001500d +.set CYDEV_UCFG_BCTL0_DCLK_EN3, 0x4001500e +.set CYDEV_UCFG_BCTL0_BCLK_EN3, 0x4001500f +.set CYDEV_UCFG_BCTL1_BASE, 0x40015010 +.set CYDEV_UCFG_BCTL1_SIZE, 0x00000010 +.set CYDEV_UCFG_BCTL1_MDCLK_EN, 0x40015010 +.set CYDEV_UCFG_BCTL1_MBCLK_EN, 0x40015011 +.set CYDEV_UCFG_BCTL1_WAIT_CFG, 0x40015012 +.set CYDEV_UCFG_BCTL1_BANK_CTL, 0x40015013 +.set CYDEV_UCFG_BCTL1_UDB_TEST_3, 0x40015017 +.set CYDEV_UCFG_BCTL1_DCLK_EN0, 0x40015018 +.set CYDEV_UCFG_BCTL1_BCLK_EN0, 0x40015019 +.set CYDEV_UCFG_BCTL1_DCLK_EN1, 0x4001501a +.set CYDEV_UCFG_BCTL1_BCLK_EN1, 0x4001501b +.set CYDEV_UCFG_BCTL1_DCLK_EN2, 0x4001501c +.set CYDEV_UCFG_BCTL1_BCLK_EN2, 0x4001501d +.set CYDEV_UCFG_BCTL1_DCLK_EN3, 0x4001501e +.set CYDEV_UCFG_BCTL1_BCLK_EN3, 0x4001501f +.set CYDEV_IDMUX_BASE, 0x40015100 +.set CYDEV_IDMUX_SIZE, 0x00000016 +.set CYDEV_IDMUX_IRQ_CTL0, 0x40015100 +.set CYDEV_IDMUX_IRQ_CTL1, 0x40015101 +.set CYDEV_IDMUX_IRQ_CTL2, 0x40015102 +.set CYDEV_IDMUX_IRQ_CTL3, 0x40015103 +.set CYDEV_IDMUX_IRQ_CTL4, 0x40015104 +.set CYDEV_IDMUX_IRQ_CTL5, 0x40015105 +.set CYDEV_IDMUX_IRQ_CTL6, 0x40015106 +.set CYDEV_IDMUX_IRQ_CTL7, 0x40015107 +.set CYDEV_IDMUX_DRQ_CTL0, 0x40015110 +.set CYDEV_IDMUX_DRQ_CTL1, 0x40015111 +.set CYDEV_IDMUX_DRQ_CTL2, 0x40015112 +.set CYDEV_IDMUX_DRQ_CTL3, 0x40015113 +.set CYDEV_IDMUX_DRQ_CTL4, 0x40015114 +.set CYDEV_IDMUX_DRQ_CTL5, 0x40015115 +.set CYDEV_CACHERAM_BASE, 0x40030000 +.set CYDEV_CACHERAM_SIZE, 0x00000400 +.set CYDEV_CACHERAM_DATA_MBASE, 0x40030000 +.set CYDEV_CACHERAM_DATA_MSIZE, 0x00000400 +.set CYDEV_SFR_BASE, 0x40050100 +.set CYDEV_SFR_SIZE, 0x000000fb +.set CYDEV_SFR_GPIO0, 0x40050180 +.set CYDEV_SFR_GPIRD0, 0x40050189 +.set CYDEV_SFR_GPIO0_SEL, 0x4005018a +.set CYDEV_SFR_GPIO1, 0x40050190 +.set CYDEV_SFR_GPIRD1, 0x40050191 +.set CYDEV_SFR_GPIO2, 0x40050198 +.set CYDEV_SFR_GPIRD2, 0x40050199 +.set CYDEV_SFR_GPIO2_SEL, 0x4005019a +.set CYDEV_SFR_GPIO1_SEL, 0x400501a2 +.set CYDEV_SFR_GPIO3, 0x400501b0 +.set CYDEV_SFR_GPIRD3, 0x400501b1 +.set CYDEV_SFR_GPIO3_SEL, 0x400501b2 +.set CYDEV_SFR_GPIO4, 0x400501c0 +.set CYDEV_SFR_GPIRD4, 0x400501c1 +.set CYDEV_SFR_GPIO4_SEL, 0x400501c2 +.set CYDEV_SFR_GPIO5, 0x400501c8 +.set CYDEV_SFR_GPIRD5, 0x400501c9 +.set CYDEV_SFR_GPIO5_SEL, 0x400501ca +.set CYDEV_SFR_GPIO6, 0x400501d8 +.set CYDEV_SFR_GPIRD6, 0x400501d9 +.set CYDEV_SFR_GPIO6_SEL, 0x400501da +.set CYDEV_SFR_GPIO12, 0x400501e8 +.set CYDEV_SFR_GPIRD12, 0x400501e9 +.set CYDEV_SFR_GPIO12_SEL, 0x400501f2 +.set CYDEV_SFR_GPIO15, 0x400501f8 +.set CYDEV_SFR_GPIRD15, 0x400501f9 +.set CYDEV_SFR_GPIO15_SEL, 0x400501fa +.set CYDEV_P3BA_BASE, 0x40050300 +.set CYDEV_P3BA_SIZE, 0x0000002b +.set CYDEV_P3BA_Y_START, 0x40050300 +.set CYDEV_P3BA_YROLL, 0x40050301 +.set CYDEV_P3BA_YCFG, 0x40050302 +.set CYDEV_P3BA_X_START1, 0x40050303 +.set CYDEV_P3BA_X_START2, 0x40050304 +.set CYDEV_P3BA_XROLL1, 0x40050305 +.set CYDEV_P3BA_XROLL2, 0x40050306 +.set CYDEV_P3BA_XINC, 0x40050307 +.set CYDEV_P3BA_XCFG, 0x40050308 +.set CYDEV_P3BA_OFFSETADDR1, 0x40050309 +.set CYDEV_P3BA_OFFSETADDR2, 0x4005030a +.set CYDEV_P3BA_OFFSETADDR3, 0x4005030b +.set CYDEV_P3BA_ABSADDR1, 0x4005030c +.set CYDEV_P3BA_ABSADDR2, 0x4005030d +.set CYDEV_P3BA_ABSADDR3, 0x4005030e +.set CYDEV_P3BA_ABSADDR4, 0x4005030f +.set CYDEV_P3BA_DATCFG1, 0x40050310 +.set CYDEV_P3BA_DATCFG2, 0x40050311 +.set CYDEV_P3BA_CMP_RSLT1, 0x40050314 +.set CYDEV_P3BA_CMP_RSLT2, 0x40050315 +.set CYDEV_P3BA_CMP_RSLT3, 0x40050316 +.set CYDEV_P3BA_CMP_RSLT4, 0x40050317 +.set CYDEV_P3BA_DATA_REG1, 0x40050318 +.set CYDEV_P3BA_DATA_REG2, 0x40050319 +.set CYDEV_P3BA_DATA_REG3, 0x4005031a +.set CYDEV_P3BA_DATA_REG4, 0x4005031b +.set CYDEV_P3BA_EXP_DATA1, 0x4005031c +.set CYDEV_P3BA_EXP_DATA2, 0x4005031d +.set CYDEV_P3BA_EXP_DATA3, 0x4005031e +.set CYDEV_P3BA_EXP_DATA4, 0x4005031f +.set CYDEV_P3BA_MSTR_HRDATA1, 0x40050320 +.set CYDEV_P3BA_MSTR_HRDATA2, 0x40050321 +.set CYDEV_P3BA_MSTR_HRDATA3, 0x40050322 +.set CYDEV_P3BA_MSTR_HRDATA4, 0x40050323 +.set CYDEV_P3BA_BIST_EN, 0x40050324 +.set CYDEV_P3BA_PHUB_MASTER_SSR, 0x40050325 +.set CYDEV_P3BA_SEQCFG1, 0x40050326 +.set CYDEV_P3BA_SEQCFG2, 0x40050327 +.set CYDEV_P3BA_Y_CURR, 0x40050328 +.set CYDEV_P3BA_X_CURR1, 0x40050329 +.set CYDEV_P3BA_X_CURR2, 0x4005032a +.set CYDEV_PANTHER_BASE, 0x40080000 +.set CYDEV_PANTHER_SIZE, 0x00000020 +.set CYDEV_PANTHER_STCALIB_CFG, 0x40080000 +.set CYDEV_PANTHER_WAITPIPE, 0x40080004 +.set CYDEV_PANTHER_TRACE_CFG, 0x40080008 +.set CYDEV_PANTHER_DBG_CFG, 0x4008000c +.set CYDEV_PANTHER_CM3_LCKRST_STAT, 0x40080018 +.set CYDEV_PANTHER_DEVICE_ID, 0x4008001c +.set CYDEV_FLSECC_BASE, 0x48000000 +.set CYDEV_FLSECC_SIZE, 0x00008000 +.set CYDEV_FLSECC_DATA_MBASE, 0x48000000 +.set CYDEV_FLSECC_DATA_MSIZE, 0x00008000 +.set CYDEV_FLSHID_BASE, 0x49000000 +.set CYDEV_FLSHID_SIZE, 0x00000200 +.set CYDEV_FLSHID_RSVD_MBASE, 0x49000000 +.set CYDEV_FLSHID_RSVD_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_MDATA_MBASE, 0x49000080 +.set CYDEV_FLSHID_CUST_MDATA_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_TABLES_BASE, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_SIZE, 0x00000040 +.set CYDEV_FLSHID_CUST_TABLES_Y_LOC, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_X_LOC, 0x49000101 +.set CYDEV_FLSHID_CUST_TABLES_WAFER_NUM, 0x49000102 +.set CYDEV_FLSHID_CUST_TABLES_LOT_LSB, 0x49000103 +.set CYDEV_FLSHID_CUST_TABLES_LOT_MSB, 0x49000104 +.set CYDEV_FLSHID_CUST_TABLES_WRK_WK, 0x49000105 +.set CYDEV_FLSHID_CUST_TABLES_FAB_YR, 0x49000106 +.set CYDEV_FLSHID_CUST_TABLES_MINOR, 0x49000107 +.set CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ, 0x49000108 +.set CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ, 0x49000109 +.set CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ, 0x4900010a +.set CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ, 0x4900010b +.set CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ, 0x4900010c +.set CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ, 0x4900010d +.set CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ, 0x4900010e +.set CYDEV_FLSHID_CUST_TABLES_IMO_USB, 0x4900010f +.set CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS, 0x49000110 +.set CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS, 0x49000111 +.set CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS, 0x49000112 +.set CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS, 0x49000113 +.set CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS, 0x49000114 +.set CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS, 0x49000115 +.set CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS, 0x49000116 +.set CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS, 0x49000117 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M1, 0x49000118 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M2, 0x49000119 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M3, 0x4900011a +.set CYDEV_FLSHID_CUST_TABLES_DEC_M4, 0x4900011b +.set CYDEV_FLSHID_CUST_TABLES_DEC_M5, 0x4900011c +.set CYDEV_FLSHID_CUST_TABLES_DEC_M6, 0x4900011d +.set CYDEV_FLSHID_CUST_TABLES_DEC_M7, 0x4900011e +.set CYDEV_FLSHID_CUST_TABLES_DEC_M8, 0x4900011f +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M1, 0x49000120 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M2, 0x49000121 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M3, 0x49000122 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M4, 0x49000123 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M5, 0x49000124 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M6, 0x49000125 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M7, 0x49000126 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M8, 0x49000127 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M1, 0x49000128 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M2, 0x49000129 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M3, 0x4900012a +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M4, 0x4900012b +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M5, 0x4900012c +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M6, 0x4900012d +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M7, 0x4900012e +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M8, 0x4900012f +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M1, 0x49000130 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M2, 0x49000131 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M3, 0x49000132 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M4, 0x49000133 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M5, 0x49000134 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M6, 0x49000135 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M7, 0x49000136 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M8, 0x49000137 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M1, 0x49000138 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M2, 0x49000139 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M3, 0x4900013a +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M4, 0x4900013b +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M5, 0x4900013c +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M6, 0x4900013d +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M7, 0x4900013e +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M8, 0x4900013f +.set CYDEV_FLSHID_MFG_CFG_BASE, 0x49000180 +.set CYDEV_FLSHID_MFG_CFG_SIZE, 0x00000080 +.set CYDEV_FLSHID_MFG_CFG_IMO_TR1, 0x49000188 +.set CYDEV_FLSHID_MFG_CFG_CMP0_TR0, 0x490001ac +.set CYDEV_FLSHID_MFG_CFG_CMP1_TR0, 0x490001ae +.set CYDEV_FLSHID_MFG_CFG_CMP2_TR0, 0x490001b0 +.set CYDEV_FLSHID_MFG_CFG_CMP3_TR0, 0x490001b2 +.set CYDEV_FLSHID_MFG_CFG_CMP0_TR1, 0x490001b4 +.set CYDEV_FLSHID_MFG_CFG_CMP1_TR1, 0x490001b6 +.set CYDEV_FLSHID_MFG_CFG_CMP2_TR1, 0x490001b8 +.set CYDEV_FLSHID_MFG_CFG_CMP3_TR1, 0x490001ba +.set CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM, 0x490001ce +.set CYDEV_EXTMEM_BASE, 0x60000000 +.set CYDEV_EXTMEM_SIZE, 0x00800000 +.set CYDEV_EXTMEM_DATA_MBASE, 0x60000000 +.set CYDEV_EXTMEM_DATA_MSIZE, 0x00800000 +.set CYDEV_ITM_BASE, 0xe0000000 +.set CYDEV_ITM_SIZE, 0x00001000 +.set CYDEV_ITM_TRACE_EN, 0xe0000e00 +.set CYDEV_ITM_TRACE_PRIVILEGE, 0xe0000e40 +.set CYDEV_ITM_TRACE_CTRL, 0xe0000e80 +.set CYDEV_ITM_LOCK_ACCESS, 0xe0000fb0 +.set CYDEV_ITM_LOCK_STATUS, 0xe0000fb4 +.set CYDEV_ITM_PID4, 0xe0000fd0 +.set CYDEV_ITM_PID5, 0xe0000fd4 +.set CYDEV_ITM_PID6, 0xe0000fd8 +.set CYDEV_ITM_PID7, 0xe0000fdc +.set CYDEV_ITM_PID0, 0xe0000fe0 +.set CYDEV_ITM_PID1, 0xe0000fe4 +.set CYDEV_ITM_PID2, 0xe0000fe8 +.set CYDEV_ITM_PID3, 0xe0000fec +.set CYDEV_ITM_CID0, 0xe0000ff0 +.set CYDEV_ITM_CID1, 0xe0000ff4 +.set CYDEV_ITM_CID2, 0xe0000ff8 +.set CYDEV_ITM_CID3, 0xe0000ffc +.set CYDEV_DWT_BASE, 0xe0001000 +.set CYDEV_DWT_SIZE, 0x0000005c +.set CYDEV_DWT_CTRL, 0xe0001000 +.set CYDEV_DWT_CYCLE_COUNT, 0xe0001004 +.set CYDEV_DWT_CPI_COUNT, 0xe0001008 +.set CYDEV_DWT_EXC_OVHD_COUNT, 0xe000100c +.set CYDEV_DWT_SLEEP_COUNT, 0xe0001010 +.set CYDEV_DWT_LSU_COUNT, 0xe0001014 +.set CYDEV_DWT_FOLD_COUNT, 0xe0001018 +.set CYDEV_DWT_PC_SAMPLE, 0xe000101c +.set CYDEV_DWT_COMP_0, 0xe0001020 +.set CYDEV_DWT_MASK_0, 0xe0001024 +.set CYDEV_DWT_FUNCTION_0, 0xe0001028 +.set CYDEV_DWT_COMP_1, 0xe0001030 +.set CYDEV_DWT_MASK_1, 0xe0001034 +.set CYDEV_DWT_FUNCTION_1, 0xe0001038 +.set CYDEV_DWT_COMP_2, 0xe0001040 +.set CYDEV_DWT_MASK_2, 0xe0001044 +.set CYDEV_DWT_FUNCTION_2, 0xe0001048 +.set CYDEV_DWT_COMP_3, 0xe0001050 +.set CYDEV_DWT_MASK_3, 0xe0001054 +.set CYDEV_DWT_FUNCTION_3, 0xe0001058 +.set CYDEV_FPB_BASE, 0xe0002000 +.set CYDEV_FPB_SIZE, 0x00001000 +.set CYDEV_FPB_CTRL, 0xe0002000 +.set CYDEV_FPB_REMAP, 0xe0002004 +.set CYDEV_FPB_FP_COMP_0, 0xe0002008 +.set CYDEV_FPB_FP_COMP_1, 0xe000200c +.set CYDEV_FPB_FP_COMP_2, 0xe0002010 +.set CYDEV_FPB_FP_COMP_3, 0xe0002014 +.set CYDEV_FPB_FP_COMP_4, 0xe0002018 +.set CYDEV_FPB_FP_COMP_5, 0xe000201c +.set CYDEV_FPB_FP_COMP_6, 0xe0002020 +.set CYDEV_FPB_FP_COMP_7, 0xe0002024 +.set CYDEV_FPB_PID4, 0xe0002fd0 +.set CYDEV_FPB_PID5, 0xe0002fd4 +.set CYDEV_FPB_PID6, 0xe0002fd8 +.set CYDEV_FPB_PID7, 0xe0002fdc +.set CYDEV_FPB_PID0, 0xe0002fe0 +.set CYDEV_FPB_PID1, 0xe0002fe4 +.set CYDEV_FPB_PID2, 0xe0002fe8 +.set CYDEV_FPB_PID3, 0xe0002fec +.set CYDEV_FPB_CID0, 0xe0002ff0 +.set CYDEV_FPB_CID1, 0xe0002ff4 +.set CYDEV_FPB_CID2, 0xe0002ff8 +.set CYDEV_FPB_CID3, 0xe0002ffc +.set CYDEV_NVIC_BASE, 0xe000e000 +.set CYDEV_NVIC_SIZE, 0x00000d3c +.set CYDEV_NVIC_INT_CTL_TYPE, 0xe000e004 +.set CYDEV_NVIC_SYSTICK_CTL, 0xe000e010 +.set CYDEV_NVIC_SYSTICK_RELOAD, 0xe000e014 +.set CYDEV_NVIC_SYSTICK_CURRENT, 0xe000e018 +.set CYDEV_NVIC_SYSTICK_CAL, 0xe000e01c +.set CYDEV_NVIC_SETENA0, 0xe000e100 +.set CYDEV_NVIC_CLRENA0, 0xe000e180 +.set CYDEV_NVIC_SETPEND0, 0xe000e200 +.set CYDEV_NVIC_CLRPEND0, 0xe000e280 +.set CYDEV_NVIC_ACTIVE0, 0xe000e300 +.set CYDEV_NVIC_PRI_0, 0xe000e400 +.set CYDEV_NVIC_PRI_1, 0xe000e401 +.set CYDEV_NVIC_PRI_2, 0xe000e402 +.set CYDEV_NVIC_PRI_3, 0xe000e403 +.set CYDEV_NVIC_PRI_4, 0xe000e404 +.set CYDEV_NVIC_PRI_5, 0xe000e405 +.set CYDEV_NVIC_PRI_6, 0xe000e406 +.set CYDEV_NVIC_PRI_7, 0xe000e407 +.set CYDEV_NVIC_PRI_8, 0xe000e408 +.set CYDEV_NVIC_PRI_9, 0xe000e409 +.set CYDEV_NVIC_PRI_10, 0xe000e40a +.set CYDEV_NVIC_PRI_11, 0xe000e40b +.set CYDEV_NVIC_PRI_12, 0xe000e40c +.set CYDEV_NVIC_PRI_13, 0xe000e40d +.set CYDEV_NVIC_PRI_14, 0xe000e40e +.set CYDEV_NVIC_PRI_15, 0xe000e40f +.set CYDEV_NVIC_PRI_16, 0xe000e410 +.set CYDEV_NVIC_PRI_17, 0xe000e411 +.set CYDEV_NVIC_PRI_18, 0xe000e412 +.set CYDEV_NVIC_PRI_19, 0xe000e413 +.set CYDEV_NVIC_PRI_20, 0xe000e414 +.set CYDEV_NVIC_PRI_21, 0xe000e415 +.set CYDEV_NVIC_PRI_22, 0xe000e416 +.set CYDEV_NVIC_PRI_23, 0xe000e417 +.set CYDEV_NVIC_PRI_24, 0xe000e418 +.set CYDEV_NVIC_PRI_25, 0xe000e419 +.set CYDEV_NVIC_PRI_26, 0xe000e41a +.set CYDEV_NVIC_PRI_27, 0xe000e41b +.set CYDEV_NVIC_PRI_28, 0xe000e41c +.set CYDEV_NVIC_PRI_29, 0xe000e41d +.set CYDEV_NVIC_PRI_30, 0xe000e41e +.set CYDEV_NVIC_PRI_31, 0xe000e41f +.set CYDEV_NVIC_CPUID_BASE, 0xe000ed00 +.set CYDEV_NVIC_INTR_CTRL_STATE, 0xe000ed04 +.set CYDEV_NVIC_VECT_OFFSET, 0xe000ed08 +.set CYDEV_NVIC_APPLN_INTR, 0xe000ed0c +.set CYDEV_NVIC_SYSTEM_CONTROL, 0xe000ed10 +.set CYDEV_NVIC_CFG_CONTROL, 0xe000ed14 +.set CYDEV_NVIC_SYS_PRIO_HANDLER_4_7, 0xe000ed18 +.set CYDEV_NVIC_SYS_PRIO_HANDLER_8_11, 0xe000ed1c +.set CYDEV_NVIC_SYS_PRIO_HANDLER_12_15, 0xe000ed20 +.set CYDEV_NVIC_SYS_HANDLER_CSR, 0xe000ed24 +.set CYDEV_NVIC_MEMMAN_FAULT_STATUS, 0xe000ed28 +.set CYDEV_NVIC_BUS_FAULT_STATUS, 0xe000ed29 +.set CYDEV_NVIC_USAGE_FAULT_STATUS, 0xe000ed2a +.set CYDEV_NVIC_HARD_FAULT_STATUS, 0xe000ed2c +.set CYDEV_NVIC_DEBUG_FAULT_STATUS, 0xe000ed30 +.set CYDEV_NVIC_MEMMAN_FAULT_ADD, 0xe000ed34 +.set CYDEV_NVIC_BUS_FAULT_ADD, 0xe000ed38 +.set CYDEV_CORE_DBG_BASE, 0xe000edf0 +.set CYDEV_CORE_DBG_SIZE, 0x00000010 +.set CYDEV_CORE_DBG_DBG_HLT_CS, 0xe000edf0 +.set CYDEV_CORE_DBG_DBG_REG_SEL, 0xe000edf4 +.set CYDEV_CORE_DBG_DBG_REG_DATA, 0xe000edf8 +.set CYDEV_CORE_DBG_EXC_MON_CTL, 0xe000edfc +.set CYDEV_TPIU_BASE, 0xe0040000 +.set CYDEV_TPIU_SIZE, 0x00001000 +.set CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ, 0xe0040000 +.set CYDEV_TPIU_CURRENT_SYNC_PRT_SZ, 0xe0040004 +.set CYDEV_TPIU_ASYNC_CLK_PRESCALER, 0xe0040010 +.set CYDEV_TPIU_PROTOCOL, 0xe00400f0 +.set CYDEV_TPIU_FORM_FLUSH_STAT, 0xe0040300 +.set CYDEV_TPIU_FORM_FLUSH_CTRL, 0xe0040304 +.set CYDEV_TPIU_TRIGGER, 0xe0040ee8 +.set CYDEV_TPIU_ITETMDATA, 0xe0040eec +.set CYDEV_TPIU_ITATBCTR2, 0xe0040ef0 +.set CYDEV_TPIU_ITATBCTR0, 0xe0040ef8 +.set CYDEV_TPIU_ITITMDATA, 0xe0040efc +.set CYDEV_TPIU_ITCTRL, 0xe0040f00 +.set CYDEV_TPIU_DEVID, 0xe0040fc8 +.set CYDEV_TPIU_DEVTYPE, 0xe0040fcc +.set CYDEV_TPIU_PID4, 0xe0040fd0 +.set CYDEV_TPIU_PID5, 0xe0040fd4 +.set CYDEV_TPIU_PID6, 0xe0040fd8 +.set CYDEV_TPIU_PID7, 0xe0040fdc +.set CYDEV_TPIU_PID0, 0xe0040fe0 +.set CYDEV_TPIU_PID1, 0xe0040fe4 +.set CYDEV_TPIU_PID2, 0xe0040fe8 +.set CYDEV_TPIU_PID3, 0xe0040fec +.set CYDEV_TPIU_CID0, 0xe0040ff0 +.set CYDEV_TPIU_CID1, 0xe0040ff4 +.set CYDEV_TPIU_CID2, 0xe0040ff8 +.set CYDEV_TPIU_CID3, 0xe0040ffc +.set CYDEV_ETM_BASE, 0xe0041000 +.set CYDEV_ETM_SIZE, 0x00001000 +.set CYDEV_ETM_CTL, 0xe0041000 +.set CYDEV_ETM_CFG_CODE, 0xe0041004 +.set CYDEV_ETM_TRIG_EVENT, 0xe0041008 +.set CYDEV_ETM_STATUS, 0xe0041010 +.set CYDEV_ETM_SYS_CFG, 0xe0041014 +.set CYDEV_ETM_TRACE_ENB_EVENT, 0xe0041020 +.set CYDEV_ETM_TRACE_EN_CTRL1, 0xe0041024 +.set CYDEV_ETM_FIFOFULL_LEVEL, 0xe004102c +.set CYDEV_ETM_SYNC_FREQ, 0xe00411e0 +.set CYDEV_ETM_ETM_ID, 0xe00411e4 +.set CYDEV_ETM_CFG_CODE_EXT, 0xe00411e8 +.set CYDEV_ETM_TR_SS_EMBICE_CTRL, 0xe00411f0 +.set CYDEV_ETM_CS_TRACE_ID, 0xe0041200 +.set CYDEV_ETM_OS_LOCK_ACCESS, 0xe0041300 +.set CYDEV_ETM_OS_LOCK_STATUS, 0xe0041304 +.set CYDEV_ETM_PDSR, 0xe0041314 +.set CYDEV_ETM_ITMISCIN, 0xe0041ee0 +.set CYDEV_ETM_ITTRIGOUT, 0xe0041ee8 +.set CYDEV_ETM_ITATBCTR2, 0xe0041ef0 +.set CYDEV_ETM_ITATBCTR0, 0xe0041ef8 +.set CYDEV_ETM_INT_MODE_CTRL, 0xe0041f00 +.set CYDEV_ETM_CLM_TAG_SET, 0xe0041fa0 +.set CYDEV_ETM_CLM_TAG_CLR, 0xe0041fa4 +.set CYDEV_ETM_LOCK_ACCESS, 0xe0041fb0 +.set CYDEV_ETM_LOCK_STATUS, 0xe0041fb4 +.set CYDEV_ETM_AUTH_STATUS, 0xe0041fb8 +.set CYDEV_ETM_DEV_TYPE, 0xe0041fcc +.set CYDEV_ETM_PID4, 0xe0041fd0 +.set CYDEV_ETM_PID5, 0xe0041fd4 +.set CYDEV_ETM_PID6, 0xe0041fd8 +.set CYDEV_ETM_PID7, 0xe0041fdc +.set CYDEV_ETM_PID0, 0xe0041fe0 +.set CYDEV_ETM_PID1, 0xe0041fe4 +.set CYDEV_ETM_PID2, 0xe0041fe8 +.set CYDEV_ETM_PID3, 0xe0041fec +.set CYDEV_ETM_CID0, 0xe0041ff0 +.set CYDEV_ETM_CID1, 0xe0041ff4 +.set CYDEV_ETM_CID2, 0xe0041ff8 +.set CYDEV_ETM_CID3, 0xe0041ffc +.set CYDEV_ROM_TABLE_BASE, 0xe00ff000 +.set CYDEV_ROM_TABLE_SIZE, 0x00001000 +.set CYDEV_ROM_TABLE_NVIC, 0xe00ff000 +.set CYDEV_ROM_TABLE_DWT, 0xe00ff004 +.set CYDEV_ROM_TABLE_FPB, 0xe00ff008 +.set CYDEV_ROM_TABLE_ITM, 0xe00ff00c +.set CYDEV_ROM_TABLE_TPIU, 0xe00ff010 +.set CYDEV_ROM_TABLE_ETM, 0xe00ff014 +.set CYDEV_ROM_TABLE_END, 0xe00ff018 +.set CYDEV_ROM_TABLE_MEMTYPE, 0xe00fffcc +.set CYDEV_ROM_TABLE_PID4, 0xe00fffd0 +.set CYDEV_ROM_TABLE_PID5, 0xe00fffd4 +.set CYDEV_ROM_TABLE_PID6, 0xe00fffd8 +.set CYDEV_ROM_TABLE_PID7, 0xe00fffdc +.set CYDEV_ROM_TABLE_PID0, 0xe00fffe0 +.set CYDEV_ROM_TABLE_PID1, 0xe00fffe4 +.set CYDEV_ROM_TABLE_PID2, 0xe00fffe8 +.set CYDEV_ROM_TABLE_PID3, 0xe00fffec +.set CYDEV_ROM_TABLE_CID0, 0xe00ffff0 +.set CYDEV_ROM_TABLE_CID1, 0xe00ffff4 +.set CYDEV_ROM_TABLE_CID2, 0xe00ffff8 +.set CYDEV_ROM_TABLE_CID3, 0xe00ffffc +.set CYDEV_FLS_SIZE, CYDEV_FLASH_SIZE +.set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE +.set CYDEV_FLS_SECTOR_SIZE, 0x00010000 +.set CYDEV_FLS_ROW_SIZE, 0x00000100 +.set CYDEV_ECC_SECTOR_SIZE, 0x00002000 +.set CYDEV_ECC_ROW_SIZE, 0x00000020 +.set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 +.set CYDEV_EEPROM_ROW_SIZE, 0x00000010 +.set CYDEV_PERIPH_BASE, CYDEV_CLKDIST_BASE +.set CYCLK_LD_DISABLE, 0x00000004 +.set CYCLK_LD_SYNC_EN, 0x00000002 +.set CYCLK_LD_LOAD, 0x00000001 +.set CYCLK_PIPE, 0x00000080 +.set CYCLK_SSS, 0x00000040 +.set CYCLK_EARLY, 0x00000020 +.set CYCLK_DUTY, 0x00000010 +.set CYCLK_SYNC, 0x00000008 +.set CYCLK_SRC_SEL_CLK_SYNC_D, 0 +.set CYCLK_SRC_SEL_SYNC_DIG, 0 +.set CYCLK_SRC_SEL_IMO, 1 +.set CYCLK_SRC_SEL_XTAL_MHZ, 2 +.set CYCLK_SRC_SEL_XTALM, 2 +.set CYCLK_SRC_SEL_ILO, 3 +.set CYCLK_SRC_SEL_PLL, 4 +.set CYCLK_SRC_SEL_XTAL_KHZ, 5 +.set CYCLK_SRC_SEL_XTALK, 5 +.set CYCLK_SRC_SEL_DSI_G, 6 +.set CYCLK_SRC_SEL_DSI_D, 7 +.set CYCLK_SRC_SEL_CLK_SYNC_A, 0 +.set CYCLK_SRC_SEL_DSI_A, 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu_trm.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu_trm.inc new file mode 100755 index 0000000..ffbe68b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicegnu_trm.inc @@ -0,0 +1,5357 @@ +/******************************************************************************* +* FILENAME: cydevicegnu_trm.inc +* +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +.set CYDEV_FLASH_BASE, 0x00000000 +.set CYDEV_FLASH_SIZE, 0x00020000 +.set CYREG_FLASH_DATA_MBASE, 0x00000000 +.set CYREG_FLASH_DATA_MSIZE, 0x00020000 +.set CYDEV_SRAM_BASE, 0x1fffc000 +.set CYDEV_SRAM_SIZE, 0x00008000 +.set CYREG_SRAM_CODE64K_MBASE, 0x1fff8000 +.set CYREG_SRAM_CODE64K_MSIZE, 0x00004000 +.set CYREG_SRAM_CODE32K_MBASE, 0x1fffc000 +.set CYREG_SRAM_CODE32K_MSIZE, 0x00002000 +.set CYREG_SRAM_CODE16K_MBASE, 0x1fffe000 +.set CYREG_SRAM_CODE16K_MSIZE, 0x00001000 +.set CYREG_SRAM_CODE_MBASE, 0x1fffc000 +.set CYREG_SRAM_CODE_MSIZE, 0x00004000 +.set CYREG_SRAM_DATA_MBASE, 0x20000000 +.set CYREG_SRAM_DATA_MSIZE, 0x00004000 +.set CYREG_SRAM_DATA16K_MBASE, 0x20001000 +.set CYREG_SRAM_DATA16K_MSIZE, 0x00001000 +.set CYREG_SRAM_DATA32K_MBASE, 0x20002000 +.set CYREG_SRAM_DATA32K_MSIZE, 0x00002000 +.set CYREG_SRAM_DATA64K_MBASE, 0x20004000 +.set CYREG_SRAM_DATA64K_MSIZE, 0x00004000 +.set CYDEV_DMA_BASE, 0x20008000 +.set CYDEV_DMA_SIZE, 0x00008000 +.set CYREG_DMA_SRAM64K_MBASE, 0x20008000 +.set CYREG_DMA_SRAM64K_MSIZE, 0x00004000 +.set CYREG_DMA_SRAM32K_MBASE, 0x2000c000 +.set CYREG_DMA_SRAM32K_MSIZE, 0x00002000 +.set CYREG_DMA_SRAM16K_MBASE, 0x2000e000 +.set CYREG_DMA_SRAM16K_MSIZE, 0x00001000 +.set CYREG_DMA_SRAM_MBASE, 0x2000f000 +.set CYREG_DMA_SRAM_MSIZE, 0x00001000 +.set CYDEV_CLKDIST_BASE, 0x40004000 +.set CYDEV_CLKDIST_SIZE, 0x00000110 +.set CYREG_CLKDIST_CR, 0x40004000 +.set CYREG_CLKDIST_LD, 0x40004001 +.set CYREG_CLKDIST_WRK0, 0x40004002 +.set CYREG_CLKDIST_WRK1, 0x40004003 +.set CYREG_CLKDIST_MSTR0, 0x40004004 +.set CYREG_CLKDIST_MSTR1, 0x40004005 +.set CYREG_CLKDIST_BCFG0, 0x40004006 +.set CYREG_CLKDIST_BCFG1, 0x40004007 +.set CYREG_CLKDIST_BCFG2, 0x40004008 +.set CYREG_CLKDIST_UCFG, 0x40004009 +.set CYREG_CLKDIST_DLY0, 0x4000400a +.set CYREG_CLKDIST_DLY1, 0x4000400b +.set CYREG_CLKDIST_DMASK, 0x40004010 +.set CYREG_CLKDIST_AMASK, 0x40004014 +.set CYDEV_CLKDIST_DCFG0_BASE, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG0_CFG0, 0x40004080 +.set CYREG_CLKDIST_DCFG0_CFG1, 0x40004081 +.set CYREG_CLKDIST_DCFG0_CFG2, 0x40004082 +.set CYDEV_CLKDIST_DCFG1_BASE, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG1_CFG0, 0x40004084 +.set CYREG_CLKDIST_DCFG1_CFG1, 0x40004085 +.set CYREG_CLKDIST_DCFG1_CFG2, 0x40004086 +.set CYDEV_CLKDIST_DCFG2_BASE, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG2_CFG0, 0x40004088 +.set CYREG_CLKDIST_DCFG2_CFG1, 0x40004089 +.set CYREG_CLKDIST_DCFG2_CFG2, 0x4000408a +.set CYDEV_CLKDIST_DCFG3_BASE, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG3_CFG0, 0x4000408c +.set CYREG_CLKDIST_DCFG3_CFG1, 0x4000408d +.set CYREG_CLKDIST_DCFG3_CFG2, 0x4000408e +.set CYDEV_CLKDIST_DCFG4_BASE, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG4_CFG0, 0x40004090 +.set CYREG_CLKDIST_DCFG4_CFG1, 0x40004091 +.set CYREG_CLKDIST_DCFG4_CFG2, 0x40004092 +.set CYDEV_CLKDIST_DCFG5_BASE, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG5_CFG0, 0x40004094 +.set CYREG_CLKDIST_DCFG5_CFG1, 0x40004095 +.set CYREG_CLKDIST_DCFG5_CFG2, 0x40004096 +.set CYDEV_CLKDIST_DCFG6_BASE, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG6_CFG0, 0x40004098 +.set CYREG_CLKDIST_DCFG6_CFG1, 0x40004099 +.set CYREG_CLKDIST_DCFG6_CFG2, 0x4000409a +.set CYDEV_CLKDIST_DCFG7_BASE, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG7_CFG0, 0x4000409c +.set CYREG_CLKDIST_DCFG7_CFG1, 0x4000409d +.set CYREG_CLKDIST_DCFG7_CFG2, 0x4000409e +.set CYDEV_CLKDIST_ACFG0_BASE, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG0_CFG0, 0x40004100 +.set CYREG_CLKDIST_ACFG0_CFG1, 0x40004101 +.set CYREG_CLKDIST_ACFG0_CFG2, 0x40004102 +.set CYREG_CLKDIST_ACFG0_CFG3, 0x40004103 +.set CYDEV_CLKDIST_ACFG1_BASE, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG1_CFG0, 0x40004104 +.set CYREG_CLKDIST_ACFG1_CFG1, 0x40004105 +.set CYREG_CLKDIST_ACFG1_CFG2, 0x40004106 +.set CYREG_CLKDIST_ACFG1_CFG3, 0x40004107 +.set CYDEV_CLKDIST_ACFG2_BASE, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG2_CFG0, 0x40004108 +.set CYREG_CLKDIST_ACFG2_CFG1, 0x40004109 +.set CYREG_CLKDIST_ACFG2_CFG2, 0x4000410a +.set CYREG_CLKDIST_ACFG2_CFG3, 0x4000410b +.set CYDEV_CLKDIST_ACFG3_BASE, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG3_CFG0, 0x4000410c +.set CYREG_CLKDIST_ACFG3_CFG1, 0x4000410d +.set CYREG_CLKDIST_ACFG3_CFG2, 0x4000410e +.set CYREG_CLKDIST_ACFG3_CFG3, 0x4000410f +.set CYDEV_FASTCLK_BASE, 0x40004200 +.set CYDEV_FASTCLK_SIZE, 0x00000026 +.set CYDEV_FASTCLK_IMO_BASE, 0x40004200 +.set CYDEV_FASTCLK_IMO_SIZE, 0x00000001 +.set CYREG_FASTCLK_IMO_CR, 0x40004200 +.set CYDEV_FASTCLK_XMHZ_BASE, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_SIZE, 0x00000004 +.set CYREG_FASTCLK_XMHZ_CSR, 0x40004210 +.set CYREG_FASTCLK_XMHZ_CFG0, 0x40004212 +.set CYREG_FASTCLK_XMHZ_CFG1, 0x40004213 +.set CYDEV_FASTCLK_PLL_BASE, 0x40004220 +.set CYDEV_FASTCLK_PLL_SIZE, 0x00000006 +.set CYREG_FASTCLK_PLL_CFG0, 0x40004220 +.set CYREG_FASTCLK_PLL_CFG1, 0x40004221 +.set CYREG_FASTCLK_PLL_P, 0x40004222 +.set CYREG_FASTCLK_PLL_Q, 0x40004223 +.set CYREG_FASTCLK_PLL_SR, 0x40004225 +.set CYDEV_SLOWCLK_BASE, 0x40004300 +.set CYDEV_SLOWCLK_SIZE, 0x0000000b +.set CYDEV_SLOWCLK_ILO_BASE, 0x40004300 +.set CYDEV_SLOWCLK_ILO_SIZE, 0x00000002 +.set CYREG_SLOWCLK_ILO_CR0, 0x40004300 +.set CYREG_SLOWCLK_ILO_CR1, 0x40004301 +.set CYDEV_SLOWCLK_X32_BASE, 0x40004308 +.set CYDEV_SLOWCLK_X32_SIZE, 0x00000003 +.set CYREG_SLOWCLK_X32_CR, 0x40004308 +.set CYREG_SLOWCLK_X32_CFG, 0x40004309 +.set CYREG_SLOWCLK_X32_TST, 0x4000430a +.set CYDEV_BOOST_BASE, 0x40004320 +.set CYDEV_BOOST_SIZE, 0x00000007 +.set CYREG_BOOST_CR0, 0x40004320 +.set CYREG_BOOST_CR1, 0x40004321 +.set CYREG_BOOST_CR2, 0x40004322 +.set CYREG_BOOST_CR3, 0x40004323 +.set CYREG_BOOST_SR, 0x40004324 +.set CYREG_BOOST_CR4, 0x40004325 +.set CYREG_BOOST_SR2, 0x40004326 +.set CYDEV_PWRSYS_BASE, 0x40004330 +.set CYDEV_PWRSYS_SIZE, 0x00000002 +.set CYREG_PWRSYS_CR0, 0x40004330 +.set CYREG_PWRSYS_CR1, 0x40004331 +.set CYDEV_PM_BASE, 0x40004380 +.set CYDEV_PM_SIZE, 0x00000057 +.set CYREG_PM_TW_CFG0, 0x40004380 +.set CYREG_PM_TW_CFG1, 0x40004381 +.set CYREG_PM_TW_CFG2, 0x40004382 +.set CYREG_PM_WDT_CFG, 0x40004383 +.set CYREG_PM_WDT_CR, 0x40004384 +.set CYREG_PM_INT_SR, 0x40004390 +.set CYREG_PM_MODE_CFG0, 0x40004391 +.set CYREG_PM_MODE_CFG1, 0x40004392 +.set CYREG_PM_MODE_CSR, 0x40004393 +.set CYREG_PM_USB_CR0, 0x40004394 +.set CYREG_PM_WAKEUP_CFG0, 0x40004398 +.set CYREG_PM_WAKEUP_CFG1, 0x40004399 +.set CYREG_PM_WAKEUP_CFG2, 0x4000439a +.set CYDEV_PM_ACT_BASE, 0x400043a0 +.set CYDEV_PM_ACT_SIZE, 0x0000000e +.set CYREG_PM_ACT_CFG0, 0x400043a0 +.set CYREG_PM_ACT_CFG1, 0x400043a1 +.set CYREG_PM_ACT_CFG2, 0x400043a2 +.set CYREG_PM_ACT_CFG3, 0x400043a3 +.set CYREG_PM_ACT_CFG4, 0x400043a4 +.set CYREG_PM_ACT_CFG5, 0x400043a5 +.set CYREG_PM_ACT_CFG6, 0x400043a6 +.set CYREG_PM_ACT_CFG7, 0x400043a7 +.set CYREG_PM_ACT_CFG8, 0x400043a8 +.set CYREG_PM_ACT_CFG9, 0x400043a9 +.set CYREG_PM_ACT_CFG10, 0x400043aa +.set CYREG_PM_ACT_CFG11, 0x400043ab +.set CYREG_PM_ACT_CFG12, 0x400043ac +.set CYREG_PM_ACT_CFG13, 0x400043ad +.set CYDEV_PM_STBY_BASE, 0x400043b0 +.set CYDEV_PM_STBY_SIZE, 0x0000000e +.set CYREG_PM_STBY_CFG0, 0x400043b0 +.set CYREG_PM_STBY_CFG1, 0x400043b1 +.set CYREG_PM_STBY_CFG2, 0x400043b2 +.set CYREG_PM_STBY_CFG3, 0x400043b3 +.set CYREG_PM_STBY_CFG4, 0x400043b4 +.set CYREG_PM_STBY_CFG5, 0x400043b5 +.set CYREG_PM_STBY_CFG6, 0x400043b6 +.set CYREG_PM_STBY_CFG7, 0x400043b7 +.set CYREG_PM_STBY_CFG8, 0x400043b8 +.set CYREG_PM_STBY_CFG9, 0x400043b9 +.set CYREG_PM_STBY_CFG10, 0x400043ba +.set CYREG_PM_STBY_CFG11, 0x400043bb +.set CYREG_PM_STBY_CFG12, 0x400043bc +.set CYREG_PM_STBY_CFG13, 0x400043bd +.set CYDEV_PM_AVAIL_BASE, 0x400043c0 +.set CYDEV_PM_AVAIL_SIZE, 0x00000017 +.set CYREG_PM_AVAIL_CR0, 0x400043c0 +.set CYREG_PM_AVAIL_CR1, 0x400043c1 +.set CYREG_PM_AVAIL_CR2, 0x400043c2 +.set CYREG_PM_AVAIL_CR3, 0x400043c3 +.set CYREG_PM_AVAIL_CR4, 0x400043c4 +.set CYREG_PM_AVAIL_CR5, 0x400043c5 +.set CYREG_PM_AVAIL_CR6, 0x400043c6 +.set CYREG_PM_AVAIL_SR0, 0x400043d0 +.set CYREG_PM_AVAIL_SR1, 0x400043d1 +.set CYREG_PM_AVAIL_SR2, 0x400043d2 +.set CYREG_PM_AVAIL_SR3, 0x400043d3 +.set CYREG_PM_AVAIL_SR4, 0x400043d4 +.set CYREG_PM_AVAIL_SR5, 0x400043d5 +.set CYREG_PM_AVAIL_SR6, 0x400043d6 +.set CYDEV_PICU_BASE, 0x40004500 +.set CYDEV_PICU_SIZE, 0x000000b0 +.set CYDEV_PICU_INTTYPE_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_SIZE, 0x00000080 +.set CYDEV_PICU_INTTYPE_PICU0_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_SIZE, 0x00000008 +.set CYREG_PICU0_INTTYPE0, 0x40004500 +.set CYREG_PICU0_INTTYPE1, 0x40004501 +.set CYREG_PICU0_INTTYPE2, 0x40004502 +.set CYREG_PICU0_INTTYPE3, 0x40004503 +.set CYREG_PICU0_INTTYPE4, 0x40004504 +.set CYREG_PICU0_INTTYPE5, 0x40004505 +.set CYREG_PICU0_INTTYPE6, 0x40004506 +.set CYREG_PICU0_INTTYPE7, 0x40004507 +.set CYDEV_PICU_INTTYPE_PICU1_BASE, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_SIZE, 0x00000008 +.set CYREG_PICU1_INTTYPE0, 0x40004508 +.set CYREG_PICU1_INTTYPE1, 0x40004509 +.set CYREG_PICU1_INTTYPE2, 0x4000450a +.set CYREG_PICU1_INTTYPE3, 0x4000450b +.set CYREG_PICU1_INTTYPE4, 0x4000450c +.set CYREG_PICU1_INTTYPE5, 0x4000450d +.set CYREG_PICU1_INTTYPE6, 0x4000450e +.set CYREG_PICU1_INTTYPE7, 0x4000450f +.set CYDEV_PICU_INTTYPE_PICU2_BASE, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_SIZE, 0x00000008 +.set CYREG_PICU2_INTTYPE0, 0x40004510 +.set CYREG_PICU2_INTTYPE1, 0x40004511 +.set CYREG_PICU2_INTTYPE2, 0x40004512 +.set CYREG_PICU2_INTTYPE3, 0x40004513 +.set CYREG_PICU2_INTTYPE4, 0x40004514 +.set CYREG_PICU2_INTTYPE5, 0x40004515 +.set CYREG_PICU2_INTTYPE6, 0x40004516 +.set CYREG_PICU2_INTTYPE7, 0x40004517 +.set CYDEV_PICU_INTTYPE_PICU3_BASE, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_SIZE, 0x00000008 +.set CYREG_PICU3_INTTYPE0, 0x40004518 +.set CYREG_PICU3_INTTYPE1, 0x40004519 +.set CYREG_PICU3_INTTYPE2, 0x4000451a +.set CYREG_PICU3_INTTYPE3, 0x4000451b +.set CYREG_PICU3_INTTYPE4, 0x4000451c +.set CYREG_PICU3_INTTYPE5, 0x4000451d +.set CYREG_PICU3_INTTYPE6, 0x4000451e +.set CYREG_PICU3_INTTYPE7, 0x4000451f +.set CYDEV_PICU_INTTYPE_PICU4_BASE, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_SIZE, 0x00000008 +.set CYREG_PICU4_INTTYPE0, 0x40004520 +.set CYREG_PICU4_INTTYPE1, 0x40004521 +.set CYREG_PICU4_INTTYPE2, 0x40004522 +.set CYREG_PICU4_INTTYPE3, 0x40004523 +.set CYREG_PICU4_INTTYPE4, 0x40004524 +.set CYREG_PICU4_INTTYPE5, 0x40004525 +.set CYREG_PICU4_INTTYPE6, 0x40004526 +.set CYREG_PICU4_INTTYPE7, 0x40004527 +.set CYDEV_PICU_INTTYPE_PICU5_BASE, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_SIZE, 0x00000008 +.set CYREG_PICU5_INTTYPE0, 0x40004528 +.set CYREG_PICU5_INTTYPE1, 0x40004529 +.set CYREG_PICU5_INTTYPE2, 0x4000452a +.set CYREG_PICU5_INTTYPE3, 0x4000452b +.set CYREG_PICU5_INTTYPE4, 0x4000452c +.set CYREG_PICU5_INTTYPE5, 0x4000452d +.set CYREG_PICU5_INTTYPE6, 0x4000452e +.set CYREG_PICU5_INTTYPE7, 0x4000452f +.set CYDEV_PICU_INTTYPE_PICU6_BASE, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_SIZE, 0x00000008 +.set CYREG_PICU6_INTTYPE0, 0x40004530 +.set CYREG_PICU6_INTTYPE1, 0x40004531 +.set CYREG_PICU6_INTTYPE2, 0x40004532 +.set CYREG_PICU6_INTTYPE3, 0x40004533 +.set CYREG_PICU6_INTTYPE4, 0x40004534 +.set CYREG_PICU6_INTTYPE5, 0x40004535 +.set CYREG_PICU6_INTTYPE6, 0x40004536 +.set CYREG_PICU6_INTTYPE7, 0x40004537 +.set CYDEV_PICU_INTTYPE_PICU12_BASE, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_SIZE, 0x00000008 +.set CYREG_PICU12_INTTYPE0, 0x40004560 +.set CYREG_PICU12_INTTYPE1, 0x40004561 +.set CYREG_PICU12_INTTYPE2, 0x40004562 +.set CYREG_PICU12_INTTYPE3, 0x40004563 +.set CYREG_PICU12_INTTYPE4, 0x40004564 +.set CYREG_PICU12_INTTYPE5, 0x40004565 +.set CYREG_PICU12_INTTYPE6, 0x40004566 +.set CYREG_PICU12_INTTYPE7, 0x40004567 +.set CYDEV_PICU_INTTYPE_PICU15_BASE, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_SIZE, 0x00000008 +.set CYREG_PICU15_INTTYPE0, 0x40004578 +.set CYREG_PICU15_INTTYPE1, 0x40004579 +.set CYREG_PICU15_INTTYPE2, 0x4000457a +.set CYREG_PICU15_INTTYPE3, 0x4000457b +.set CYREG_PICU15_INTTYPE4, 0x4000457c +.set CYREG_PICU15_INTTYPE5, 0x4000457d +.set CYREG_PICU15_INTTYPE6, 0x4000457e +.set CYREG_PICU15_INTTYPE7, 0x4000457f +.set CYDEV_PICU_STAT_BASE, 0x40004580 +.set CYDEV_PICU_STAT_SIZE, 0x00000010 +.set CYDEV_PICU_STAT_PICU0_BASE, 0x40004580 +.set CYDEV_PICU_STAT_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_INTSTAT, 0x40004580 +.set CYDEV_PICU_STAT_PICU1_BASE, 0x40004581 +.set CYDEV_PICU_STAT_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_INTSTAT, 0x40004581 +.set CYDEV_PICU_STAT_PICU2_BASE, 0x40004582 +.set CYDEV_PICU_STAT_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_INTSTAT, 0x40004582 +.set CYDEV_PICU_STAT_PICU3_BASE, 0x40004583 +.set CYDEV_PICU_STAT_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_INTSTAT, 0x40004583 +.set CYDEV_PICU_STAT_PICU4_BASE, 0x40004584 +.set CYDEV_PICU_STAT_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_INTSTAT, 0x40004584 +.set CYDEV_PICU_STAT_PICU5_BASE, 0x40004585 +.set CYDEV_PICU_STAT_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_INTSTAT, 0x40004585 +.set CYDEV_PICU_STAT_PICU6_BASE, 0x40004586 +.set CYDEV_PICU_STAT_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_INTSTAT, 0x40004586 +.set CYDEV_PICU_STAT_PICU12_BASE, 0x4000458c +.set CYDEV_PICU_STAT_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_INTSTAT, 0x4000458c +.set CYDEV_PICU_STAT_PICU15_BASE, 0x4000458f +.set CYDEV_PICU_STAT_PICU15_SIZE, 0x00000001 +.set CYREG_PICU15_INTSTAT, 0x4000458f +.set CYDEV_PICU_SNAP_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_SIZE, 0x00000010 +.set CYDEV_PICU_SNAP_PICU0_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_SNAP, 0x40004590 +.set CYDEV_PICU_SNAP_PICU1_BASE, 0x40004591 +.set CYDEV_PICU_SNAP_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_SNAP, 0x40004591 +.set CYDEV_PICU_SNAP_PICU2_BASE, 0x40004592 +.set CYDEV_PICU_SNAP_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_SNAP, 0x40004592 +.set CYDEV_PICU_SNAP_PICU3_BASE, 0x40004593 +.set CYDEV_PICU_SNAP_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_SNAP, 0x40004593 +.set CYDEV_PICU_SNAP_PICU4_BASE, 0x40004594 +.set CYDEV_PICU_SNAP_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_SNAP, 0x40004594 +.set CYDEV_PICU_SNAP_PICU5_BASE, 0x40004595 +.set CYDEV_PICU_SNAP_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_SNAP, 0x40004595 +.set CYDEV_PICU_SNAP_PICU6_BASE, 0x40004596 +.set CYDEV_PICU_SNAP_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_SNAP, 0x40004596 +.set CYDEV_PICU_SNAP_PICU12_BASE, 0x4000459c +.set CYDEV_PICU_SNAP_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_SNAP, 0x4000459c +.set CYDEV_PICU_SNAP_PICU_15_BASE, 0x4000459f +.set CYDEV_PICU_SNAP_PICU_15_SIZE, 0x00000001 +.set CYREG_PICU_15_SNAP_15, 0x4000459f +.set CYDEV_PICU_DISABLE_COR_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_SIZE, 0x00000010 +.set CYDEV_PICU_DISABLE_COR_PICU0_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_DISABLE_COR, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU1_BASE, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_DISABLE_COR, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU2_BASE, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_DISABLE_COR, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU3_BASE, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_DISABLE_COR, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU4_BASE, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_DISABLE_COR, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU5_BASE, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_DISABLE_COR, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU6_BASE, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_DISABLE_COR, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU12_BASE, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_DISABLE_COR, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU15_BASE, 0x400045af +.set CYDEV_PICU_DISABLE_COR_PICU15_SIZE, 0x00000001 +.set CYREG_PICU15_DISABLE_COR, 0x400045af +.set CYDEV_MFGCFG_BASE, 0x40004600 +.set CYDEV_MFGCFG_SIZE, 0x000000ed +.set CYDEV_MFGCFG_ANAIF_BASE, 0x40004600 +.set CYDEV_MFGCFG_ANAIF_SIZE, 0x00000038 +.set CYDEV_MFGCFG_ANAIF_DAC0_BASE, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC0_SIZE, 0x00000001 +.set CYREG_DAC0_TR, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC1_BASE, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC1_SIZE, 0x00000001 +.set CYREG_DAC1_TR, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC2_BASE, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC2_SIZE, 0x00000001 +.set CYREG_DAC2_TR, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC3_BASE, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_DAC3_SIZE, 0x00000001 +.set CYREG_DAC3_TR, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE, 0x00000001 +.set CYREG_NPUMP_DSM_TR0, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE, 0x00000001 +.set CYREG_NPUMP_SC_TR0, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE, 0x00000001 +.set CYREG_NPUMP_OPAMP_TR0, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_SAR0_BASE, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR0_SIZE, 0x00000001 +.set CYREG_SAR0_TR0, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR1_BASE, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_SAR1_SIZE, 0x00000001 +.set CYREG_SAR1_TR0, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_BASE, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_TR0, 0x40004620 +.set CYREG_OPAMP0_TR1, 0x40004621 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_BASE, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_TR0, 0x40004622 +.set CYREG_OPAMP1_TR1, 0x40004623 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_BASE, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_TR0, 0x40004624 +.set CYREG_OPAMP2_TR1, 0x40004625 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_BASE, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_TR0, 0x40004626 +.set CYREG_OPAMP3_TR1, 0x40004627 +.set CYDEV_MFGCFG_ANAIF_CMP0_BASE, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_SIZE, 0x00000002 +.set CYREG_CMP0_TR0, 0x40004630 +.set CYREG_CMP0_TR1, 0x40004631 +.set CYDEV_MFGCFG_ANAIF_CMP1_BASE, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_SIZE, 0x00000002 +.set CYREG_CMP1_TR0, 0x40004632 +.set CYREG_CMP1_TR1, 0x40004633 +.set CYDEV_MFGCFG_ANAIF_CMP2_BASE, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_SIZE, 0x00000002 +.set CYREG_CMP2_TR0, 0x40004634 +.set CYREG_CMP2_TR1, 0x40004635 +.set CYDEV_MFGCFG_ANAIF_CMP3_BASE, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_SIZE, 0x00000002 +.set CYREG_CMP3_TR0, 0x40004636 +.set CYREG_CMP3_TR1, 0x40004637 +.set CYDEV_MFGCFG_PWRSYS_BASE, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_SIZE, 0x0000000b +.set CYREG_PWRSYS_HIB_TR0, 0x40004680 +.set CYREG_PWRSYS_HIB_TR1, 0x40004681 +.set CYREG_PWRSYS_I2C_TR, 0x40004682 +.set CYREG_PWRSYS_SLP_TR, 0x40004683 +.set CYREG_PWRSYS_BUZZ_TR, 0x40004684 +.set CYREG_PWRSYS_WAKE_TR0, 0x40004685 +.set CYREG_PWRSYS_WAKE_TR1, 0x40004686 +.set CYREG_PWRSYS_BREF_TR, 0x40004687 +.set CYREG_PWRSYS_BG_TR, 0x40004688 +.set CYREG_PWRSYS_WAKE_TR2, 0x40004689 +.set CYREG_PWRSYS_WAKE_TR3, 0x4000468a +.set CYDEV_MFGCFG_ILO_BASE, 0x40004690 +.set CYDEV_MFGCFG_ILO_SIZE, 0x00000002 +.set CYREG_ILO_TR0, 0x40004690 +.set CYREG_ILO_TR1, 0x40004691 +.set CYDEV_MFGCFG_X32_BASE, 0x40004698 +.set CYDEV_MFGCFG_X32_SIZE, 0x00000001 +.set CYREG_X32_TR, 0x40004698 +.set CYDEV_MFGCFG_IMO_BASE, 0x400046a0 +.set CYDEV_MFGCFG_IMO_SIZE, 0x00000005 +.set CYREG_IMO_TR0, 0x400046a0 +.set CYREG_IMO_TR1, 0x400046a1 +.set CYREG_IMO_GAIN, 0x400046a2 +.set CYREG_IMO_C36M, 0x400046a3 +.set CYREG_IMO_TR2, 0x400046a4 +.set CYDEV_MFGCFG_XMHZ_BASE, 0x400046a8 +.set CYDEV_MFGCFG_XMHZ_SIZE, 0x00000001 +.set CYREG_XMHZ_TR, 0x400046a8 +.set CYREG_MFGCFG_DLY, 0x400046c0 +.set CYDEV_MFGCFG_MLOGIC_BASE, 0x400046e0 +.set CYDEV_MFGCFG_MLOGIC_SIZE, 0x0000000d +.set CYREG_MLOGIC_DMPSTR, 0x400046e2 +.set CYDEV_MFGCFG_MLOGIC_SEG_BASE, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_SIZE, 0x00000002 +.set CYREG_MLOGIC_SEG_CR, 0x400046e4 +.set CYREG_MLOGIC_SEG_CFG0, 0x400046e5 +.set CYREG_MLOGIC_DEBUG, 0x400046e8 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE, 0x00000001 +.set CYREG_MLOGIC_CPU_SCR_CPU_SCR, 0x400046ea +.set CYREG_MLOGIC_REV_ID, 0x400046ec +.set CYDEV_RESET_BASE, 0x400046f0 +.set CYDEV_RESET_SIZE, 0x0000000f +.set CYREG_RESET_IPOR_CR0, 0x400046f0 +.set CYREG_RESET_IPOR_CR1, 0x400046f1 +.set CYREG_RESET_IPOR_CR2, 0x400046f2 +.set CYREG_RESET_IPOR_CR3, 0x400046f3 +.set CYREG_RESET_CR0, 0x400046f4 +.set CYREG_RESET_CR1, 0x400046f5 +.set CYREG_RESET_CR2, 0x400046f6 +.set CYREG_RESET_CR3, 0x400046f7 +.set CYREG_RESET_CR4, 0x400046f8 +.set CYREG_RESET_CR5, 0x400046f9 +.set CYREG_RESET_SR0, 0x400046fa +.set CYREG_RESET_SR1, 0x400046fb +.set CYREG_RESET_SR2, 0x400046fc +.set CYREG_RESET_SR3, 0x400046fd +.set CYREG_RESET_TR, 0x400046fe +.set CYDEV_SPC_BASE, 0x40004700 +.set CYDEV_SPC_SIZE, 0x00000100 +.set CYREG_SPC_FM_EE_CR, 0x40004700 +.set CYREG_SPC_FM_EE_WAKE_CNT, 0x40004701 +.set CYREG_SPC_EE_SCR, 0x40004702 +.set CYREG_SPC_EE_ERR, 0x40004703 +.set CYREG_SPC_CPU_DATA, 0x40004720 +.set CYREG_SPC_DMA_DATA, 0x40004721 +.set CYREG_SPC_SR, 0x40004722 +.set CYREG_SPC_CR, 0x40004723 +.set CYDEV_SPC_DMM_MAP_BASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SIZE, 0x00000080 +.set CYREG_SPC_DMM_MAP_SRAM_MBASE, 0x40004780 +.set CYREG_SPC_DMM_MAP_SRAM_MSIZE, 0x00000080 +.set CYDEV_CACHE_BASE, 0x40004800 +.set CYDEV_CACHE_SIZE, 0x0000009c +.set CYREG_CACHE_CC_CTL, 0x40004800 +.set CYREG_CACHE_ECC_CORR, 0x40004880 +.set CYREG_CACHE_ECC_ERR, 0x40004888 +.set CYREG_CACHE_FLASH_ERR, 0x40004890 +.set CYREG_CACHE_HITMISS, 0x40004898 +.set CYDEV_I2C_BASE, 0x40004900 +.set CYDEV_I2C_SIZE, 0x000000e1 +.set CYREG_I2C_XCFG, 0x400049c8 +.set CYREG_I2C_ADR, 0x400049ca +.set CYREG_I2C_CFG, 0x400049d6 +.set CYREG_I2C_CSR, 0x400049d7 +.set CYREG_I2C_D, 0x400049d8 +.set CYREG_I2C_MCSR, 0x400049d9 +.set CYREG_I2C_CLK_DIV1, 0x400049db +.set CYREG_I2C_CLK_DIV2, 0x400049dc +.set CYREG_I2C_TMOUT_CSR, 0x400049dd +.set CYREG_I2C_TMOUT_SR, 0x400049de +.set CYREG_I2C_TMOUT_CFG0, 0x400049df +.set CYREG_I2C_TMOUT_CFG1, 0x400049e0 +.set CYDEV_DEC_BASE, 0x40004e00 +.set CYDEV_DEC_SIZE, 0x00000015 +.set CYREG_DEC_CR, 0x40004e00 +.set CYREG_DEC_SR, 0x40004e01 +.set CYREG_DEC_SHIFT1, 0x40004e02 +.set CYREG_DEC_SHIFT2, 0x40004e03 +.set CYREG_DEC_DR2, 0x40004e04 +.set CYREG_DEC_DR2H, 0x40004e05 +.set CYREG_DEC_DR1, 0x40004e06 +.set CYREG_DEC_OCOR, 0x40004e08 +.set CYREG_DEC_OCORM, 0x40004e09 +.set CYREG_DEC_OCORH, 0x40004e0a +.set CYREG_DEC_GCOR, 0x40004e0c +.set CYREG_DEC_GCORH, 0x40004e0d +.set CYREG_DEC_GVAL, 0x40004e0e +.set CYREG_DEC_OUTSAMP, 0x40004e10 +.set CYREG_DEC_OUTSAMPM, 0x40004e11 +.set CYREG_DEC_OUTSAMPH, 0x40004e12 +.set CYREG_DEC_OUTSAMPS, 0x40004e13 +.set CYREG_DEC_COHER, 0x40004e14 +.set CYDEV_TMR0_BASE, 0x40004f00 +.set CYDEV_TMR0_SIZE, 0x0000000c +.set CYREG_TMR0_CFG0, 0x40004f00 +.set CYREG_TMR0_CFG1, 0x40004f01 +.set CYREG_TMR0_CFG2, 0x40004f02 +.set CYREG_TMR0_SR0, 0x40004f03 +.set CYREG_TMR0_PER0, 0x40004f04 +.set CYREG_TMR0_PER1, 0x40004f05 +.set CYREG_TMR0_CNT_CMP0, 0x40004f06 +.set CYREG_TMR0_CNT_CMP1, 0x40004f07 +.set CYREG_TMR0_CAP0, 0x40004f08 +.set CYREG_TMR0_CAP1, 0x40004f09 +.set CYREG_TMR0_RT0, 0x40004f0a +.set CYREG_TMR0_RT1, 0x40004f0b +.set CYDEV_TMR1_BASE, 0x40004f0c +.set CYDEV_TMR1_SIZE, 0x0000000c +.set CYREG_TMR1_CFG0, 0x40004f0c +.set CYREG_TMR1_CFG1, 0x40004f0d +.set CYREG_TMR1_CFG2, 0x40004f0e +.set CYREG_TMR1_SR0, 0x40004f0f +.set CYREG_TMR1_PER0, 0x40004f10 +.set CYREG_TMR1_PER1, 0x40004f11 +.set CYREG_TMR1_CNT_CMP0, 0x40004f12 +.set CYREG_TMR1_CNT_CMP1, 0x40004f13 +.set CYREG_TMR1_CAP0, 0x40004f14 +.set CYREG_TMR1_CAP1, 0x40004f15 +.set CYREG_TMR1_RT0, 0x40004f16 +.set CYREG_TMR1_RT1, 0x40004f17 +.set CYDEV_TMR2_BASE, 0x40004f18 +.set CYDEV_TMR2_SIZE, 0x0000000c +.set CYREG_TMR2_CFG0, 0x40004f18 +.set CYREG_TMR2_CFG1, 0x40004f19 +.set CYREG_TMR2_CFG2, 0x40004f1a +.set CYREG_TMR2_SR0, 0x40004f1b +.set CYREG_TMR2_PER0, 0x40004f1c +.set CYREG_TMR2_PER1, 0x40004f1d +.set CYREG_TMR2_CNT_CMP0, 0x40004f1e +.set CYREG_TMR2_CNT_CMP1, 0x40004f1f +.set CYREG_TMR2_CAP0, 0x40004f20 +.set CYREG_TMR2_CAP1, 0x40004f21 +.set CYREG_TMR2_RT0, 0x40004f22 +.set CYREG_TMR2_RT1, 0x40004f23 +.set CYDEV_TMR3_BASE, 0x40004f24 +.set CYDEV_TMR3_SIZE, 0x0000000c +.set CYREG_TMR3_CFG0, 0x40004f24 +.set CYREG_TMR3_CFG1, 0x40004f25 +.set CYREG_TMR3_CFG2, 0x40004f26 +.set CYREG_TMR3_SR0, 0x40004f27 +.set CYREG_TMR3_PER0, 0x40004f28 +.set CYREG_TMR3_PER1, 0x40004f29 +.set CYREG_TMR3_CNT_CMP0, 0x40004f2a +.set CYREG_TMR3_CNT_CMP1, 0x40004f2b +.set CYREG_TMR3_CAP0, 0x40004f2c +.set CYREG_TMR3_CAP1, 0x40004f2d +.set CYREG_TMR3_RT0, 0x40004f2e +.set CYREG_TMR3_RT1, 0x40004f2f +.set CYDEV_IO_BASE, 0x40005000 +.set CYDEV_IO_SIZE, 0x00000200 +.set CYDEV_IO_PC_BASE, 0x40005000 +.set CYDEV_IO_PC_SIZE, 0x00000080 +.set CYDEV_IO_PC_PRT0_BASE, 0x40005000 +.set CYDEV_IO_PC_PRT0_SIZE, 0x00000008 +.set CYREG_PRT0_PC0, 0x40005000 +.set CYREG_PRT0_PC1, 0x40005001 +.set CYREG_PRT0_PC2, 0x40005002 +.set CYREG_PRT0_PC3, 0x40005003 +.set CYREG_PRT0_PC4, 0x40005004 +.set CYREG_PRT0_PC5, 0x40005005 +.set CYREG_PRT0_PC6, 0x40005006 +.set CYREG_PRT0_PC7, 0x40005007 +.set CYDEV_IO_PC_PRT1_BASE, 0x40005008 +.set CYDEV_IO_PC_PRT1_SIZE, 0x00000008 +.set CYREG_PRT1_PC0, 0x40005008 +.set CYREG_PRT1_PC1, 0x40005009 +.set CYREG_PRT1_PC2, 0x4000500a +.set CYREG_PRT1_PC3, 0x4000500b +.set CYREG_PRT1_PC4, 0x4000500c +.set CYREG_PRT1_PC5, 0x4000500d +.set CYREG_PRT1_PC6, 0x4000500e +.set CYREG_PRT1_PC7, 0x4000500f +.set CYDEV_IO_PC_PRT2_BASE, 0x40005010 +.set CYDEV_IO_PC_PRT2_SIZE, 0x00000008 +.set CYREG_PRT2_PC0, 0x40005010 +.set CYREG_PRT2_PC1, 0x40005011 +.set CYREG_PRT2_PC2, 0x40005012 +.set CYREG_PRT2_PC3, 0x40005013 +.set CYREG_PRT2_PC4, 0x40005014 +.set CYREG_PRT2_PC5, 0x40005015 +.set CYREG_PRT2_PC6, 0x40005016 +.set CYREG_PRT2_PC7, 0x40005017 +.set CYDEV_IO_PC_PRT3_BASE, 0x40005018 +.set CYDEV_IO_PC_PRT3_SIZE, 0x00000008 +.set CYREG_PRT3_PC0, 0x40005018 +.set CYREG_PRT3_PC1, 0x40005019 +.set CYREG_PRT3_PC2, 0x4000501a +.set CYREG_PRT3_PC3, 0x4000501b +.set CYREG_PRT3_PC4, 0x4000501c +.set CYREG_PRT3_PC5, 0x4000501d +.set CYREG_PRT3_PC6, 0x4000501e +.set CYREG_PRT3_PC7, 0x4000501f +.set CYDEV_IO_PC_PRT4_BASE, 0x40005020 +.set CYDEV_IO_PC_PRT4_SIZE, 0x00000008 +.set CYREG_PRT4_PC0, 0x40005020 +.set CYREG_PRT4_PC1, 0x40005021 +.set CYREG_PRT4_PC2, 0x40005022 +.set CYREG_PRT4_PC3, 0x40005023 +.set CYREG_PRT4_PC4, 0x40005024 +.set CYREG_PRT4_PC5, 0x40005025 +.set CYREG_PRT4_PC6, 0x40005026 +.set CYREG_PRT4_PC7, 0x40005027 +.set CYDEV_IO_PC_PRT5_BASE, 0x40005028 +.set CYDEV_IO_PC_PRT5_SIZE, 0x00000008 +.set CYREG_PRT5_PC0, 0x40005028 +.set CYREG_PRT5_PC1, 0x40005029 +.set CYREG_PRT5_PC2, 0x4000502a +.set CYREG_PRT5_PC3, 0x4000502b +.set CYREG_PRT5_PC4, 0x4000502c +.set CYREG_PRT5_PC5, 0x4000502d +.set CYREG_PRT5_PC6, 0x4000502e +.set CYREG_PRT5_PC7, 0x4000502f +.set CYDEV_IO_PC_PRT6_BASE, 0x40005030 +.set CYDEV_IO_PC_PRT6_SIZE, 0x00000008 +.set CYREG_PRT6_PC0, 0x40005030 +.set CYREG_PRT6_PC1, 0x40005031 +.set CYREG_PRT6_PC2, 0x40005032 +.set CYREG_PRT6_PC3, 0x40005033 +.set CYREG_PRT6_PC4, 0x40005034 +.set CYREG_PRT6_PC5, 0x40005035 +.set CYREG_PRT6_PC6, 0x40005036 +.set CYREG_PRT6_PC7, 0x40005037 +.set CYDEV_IO_PC_PRT12_BASE, 0x40005060 +.set CYDEV_IO_PC_PRT12_SIZE, 0x00000008 +.set CYREG_PRT12_PC0, 0x40005060 +.set CYREG_PRT12_PC1, 0x40005061 +.set CYREG_PRT12_PC2, 0x40005062 +.set CYREG_PRT12_PC3, 0x40005063 +.set CYREG_PRT12_PC4, 0x40005064 +.set CYREG_PRT12_PC5, 0x40005065 +.set CYREG_PRT12_PC6, 0x40005066 +.set CYREG_PRT12_PC7, 0x40005067 +.set CYDEV_IO_PC_PRT15_BASE, 0x40005078 +.set CYDEV_IO_PC_PRT15_SIZE, 0x00000006 +.set CYREG_IO_PC_PRT15_PC0, 0x40005078 +.set CYREG_IO_PC_PRT15_PC1, 0x40005079 +.set CYREG_IO_PC_PRT15_PC2, 0x4000507a +.set CYREG_IO_PC_PRT15_PC3, 0x4000507b +.set CYREG_IO_PC_PRT15_PC4, 0x4000507c +.set CYREG_IO_PC_PRT15_PC5, 0x4000507d +.set CYDEV_IO_PC_PRT15_7_6_BASE, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_SIZE, 0x00000002 +.set CYREG_IO_PC_PRT15_7_6_PC0, 0x4000507e +.set CYREG_IO_PC_PRT15_7_6_PC1, 0x4000507f +.set CYDEV_IO_DR_BASE, 0x40005080 +.set CYDEV_IO_DR_SIZE, 0x00000010 +.set CYDEV_IO_DR_PRT0_BASE, 0x40005080 +.set CYDEV_IO_DR_PRT0_SIZE, 0x00000001 +.set CYREG_PRT0_DR_ALIAS, 0x40005080 +.set CYDEV_IO_DR_PRT1_BASE, 0x40005081 +.set CYDEV_IO_DR_PRT1_SIZE, 0x00000001 +.set CYREG_PRT1_DR_ALIAS, 0x40005081 +.set CYDEV_IO_DR_PRT2_BASE, 0x40005082 +.set CYDEV_IO_DR_PRT2_SIZE, 0x00000001 +.set CYREG_PRT2_DR_ALIAS, 0x40005082 +.set CYDEV_IO_DR_PRT3_BASE, 0x40005083 +.set CYDEV_IO_DR_PRT3_SIZE, 0x00000001 +.set CYREG_PRT3_DR_ALIAS, 0x40005083 +.set CYDEV_IO_DR_PRT4_BASE, 0x40005084 +.set CYDEV_IO_DR_PRT4_SIZE, 0x00000001 +.set CYREG_PRT4_DR_ALIAS, 0x40005084 +.set CYDEV_IO_DR_PRT5_BASE, 0x40005085 +.set CYDEV_IO_DR_PRT5_SIZE, 0x00000001 +.set CYREG_PRT5_DR_ALIAS, 0x40005085 +.set CYDEV_IO_DR_PRT6_BASE, 0x40005086 +.set CYDEV_IO_DR_PRT6_SIZE, 0x00000001 +.set CYREG_PRT6_DR_ALIAS, 0x40005086 +.set CYDEV_IO_DR_PRT12_BASE, 0x4000508c +.set CYDEV_IO_DR_PRT12_SIZE, 0x00000001 +.set CYREG_PRT12_DR_ALIAS, 0x4000508c +.set CYDEV_IO_DR_PRT15_BASE, 0x4000508f +.set CYDEV_IO_DR_PRT15_SIZE, 0x00000001 +.set CYREG_PRT15_DR_15_ALIAS, 0x4000508f +.set CYDEV_IO_PS_BASE, 0x40005090 +.set CYDEV_IO_PS_SIZE, 0x00000010 +.set CYDEV_IO_PS_PRT0_BASE, 0x40005090 +.set CYDEV_IO_PS_PRT0_SIZE, 0x00000001 +.set CYREG_PRT0_PS_ALIAS, 0x40005090 +.set CYDEV_IO_PS_PRT1_BASE, 0x40005091 +.set CYDEV_IO_PS_PRT1_SIZE, 0x00000001 +.set CYREG_PRT1_PS_ALIAS, 0x40005091 +.set CYDEV_IO_PS_PRT2_BASE, 0x40005092 +.set CYDEV_IO_PS_PRT2_SIZE, 0x00000001 +.set CYREG_PRT2_PS_ALIAS, 0x40005092 +.set CYDEV_IO_PS_PRT3_BASE, 0x40005093 +.set CYDEV_IO_PS_PRT3_SIZE, 0x00000001 +.set CYREG_PRT3_PS_ALIAS, 0x40005093 +.set CYDEV_IO_PS_PRT4_BASE, 0x40005094 +.set CYDEV_IO_PS_PRT4_SIZE, 0x00000001 +.set CYREG_PRT4_PS_ALIAS, 0x40005094 +.set CYDEV_IO_PS_PRT5_BASE, 0x40005095 +.set CYDEV_IO_PS_PRT5_SIZE, 0x00000001 +.set CYREG_PRT5_PS_ALIAS, 0x40005095 +.set CYDEV_IO_PS_PRT6_BASE, 0x40005096 +.set CYDEV_IO_PS_PRT6_SIZE, 0x00000001 +.set CYREG_PRT6_PS_ALIAS, 0x40005096 +.set CYDEV_IO_PS_PRT12_BASE, 0x4000509c +.set CYDEV_IO_PS_PRT12_SIZE, 0x00000001 +.set CYREG_PRT12_PS_ALIAS, 0x4000509c +.set CYDEV_IO_PS_PRT15_BASE, 0x4000509f +.set CYDEV_IO_PS_PRT15_SIZE, 0x00000001 +.set CYREG_PRT15_PS15_ALIAS, 0x4000509f +.set CYDEV_IO_PRT_BASE, 0x40005100 +.set CYDEV_IO_PRT_SIZE, 0x00000100 +.set CYDEV_IO_PRT_PRT0_BASE, 0x40005100 +.set CYDEV_IO_PRT_PRT0_SIZE, 0x00000010 +.set CYREG_PRT0_DR, 0x40005100 +.set CYREG_PRT0_PS, 0x40005101 +.set CYREG_PRT0_DM0, 0x40005102 +.set CYREG_PRT0_DM1, 0x40005103 +.set CYREG_PRT0_DM2, 0x40005104 +.set CYREG_PRT0_SLW, 0x40005105 +.set CYREG_PRT0_BYP, 0x40005106 +.set CYREG_PRT0_BIE, 0x40005107 +.set CYREG_PRT0_INP_DIS, 0x40005108 +.set CYREG_PRT0_CTL, 0x40005109 +.set CYREG_PRT0_PRT, 0x4000510a +.set CYREG_PRT0_BIT_MASK, 0x4000510b +.set CYREG_PRT0_AMUX, 0x4000510c +.set CYREG_PRT0_AG, 0x4000510d +.set CYREG_PRT0_LCD_COM_SEG, 0x4000510e +.set CYREG_PRT0_LCD_EN, 0x4000510f +.set CYDEV_IO_PRT_PRT1_BASE, 0x40005110 +.set CYDEV_IO_PRT_PRT1_SIZE, 0x00000010 +.set CYREG_PRT1_DR, 0x40005110 +.set CYREG_PRT1_PS, 0x40005111 +.set CYREG_PRT1_DM0, 0x40005112 +.set CYREG_PRT1_DM1, 0x40005113 +.set CYREG_PRT1_DM2, 0x40005114 +.set CYREG_PRT1_SLW, 0x40005115 +.set CYREG_PRT1_BYP, 0x40005116 +.set CYREG_PRT1_BIE, 0x40005117 +.set CYREG_PRT1_INP_DIS, 0x40005118 +.set CYREG_PRT1_CTL, 0x40005119 +.set CYREG_PRT1_PRT, 0x4000511a +.set CYREG_PRT1_BIT_MASK, 0x4000511b +.set CYREG_PRT1_AMUX, 0x4000511c +.set CYREG_PRT1_AG, 0x4000511d +.set CYREG_PRT1_LCD_COM_SEG, 0x4000511e +.set CYREG_PRT1_LCD_EN, 0x4000511f +.set CYDEV_IO_PRT_PRT2_BASE, 0x40005120 +.set CYDEV_IO_PRT_PRT2_SIZE, 0x00000010 +.set CYREG_PRT2_DR, 0x40005120 +.set CYREG_PRT2_PS, 0x40005121 +.set CYREG_PRT2_DM0, 0x40005122 +.set CYREG_PRT2_DM1, 0x40005123 +.set CYREG_PRT2_DM2, 0x40005124 +.set CYREG_PRT2_SLW, 0x40005125 +.set CYREG_PRT2_BYP, 0x40005126 +.set CYREG_PRT2_BIE, 0x40005127 +.set CYREG_PRT2_INP_DIS, 0x40005128 +.set CYREG_PRT2_CTL, 0x40005129 +.set CYREG_PRT2_PRT, 0x4000512a +.set CYREG_PRT2_BIT_MASK, 0x4000512b +.set CYREG_PRT2_AMUX, 0x4000512c +.set CYREG_PRT2_AG, 0x4000512d +.set CYREG_PRT2_LCD_COM_SEG, 0x4000512e +.set CYREG_PRT2_LCD_EN, 0x4000512f +.set CYDEV_IO_PRT_PRT3_BASE, 0x40005130 +.set CYDEV_IO_PRT_PRT3_SIZE, 0x00000010 +.set CYREG_PRT3_DR, 0x40005130 +.set CYREG_PRT3_PS, 0x40005131 +.set CYREG_PRT3_DM0, 0x40005132 +.set CYREG_PRT3_DM1, 0x40005133 +.set CYREG_PRT3_DM2, 0x40005134 +.set CYREG_PRT3_SLW, 0x40005135 +.set CYREG_PRT3_BYP, 0x40005136 +.set CYREG_PRT3_BIE, 0x40005137 +.set CYREG_PRT3_INP_DIS, 0x40005138 +.set CYREG_PRT3_CTL, 0x40005139 +.set CYREG_PRT3_PRT, 0x4000513a +.set CYREG_PRT3_BIT_MASK, 0x4000513b +.set CYREG_PRT3_AMUX, 0x4000513c +.set CYREG_PRT3_AG, 0x4000513d +.set CYREG_PRT3_LCD_COM_SEG, 0x4000513e +.set CYREG_PRT3_LCD_EN, 0x4000513f +.set CYDEV_IO_PRT_PRT4_BASE, 0x40005140 +.set CYDEV_IO_PRT_PRT4_SIZE, 0x00000010 +.set CYREG_PRT4_DR, 0x40005140 +.set CYREG_PRT4_PS, 0x40005141 +.set CYREG_PRT4_DM0, 0x40005142 +.set CYREG_PRT4_DM1, 0x40005143 +.set CYREG_PRT4_DM2, 0x40005144 +.set CYREG_PRT4_SLW, 0x40005145 +.set CYREG_PRT4_BYP, 0x40005146 +.set CYREG_PRT4_BIE, 0x40005147 +.set CYREG_PRT4_INP_DIS, 0x40005148 +.set CYREG_PRT4_CTL, 0x40005149 +.set CYREG_PRT4_PRT, 0x4000514a +.set CYREG_PRT4_BIT_MASK, 0x4000514b +.set CYREG_PRT4_AMUX, 0x4000514c +.set CYREG_PRT4_AG, 0x4000514d +.set CYREG_PRT4_LCD_COM_SEG, 0x4000514e +.set CYREG_PRT4_LCD_EN, 0x4000514f +.set CYDEV_IO_PRT_PRT5_BASE, 0x40005150 +.set CYDEV_IO_PRT_PRT5_SIZE, 0x00000010 +.set CYREG_PRT5_DR, 0x40005150 +.set CYREG_PRT5_PS, 0x40005151 +.set CYREG_PRT5_DM0, 0x40005152 +.set CYREG_PRT5_DM1, 0x40005153 +.set CYREG_PRT5_DM2, 0x40005154 +.set CYREG_PRT5_SLW, 0x40005155 +.set CYREG_PRT5_BYP, 0x40005156 +.set CYREG_PRT5_BIE, 0x40005157 +.set CYREG_PRT5_INP_DIS, 0x40005158 +.set CYREG_PRT5_CTL, 0x40005159 +.set CYREG_PRT5_PRT, 0x4000515a +.set CYREG_PRT5_BIT_MASK, 0x4000515b +.set CYREG_PRT5_AMUX, 0x4000515c +.set CYREG_PRT5_AG, 0x4000515d +.set CYREG_PRT5_LCD_COM_SEG, 0x4000515e +.set CYREG_PRT5_LCD_EN, 0x4000515f +.set CYDEV_IO_PRT_PRT6_BASE, 0x40005160 +.set CYDEV_IO_PRT_PRT6_SIZE, 0x00000010 +.set CYREG_PRT6_DR, 0x40005160 +.set CYREG_PRT6_PS, 0x40005161 +.set CYREG_PRT6_DM0, 0x40005162 +.set CYREG_PRT6_DM1, 0x40005163 +.set CYREG_PRT6_DM2, 0x40005164 +.set CYREG_PRT6_SLW, 0x40005165 +.set CYREG_PRT6_BYP, 0x40005166 +.set CYREG_PRT6_BIE, 0x40005167 +.set CYREG_PRT6_INP_DIS, 0x40005168 +.set CYREG_PRT6_CTL, 0x40005169 +.set CYREG_PRT6_PRT, 0x4000516a +.set CYREG_PRT6_BIT_MASK, 0x4000516b +.set CYREG_PRT6_AMUX, 0x4000516c +.set CYREG_PRT6_AG, 0x4000516d +.set CYREG_PRT6_LCD_COM_SEG, 0x4000516e +.set CYREG_PRT6_LCD_EN, 0x4000516f +.set CYDEV_IO_PRT_PRT12_BASE, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_SIZE, 0x00000010 +.set CYREG_PRT12_DR, 0x400051c0 +.set CYREG_PRT12_PS, 0x400051c1 +.set CYREG_PRT12_DM0, 0x400051c2 +.set CYREG_PRT12_DM1, 0x400051c3 +.set CYREG_PRT12_DM2, 0x400051c4 +.set CYREG_PRT12_SLW, 0x400051c5 +.set CYREG_PRT12_BYP, 0x400051c6 +.set CYREG_PRT12_BIE, 0x400051c7 +.set CYREG_PRT12_INP_DIS, 0x400051c8 +.set CYREG_PRT12_SIO_HYST_EN, 0x400051c9 +.set CYREG_PRT12_PRT, 0x400051ca +.set CYREG_PRT12_BIT_MASK, 0x400051cb +.set CYREG_PRT12_SIO_REG_HIFREQ, 0x400051cc +.set CYREG_PRT12_AG, 0x400051cd +.set CYREG_PRT12_SIO_CFG, 0x400051ce +.set CYREG_PRT12_SIO_DIFF, 0x400051cf +.set CYDEV_IO_PRT_PRT15_BASE, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_SIZE, 0x00000010 +.set CYREG_PRT15_DR, 0x400051f0 +.set CYREG_PRT15_PS, 0x400051f1 +.set CYREG_PRT15_DM0, 0x400051f2 +.set CYREG_PRT15_DM1, 0x400051f3 +.set CYREG_PRT15_DM2, 0x400051f4 +.set CYREG_PRT15_SLW, 0x400051f5 +.set CYREG_PRT15_BYP, 0x400051f6 +.set CYREG_PRT15_BIE, 0x400051f7 +.set CYREG_PRT15_INP_DIS, 0x400051f8 +.set CYREG_PRT15_CTL, 0x400051f9 +.set CYREG_PRT15_PRT, 0x400051fa +.set CYREG_PRT15_BIT_MASK, 0x400051fb +.set CYREG_PRT15_AMUX, 0x400051fc +.set CYREG_PRT15_AG, 0x400051fd +.set CYREG_PRT15_LCD_COM_SEG, 0x400051fe +.set CYREG_PRT15_LCD_EN, 0x400051ff +.set CYDEV_PRTDSI_BASE, 0x40005200 +.set CYDEV_PRTDSI_SIZE, 0x0000007f +.set CYDEV_PRTDSI_PRT0_BASE, 0x40005200 +.set CYDEV_PRTDSI_PRT0_SIZE, 0x00000007 +.set CYREG_PRT0_OUT_SEL0, 0x40005200 +.set CYREG_PRT0_OUT_SEL1, 0x40005201 +.set CYREG_PRT0_OE_SEL0, 0x40005202 +.set CYREG_PRT0_OE_SEL1, 0x40005203 +.set CYREG_PRT0_DBL_SYNC_IN, 0x40005204 +.set CYREG_PRT0_SYNC_OUT, 0x40005205 +.set CYREG_PRT0_CAPS_SEL, 0x40005206 +.set CYDEV_PRTDSI_PRT1_BASE, 0x40005208 +.set CYDEV_PRTDSI_PRT1_SIZE, 0x00000007 +.set CYREG_PRT1_OUT_SEL0, 0x40005208 +.set CYREG_PRT1_OUT_SEL1, 0x40005209 +.set CYREG_PRT1_OE_SEL0, 0x4000520a +.set CYREG_PRT1_OE_SEL1, 0x4000520b +.set CYREG_PRT1_DBL_SYNC_IN, 0x4000520c +.set CYREG_PRT1_SYNC_OUT, 0x4000520d +.set CYREG_PRT1_CAPS_SEL, 0x4000520e +.set CYDEV_PRTDSI_PRT2_BASE, 0x40005210 +.set CYDEV_PRTDSI_PRT2_SIZE, 0x00000007 +.set CYREG_PRT2_OUT_SEL0, 0x40005210 +.set CYREG_PRT2_OUT_SEL1, 0x40005211 +.set CYREG_PRT2_OE_SEL0, 0x40005212 +.set CYREG_PRT2_OE_SEL1, 0x40005213 +.set CYREG_PRT2_DBL_SYNC_IN, 0x40005214 +.set CYREG_PRT2_SYNC_OUT, 0x40005215 +.set CYREG_PRT2_CAPS_SEL, 0x40005216 +.set CYDEV_PRTDSI_PRT3_BASE, 0x40005218 +.set CYDEV_PRTDSI_PRT3_SIZE, 0x00000007 +.set CYREG_PRT3_OUT_SEL0, 0x40005218 +.set CYREG_PRT3_OUT_SEL1, 0x40005219 +.set CYREG_PRT3_OE_SEL0, 0x4000521a +.set CYREG_PRT3_OE_SEL1, 0x4000521b +.set CYREG_PRT3_DBL_SYNC_IN, 0x4000521c +.set CYREG_PRT3_SYNC_OUT, 0x4000521d +.set CYREG_PRT3_CAPS_SEL, 0x4000521e +.set CYDEV_PRTDSI_PRT4_BASE, 0x40005220 +.set CYDEV_PRTDSI_PRT4_SIZE, 0x00000007 +.set CYREG_PRT4_OUT_SEL0, 0x40005220 +.set CYREG_PRT4_OUT_SEL1, 0x40005221 +.set CYREG_PRT4_OE_SEL0, 0x40005222 +.set CYREG_PRT4_OE_SEL1, 0x40005223 +.set CYREG_PRT4_DBL_SYNC_IN, 0x40005224 +.set CYREG_PRT4_SYNC_OUT, 0x40005225 +.set CYREG_PRT4_CAPS_SEL, 0x40005226 +.set CYDEV_PRTDSI_PRT5_BASE, 0x40005228 +.set CYDEV_PRTDSI_PRT5_SIZE, 0x00000007 +.set CYREG_PRT5_OUT_SEL0, 0x40005228 +.set CYREG_PRT5_OUT_SEL1, 0x40005229 +.set CYREG_PRT5_OE_SEL0, 0x4000522a +.set CYREG_PRT5_OE_SEL1, 0x4000522b +.set CYREG_PRT5_DBL_SYNC_IN, 0x4000522c +.set CYREG_PRT5_SYNC_OUT, 0x4000522d +.set CYREG_PRT5_CAPS_SEL, 0x4000522e +.set CYDEV_PRTDSI_PRT6_BASE, 0x40005230 +.set CYDEV_PRTDSI_PRT6_SIZE, 0x00000007 +.set CYREG_PRT6_OUT_SEL0, 0x40005230 +.set CYREG_PRT6_OUT_SEL1, 0x40005231 +.set CYREG_PRT6_OE_SEL0, 0x40005232 +.set CYREG_PRT6_OE_SEL1, 0x40005233 +.set CYREG_PRT6_DBL_SYNC_IN, 0x40005234 +.set CYREG_PRT6_SYNC_OUT, 0x40005235 +.set CYREG_PRT6_CAPS_SEL, 0x40005236 +.set CYDEV_PRTDSI_PRT12_BASE, 0x40005260 +.set CYDEV_PRTDSI_PRT12_SIZE, 0x00000006 +.set CYREG_PRT12_OUT_SEL0, 0x40005260 +.set CYREG_PRT12_OUT_SEL1, 0x40005261 +.set CYREG_PRT12_OE_SEL0, 0x40005262 +.set CYREG_PRT12_OE_SEL1, 0x40005263 +.set CYREG_PRT12_DBL_SYNC_IN, 0x40005264 +.set CYREG_PRT12_SYNC_OUT, 0x40005265 +.set CYDEV_PRTDSI_PRT15_BASE, 0x40005278 +.set CYDEV_PRTDSI_PRT15_SIZE, 0x00000007 +.set CYREG_PRT15_OUT_SEL0, 0x40005278 +.set CYREG_PRT15_OUT_SEL1, 0x40005279 +.set CYREG_PRT15_OE_SEL0, 0x4000527a +.set CYREG_PRT15_OE_SEL1, 0x4000527b +.set CYREG_PRT15_DBL_SYNC_IN, 0x4000527c +.set CYREG_PRT15_SYNC_OUT, 0x4000527d +.set CYREG_PRT15_CAPS_SEL, 0x4000527e +.set CYDEV_EMIF_BASE, 0x40005400 +.set CYDEV_EMIF_SIZE, 0x00000007 +.set CYREG_EMIF_NO_UDB, 0x40005400 +.set CYREG_EMIF_RP_WAIT_STATES, 0x40005401 +.set CYREG_EMIF_MEM_DWN, 0x40005402 +.set CYREG_EMIF_MEMCLK_DIV, 0x40005403 +.set CYREG_EMIF_CLOCK_EN, 0x40005404 +.set CYREG_EMIF_EM_TYPE, 0x40005405 +.set CYREG_EMIF_WP_WAIT_STATES, 0x40005406 +.set CYDEV_ANAIF_BASE, 0x40005800 +.set CYDEV_ANAIF_SIZE, 0x000003a9 +.set CYDEV_ANAIF_CFG_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SIZE, 0x0000010f +.set CYDEV_ANAIF_CFG_SC0_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_SIZE, 0x00000003 +.set CYREG_SC0_CR0, 0x40005800 +.set CYREG_SC0_CR1, 0x40005801 +.set CYREG_SC0_CR2, 0x40005802 +.set CYDEV_ANAIF_CFG_SC1_BASE, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_SIZE, 0x00000003 +.set CYREG_SC1_CR0, 0x40005804 +.set CYREG_SC1_CR1, 0x40005805 +.set CYREG_SC1_CR2, 0x40005806 +.set CYDEV_ANAIF_CFG_SC2_BASE, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_SIZE, 0x00000003 +.set CYREG_SC2_CR0, 0x40005808 +.set CYREG_SC2_CR1, 0x40005809 +.set CYREG_SC2_CR2, 0x4000580a +.set CYDEV_ANAIF_CFG_SC3_BASE, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_SIZE, 0x00000003 +.set CYREG_SC3_CR0, 0x4000580c +.set CYREG_SC3_CR1, 0x4000580d +.set CYREG_SC3_CR2, 0x4000580e +.set CYDEV_ANAIF_CFG_DAC0_BASE, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_SIZE, 0x00000003 +.set CYREG_DAC0_CR0, 0x40005820 +.set CYREG_DAC0_CR1, 0x40005821 +.set CYREG_DAC0_TST, 0x40005822 +.set CYDEV_ANAIF_CFG_DAC1_BASE, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_SIZE, 0x00000003 +.set CYREG_DAC1_CR0, 0x40005824 +.set CYREG_DAC1_CR1, 0x40005825 +.set CYREG_DAC1_TST, 0x40005826 +.set CYDEV_ANAIF_CFG_DAC2_BASE, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_SIZE, 0x00000003 +.set CYREG_DAC2_CR0, 0x40005828 +.set CYREG_DAC2_CR1, 0x40005829 +.set CYREG_DAC2_TST, 0x4000582a +.set CYDEV_ANAIF_CFG_DAC3_BASE, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_SIZE, 0x00000003 +.set CYREG_DAC3_CR0, 0x4000582c +.set CYREG_DAC3_CR1, 0x4000582d +.set CYREG_DAC3_TST, 0x4000582e +.set CYDEV_ANAIF_CFG_CMP0_BASE, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP0_SIZE, 0x00000001 +.set CYREG_CMP0_CR, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP1_BASE, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP1_SIZE, 0x00000001 +.set CYREG_CMP1_CR, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP2_BASE, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP2_SIZE, 0x00000001 +.set CYREG_CMP2_CR, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP3_BASE, 0x40005843 +.set CYDEV_ANAIF_CFG_CMP3_SIZE, 0x00000001 +.set CYREG_CMP3_CR, 0x40005843 +.set CYDEV_ANAIF_CFG_LUT0_BASE, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_SIZE, 0x00000002 +.set CYREG_LUT0_CR, 0x40005848 +.set CYREG_LUT0_MX, 0x40005849 +.set CYDEV_ANAIF_CFG_LUT1_BASE, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_SIZE, 0x00000002 +.set CYREG_LUT1_CR, 0x4000584a +.set CYREG_LUT1_MX, 0x4000584b +.set CYDEV_ANAIF_CFG_LUT2_BASE, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_SIZE, 0x00000002 +.set CYREG_LUT2_CR, 0x4000584c +.set CYREG_LUT2_MX, 0x4000584d +.set CYDEV_ANAIF_CFG_LUT3_BASE, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_SIZE, 0x00000002 +.set CYREG_LUT3_CR, 0x4000584e +.set CYREG_LUT3_MX, 0x4000584f +.set CYDEV_ANAIF_CFG_OPAMP0_BASE, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_CR, 0x40005858 +.set CYREG_OPAMP0_RSVD, 0x40005859 +.set CYDEV_ANAIF_CFG_OPAMP1_BASE, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_CR, 0x4000585a +.set CYREG_OPAMP1_RSVD, 0x4000585b +.set CYDEV_ANAIF_CFG_OPAMP2_BASE, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_CR, 0x4000585c +.set CYREG_OPAMP2_RSVD, 0x4000585d +.set CYDEV_ANAIF_CFG_OPAMP3_BASE, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_CR, 0x4000585e +.set CYREG_OPAMP3_RSVD, 0x4000585f +.set CYDEV_ANAIF_CFG_LCDDAC_BASE, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_SIZE, 0x00000002 +.set CYREG_LCDDAC_CR0, 0x40005868 +.set CYREG_LCDDAC_CR1, 0x40005869 +.set CYDEV_ANAIF_CFG_LCDDRV_BASE, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDDRV_SIZE, 0x00000001 +.set CYREG_LCDDRV_CR, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDTMR_BASE, 0x4000586b +.set CYDEV_ANAIF_CFG_LCDTMR_SIZE, 0x00000001 +.set CYREG_LCDTMR_CFG, 0x4000586b +.set CYDEV_ANAIF_CFG_BG_BASE, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_SIZE, 0x00000004 +.set CYREG_BG_CR0, 0x4000586c +.set CYREG_BG_RSVD, 0x4000586d +.set CYREG_BG_DFT0, 0x4000586e +.set CYREG_BG_DFT1, 0x4000586f +.set CYDEV_ANAIF_CFG_CAPSL_BASE, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_SIZE, 0x00000002 +.set CYREG_CAPSL_CFG0, 0x40005870 +.set CYREG_CAPSL_CFG1, 0x40005871 +.set CYDEV_ANAIF_CFG_CAPSR_BASE, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_SIZE, 0x00000002 +.set CYREG_CAPSR_CFG0, 0x40005872 +.set CYREG_CAPSR_CFG1, 0x40005873 +.set CYDEV_ANAIF_CFG_PUMP_BASE, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_SIZE, 0x00000002 +.set CYREG_PUMP_CR0, 0x40005876 +.set CYREG_PUMP_CR1, 0x40005877 +.set CYDEV_ANAIF_CFG_LPF0_BASE, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_SIZE, 0x00000002 +.set CYREG_LPF0_CR0, 0x40005878 +.set CYREG_LPF0_RSVD, 0x40005879 +.set CYDEV_ANAIF_CFG_LPF1_BASE, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_SIZE, 0x00000002 +.set CYREG_LPF1_CR0, 0x4000587a +.set CYREG_LPF1_RSVD, 0x4000587b +.set CYDEV_ANAIF_CFG_MISC_BASE, 0x4000587c +.set CYDEV_ANAIF_CFG_MISC_SIZE, 0x00000001 +.set CYREG_ANAIF_CFG_MISC_CR0, 0x4000587c +.set CYDEV_ANAIF_CFG_DSM0_BASE, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_SIZE, 0x00000020 +.set CYREG_DSM0_CR0, 0x40005880 +.set CYREG_DSM0_CR1, 0x40005881 +.set CYREG_DSM0_CR2, 0x40005882 +.set CYREG_DSM0_CR3, 0x40005883 +.set CYREG_DSM0_CR4, 0x40005884 +.set CYREG_DSM0_CR5, 0x40005885 +.set CYREG_DSM0_CR6, 0x40005886 +.set CYREG_DSM0_CR7, 0x40005887 +.set CYREG_DSM0_CR8, 0x40005888 +.set CYREG_DSM0_CR9, 0x40005889 +.set CYREG_DSM0_CR10, 0x4000588a +.set CYREG_DSM0_CR11, 0x4000588b +.set CYREG_DSM0_CR12, 0x4000588c +.set CYREG_DSM0_CR13, 0x4000588d +.set CYREG_DSM0_CR14, 0x4000588e +.set CYREG_DSM0_CR15, 0x4000588f +.set CYREG_DSM0_CR16, 0x40005890 +.set CYREG_DSM0_CR17, 0x40005891 +.set CYREG_DSM0_REF0, 0x40005892 +.set CYREG_DSM0_REF1, 0x40005893 +.set CYREG_DSM0_REF2, 0x40005894 +.set CYREG_DSM0_REF3, 0x40005895 +.set CYREG_DSM0_DEM0, 0x40005896 +.set CYREG_DSM0_DEM1, 0x40005897 +.set CYREG_DSM0_TST0, 0x40005898 +.set CYREG_DSM0_TST1, 0x40005899 +.set CYREG_DSM0_BUF0, 0x4000589a +.set CYREG_DSM0_BUF1, 0x4000589b +.set CYREG_DSM0_BUF2, 0x4000589c +.set CYREG_DSM0_BUF3, 0x4000589d +.set CYREG_DSM0_MISC, 0x4000589e +.set CYREG_DSM0_RSVD1, 0x4000589f +.set CYDEV_ANAIF_CFG_SAR0_BASE, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_SIZE, 0x00000007 +.set CYREG_SAR0_CSR0, 0x40005900 +.set CYREG_SAR0_CSR1, 0x40005901 +.set CYREG_SAR0_CSR2, 0x40005902 +.set CYREG_SAR0_CSR3, 0x40005903 +.set CYREG_SAR0_CSR4, 0x40005904 +.set CYREG_SAR0_CSR5, 0x40005905 +.set CYREG_SAR0_CSR6, 0x40005906 +.set CYDEV_ANAIF_CFG_SAR1_BASE, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_SIZE, 0x00000007 +.set CYREG_SAR1_CSR0, 0x40005908 +.set CYREG_SAR1_CSR1, 0x40005909 +.set CYREG_SAR1_CSR2, 0x4000590a +.set CYREG_SAR1_CSR3, 0x4000590b +.set CYREG_SAR1_CSR4, 0x4000590c +.set CYREG_SAR1_CSR5, 0x4000590d +.set CYREG_SAR1_CSR6, 0x4000590e +.set CYDEV_ANAIF_RT_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SIZE, 0x00000162 +.set CYDEV_ANAIF_RT_SC0_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SIZE, 0x0000000d +.set CYREG_SC0_SW0, 0x40005a00 +.set CYREG_SC0_SW2, 0x40005a02 +.set CYREG_SC0_SW3, 0x40005a03 +.set CYREG_SC0_SW4, 0x40005a04 +.set CYREG_SC0_SW6, 0x40005a06 +.set CYREG_SC0_SW7, 0x40005a07 +.set CYREG_SC0_SW8, 0x40005a08 +.set CYREG_SC0_SW10, 0x40005a0a +.set CYREG_SC0_CLK, 0x40005a0b +.set CYREG_SC0_BST, 0x40005a0c +.set CYDEV_ANAIF_RT_SC1_BASE, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SIZE, 0x0000000d +.set CYREG_SC1_SW0, 0x40005a10 +.set CYREG_SC1_SW2, 0x40005a12 +.set CYREG_SC1_SW3, 0x40005a13 +.set CYREG_SC1_SW4, 0x40005a14 +.set CYREG_SC1_SW6, 0x40005a16 +.set CYREG_SC1_SW7, 0x40005a17 +.set CYREG_SC1_SW8, 0x40005a18 +.set CYREG_SC1_SW10, 0x40005a1a +.set CYREG_SC1_CLK, 0x40005a1b +.set CYREG_SC1_BST, 0x40005a1c +.set CYDEV_ANAIF_RT_SC2_BASE, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SIZE, 0x0000000d +.set CYREG_SC2_SW0, 0x40005a20 +.set CYREG_SC2_SW2, 0x40005a22 +.set CYREG_SC2_SW3, 0x40005a23 +.set CYREG_SC2_SW4, 0x40005a24 +.set CYREG_SC2_SW6, 0x40005a26 +.set CYREG_SC2_SW7, 0x40005a27 +.set CYREG_SC2_SW8, 0x40005a28 +.set CYREG_SC2_SW10, 0x40005a2a +.set CYREG_SC2_CLK, 0x40005a2b +.set CYREG_SC2_BST, 0x40005a2c +.set CYDEV_ANAIF_RT_SC3_BASE, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SIZE, 0x0000000d +.set CYREG_SC3_SW0, 0x40005a30 +.set CYREG_SC3_SW2, 0x40005a32 +.set CYREG_SC3_SW3, 0x40005a33 +.set CYREG_SC3_SW4, 0x40005a34 +.set CYREG_SC3_SW6, 0x40005a36 +.set CYREG_SC3_SW7, 0x40005a37 +.set CYREG_SC3_SW8, 0x40005a38 +.set CYREG_SC3_SW10, 0x40005a3a +.set CYREG_SC3_CLK, 0x40005a3b +.set CYREG_SC3_BST, 0x40005a3c +.set CYDEV_ANAIF_RT_DAC0_BASE, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SIZE, 0x00000008 +.set CYREG_DAC0_SW0, 0x40005a80 +.set CYREG_DAC0_SW2, 0x40005a82 +.set CYREG_DAC0_SW3, 0x40005a83 +.set CYREG_DAC0_SW4, 0x40005a84 +.set CYREG_DAC0_STROBE, 0x40005a87 +.set CYDEV_ANAIF_RT_DAC1_BASE, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SIZE, 0x00000008 +.set CYREG_DAC1_SW0, 0x40005a88 +.set CYREG_DAC1_SW2, 0x40005a8a +.set CYREG_DAC1_SW3, 0x40005a8b +.set CYREG_DAC1_SW4, 0x40005a8c +.set CYREG_DAC1_STROBE, 0x40005a8f +.set CYDEV_ANAIF_RT_DAC2_BASE, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SIZE, 0x00000008 +.set CYREG_DAC2_SW0, 0x40005a90 +.set CYREG_DAC2_SW2, 0x40005a92 +.set CYREG_DAC2_SW3, 0x40005a93 +.set CYREG_DAC2_SW4, 0x40005a94 +.set CYREG_DAC2_STROBE, 0x40005a97 +.set CYDEV_ANAIF_RT_DAC3_BASE, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SIZE, 0x00000008 +.set CYREG_DAC3_SW0, 0x40005a98 +.set CYREG_DAC3_SW2, 0x40005a9a +.set CYREG_DAC3_SW3, 0x40005a9b +.set CYREG_DAC3_SW4, 0x40005a9c +.set CYREG_DAC3_STROBE, 0x40005a9f +.set CYDEV_ANAIF_RT_CMP0_BASE, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SIZE, 0x00000008 +.set CYREG_CMP0_SW0, 0x40005ac0 +.set CYREG_CMP0_SW2, 0x40005ac2 +.set CYREG_CMP0_SW3, 0x40005ac3 +.set CYREG_CMP0_SW4, 0x40005ac4 +.set CYREG_CMP0_SW6, 0x40005ac6 +.set CYREG_CMP0_CLK, 0x40005ac7 +.set CYDEV_ANAIF_RT_CMP1_BASE, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SIZE, 0x00000008 +.set CYREG_CMP1_SW0, 0x40005ac8 +.set CYREG_CMP1_SW2, 0x40005aca +.set CYREG_CMP1_SW3, 0x40005acb +.set CYREG_CMP1_SW4, 0x40005acc +.set CYREG_CMP1_SW6, 0x40005ace +.set CYREG_CMP1_CLK, 0x40005acf +.set CYDEV_ANAIF_RT_CMP2_BASE, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SIZE, 0x00000008 +.set CYREG_CMP2_SW0, 0x40005ad0 +.set CYREG_CMP2_SW2, 0x40005ad2 +.set CYREG_CMP2_SW3, 0x40005ad3 +.set CYREG_CMP2_SW4, 0x40005ad4 +.set CYREG_CMP2_SW6, 0x40005ad6 +.set CYREG_CMP2_CLK, 0x40005ad7 +.set CYDEV_ANAIF_RT_CMP3_BASE, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SIZE, 0x00000008 +.set CYREG_CMP3_SW0, 0x40005ad8 +.set CYREG_CMP3_SW2, 0x40005ada +.set CYREG_CMP3_SW3, 0x40005adb +.set CYREG_CMP3_SW4, 0x40005adc +.set CYREG_CMP3_SW6, 0x40005ade +.set CYREG_CMP3_CLK, 0x40005adf +.set CYDEV_ANAIF_RT_DSM0_BASE, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SIZE, 0x00000008 +.set CYREG_DSM0_SW0, 0x40005b00 +.set CYREG_DSM0_SW2, 0x40005b02 +.set CYREG_DSM0_SW3, 0x40005b03 +.set CYREG_DSM0_SW4, 0x40005b04 +.set CYREG_DSM0_SW6, 0x40005b06 +.set CYREG_DSM0_CLK, 0x40005b07 +.set CYDEV_ANAIF_RT_SAR0_BASE, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SIZE, 0x00000008 +.set CYREG_SAR0_SW0, 0x40005b20 +.set CYREG_SAR0_SW2, 0x40005b22 +.set CYREG_SAR0_SW3, 0x40005b23 +.set CYREG_SAR0_SW4, 0x40005b24 +.set CYREG_SAR0_SW6, 0x40005b26 +.set CYREG_SAR0_CLK, 0x40005b27 +.set CYDEV_ANAIF_RT_SAR1_BASE, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SIZE, 0x00000008 +.set CYREG_SAR1_SW0, 0x40005b28 +.set CYREG_SAR1_SW2, 0x40005b2a +.set CYREG_SAR1_SW3, 0x40005b2b +.set CYREG_SAR1_SW4, 0x40005b2c +.set CYREG_SAR1_SW6, 0x40005b2e +.set CYREG_SAR1_CLK, 0x40005b2f +.set CYDEV_ANAIF_RT_OPAMP0_BASE, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_MX, 0x40005b40 +.set CYREG_OPAMP0_SW, 0x40005b41 +.set CYDEV_ANAIF_RT_OPAMP1_BASE, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_MX, 0x40005b42 +.set CYREG_OPAMP1_SW, 0x40005b43 +.set CYDEV_ANAIF_RT_OPAMP2_BASE, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_MX, 0x40005b44 +.set CYREG_OPAMP2_SW, 0x40005b45 +.set CYDEV_ANAIF_RT_OPAMP3_BASE, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_MX, 0x40005b46 +.set CYREG_OPAMP3_SW, 0x40005b47 +.set CYDEV_ANAIF_RT_LCDDAC_BASE, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SIZE, 0x00000005 +.set CYREG_LCDDAC_SW0, 0x40005b50 +.set CYREG_LCDDAC_SW1, 0x40005b51 +.set CYREG_LCDDAC_SW2, 0x40005b52 +.set CYREG_LCDDAC_SW3, 0x40005b53 +.set CYREG_LCDDAC_SW4, 0x40005b54 +.set CYDEV_ANAIF_RT_SC_BASE, 0x40005b56 +.set CYDEV_ANAIF_RT_SC_SIZE, 0x00000001 +.set CYREG_SC_MISC, 0x40005b56 +.set CYDEV_ANAIF_RT_BUS_BASE, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SIZE, 0x00000004 +.set CYREG_BUS_SW0, 0x40005b58 +.set CYREG_BUS_SW2, 0x40005b5a +.set CYREG_BUS_SW3, 0x40005b5b +.set CYDEV_ANAIF_RT_DFT_BASE, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_SIZE, 0x00000006 +.set CYREG_DFT_CR0, 0x40005b5c +.set CYREG_DFT_CR1, 0x40005b5d +.set CYREG_DFT_CR2, 0x40005b5e +.set CYREG_DFT_CR3, 0x40005b5f +.set CYREG_DFT_CR4, 0x40005b60 +.set CYREG_DFT_CR5, 0x40005b61 +.set CYDEV_ANAIF_WRK_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_SIZE, 0x00000029 +.set CYDEV_ANAIF_WRK_DAC0_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC0_SIZE, 0x00000001 +.set CYREG_DAC0_D, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC1_BASE, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC1_SIZE, 0x00000001 +.set CYREG_DAC1_D, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC2_BASE, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC2_SIZE, 0x00000001 +.set CYREG_DAC2_D, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC3_BASE, 0x40005b83 +.set CYDEV_ANAIF_WRK_DAC3_SIZE, 0x00000001 +.set CYREG_DAC3_D, 0x40005b83 +.set CYDEV_ANAIF_WRK_DSM0_BASE, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_SIZE, 0x00000002 +.set CYREG_DSM0_OUT0, 0x40005b88 +.set CYREG_DSM0_OUT1, 0x40005b89 +.set CYDEV_ANAIF_WRK_LUT_BASE, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_SIZE, 0x00000005 +.set CYREG_LUT_SR, 0x40005b90 +.set CYREG_LUT_WRK1, 0x40005b91 +.set CYREG_LUT_MSK, 0x40005b92 +.set CYREG_LUT_CLK, 0x40005b93 +.set CYREG_LUT_CPTR, 0x40005b94 +.set CYDEV_ANAIF_WRK_CMP_BASE, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_SIZE, 0x00000002 +.set CYREG_CMP_WRK, 0x40005b96 +.set CYREG_CMP_TST, 0x40005b97 +.set CYDEV_ANAIF_WRK_SC_BASE, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_SIZE, 0x00000005 +.set CYREG_SC_SR, 0x40005b98 +.set CYREG_SC_WRK1, 0x40005b99 +.set CYREG_SC_MSK, 0x40005b9a +.set CYREG_SC_CMPINV, 0x40005b9b +.set CYREG_SC_CPTR, 0x40005b9c +.set CYDEV_ANAIF_WRK_SAR0_BASE, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_SIZE, 0x00000002 +.set CYREG_SAR0_WRK0, 0x40005ba0 +.set CYREG_SAR0_WRK1, 0x40005ba1 +.set CYDEV_ANAIF_WRK_SAR1_BASE, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_SIZE, 0x00000002 +.set CYREG_SAR1_WRK0, 0x40005ba2 +.set CYREG_SAR1_WRK1, 0x40005ba3 +.set CYDEV_ANAIF_WRK_SARS_BASE, 0x40005ba8 +.set CYDEV_ANAIF_WRK_SARS_SIZE, 0x00000001 +.set CYREG_ANAIF_WRK_SARS_SOF, 0x40005ba8 +.set CYDEV_USB_BASE, 0x40006000 +.set CYDEV_USB_SIZE, 0x00000300 +.set CYREG_USB_EP0_DR0, 0x40006000 +.set CYREG_USB_EP0_DR1, 0x40006001 +.set CYREG_USB_EP0_DR2, 0x40006002 +.set CYREG_USB_EP0_DR3, 0x40006003 +.set CYREG_USB_EP0_DR4, 0x40006004 +.set CYREG_USB_EP0_DR5, 0x40006005 +.set CYREG_USB_EP0_DR6, 0x40006006 +.set CYREG_USB_EP0_DR7, 0x40006007 +.set CYREG_USB_CR0, 0x40006008 +.set CYREG_USB_CR1, 0x40006009 +.set CYREG_USB_SIE_EP_INT_EN, 0x4000600a +.set CYREG_USB_SIE_EP_INT_SR, 0x4000600b +.set CYDEV_USB_SIE_EP1_BASE, 0x4000600c +.set CYDEV_USB_SIE_EP1_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP1_CNT0, 0x4000600c +.set CYREG_USB_SIE_EP1_CNT1, 0x4000600d +.set CYREG_USB_SIE_EP1_CR0, 0x4000600e +.set CYREG_USB_USBIO_CR0, 0x40006010 +.set CYREG_USB_USBIO_CR1, 0x40006012 +.set CYREG_USB_DYN_RECONFIG, 0x40006014 +.set CYREG_USB_SOF0, 0x40006018 +.set CYREG_USB_SOF1, 0x40006019 +.set CYDEV_USB_SIE_EP2_BASE, 0x4000601c +.set CYDEV_USB_SIE_EP2_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP2_CNT0, 0x4000601c +.set CYREG_USB_SIE_EP2_CNT1, 0x4000601d +.set CYREG_USB_SIE_EP2_CR0, 0x4000601e +.set CYREG_USB_EP0_CR, 0x40006028 +.set CYREG_USB_EP0_CNT, 0x40006029 +.set CYDEV_USB_SIE_EP3_BASE, 0x4000602c +.set CYDEV_USB_SIE_EP3_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP3_CNT0, 0x4000602c +.set CYREG_USB_SIE_EP3_CNT1, 0x4000602d +.set CYREG_USB_SIE_EP3_CR0, 0x4000602e +.set CYDEV_USB_SIE_EP4_BASE, 0x4000603c +.set CYDEV_USB_SIE_EP4_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP4_CNT0, 0x4000603c +.set CYREG_USB_SIE_EP4_CNT1, 0x4000603d +.set CYREG_USB_SIE_EP4_CR0, 0x4000603e +.set CYDEV_USB_SIE_EP5_BASE, 0x4000604c +.set CYDEV_USB_SIE_EP5_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP5_CNT0, 0x4000604c +.set CYREG_USB_SIE_EP5_CNT1, 0x4000604d +.set CYREG_USB_SIE_EP5_CR0, 0x4000604e +.set CYDEV_USB_SIE_EP6_BASE, 0x4000605c +.set CYDEV_USB_SIE_EP6_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP6_CNT0, 0x4000605c +.set CYREG_USB_SIE_EP6_CNT1, 0x4000605d +.set CYREG_USB_SIE_EP6_CR0, 0x4000605e +.set CYDEV_USB_SIE_EP7_BASE, 0x4000606c +.set CYDEV_USB_SIE_EP7_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP7_CNT0, 0x4000606c +.set CYREG_USB_SIE_EP7_CNT1, 0x4000606d +.set CYREG_USB_SIE_EP7_CR0, 0x4000606e +.set CYDEV_USB_SIE_EP8_BASE, 0x4000607c +.set CYDEV_USB_SIE_EP8_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP8_CNT0, 0x4000607c +.set CYREG_USB_SIE_EP8_CNT1, 0x4000607d +.set CYREG_USB_SIE_EP8_CR0, 0x4000607e +.set CYDEV_USB_ARB_EP1_BASE, 0x40006080 +.set CYDEV_USB_ARB_EP1_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP1_CFG, 0x40006080 +.set CYREG_USB_ARB_EP1_INT_EN, 0x40006081 +.set CYREG_USB_ARB_EP1_SR, 0x40006082 +.set CYDEV_USB_ARB_RW1_BASE, 0x40006084 +.set CYDEV_USB_ARB_RW1_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW1_WA, 0x40006084 +.set CYREG_USB_ARB_RW1_WA_MSB, 0x40006085 +.set CYREG_USB_ARB_RW1_RA, 0x40006086 +.set CYREG_USB_ARB_RW1_RA_MSB, 0x40006087 +.set CYREG_USB_ARB_RW1_DR, 0x40006088 +.set CYREG_USB_BUF_SIZE, 0x4000608c +.set CYREG_USB_EP_ACTIVE, 0x4000608e +.set CYREG_USB_EP_TYPE, 0x4000608f +.set CYDEV_USB_ARB_EP2_BASE, 0x40006090 +.set CYDEV_USB_ARB_EP2_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP2_CFG, 0x40006090 +.set CYREG_USB_ARB_EP2_INT_EN, 0x40006091 +.set CYREG_USB_ARB_EP2_SR, 0x40006092 +.set CYDEV_USB_ARB_RW2_BASE, 0x40006094 +.set CYDEV_USB_ARB_RW2_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW2_WA, 0x40006094 +.set CYREG_USB_ARB_RW2_WA_MSB, 0x40006095 +.set CYREG_USB_ARB_RW2_RA, 0x40006096 +.set CYREG_USB_ARB_RW2_RA_MSB, 0x40006097 +.set CYREG_USB_ARB_RW2_DR, 0x40006098 +.set CYREG_USB_ARB_CFG, 0x4000609c +.set CYREG_USB_USB_CLK_EN, 0x4000609d +.set CYREG_USB_ARB_INT_EN, 0x4000609e +.set CYREG_USB_ARB_INT_SR, 0x4000609f +.set CYDEV_USB_ARB_EP3_BASE, 0x400060a0 +.set CYDEV_USB_ARB_EP3_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP3_CFG, 0x400060a0 +.set CYREG_USB_ARB_EP3_INT_EN, 0x400060a1 +.set CYREG_USB_ARB_EP3_SR, 0x400060a2 +.set CYDEV_USB_ARB_RW3_BASE, 0x400060a4 +.set CYDEV_USB_ARB_RW3_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW3_WA, 0x400060a4 +.set CYREG_USB_ARB_RW3_WA_MSB, 0x400060a5 +.set CYREG_USB_ARB_RW3_RA, 0x400060a6 +.set CYREG_USB_ARB_RW3_RA_MSB, 0x400060a7 +.set CYREG_USB_ARB_RW3_DR, 0x400060a8 +.set CYREG_USB_CWA, 0x400060ac +.set CYREG_USB_CWA_MSB, 0x400060ad +.set CYDEV_USB_ARB_EP4_BASE, 0x400060b0 +.set CYDEV_USB_ARB_EP4_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP4_CFG, 0x400060b0 +.set CYREG_USB_ARB_EP4_INT_EN, 0x400060b1 +.set CYREG_USB_ARB_EP4_SR, 0x400060b2 +.set CYDEV_USB_ARB_RW4_BASE, 0x400060b4 +.set CYDEV_USB_ARB_RW4_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW4_WA, 0x400060b4 +.set CYREG_USB_ARB_RW4_WA_MSB, 0x400060b5 +.set CYREG_USB_ARB_RW4_RA, 0x400060b6 +.set CYREG_USB_ARB_RW4_RA_MSB, 0x400060b7 +.set CYREG_USB_ARB_RW4_DR, 0x400060b8 +.set CYREG_USB_DMA_THRES, 0x400060bc +.set CYREG_USB_DMA_THRES_MSB, 0x400060bd +.set CYDEV_USB_ARB_EP5_BASE, 0x400060c0 +.set CYDEV_USB_ARB_EP5_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP5_CFG, 0x400060c0 +.set CYREG_USB_ARB_EP5_INT_EN, 0x400060c1 +.set CYREG_USB_ARB_EP5_SR, 0x400060c2 +.set CYDEV_USB_ARB_RW5_BASE, 0x400060c4 +.set CYDEV_USB_ARB_RW5_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW5_WA, 0x400060c4 +.set CYREG_USB_ARB_RW5_WA_MSB, 0x400060c5 +.set CYREG_USB_ARB_RW5_RA, 0x400060c6 +.set CYREG_USB_ARB_RW5_RA_MSB, 0x400060c7 +.set CYREG_USB_ARB_RW5_DR, 0x400060c8 +.set CYREG_USB_BUS_RST_CNT, 0x400060cc +.set CYDEV_USB_ARB_EP6_BASE, 0x400060d0 +.set CYDEV_USB_ARB_EP6_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP6_CFG, 0x400060d0 +.set CYREG_USB_ARB_EP6_INT_EN, 0x400060d1 +.set CYREG_USB_ARB_EP6_SR, 0x400060d2 +.set CYDEV_USB_ARB_RW6_BASE, 0x400060d4 +.set CYDEV_USB_ARB_RW6_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW6_WA, 0x400060d4 +.set CYREG_USB_ARB_RW6_WA_MSB, 0x400060d5 +.set CYREG_USB_ARB_RW6_RA, 0x400060d6 +.set CYREG_USB_ARB_RW6_RA_MSB, 0x400060d7 +.set CYREG_USB_ARB_RW6_DR, 0x400060d8 +.set CYDEV_USB_ARB_EP7_BASE, 0x400060e0 +.set CYDEV_USB_ARB_EP7_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP7_CFG, 0x400060e0 +.set CYREG_USB_ARB_EP7_INT_EN, 0x400060e1 +.set CYREG_USB_ARB_EP7_SR, 0x400060e2 +.set CYDEV_USB_ARB_RW7_BASE, 0x400060e4 +.set CYDEV_USB_ARB_RW7_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW7_WA, 0x400060e4 +.set CYREG_USB_ARB_RW7_WA_MSB, 0x400060e5 +.set CYREG_USB_ARB_RW7_RA, 0x400060e6 +.set CYREG_USB_ARB_RW7_RA_MSB, 0x400060e7 +.set CYREG_USB_ARB_RW7_DR, 0x400060e8 +.set CYDEV_USB_ARB_EP8_BASE, 0x400060f0 +.set CYDEV_USB_ARB_EP8_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP8_CFG, 0x400060f0 +.set CYREG_USB_ARB_EP8_INT_EN, 0x400060f1 +.set CYREG_USB_ARB_EP8_SR, 0x400060f2 +.set CYDEV_USB_ARB_RW8_BASE, 0x400060f4 +.set CYDEV_USB_ARB_RW8_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW8_WA, 0x400060f4 +.set CYREG_USB_ARB_RW8_WA_MSB, 0x400060f5 +.set CYREG_USB_ARB_RW8_RA, 0x400060f6 +.set CYREG_USB_ARB_RW8_RA_MSB, 0x400060f7 +.set CYREG_USB_ARB_RW8_DR, 0x400060f8 +.set CYDEV_USB_MEM_BASE, 0x40006100 +.set CYDEV_USB_MEM_SIZE, 0x00000200 +.set CYREG_USB_MEM_DATA_MBASE, 0x40006100 +.set CYREG_USB_MEM_DATA_MSIZE, 0x00000200 +.set CYDEV_UWRK_BASE, 0x40006400 +.set CYDEV_UWRK_SIZE, 0x00000b60 +.set CYDEV_UWRK_UWRK8_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_SIZE, 0x000003b0 +.set CYDEV_UWRK_UWRK8_B0_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_SIZE, 0x000000b0 +.set CYREG_B0_UDB00_A0, 0x40006400 +.set CYREG_B0_UDB01_A0, 0x40006401 +.set CYREG_B0_UDB02_A0, 0x40006402 +.set CYREG_B0_UDB03_A0, 0x40006403 +.set CYREG_B0_UDB04_A0, 0x40006404 +.set CYREG_B0_UDB05_A0, 0x40006405 +.set CYREG_B0_UDB06_A0, 0x40006406 +.set CYREG_B0_UDB07_A0, 0x40006407 +.set CYREG_B0_UDB08_A0, 0x40006408 +.set CYREG_B0_UDB09_A0, 0x40006409 +.set CYREG_B0_UDB10_A0, 0x4000640a +.set CYREG_B0_UDB11_A0, 0x4000640b +.set CYREG_B0_UDB12_A0, 0x4000640c +.set CYREG_B0_UDB13_A0, 0x4000640d +.set CYREG_B0_UDB14_A0, 0x4000640e +.set CYREG_B0_UDB15_A0, 0x4000640f +.set CYREG_B0_UDB00_A1, 0x40006410 +.set CYREG_B0_UDB01_A1, 0x40006411 +.set CYREG_B0_UDB02_A1, 0x40006412 +.set CYREG_B0_UDB03_A1, 0x40006413 +.set CYREG_B0_UDB04_A1, 0x40006414 +.set CYREG_B0_UDB05_A1, 0x40006415 +.set CYREG_B0_UDB06_A1, 0x40006416 +.set CYREG_B0_UDB07_A1, 0x40006417 +.set CYREG_B0_UDB08_A1, 0x40006418 +.set CYREG_B0_UDB09_A1, 0x40006419 +.set CYREG_B0_UDB10_A1, 0x4000641a +.set CYREG_B0_UDB11_A1, 0x4000641b +.set CYREG_B0_UDB12_A1, 0x4000641c +.set CYREG_B0_UDB13_A1, 0x4000641d +.set CYREG_B0_UDB14_A1, 0x4000641e +.set CYREG_B0_UDB15_A1, 0x4000641f +.set CYREG_B0_UDB00_D0, 0x40006420 +.set CYREG_B0_UDB01_D0, 0x40006421 +.set CYREG_B0_UDB02_D0, 0x40006422 +.set CYREG_B0_UDB03_D0, 0x40006423 +.set CYREG_B0_UDB04_D0, 0x40006424 +.set CYREG_B0_UDB05_D0, 0x40006425 +.set CYREG_B0_UDB06_D0, 0x40006426 +.set CYREG_B0_UDB07_D0, 0x40006427 +.set CYREG_B0_UDB08_D0, 0x40006428 +.set CYREG_B0_UDB09_D0, 0x40006429 +.set CYREG_B0_UDB10_D0, 0x4000642a +.set CYREG_B0_UDB11_D0, 0x4000642b +.set CYREG_B0_UDB12_D0, 0x4000642c +.set CYREG_B0_UDB13_D0, 0x4000642d +.set CYREG_B0_UDB14_D0, 0x4000642e +.set CYREG_B0_UDB15_D0, 0x4000642f +.set CYREG_B0_UDB00_D1, 0x40006430 +.set CYREG_B0_UDB01_D1, 0x40006431 +.set CYREG_B0_UDB02_D1, 0x40006432 +.set CYREG_B0_UDB03_D1, 0x40006433 +.set CYREG_B0_UDB04_D1, 0x40006434 +.set CYREG_B0_UDB05_D1, 0x40006435 +.set CYREG_B0_UDB06_D1, 0x40006436 +.set CYREG_B0_UDB07_D1, 0x40006437 +.set CYREG_B0_UDB08_D1, 0x40006438 +.set CYREG_B0_UDB09_D1, 0x40006439 +.set CYREG_B0_UDB10_D1, 0x4000643a +.set CYREG_B0_UDB11_D1, 0x4000643b +.set CYREG_B0_UDB12_D1, 0x4000643c +.set CYREG_B0_UDB13_D1, 0x4000643d +.set CYREG_B0_UDB14_D1, 0x4000643e +.set CYREG_B0_UDB15_D1, 0x4000643f +.set CYREG_B0_UDB00_F0, 0x40006440 +.set CYREG_B0_UDB01_F0, 0x40006441 +.set CYREG_B0_UDB02_F0, 0x40006442 +.set CYREG_B0_UDB03_F0, 0x40006443 +.set CYREG_B0_UDB04_F0, 0x40006444 +.set CYREG_B0_UDB05_F0, 0x40006445 +.set CYREG_B0_UDB06_F0, 0x40006446 +.set CYREG_B0_UDB07_F0, 0x40006447 +.set CYREG_B0_UDB08_F0, 0x40006448 +.set CYREG_B0_UDB09_F0, 0x40006449 +.set CYREG_B0_UDB10_F0, 0x4000644a +.set CYREG_B0_UDB11_F0, 0x4000644b +.set CYREG_B0_UDB12_F0, 0x4000644c +.set CYREG_B0_UDB13_F0, 0x4000644d +.set CYREG_B0_UDB14_F0, 0x4000644e +.set CYREG_B0_UDB15_F0, 0x4000644f +.set CYREG_B0_UDB00_F1, 0x40006450 +.set CYREG_B0_UDB01_F1, 0x40006451 +.set CYREG_B0_UDB02_F1, 0x40006452 +.set CYREG_B0_UDB03_F1, 0x40006453 +.set CYREG_B0_UDB04_F1, 0x40006454 +.set CYREG_B0_UDB05_F1, 0x40006455 +.set CYREG_B0_UDB06_F1, 0x40006456 +.set CYREG_B0_UDB07_F1, 0x40006457 +.set CYREG_B0_UDB08_F1, 0x40006458 +.set CYREG_B0_UDB09_F1, 0x40006459 +.set CYREG_B0_UDB10_F1, 0x4000645a +.set CYREG_B0_UDB11_F1, 0x4000645b +.set CYREG_B0_UDB12_F1, 0x4000645c +.set CYREG_B0_UDB13_F1, 0x4000645d +.set CYREG_B0_UDB14_F1, 0x4000645e +.set CYREG_B0_UDB15_F1, 0x4000645f +.set CYREG_B0_UDB00_ST, 0x40006460 +.set CYREG_B0_UDB01_ST, 0x40006461 +.set CYREG_B0_UDB02_ST, 0x40006462 +.set CYREG_B0_UDB03_ST, 0x40006463 +.set CYREG_B0_UDB04_ST, 0x40006464 +.set CYREG_B0_UDB05_ST, 0x40006465 +.set CYREG_B0_UDB06_ST, 0x40006466 +.set CYREG_B0_UDB07_ST, 0x40006467 +.set CYREG_B0_UDB08_ST, 0x40006468 +.set CYREG_B0_UDB09_ST, 0x40006469 +.set CYREG_B0_UDB10_ST, 0x4000646a +.set CYREG_B0_UDB11_ST, 0x4000646b +.set CYREG_B0_UDB12_ST, 0x4000646c +.set CYREG_B0_UDB13_ST, 0x4000646d +.set CYREG_B0_UDB14_ST, 0x4000646e +.set CYREG_B0_UDB15_ST, 0x4000646f +.set CYREG_B0_UDB00_CTL, 0x40006470 +.set CYREG_B0_UDB01_CTL, 0x40006471 +.set CYREG_B0_UDB02_CTL, 0x40006472 +.set CYREG_B0_UDB03_CTL, 0x40006473 +.set CYREG_B0_UDB04_CTL, 0x40006474 +.set CYREG_B0_UDB05_CTL, 0x40006475 +.set CYREG_B0_UDB06_CTL, 0x40006476 +.set CYREG_B0_UDB07_CTL, 0x40006477 +.set CYREG_B0_UDB08_CTL, 0x40006478 +.set CYREG_B0_UDB09_CTL, 0x40006479 +.set CYREG_B0_UDB10_CTL, 0x4000647a +.set CYREG_B0_UDB11_CTL, 0x4000647b +.set CYREG_B0_UDB12_CTL, 0x4000647c +.set CYREG_B0_UDB13_CTL, 0x4000647d +.set CYREG_B0_UDB14_CTL, 0x4000647e +.set CYREG_B0_UDB15_CTL, 0x4000647f +.set CYREG_B0_UDB00_MSK, 0x40006480 +.set CYREG_B0_UDB01_MSK, 0x40006481 +.set CYREG_B0_UDB02_MSK, 0x40006482 +.set CYREG_B0_UDB03_MSK, 0x40006483 +.set CYREG_B0_UDB04_MSK, 0x40006484 +.set CYREG_B0_UDB05_MSK, 0x40006485 +.set CYREG_B0_UDB06_MSK, 0x40006486 +.set CYREG_B0_UDB07_MSK, 0x40006487 +.set CYREG_B0_UDB08_MSK, 0x40006488 +.set CYREG_B0_UDB09_MSK, 0x40006489 +.set CYREG_B0_UDB10_MSK, 0x4000648a +.set CYREG_B0_UDB11_MSK, 0x4000648b +.set CYREG_B0_UDB12_MSK, 0x4000648c +.set CYREG_B0_UDB13_MSK, 0x4000648d +.set CYREG_B0_UDB14_MSK, 0x4000648e +.set CYREG_B0_UDB15_MSK, 0x4000648f +.set CYREG_B0_UDB00_ACTL, 0x40006490 +.set CYREG_B0_UDB01_ACTL, 0x40006491 +.set CYREG_B0_UDB02_ACTL, 0x40006492 +.set CYREG_B0_UDB03_ACTL, 0x40006493 +.set CYREG_B0_UDB04_ACTL, 0x40006494 +.set CYREG_B0_UDB05_ACTL, 0x40006495 +.set CYREG_B0_UDB06_ACTL, 0x40006496 +.set CYREG_B0_UDB07_ACTL, 0x40006497 +.set CYREG_B0_UDB08_ACTL, 0x40006498 +.set CYREG_B0_UDB09_ACTL, 0x40006499 +.set CYREG_B0_UDB10_ACTL, 0x4000649a +.set CYREG_B0_UDB11_ACTL, 0x4000649b +.set CYREG_B0_UDB12_ACTL, 0x4000649c +.set CYREG_B0_UDB13_ACTL, 0x4000649d +.set CYREG_B0_UDB14_ACTL, 0x4000649e +.set CYREG_B0_UDB15_ACTL, 0x4000649f +.set CYREG_B0_UDB00_MC, 0x400064a0 +.set CYREG_B0_UDB01_MC, 0x400064a1 +.set CYREG_B0_UDB02_MC, 0x400064a2 +.set CYREG_B0_UDB03_MC, 0x400064a3 +.set CYREG_B0_UDB04_MC, 0x400064a4 +.set CYREG_B0_UDB05_MC, 0x400064a5 +.set CYREG_B0_UDB06_MC, 0x400064a6 +.set CYREG_B0_UDB07_MC, 0x400064a7 +.set CYREG_B0_UDB08_MC, 0x400064a8 +.set CYREG_B0_UDB09_MC, 0x400064a9 +.set CYREG_B0_UDB10_MC, 0x400064aa +.set CYREG_B0_UDB11_MC, 0x400064ab +.set CYREG_B0_UDB12_MC, 0x400064ac +.set CYREG_B0_UDB13_MC, 0x400064ad +.set CYREG_B0_UDB14_MC, 0x400064ae +.set CYREG_B0_UDB15_MC, 0x400064af +.set CYDEV_UWRK_UWRK8_B1_BASE, 0x40006500 +.set CYDEV_UWRK_UWRK8_B1_SIZE, 0x000000b0 +.set CYREG_B1_UDB04_A0, 0x40006504 +.set CYREG_B1_UDB05_A0, 0x40006505 +.set CYREG_B1_UDB06_A0, 0x40006506 +.set CYREG_B1_UDB07_A0, 0x40006507 +.set CYREG_B1_UDB08_A0, 0x40006508 +.set CYREG_B1_UDB09_A0, 0x40006509 +.set CYREG_B1_UDB10_A0, 0x4000650a +.set CYREG_B1_UDB11_A0, 0x4000650b +.set CYREG_B1_UDB04_A1, 0x40006514 +.set CYREG_B1_UDB05_A1, 0x40006515 +.set CYREG_B1_UDB06_A1, 0x40006516 +.set CYREG_B1_UDB07_A1, 0x40006517 +.set CYREG_B1_UDB08_A1, 0x40006518 +.set CYREG_B1_UDB09_A1, 0x40006519 +.set CYREG_B1_UDB10_A1, 0x4000651a +.set CYREG_B1_UDB11_A1, 0x4000651b +.set CYREG_B1_UDB04_D0, 0x40006524 +.set CYREG_B1_UDB05_D0, 0x40006525 +.set CYREG_B1_UDB06_D0, 0x40006526 +.set CYREG_B1_UDB07_D0, 0x40006527 +.set CYREG_B1_UDB08_D0, 0x40006528 +.set CYREG_B1_UDB09_D0, 0x40006529 +.set CYREG_B1_UDB10_D0, 0x4000652a +.set CYREG_B1_UDB11_D0, 0x4000652b +.set CYREG_B1_UDB04_D1, 0x40006534 +.set CYREG_B1_UDB05_D1, 0x40006535 +.set CYREG_B1_UDB06_D1, 0x40006536 +.set CYREG_B1_UDB07_D1, 0x40006537 +.set CYREG_B1_UDB08_D1, 0x40006538 +.set CYREG_B1_UDB09_D1, 0x40006539 +.set CYREG_B1_UDB10_D1, 0x4000653a +.set CYREG_B1_UDB11_D1, 0x4000653b +.set CYREG_B1_UDB04_F0, 0x40006544 +.set CYREG_B1_UDB05_F0, 0x40006545 +.set CYREG_B1_UDB06_F0, 0x40006546 +.set CYREG_B1_UDB07_F0, 0x40006547 +.set CYREG_B1_UDB08_F0, 0x40006548 +.set CYREG_B1_UDB09_F0, 0x40006549 +.set CYREG_B1_UDB10_F0, 0x4000654a +.set CYREG_B1_UDB11_F0, 0x4000654b +.set CYREG_B1_UDB04_F1, 0x40006554 +.set CYREG_B1_UDB05_F1, 0x40006555 +.set CYREG_B1_UDB06_F1, 0x40006556 +.set CYREG_B1_UDB07_F1, 0x40006557 +.set CYREG_B1_UDB08_F1, 0x40006558 +.set CYREG_B1_UDB09_F1, 0x40006559 +.set CYREG_B1_UDB10_F1, 0x4000655a +.set CYREG_B1_UDB11_F1, 0x4000655b +.set CYREG_B1_UDB04_ST, 0x40006564 +.set CYREG_B1_UDB05_ST, 0x40006565 +.set CYREG_B1_UDB06_ST, 0x40006566 +.set CYREG_B1_UDB07_ST, 0x40006567 +.set CYREG_B1_UDB08_ST, 0x40006568 +.set CYREG_B1_UDB09_ST, 0x40006569 +.set CYREG_B1_UDB10_ST, 0x4000656a +.set CYREG_B1_UDB11_ST, 0x4000656b +.set CYREG_B1_UDB04_CTL, 0x40006574 +.set CYREG_B1_UDB05_CTL, 0x40006575 +.set CYREG_B1_UDB06_CTL, 0x40006576 +.set CYREG_B1_UDB07_CTL, 0x40006577 +.set CYREG_B1_UDB08_CTL, 0x40006578 +.set CYREG_B1_UDB09_CTL, 0x40006579 +.set CYREG_B1_UDB10_CTL, 0x4000657a +.set CYREG_B1_UDB11_CTL, 0x4000657b +.set CYREG_B1_UDB04_MSK, 0x40006584 +.set CYREG_B1_UDB05_MSK, 0x40006585 +.set CYREG_B1_UDB06_MSK, 0x40006586 +.set CYREG_B1_UDB07_MSK, 0x40006587 +.set CYREG_B1_UDB08_MSK, 0x40006588 +.set CYREG_B1_UDB09_MSK, 0x40006589 +.set CYREG_B1_UDB10_MSK, 0x4000658a +.set CYREG_B1_UDB11_MSK, 0x4000658b +.set CYREG_B1_UDB04_ACTL, 0x40006594 +.set CYREG_B1_UDB05_ACTL, 0x40006595 +.set CYREG_B1_UDB06_ACTL, 0x40006596 +.set CYREG_B1_UDB07_ACTL, 0x40006597 +.set CYREG_B1_UDB08_ACTL, 0x40006598 +.set CYREG_B1_UDB09_ACTL, 0x40006599 +.set CYREG_B1_UDB10_ACTL, 0x4000659a +.set CYREG_B1_UDB11_ACTL, 0x4000659b +.set CYREG_B1_UDB04_MC, 0x400065a4 +.set CYREG_B1_UDB05_MC, 0x400065a5 +.set CYREG_B1_UDB06_MC, 0x400065a6 +.set CYREG_B1_UDB07_MC, 0x400065a7 +.set CYREG_B1_UDB08_MC, 0x400065a8 +.set CYREG_B1_UDB09_MC, 0x400065a9 +.set CYREG_B1_UDB10_MC, 0x400065aa +.set CYREG_B1_UDB11_MC, 0x400065ab +.set CYDEV_UWRK_UWRK16_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_SIZE, 0x00000160 +.set CYREG_B0_UDB00_A0_A1, 0x40006800 +.set CYREG_B0_UDB01_A0_A1, 0x40006802 +.set CYREG_B0_UDB02_A0_A1, 0x40006804 +.set CYREG_B0_UDB03_A0_A1, 0x40006806 +.set CYREG_B0_UDB04_A0_A1, 0x40006808 +.set CYREG_B0_UDB05_A0_A1, 0x4000680a +.set CYREG_B0_UDB06_A0_A1, 0x4000680c +.set CYREG_B0_UDB07_A0_A1, 0x4000680e +.set CYREG_B0_UDB08_A0_A1, 0x40006810 +.set CYREG_B0_UDB09_A0_A1, 0x40006812 +.set CYREG_B0_UDB10_A0_A1, 0x40006814 +.set CYREG_B0_UDB11_A0_A1, 0x40006816 +.set CYREG_B0_UDB12_A0_A1, 0x40006818 +.set CYREG_B0_UDB13_A0_A1, 0x4000681a +.set CYREG_B0_UDB14_A0_A1, 0x4000681c +.set CYREG_B0_UDB15_A0_A1, 0x4000681e +.set CYREG_B0_UDB00_D0_D1, 0x40006840 +.set CYREG_B0_UDB01_D0_D1, 0x40006842 +.set CYREG_B0_UDB02_D0_D1, 0x40006844 +.set CYREG_B0_UDB03_D0_D1, 0x40006846 +.set CYREG_B0_UDB04_D0_D1, 0x40006848 +.set CYREG_B0_UDB05_D0_D1, 0x4000684a +.set CYREG_B0_UDB06_D0_D1, 0x4000684c +.set CYREG_B0_UDB07_D0_D1, 0x4000684e +.set CYREG_B0_UDB08_D0_D1, 0x40006850 +.set CYREG_B0_UDB09_D0_D1, 0x40006852 +.set CYREG_B0_UDB10_D0_D1, 0x40006854 +.set CYREG_B0_UDB11_D0_D1, 0x40006856 +.set CYREG_B0_UDB12_D0_D1, 0x40006858 +.set CYREG_B0_UDB13_D0_D1, 0x4000685a +.set CYREG_B0_UDB14_D0_D1, 0x4000685c +.set CYREG_B0_UDB15_D0_D1, 0x4000685e +.set CYREG_B0_UDB00_F0_F1, 0x40006880 +.set CYREG_B0_UDB01_F0_F1, 0x40006882 +.set CYREG_B0_UDB02_F0_F1, 0x40006884 +.set CYREG_B0_UDB03_F0_F1, 0x40006886 +.set CYREG_B0_UDB04_F0_F1, 0x40006888 +.set CYREG_B0_UDB05_F0_F1, 0x4000688a +.set CYREG_B0_UDB06_F0_F1, 0x4000688c +.set CYREG_B0_UDB07_F0_F1, 0x4000688e +.set CYREG_B0_UDB08_F0_F1, 0x40006890 +.set CYREG_B0_UDB09_F0_F1, 0x40006892 +.set CYREG_B0_UDB10_F0_F1, 0x40006894 +.set CYREG_B0_UDB11_F0_F1, 0x40006896 +.set CYREG_B0_UDB12_F0_F1, 0x40006898 +.set CYREG_B0_UDB13_F0_F1, 0x4000689a +.set CYREG_B0_UDB14_F0_F1, 0x4000689c +.set CYREG_B0_UDB15_F0_F1, 0x4000689e +.set CYREG_B0_UDB00_ST_CTL, 0x400068c0 +.set CYREG_B0_UDB01_ST_CTL, 0x400068c2 +.set CYREG_B0_UDB02_ST_CTL, 0x400068c4 +.set CYREG_B0_UDB03_ST_CTL, 0x400068c6 +.set CYREG_B0_UDB04_ST_CTL, 0x400068c8 +.set CYREG_B0_UDB05_ST_CTL, 0x400068ca +.set CYREG_B0_UDB06_ST_CTL, 0x400068cc +.set CYREG_B0_UDB07_ST_CTL, 0x400068ce +.set CYREG_B0_UDB08_ST_CTL, 0x400068d0 +.set CYREG_B0_UDB09_ST_CTL, 0x400068d2 +.set CYREG_B0_UDB10_ST_CTL, 0x400068d4 +.set CYREG_B0_UDB11_ST_CTL, 0x400068d6 +.set CYREG_B0_UDB12_ST_CTL, 0x400068d8 +.set CYREG_B0_UDB13_ST_CTL, 0x400068da +.set CYREG_B0_UDB14_ST_CTL, 0x400068dc +.set CYREG_B0_UDB15_ST_CTL, 0x400068de +.set CYREG_B0_UDB00_MSK_ACTL, 0x40006900 +.set CYREG_B0_UDB01_MSK_ACTL, 0x40006902 +.set CYREG_B0_UDB02_MSK_ACTL, 0x40006904 +.set CYREG_B0_UDB03_MSK_ACTL, 0x40006906 +.set CYREG_B0_UDB04_MSK_ACTL, 0x40006908 +.set CYREG_B0_UDB05_MSK_ACTL, 0x4000690a +.set CYREG_B0_UDB06_MSK_ACTL, 0x4000690c +.set CYREG_B0_UDB07_MSK_ACTL, 0x4000690e +.set CYREG_B0_UDB08_MSK_ACTL, 0x40006910 +.set CYREG_B0_UDB09_MSK_ACTL, 0x40006912 +.set CYREG_B0_UDB10_MSK_ACTL, 0x40006914 +.set CYREG_B0_UDB11_MSK_ACTL, 0x40006916 +.set CYREG_B0_UDB12_MSK_ACTL, 0x40006918 +.set CYREG_B0_UDB13_MSK_ACTL, 0x4000691a +.set CYREG_B0_UDB14_MSK_ACTL, 0x4000691c +.set CYREG_B0_UDB15_MSK_ACTL, 0x4000691e +.set CYREG_B0_UDB00_MC_00, 0x40006940 +.set CYREG_B0_UDB01_MC_00, 0x40006942 +.set CYREG_B0_UDB02_MC_00, 0x40006944 +.set CYREG_B0_UDB03_MC_00, 0x40006946 +.set CYREG_B0_UDB04_MC_00, 0x40006948 +.set CYREG_B0_UDB05_MC_00, 0x4000694a +.set CYREG_B0_UDB06_MC_00, 0x4000694c +.set CYREG_B0_UDB07_MC_00, 0x4000694e +.set CYREG_B0_UDB08_MC_00, 0x40006950 +.set CYREG_B0_UDB09_MC_00, 0x40006952 +.set CYREG_B0_UDB10_MC_00, 0x40006954 +.set CYREG_B0_UDB11_MC_00, 0x40006956 +.set CYREG_B0_UDB12_MC_00, 0x40006958 +.set CYREG_B0_UDB13_MC_00, 0x4000695a +.set CYREG_B0_UDB14_MC_00, 0x4000695c +.set CYREG_B0_UDB15_MC_00, 0x4000695e +.set CYDEV_UWRK_UWRK16_CAT_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_CAT_B1_SIZE, 0x00000160 +.set CYREG_B1_UDB04_A0_A1, 0x40006a08 +.set CYREG_B1_UDB05_A0_A1, 0x40006a0a +.set CYREG_B1_UDB06_A0_A1, 0x40006a0c +.set CYREG_B1_UDB07_A0_A1, 0x40006a0e +.set CYREG_B1_UDB08_A0_A1, 0x40006a10 +.set CYREG_B1_UDB09_A0_A1, 0x40006a12 +.set CYREG_B1_UDB10_A0_A1, 0x40006a14 +.set CYREG_B1_UDB11_A0_A1, 0x40006a16 +.set CYREG_B1_UDB04_D0_D1, 0x40006a48 +.set CYREG_B1_UDB05_D0_D1, 0x40006a4a +.set CYREG_B1_UDB06_D0_D1, 0x40006a4c +.set CYREG_B1_UDB07_D0_D1, 0x40006a4e +.set CYREG_B1_UDB08_D0_D1, 0x40006a50 +.set CYREG_B1_UDB09_D0_D1, 0x40006a52 +.set CYREG_B1_UDB10_D0_D1, 0x40006a54 +.set CYREG_B1_UDB11_D0_D1, 0x40006a56 +.set CYREG_B1_UDB04_F0_F1, 0x40006a88 +.set CYREG_B1_UDB05_F0_F1, 0x40006a8a +.set CYREG_B1_UDB06_F0_F1, 0x40006a8c +.set CYREG_B1_UDB07_F0_F1, 0x40006a8e +.set CYREG_B1_UDB08_F0_F1, 0x40006a90 +.set CYREG_B1_UDB09_F0_F1, 0x40006a92 +.set CYREG_B1_UDB10_F0_F1, 0x40006a94 +.set CYREG_B1_UDB11_F0_F1, 0x40006a96 +.set CYREG_B1_UDB04_ST_CTL, 0x40006ac8 +.set CYREG_B1_UDB05_ST_CTL, 0x40006aca +.set CYREG_B1_UDB06_ST_CTL, 0x40006acc +.set CYREG_B1_UDB07_ST_CTL, 0x40006ace +.set CYREG_B1_UDB08_ST_CTL, 0x40006ad0 +.set CYREG_B1_UDB09_ST_CTL, 0x40006ad2 +.set CYREG_B1_UDB10_ST_CTL, 0x40006ad4 +.set CYREG_B1_UDB11_ST_CTL, 0x40006ad6 +.set CYREG_B1_UDB04_MSK_ACTL, 0x40006b08 +.set CYREG_B1_UDB05_MSK_ACTL, 0x40006b0a +.set CYREG_B1_UDB06_MSK_ACTL, 0x40006b0c +.set CYREG_B1_UDB07_MSK_ACTL, 0x40006b0e +.set CYREG_B1_UDB08_MSK_ACTL, 0x40006b10 +.set CYREG_B1_UDB09_MSK_ACTL, 0x40006b12 +.set CYREG_B1_UDB10_MSK_ACTL, 0x40006b14 +.set CYREG_B1_UDB11_MSK_ACTL, 0x40006b16 +.set CYREG_B1_UDB04_MC_00, 0x40006b48 +.set CYREG_B1_UDB05_MC_00, 0x40006b4a +.set CYREG_B1_UDB06_MC_00, 0x40006b4c +.set CYREG_B1_UDB07_MC_00, 0x40006b4e +.set CYREG_B1_UDB08_MC_00, 0x40006b50 +.set CYREG_B1_UDB09_MC_00, 0x40006b52 +.set CYREG_B1_UDB10_MC_00, 0x40006b54 +.set CYREG_B1_UDB11_MC_00, 0x40006b56 +.set CYDEV_UWRK_UWRK16_DEF_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_SIZE, 0x0000075e +.set CYDEV_UWRK_UWRK16_DEF_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_SIZE, 0x0000015e +.set CYREG_B0_UDB00_01_A0, 0x40006800 +.set CYREG_B0_UDB01_02_A0, 0x40006802 +.set CYREG_B0_UDB02_03_A0, 0x40006804 +.set CYREG_B0_UDB03_04_A0, 0x40006806 +.set CYREG_B0_UDB04_05_A0, 0x40006808 +.set CYREG_B0_UDB05_06_A0, 0x4000680a +.set CYREG_B0_UDB06_07_A0, 0x4000680c +.set CYREG_B0_UDB07_08_A0, 0x4000680e +.set CYREG_B0_UDB08_09_A0, 0x40006810 +.set CYREG_B0_UDB09_10_A0, 0x40006812 +.set CYREG_B0_UDB10_11_A0, 0x40006814 +.set CYREG_B0_UDB11_12_A0, 0x40006816 +.set CYREG_B0_UDB12_13_A0, 0x40006818 +.set CYREG_B0_UDB13_14_A0, 0x4000681a +.set CYREG_B0_UDB14_15_A0, 0x4000681c +.set CYREG_B0_UDB00_01_A1, 0x40006820 +.set CYREG_B0_UDB01_02_A1, 0x40006822 +.set CYREG_B0_UDB02_03_A1, 0x40006824 +.set CYREG_B0_UDB03_04_A1, 0x40006826 +.set CYREG_B0_UDB04_05_A1, 0x40006828 +.set CYREG_B0_UDB05_06_A1, 0x4000682a +.set CYREG_B0_UDB06_07_A1, 0x4000682c +.set CYREG_B0_UDB07_08_A1, 0x4000682e +.set CYREG_B0_UDB08_09_A1, 0x40006830 +.set CYREG_B0_UDB09_10_A1, 0x40006832 +.set CYREG_B0_UDB10_11_A1, 0x40006834 +.set CYREG_B0_UDB11_12_A1, 0x40006836 +.set CYREG_B0_UDB12_13_A1, 0x40006838 +.set CYREG_B0_UDB13_14_A1, 0x4000683a +.set CYREG_B0_UDB14_15_A1, 0x4000683c +.set CYREG_B0_UDB00_01_D0, 0x40006840 +.set CYREG_B0_UDB01_02_D0, 0x40006842 +.set CYREG_B0_UDB02_03_D0, 0x40006844 +.set CYREG_B0_UDB03_04_D0, 0x40006846 +.set CYREG_B0_UDB04_05_D0, 0x40006848 +.set CYREG_B0_UDB05_06_D0, 0x4000684a +.set CYREG_B0_UDB06_07_D0, 0x4000684c +.set CYREG_B0_UDB07_08_D0, 0x4000684e +.set CYREG_B0_UDB08_09_D0, 0x40006850 +.set CYREG_B0_UDB09_10_D0, 0x40006852 +.set CYREG_B0_UDB10_11_D0, 0x40006854 +.set CYREG_B0_UDB11_12_D0, 0x40006856 +.set CYREG_B0_UDB12_13_D0, 0x40006858 +.set CYREG_B0_UDB13_14_D0, 0x4000685a +.set CYREG_B0_UDB14_15_D0, 0x4000685c +.set CYREG_B0_UDB00_01_D1, 0x40006860 +.set CYREG_B0_UDB01_02_D1, 0x40006862 +.set CYREG_B0_UDB02_03_D1, 0x40006864 +.set CYREG_B0_UDB03_04_D1, 0x40006866 +.set CYREG_B0_UDB04_05_D1, 0x40006868 +.set CYREG_B0_UDB05_06_D1, 0x4000686a +.set CYREG_B0_UDB06_07_D1, 0x4000686c +.set CYREG_B0_UDB07_08_D1, 0x4000686e +.set CYREG_B0_UDB08_09_D1, 0x40006870 +.set CYREG_B0_UDB09_10_D1, 0x40006872 +.set CYREG_B0_UDB10_11_D1, 0x40006874 +.set CYREG_B0_UDB11_12_D1, 0x40006876 +.set CYREG_B0_UDB12_13_D1, 0x40006878 +.set CYREG_B0_UDB13_14_D1, 0x4000687a +.set CYREG_B0_UDB14_15_D1, 0x4000687c +.set CYREG_B0_UDB00_01_F0, 0x40006880 +.set CYREG_B0_UDB01_02_F0, 0x40006882 +.set CYREG_B0_UDB02_03_F0, 0x40006884 +.set CYREG_B0_UDB03_04_F0, 0x40006886 +.set CYREG_B0_UDB04_05_F0, 0x40006888 +.set CYREG_B0_UDB05_06_F0, 0x4000688a +.set CYREG_B0_UDB06_07_F0, 0x4000688c +.set CYREG_B0_UDB07_08_F0, 0x4000688e +.set CYREG_B0_UDB08_09_F0, 0x40006890 +.set CYREG_B0_UDB09_10_F0, 0x40006892 +.set CYREG_B0_UDB10_11_F0, 0x40006894 +.set CYREG_B0_UDB11_12_F0, 0x40006896 +.set CYREG_B0_UDB12_13_F0, 0x40006898 +.set CYREG_B0_UDB13_14_F0, 0x4000689a +.set CYREG_B0_UDB14_15_F0, 0x4000689c +.set CYREG_B0_UDB00_01_F1, 0x400068a0 +.set CYREG_B0_UDB01_02_F1, 0x400068a2 +.set CYREG_B0_UDB02_03_F1, 0x400068a4 +.set CYREG_B0_UDB03_04_F1, 0x400068a6 +.set CYREG_B0_UDB04_05_F1, 0x400068a8 +.set CYREG_B0_UDB05_06_F1, 0x400068aa +.set CYREG_B0_UDB06_07_F1, 0x400068ac +.set CYREG_B0_UDB07_08_F1, 0x400068ae +.set CYREG_B0_UDB08_09_F1, 0x400068b0 +.set CYREG_B0_UDB09_10_F1, 0x400068b2 +.set CYREG_B0_UDB10_11_F1, 0x400068b4 +.set CYREG_B0_UDB11_12_F1, 0x400068b6 +.set CYREG_B0_UDB12_13_F1, 0x400068b8 +.set CYREG_B0_UDB13_14_F1, 0x400068ba +.set CYREG_B0_UDB14_15_F1, 0x400068bc +.set CYREG_B0_UDB00_01_ST, 0x400068c0 +.set CYREG_B0_UDB01_02_ST, 0x400068c2 +.set CYREG_B0_UDB02_03_ST, 0x400068c4 +.set CYREG_B0_UDB03_04_ST, 0x400068c6 +.set CYREG_B0_UDB04_05_ST, 0x400068c8 +.set CYREG_B0_UDB05_06_ST, 0x400068ca +.set CYREG_B0_UDB06_07_ST, 0x400068cc +.set CYREG_B0_UDB07_08_ST, 0x400068ce +.set CYREG_B0_UDB08_09_ST, 0x400068d0 +.set CYREG_B0_UDB09_10_ST, 0x400068d2 +.set CYREG_B0_UDB10_11_ST, 0x400068d4 +.set CYREG_B0_UDB11_12_ST, 0x400068d6 +.set CYREG_B0_UDB12_13_ST, 0x400068d8 +.set CYREG_B0_UDB13_14_ST, 0x400068da +.set CYREG_B0_UDB14_15_ST, 0x400068dc +.set CYREG_B0_UDB00_01_CTL, 0x400068e0 +.set CYREG_B0_UDB01_02_CTL, 0x400068e2 +.set CYREG_B0_UDB02_03_CTL, 0x400068e4 +.set CYREG_B0_UDB03_04_CTL, 0x400068e6 +.set CYREG_B0_UDB04_05_CTL, 0x400068e8 +.set CYREG_B0_UDB05_06_CTL, 0x400068ea +.set CYREG_B0_UDB06_07_CTL, 0x400068ec +.set CYREG_B0_UDB07_08_CTL, 0x400068ee +.set CYREG_B0_UDB08_09_CTL, 0x400068f0 +.set CYREG_B0_UDB09_10_CTL, 0x400068f2 +.set CYREG_B0_UDB10_11_CTL, 0x400068f4 +.set CYREG_B0_UDB11_12_CTL, 0x400068f6 +.set CYREG_B0_UDB12_13_CTL, 0x400068f8 +.set CYREG_B0_UDB13_14_CTL, 0x400068fa +.set CYREG_B0_UDB14_15_CTL, 0x400068fc +.set CYREG_B0_UDB00_01_MSK, 0x40006900 +.set CYREG_B0_UDB01_02_MSK, 0x40006902 +.set CYREG_B0_UDB02_03_MSK, 0x40006904 +.set CYREG_B0_UDB03_04_MSK, 0x40006906 +.set CYREG_B0_UDB04_05_MSK, 0x40006908 +.set CYREG_B0_UDB05_06_MSK, 0x4000690a +.set CYREG_B0_UDB06_07_MSK, 0x4000690c +.set CYREG_B0_UDB07_08_MSK, 0x4000690e +.set CYREG_B0_UDB08_09_MSK, 0x40006910 +.set CYREG_B0_UDB09_10_MSK, 0x40006912 +.set CYREG_B0_UDB10_11_MSK, 0x40006914 +.set CYREG_B0_UDB11_12_MSK, 0x40006916 +.set CYREG_B0_UDB12_13_MSK, 0x40006918 +.set CYREG_B0_UDB13_14_MSK, 0x4000691a +.set CYREG_B0_UDB14_15_MSK, 0x4000691c +.set CYREG_B0_UDB00_01_ACTL, 0x40006920 +.set CYREG_B0_UDB01_02_ACTL, 0x40006922 +.set CYREG_B0_UDB02_03_ACTL, 0x40006924 +.set CYREG_B0_UDB03_04_ACTL, 0x40006926 +.set CYREG_B0_UDB04_05_ACTL, 0x40006928 +.set CYREG_B0_UDB05_06_ACTL, 0x4000692a +.set CYREG_B0_UDB06_07_ACTL, 0x4000692c +.set CYREG_B0_UDB07_08_ACTL, 0x4000692e +.set CYREG_B0_UDB08_09_ACTL, 0x40006930 +.set CYREG_B0_UDB09_10_ACTL, 0x40006932 +.set CYREG_B0_UDB10_11_ACTL, 0x40006934 +.set CYREG_B0_UDB11_12_ACTL, 0x40006936 +.set CYREG_B0_UDB12_13_ACTL, 0x40006938 +.set CYREG_B0_UDB13_14_ACTL, 0x4000693a +.set CYREG_B0_UDB14_15_ACTL, 0x4000693c +.set CYREG_B0_UDB00_01_MC, 0x40006940 +.set CYREG_B0_UDB01_02_MC, 0x40006942 +.set CYREG_B0_UDB02_03_MC, 0x40006944 +.set CYREG_B0_UDB03_04_MC, 0x40006946 +.set CYREG_B0_UDB04_05_MC, 0x40006948 +.set CYREG_B0_UDB05_06_MC, 0x4000694a +.set CYREG_B0_UDB06_07_MC, 0x4000694c +.set CYREG_B0_UDB07_08_MC, 0x4000694e +.set CYREG_B0_UDB08_09_MC, 0x40006950 +.set CYREG_B0_UDB09_10_MC, 0x40006952 +.set CYREG_B0_UDB10_11_MC, 0x40006954 +.set CYREG_B0_UDB11_12_MC, 0x40006956 +.set CYREG_B0_UDB12_13_MC, 0x40006958 +.set CYREG_B0_UDB13_14_MC, 0x4000695a +.set CYREG_B0_UDB14_15_MC, 0x4000695c +.set CYDEV_UWRK_UWRK16_DEF_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_DEF_B1_SIZE, 0x0000015e +.set CYREG_B1_UDB04_05_A0, 0x40006a08 +.set CYREG_B1_UDB05_06_A0, 0x40006a0a +.set CYREG_B1_UDB06_07_A0, 0x40006a0c +.set CYREG_B1_UDB07_08_A0, 0x40006a0e +.set CYREG_B1_UDB08_09_A0, 0x40006a10 +.set CYREG_B1_UDB09_10_A0, 0x40006a12 +.set CYREG_B1_UDB10_11_A0, 0x40006a14 +.set CYREG_B1_UDB11_12_A0, 0x40006a16 +.set CYREG_B1_UDB04_05_A1, 0x40006a28 +.set CYREG_B1_UDB05_06_A1, 0x40006a2a +.set CYREG_B1_UDB06_07_A1, 0x40006a2c +.set CYREG_B1_UDB07_08_A1, 0x40006a2e +.set CYREG_B1_UDB08_09_A1, 0x40006a30 +.set CYREG_B1_UDB09_10_A1, 0x40006a32 +.set CYREG_B1_UDB10_11_A1, 0x40006a34 +.set CYREG_B1_UDB11_12_A1, 0x40006a36 +.set CYREG_B1_UDB04_05_D0, 0x40006a48 +.set CYREG_B1_UDB05_06_D0, 0x40006a4a +.set CYREG_B1_UDB06_07_D0, 0x40006a4c +.set CYREG_B1_UDB07_08_D0, 0x40006a4e +.set CYREG_B1_UDB08_09_D0, 0x40006a50 +.set CYREG_B1_UDB09_10_D0, 0x40006a52 +.set CYREG_B1_UDB10_11_D0, 0x40006a54 +.set CYREG_B1_UDB11_12_D0, 0x40006a56 +.set CYREG_B1_UDB04_05_D1, 0x40006a68 +.set CYREG_B1_UDB05_06_D1, 0x40006a6a +.set CYREG_B1_UDB06_07_D1, 0x40006a6c +.set CYREG_B1_UDB07_08_D1, 0x40006a6e +.set CYREG_B1_UDB08_09_D1, 0x40006a70 +.set CYREG_B1_UDB09_10_D1, 0x40006a72 +.set CYREG_B1_UDB10_11_D1, 0x40006a74 +.set CYREG_B1_UDB11_12_D1, 0x40006a76 +.set CYREG_B1_UDB04_05_F0, 0x40006a88 +.set CYREG_B1_UDB05_06_F0, 0x40006a8a +.set CYREG_B1_UDB06_07_F0, 0x40006a8c +.set CYREG_B1_UDB07_08_F0, 0x40006a8e +.set CYREG_B1_UDB08_09_F0, 0x40006a90 +.set CYREG_B1_UDB09_10_F0, 0x40006a92 +.set CYREG_B1_UDB10_11_F0, 0x40006a94 +.set CYREG_B1_UDB11_12_F0, 0x40006a96 +.set CYREG_B1_UDB04_05_F1, 0x40006aa8 +.set CYREG_B1_UDB05_06_F1, 0x40006aaa +.set CYREG_B1_UDB06_07_F1, 0x40006aac +.set CYREG_B1_UDB07_08_F1, 0x40006aae +.set CYREG_B1_UDB08_09_F1, 0x40006ab0 +.set CYREG_B1_UDB09_10_F1, 0x40006ab2 +.set CYREG_B1_UDB10_11_F1, 0x40006ab4 +.set CYREG_B1_UDB11_12_F1, 0x40006ab6 +.set CYREG_B1_UDB04_05_ST, 0x40006ac8 +.set CYREG_B1_UDB05_06_ST, 0x40006aca +.set CYREG_B1_UDB06_07_ST, 0x40006acc +.set CYREG_B1_UDB07_08_ST, 0x40006ace +.set CYREG_B1_UDB08_09_ST, 0x40006ad0 +.set CYREG_B1_UDB09_10_ST, 0x40006ad2 +.set CYREG_B1_UDB10_11_ST, 0x40006ad4 +.set CYREG_B1_UDB11_12_ST, 0x40006ad6 +.set CYREG_B1_UDB04_05_CTL, 0x40006ae8 +.set CYREG_B1_UDB05_06_CTL, 0x40006aea +.set CYREG_B1_UDB06_07_CTL, 0x40006aec +.set CYREG_B1_UDB07_08_CTL, 0x40006aee +.set CYREG_B1_UDB08_09_CTL, 0x40006af0 +.set CYREG_B1_UDB09_10_CTL, 0x40006af2 +.set CYREG_B1_UDB10_11_CTL, 0x40006af4 +.set CYREG_B1_UDB11_12_CTL, 0x40006af6 +.set CYREG_B1_UDB04_05_MSK, 0x40006b08 +.set CYREG_B1_UDB05_06_MSK, 0x40006b0a +.set CYREG_B1_UDB06_07_MSK, 0x40006b0c +.set CYREG_B1_UDB07_08_MSK, 0x40006b0e +.set CYREG_B1_UDB08_09_MSK, 0x40006b10 +.set CYREG_B1_UDB09_10_MSK, 0x40006b12 +.set CYREG_B1_UDB10_11_MSK, 0x40006b14 +.set CYREG_B1_UDB11_12_MSK, 0x40006b16 +.set CYREG_B1_UDB04_05_ACTL, 0x40006b28 +.set CYREG_B1_UDB05_06_ACTL, 0x40006b2a +.set CYREG_B1_UDB06_07_ACTL, 0x40006b2c +.set CYREG_B1_UDB07_08_ACTL, 0x40006b2e +.set CYREG_B1_UDB08_09_ACTL, 0x40006b30 +.set CYREG_B1_UDB09_10_ACTL, 0x40006b32 +.set CYREG_B1_UDB10_11_ACTL, 0x40006b34 +.set CYREG_B1_UDB11_12_ACTL, 0x40006b36 +.set CYREG_B1_UDB04_05_MC, 0x40006b48 +.set CYREG_B1_UDB05_06_MC, 0x40006b4a +.set CYREG_B1_UDB06_07_MC, 0x40006b4c +.set CYREG_B1_UDB07_08_MC, 0x40006b4e +.set CYREG_B1_UDB08_09_MC, 0x40006b50 +.set CYREG_B1_UDB09_10_MC, 0x40006b52 +.set CYREG_B1_UDB10_11_MC, 0x40006b54 +.set CYREG_B1_UDB11_12_MC, 0x40006b56 +.set CYDEV_PHUB_BASE, 0x40007000 +.set CYDEV_PHUB_SIZE, 0x00000c00 +.set CYREG_PHUB_CFG, 0x40007000 +.set CYREG_PHUB_ERR, 0x40007004 +.set CYREG_PHUB_ERR_ADR, 0x40007008 +.set CYDEV_PHUB_CH0_BASE, 0x40007010 +.set CYDEV_PHUB_CH0_SIZE, 0x0000000c +.set CYREG_PHUB_CH0_BASIC_CFG, 0x40007010 +.set CYREG_PHUB_CH0_ACTION, 0x40007014 +.set CYREG_PHUB_CH0_BASIC_STATUS, 0x40007018 +.set CYDEV_PHUB_CH1_BASE, 0x40007020 +.set CYDEV_PHUB_CH1_SIZE, 0x0000000c +.set CYREG_PHUB_CH1_BASIC_CFG, 0x40007020 +.set CYREG_PHUB_CH1_ACTION, 0x40007024 +.set CYREG_PHUB_CH1_BASIC_STATUS, 0x40007028 +.set CYDEV_PHUB_CH2_BASE, 0x40007030 +.set CYDEV_PHUB_CH2_SIZE, 0x0000000c +.set CYREG_PHUB_CH2_BASIC_CFG, 0x40007030 +.set CYREG_PHUB_CH2_ACTION, 0x40007034 +.set CYREG_PHUB_CH2_BASIC_STATUS, 0x40007038 +.set CYDEV_PHUB_CH3_BASE, 0x40007040 +.set CYDEV_PHUB_CH3_SIZE, 0x0000000c +.set CYREG_PHUB_CH3_BASIC_CFG, 0x40007040 +.set CYREG_PHUB_CH3_ACTION, 0x40007044 +.set CYREG_PHUB_CH3_BASIC_STATUS, 0x40007048 +.set CYDEV_PHUB_CH4_BASE, 0x40007050 +.set CYDEV_PHUB_CH4_SIZE, 0x0000000c +.set CYREG_PHUB_CH4_BASIC_CFG, 0x40007050 +.set CYREG_PHUB_CH4_ACTION, 0x40007054 +.set CYREG_PHUB_CH4_BASIC_STATUS, 0x40007058 +.set CYDEV_PHUB_CH5_BASE, 0x40007060 +.set CYDEV_PHUB_CH5_SIZE, 0x0000000c +.set CYREG_PHUB_CH5_BASIC_CFG, 0x40007060 +.set CYREG_PHUB_CH5_ACTION, 0x40007064 +.set CYREG_PHUB_CH5_BASIC_STATUS, 0x40007068 +.set CYDEV_PHUB_CH6_BASE, 0x40007070 +.set CYDEV_PHUB_CH6_SIZE, 0x0000000c +.set CYREG_PHUB_CH6_BASIC_CFG, 0x40007070 +.set CYREG_PHUB_CH6_ACTION, 0x40007074 +.set CYREG_PHUB_CH6_BASIC_STATUS, 0x40007078 +.set CYDEV_PHUB_CH7_BASE, 0x40007080 +.set CYDEV_PHUB_CH7_SIZE, 0x0000000c +.set CYREG_PHUB_CH7_BASIC_CFG, 0x40007080 +.set CYREG_PHUB_CH7_ACTION, 0x40007084 +.set CYREG_PHUB_CH7_BASIC_STATUS, 0x40007088 +.set CYDEV_PHUB_CH8_BASE, 0x40007090 +.set CYDEV_PHUB_CH8_SIZE, 0x0000000c +.set CYREG_PHUB_CH8_BASIC_CFG, 0x40007090 +.set CYREG_PHUB_CH8_ACTION, 0x40007094 +.set CYREG_PHUB_CH8_BASIC_STATUS, 0x40007098 +.set CYDEV_PHUB_CH9_BASE, 0x400070a0 +.set CYDEV_PHUB_CH9_SIZE, 0x0000000c +.set CYREG_PHUB_CH9_BASIC_CFG, 0x400070a0 +.set CYREG_PHUB_CH9_ACTION, 0x400070a4 +.set CYREG_PHUB_CH9_BASIC_STATUS, 0x400070a8 +.set CYDEV_PHUB_CH10_BASE, 0x400070b0 +.set CYDEV_PHUB_CH10_SIZE, 0x0000000c +.set CYREG_PHUB_CH10_BASIC_CFG, 0x400070b0 +.set CYREG_PHUB_CH10_ACTION, 0x400070b4 +.set CYREG_PHUB_CH10_BASIC_STATUS, 0x400070b8 +.set CYDEV_PHUB_CH11_BASE, 0x400070c0 +.set CYDEV_PHUB_CH11_SIZE, 0x0000000c +.set CYREG_PHUB_CH11_BASIC_CFG, 0x400070c0 +.set CYREG_PHUB_CH11_ACTION, 0x400070c4 +.set CYREG_PHUB_CH11_BASIC_STATUS, 0x400070c8 +.set CYDEV_PHUB_CH12_BASE, 0x400070d0 +.set CYDEV_PHUB_CH12_SIZE, 0x0000000c +.set CYREG_PHUB_CH12_BASIC_CFG, 0x400070d0 +.set CYREG_PHUB_CH12_ACTION, 0x400070d4 +.set CYREG_PHUB_CH12_BASIC_STATUS, 0x400070d8 +.set CYDEV_PHUB_CH13_BASE, 0x400070e0 +.set CYDEV_PHUB_CH13_SIZE, 0x0000000c +.set CYREG_PHUB_CH13_BASIC_CFG, 0x400070e0 +.set CYREG_PHUB_CH13_ACTION, 0x400070e4 +.set CYREG_PHUB_CH13_BASIC_STATUS, 0x400070e8 +.set CYDEV_PHUB_CH14_BASE, 0x400070f0 +.set CYDEV_PHUB_CH14_SIZE, 0x0000000c +.set CYREG_PHUB_CH14_BASIC_CFG, 0x400070f0 +.set CYREG_PHUB_CH14_ACTION, 0x400070f4 +.set CYREG_PHUB_CH14_BASIC_STATUS, 0x400070f8 +.set CYDEV_PHUB_CH15_BASE, 0x40007100 +.set CYDEV_PHUB_CH15_SIZE, 0x0000000c +.set CYREG_PHUB_CH15_BASIC_CFG, 0x40007100 +.set CYREG_PHUB_CH15_ACTION, 0x40007104 +.set CYREG_PHUB_CH15_BASIC_STATUS, 0x40007108 +.set CYDEV_PHUB_CH16_BASE, 0x40007110 +.set CYDEV_PHUB_CH16_SIZE, 0x0000000c +.set CYREG_PHUB_CH16_BASIC_CFG, 0x40007110 +.set CYREG_PHUB_CH16_ACTION, 0x40007114 +.set CYREG_PHUB_CH16_BASIC_STATUS, 0x40007118 +.set CYDEV_PHUB_CH17_BASE, 0x40007120 +.set CYDEV_PHUB_CH17_SIZE, 0x0000000c +.set CYREG_PHUB_CH17_BASIC_CFG, 0x40007120 +.set CYREG_PHUB_CH17_ACTION, 0x40007124 +.set CYREG_PHUB_CH17_BASIC_STATUS, 0x40007128 +.set CYDEV_PHUB_CH18_BASE, 0x40007130 +.set CYDEV_PHUB_CH18_SIZE, 0x0000000c +.set CYREG_PHUB_CH18_BASIC_CFG, 0x40007130 +.set CYREG_PHUB_CH18_ACTION, 0x40007134 +.set CYREG_PHUB_CH18_BASIC_STATUS, 0x40007138 +.set CYDEV_PHUB_CH19_BASE, 0x40007140 +.set CYDEV_PHUB_CH19_SIZE, 0x0000000c +.set CYREG_PHUB_CH19_BASIC_CFG, 0x40007140 +.set CYREG_PHUB_CH19_ACTION, 0x40007144 +.set CYREG_PHUB_CH19_BASIC_STATUS, 0x40007148 +.set CYDEV_PHUB_CH20_BASE, 0x40007150 +.set CYDEV_PHUB_CH20_SIZE, 0x0000000c +.set CYREG_PHUB_CH20_BASIC_CFG, 0x40007150 +.set CYREG_PHUB_CH20_ACTION, 0x40007154 +.set CYREG_PHUB_CH20_BASIC_STATUS, 0x40007158 +.set CYDEV_PHUB_CH21_BASE, 0x40007160 +.set CYDEV_PHUB_CH21_SIZE, 0x0000000c +.set CYREG_PHUB_CH21_BASIC_CFG, 0x40007160 +.set CYREG_PHUB_CH21_ACTION, 0x40007164 +.set CYREG_PHUB_CH21_BASIC_STATUS, 0x40007168 +.set CYDEV_PHUB_CH22_BASE, 0x40007170 +.set CYDEV_PHUB_CH22_SIZE, 0x0000000c +.set CYREG_PHUB_CH22_BASIC_CFG, 0x40007170 +.set CYREG_PHUB_CH22_ACTION, 0x40007174 +.set CYREG_PHUB_CH22_BASIC_STATUS, 0x40007178 +.set CYDEV_PHUB_CH23_BASE, 0x40007180 +.set CYDEV_PHUB_CH23_SIZE, 0x0000000c +.set CYREG_PHUB_CH23_BASIC_CFG, 0x40007180 +.set CYREG_PHUB_CH23_ACTION, 0x40007184 +.set CYREG_PHUB_CH23_BASIC_STATUS, 0x40007188 +.set CYDEV_PHUB_CFGMEM0_BASE, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM0_CFG0, 0x40007600 +.set CYREG_PHUB_CFGMEM0_CFG1, 0x40007604 +.set CYDEV_PHUB_CFGMEM1_BASE, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM1_CFG0, 0x40007608 +.set CYREG_PHUB_CFGMEM1_CFG1, 0x4000760c +.set CYDEV_PHUB_CFGMEM2_BASE, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM2_CFG0, 0x40007610 +.set CYREG_PHUB_CFGMEM2_CFG1, 0x40007614 +.set CYDEV_PHUB_CFGMEM3_BASE, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM3_CFG0, 0x40007618 +.set CYREG_PHUB_CFGMEM3_CFG1, 0x4000761c +.set CYDEV_PHUB_CFGMEM4_BASE, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM4_CFG0, 0x40007620 +.set CYREG_PHUB_CFGMEM4_CFG1, 0x40007624 +.set CYDEV_PHUB_CFGMEM5_BASE, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM5_CFG0, 0x40007628 +.set CYREG_PHUB_CFGMEM5_CFG1, 0x4000762c +.set CYDEV_PHUB_CFGMEM6_BASE, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM6_CFG0, 0x40007630 +.set CYREG_PHUB_CFGMEM6_CFG1, 0x40007634 +.set CYDEV_PHUB_CFGMEM7_BASE, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM7_CFG0, 0x40007638 +.set CYREG_PHUB_CFGMEM7_CFG1, 0x4000763c +.set CYDEV_PHUB_CFGMEM8_BASE, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM8_CFG0, 0x40007640 +.set CYREG_PHUB_CFGMEM8_CFG1, 0x40007644 +.set CYDEV_PHUB_CFGMEM9_BASE, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM9_CFG0, 0x40007648 +.set CYREG_PHUB_CFGMEM9_CFG1, 0x4000764c +.set CYDEV_PHUB_CFGMEM10_BASE, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM10_CFG0, 0x40007650 +.set CYREG_PHUB_CFGMEM10_CFG1, 0x40007654 +.set CYDEV_PHUB_CFGMEM11_BASE, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM11_CFG0, 0x40007658 +.set CYREG_PHUB_CFGMEM11_CFG1, 0x4000765c +.set CYDEV_PHUB_CFGMEM12_BASE, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM12_CFG0, 0x40007660 +.set CYREG_PHUB_CFGMEM12_CFG1, 0x40007664 +.set CYDEV_PHUB_CFGMEM13_BASE, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM13_CFG0, 0x40007668 +.set CYREG_PHUB_CFGMEM13_CFG1, 0x4000766c +.set CYDEV_PHUB_CFGMEM14_BASE, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM14_CFG0, 0x40007670 +.set CYREG_PHUB_CFGMEM14_CFG1, 0x40007674 +.set CYDEV_PHUB_CFGMEM15_BASE, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM15_CFG0, 0x40007678 +.set CYREG_PHUB_CFGMEM15_CFG1, 0x4000767c +.set CYDEV_PHUB_CFGMEM16_BASE, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM16_CFG0, 0x40007680 +.set CYREG_PHUB_CFGMEM16_CFG1, 0x40007684 +.set CYDEV_PHUB_CFGMEM17_BASE, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM17_CFG0, 0x40007688 +.set CYREG_PHUB_CFGMEM17_CFG1, 0x4000768c +.set CYDEV_PHUB_CFGMEM18_BASE, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM18_CFG0, 0x40007690 +.set CYREG_PHUB_CFGMEM18_CFG1, 0x40007694 +.set CYDEV_PHUB_CFGMEM19_BASE, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM19_CFG0, 0x40007698 +.set CYREG_PHUB_CFGMEM19_CFG1, 0x4000769c +.set CYDEV_PHUB_CFGMEM20_BASE, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM20_CFG0, 0x400076a0 +.set CYREG_PHUB_CFGMEM20_CFG1, 0x400076a4 +.set CYDEV_PHUB_CFGMEM21_BASE, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM21_CFG0, 0x400076a8 +.set CYREG_PHUB_CFGMEM21_CFG1, 0x400076ac +.set CYDEV_PHUB_CFGMEM22_BASE, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM22_CFG0, 0x400076b0 +.set CYREG_PHUB_CFGMEM22_CFG1, 0x400076b4 +.set CYDEV_PHUB_CFGMEM23_BASE, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM23_CFG0, 0x400076b8 +.set CYREG_PHUB_CFGMEM23_CFG1, 0x400076bc +.set CYDEV_PHUB_TDMEM0_BASE, 0x40007800 +.set CYDEV_PHUB_TDMEM0_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM0_ORIG_TD0, 0x40007800 +.set CYREG_PHUB_TDMEM0_ORIG_TD1, 0x40007804 +.set CYDEV_PHUB_TDMEM1_BASE, 0x40007808 +.set CYDEV_PHUB_TDMEM1_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM1_ORIG_TD0, 0x40007808 +.set CYREG_PHUB_TDMEM1_ORIG_TD1, 0x4000780c +.set CYDEV_PHUB_TDMEM2_BASE, 0x40007810 +.set CYDEV_PHUB_TDMEM2_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM2_ORIG_TD0, 0x40007810 +.set CYREG_PHUB_TDMEM2_ORIG_TD1, 0x40007814 +.set CYDEV_PHUB_TDMEM3_BASE, 0x40007818 +.set CYDEV_PHUB_TDMEM3_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM3_ORIG_TD0, 0x40007818 +.set CYREG_PHUB_TDMEM3_ORIG_TD1, 0x4000781c +.set CYDEV_PHUB_TDMEM4_BASE, 0x40007820 +.set CYDEV_PHUB_TDMEM4_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM4_ORIG_TD0, 0x40007820 +.set CYREG_PHUB_TDMEM4_ORIG_TD1, 0x40007824 +.set CYDEV_PHUB_TDMEM5_BASE, 0x40007828 +.set CYDEV_PHUB_TDMEM5_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM5_ORIG_TD0, 0x40007828 +.set CYREG_PHUB_TDMEM5_ORIG_TD1, 0x4000782c +.set CYDEV_PHUB_TDMEM6_BASE, 0x40007830 +.set CYDEV_PHUB_TDMEM6_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM6_ORIG_TD0, 0x40007830 +.set CYREG_PHUB_TDMEM6_ORIG_TD1, 0x40007834 +.set CYDEV_PHUB_TDMEM7_BASE, 0x40007838 +.set CYDEV_PHUB_TDMEM7_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM7_ORIG_TD0, 0x40007838 +.set CYREG_PHUB_TDMEM7_ORIG_TD1, 0x4000783c +.set CYDEV_PHUB_TDMEM8_BASE, 0x40007840 +.set CYDEV_PHUB_TDMEM8_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM8_ORIG_TD0, 0x40007840 +.set CYREG_PHUB_TDMEM8_ORIG_TD1, 0x40007844 +.set CYDEV_PHUB_TDMEM9_BASE, 0x40007848 +.set CYDEV_PHUB_TDMEM9_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM9_ORIG_TD0, 0x40007848 +.set CYREG_PHUB_TDMEM9_ORIG_TD1, 0x4000784c +.set CYDEV_PHUB_TDMEM10_BASE, 0x40007850 +.set CYDEV_PHUB_TDMEM10_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM10_ORIG_TD0, 0x40007850 +.set CYREG_PHUB_TDMEM10_ORIG_TD1, 0x40007854 +.set CYDEV_PHUB_TDMEM11_BASE, 0x40007858 +.set CYDEV_PHUB_TDMEM11_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM11_ORIG_TD0, 0x40007858 +.set CYREG_PHUB_TDMEM11_ORIG_TD1, 0x4000785c +.set CYDEV_PHUB_TDMEM12_BASE, 0x40007860 +.set CYDEV_PHUB_TDMEM12_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM12_ORIG_TD0, 0x40007860 +.set CYREG_PHUB_TDMEM12_ORIG_TD1, 0x40007864 +.set CYDEV_PHUB_TDMEM13_BASE, 0x40007868 +.set CYDEV_PHUB_TDMEM13_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM13_ORIG_TD0, 0x40007868 +.set CYREG_PHUB_TDMEM13_ORIG_TD1, 0x4000786c +.set CYDEV_PHUB_TDMEM14_BASE, 0x40007870 +.set CYDEV_PHUB_TDMEM14_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM14_ORIG_TD0, 0x40007870 +.set CYREG_PHUB_TDMEM14_ORIG_TD1, 0x40007874 +.set CYDEV_PHUB_TDMEM15_BASE, 0x40007878 +.set CYDEV_PHUB_TDMEM15_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM15_ORIG_TD0, 0x40007878 +.set CYREG_PHUB_TDMEM15_ORIG_TD1, 0x4000787c +.set CYDEV_PHUB_TDMEM16_BASE, 0x40007880 +.set CYDEV_PHUB_TDMEM16_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM16_ORIG_TD0, 0x40007880 +.set CYREG_PHUB_TDMEM16_ORIG_TD1, 0x40007884 +.set CYDEV_PHUB_TDMEM17_BASE, 0x40007888 +.set CYDEV_PHUB_TDMEM17_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM17_ORIG_TD0, 0x40007888 +.set CYREG_PHUB_TDMEM17_ORIG_TD1, 0x4000788c +.set CYDEV_PHUB_TDMEM18_BASE, 0x40007890 +.set CYDEV_PHUB_TDMEM18_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM18_ORIG_TD0, 0x40007890 +.set CYREG_PHUB_TDMEM18_ORIG_TD1, 0x40007894 +.set CYDEV_PHUB_TDMEM19_BASE, 0x40007898 +.set CYDEV_PHUB_TDMEM19_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM19_ORIG_TD0, 0x40007898 +.set CYREG_PHUB_TDMEM19_ORIG_TD1, 0x4000789c +.set CYDEV_PHUB_TDMEM20_BASE, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM20_ORIG_TD0, 0x400078a0 +.set CYREG_PHUB_TDMEM20_ORIG_TD1, 0x400078a4 +.set CYDEV_PHUB_TDMEM21_BASE, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM21_ORIG_TD0, 0x400078a8 +.set CYREG_PHUB_TDMEM21_ORIG_TD1, 0x400078ac +.set CYDEV_PHUB_TDMEM22_BASE, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM22_ORIG_TD0, 0x400078b0 +.set CYREG_PHUB_TDMEM22_ORIG_TD1, 0x400078b4 +.set CYDEV_PHUB_TDMEM23_BASE, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM23_ORIG_TD0, 0x400078b8 +.set CYREG_PHUB_TDMEM23_ORIG_TD1, 0x400078bc +.set CYDEV_PHUB_TDMEM24_BASE, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM24_ORIG_TD0, 0x400078c0 +.set CYREG_PHUB_TDMEM24_ORIG_TD1, 0x400078c4 +.set CYDEV_PHUB_TDMEM25_BASE, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM25_ORIG_TD0, 0x400078c8 +.set CYREG_PHUB_TDMEM25_ORIG_TD1, 0x400078cc +.set CYDEV_PHUB_TDMEM26_BASE, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM26_ORIG_TD0, 0x400078d0 +.set CYREG_PHUB_TDMEM26_ORIG_TD1, 0x400078d4 +.set CYDEV_PHUB_TDMEM27_BASE, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM27_ORIG_TD0, 0x400078d8 +.set CYREG_PHUB_TDMEM27_ORIG_TD1, 0x400078dc +.set CYDEV_PHUB_TDMEM28_BASE, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM28_ORIG_TD0, 0x400078e0 +.set CYREG_PHUB_TDMEM28_ORIG_TD1, 0x400078e4 +.set CYDEV_PHUB_TDMEM29_BASE, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM29_ORIG_TD0, 0x400078e8 +.set CYREG_PHUB_TDMEM29_ORIG_TD1, 0x400078ec +.set CYDEV_PHUB_TDMEM30_BASE, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM30_ORIG_TD0, 0x400078f0 +.set CYREG_PHUB_TDMEM30_ORIG_TD1, 0x400078f4 +.set CYDEV_PHUB_TDMEM31_BASE, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM31_ORIG_TD0, 0x400078f8 +.set CYREG_PHUB_TDMEM31_ORIG_TD1, 0x400078fc +.set CYDEV_PHUB_TDMEM32_BASE, 0x40007900 +.set CYDEV_PHUB_TDMEM32_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM32_ORIG_TD0, 0x40007900 +.set CYREG_PHUB_TDMEM32_ORIG_TD1, 0x40007904 +.set CYDEV_PHUB_TDMEM33_BASE, 0x40007908 +.set CYDEV_PHUB_TDMEM33_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM33_ORIG_TD0, 0x40007908 +.set CYREG_PHUB_TDMEM33_ORIG_TD1, 0x4000790c +.set CYDEV_PHUB_TDMEM34_BASE, 0x40007910 +.set CYDEV_PHUB_TDMEM34_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM34_ORIG_TD0, 0x40007910 +.set CYREG_PHUB_TDMEM34_ORIG_TD1, 0x40007914 +.set CYDEV_PHUB_TDMEM35_BASE, 0x40007918 +.set CYDEV_PHUB_TDMEM35_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM35_ORIG_TD0, 0x40007918 +.set CYREG_PHUB_TDMEM35_ORIG_TD1, 0x4000791c +.set CYDEV_PHUB_TDMEM36_BASE, 0x40007920 +.set CYDEV_PHUB_TDMEM36_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM36_ORIG_TD0, 0x40007920 +.set CYREG_PHUB_TDMEM36_ORIG_TD1, 0x40007924 +.set CYDEV_PHUB_TDMEM37_BASE, 0x40007928 +.set CYDEV_PHUB_TDMEM37_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM37_ORIG_TD0, 0x40007928 +.set CYREG_PHUB_TDMEM37_ORIG_TD1, 0x4000792c +.set CYDEV_PHUB_TDMEM38_BASE, 0x40007930 +.set CYDEV_PHUB_TDMEM38_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM38_ORIG_TD0, 0x40007930 +.set CYREG_PHUB_TDMEM38_ORIG_TD1, 0x40007934 +.set CYDEV_PHUB_TDMEM39_BASE, 0x40007938 +.set CYDEV_PHUB_TDMEM39_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM39_ORIG_TD0, 0x40007938 +.set CYREG_PHUB_TDMEM39_ORIG_TD1, 0x4000793c +.set CYDEV_PHUB_TDMEM40_BASE, 0x40007940 +.set CYDEV_PHUB_TDMEM40_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM40_ORIG_TD0, 0x40007940 +.set CYREG_PHUB_TDMEM40_ORIG_TD1, 0x40007944 +.set CYDEV_PHUB_TDMEM41_BASE, 0x40007948 +.set CYDEV_PHUB_TDMEM41_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM41_ORIG_TD0, 0x40007948 +.set CYREG_PHUB_TDMEM41_ORIG_TD1, 0x4000794c +.set CYDEV_PHUB_TDMEM42_BASE, 0x40007950 +.set CYDEV_PHUB_TDMEM42_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM42_ORIG_TD0, 0x40007950 +.set CYREG_PHUB_TDMEM42_ORIG_TD1, 0x40007954 +.set CYDEV_PHUB_TDMEM43_BASE, 0x40007958 +.set CYDEV_PHUB_TDMEM43_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM43_ORIG_TD0, 0x40007958 +.set CYREG_PHUB_TDMEM43_ORIG_TD1, 0x4000795c +.set CYDEV_PHUB_TDMEM44_BASE, 0x40007960 +.set CYDEV_PHUB_TDMEM44_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM44_ORIG_TD0, 0x40007960 +.set CYREG_PHUB_TDMEM44_ORIG_TD1, 0x40007964 +.set CYDEV_PHUB_TDMEM45_BASE, 0x40007968 +.set CYDEV_PHUB_TDMEM45_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM45_ORIG_TD0, 0x40007968 +.set CYREG_PHUB_TDMEM45_ORIG_TD1, 0x4000796c +.set CYDEV_PHUB_TDMEM46_BASE, 0x40007970 +.set CYDEV_PHUB_TDMEM46_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM46_ORIG_TD0, 0x40007970 +.set CYREG_PHUB_TDMEM46_ORIG_TD1, 0x40007974 +.set CYDEV_PHUB_TDMEM47_BASE, 0x40007978 +.set CYDEV_PHUB_TDMEM47_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM47_ORIG_TD0, 0x40007978 +.set CYREG_PHUB_TDMEM47_ORIG_TD1, 0x4000797c +.set CYDEV_PHUB_TDMEM48_BASE, 0x40007980 +.set CYDEV_PHUB_TDMEM48_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM48_ORIG_TD0, 0x40007980 +.set CYREG_PHUB_TDMEM48_ORIG_TD1, 0x40007984 +.set CYDEV_PHUB_TDMEM49_BASE, 0x40007988 +.set CYDEV_PHUB_TDMEM49_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM49_ORIG_TD0, 0x40007988 +.set CYREG_PHUB_TDMEM49_ORIG_TD1, 0x4000798c +.set CYDEV_PHUB_TDMEM50_BASE, 0x40007990 +.set CYDEV_PHUB_TDMEM50_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM50_ORIG_TD0, 0x40007990 +.set CYREG_PHUB_TDMEM50_ORIG_TD1, 0x40007994 +.set CYDEV_PHUB_TDMEM51_BASE, 0x40007998 +.set CYDEV_PHUB_TDMEM51_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM51_ORIG_TD0, 0x40007998 +.set CYREG_PHUB_TDMEM51_ORIG_TD1, 0x4000799c +.set CYDEV_PHUB_TDMEM52_BASE, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM52_ORIG_TD0, 0x400079a0 +.set CYREG_PHUB_TDMEM52_ORIG_TD1, 0x400079a4 +.set CYDEV_PHUB_TDMEM53_BASE, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM53_ORIG_TD0, 0x400079a8 +.set CYREG_PHUB_TDMEM53_ORIG_TD1, 0x400079ac +.set CYDEV_PHUB_TDMEM54_BASE, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM54_ORIG_TD0, 0x400079b0 +.set CYREG_PHUB_TDMEM54_ORIG_TD1, 0x400079b4 +.set CYDEV_PHUB_TDMEM55_BASE, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM55_ORIG_TD0, 0x400079b8 +.set CYREG_PHUB_TDMEM55_ORIG_TD1, 0x400079bc +.set CYDEV_PHUB_TDMEM56_BASE, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM56_ORIG_TD0, 0x400079c0 +.set CYREG_PHUB_TDMEM56_ORIG_TD1, 0x400079c4 +.set CYDEV_PHUB_TDMEM57_BASE, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM57_ORIG_TD0, 0x400079c8 +.set CYREG_PHUB_TDMEM57_ORIG_TD1, 0x400079cc +.set CYDEV_PHUB_TDMEM58_BASE, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM58_ORIG_TD0, 0x400079d0 +.set CYREG_PHUB_TDMEM58_ORIG_TD1, 0x400079d4 +.set CYDEV_PHUB_TDMEM59_BASE, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM59_ORIG_TD0, 0x400079d8 +.set CYREG_PHUB_TDMEM59_ORIG_TD1, 0x400079dc +.set CYDEV_PHUB_TDMEM60_BASE, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM60_ORIG_TD0, 0x400079e0 +.set CYREG_PHUB_TDMEM60_ORIG_TD1, 0x400079e4 +.set CYDEV_PHUB_TDMEM61_BASE, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM61_ORIG_TD0, 0x400079e8 +.set CYREG_PHUB_TDMEM61_ORIG_TD1, 0x400079ec +.set CYDEV_PHUB_TDMEM62_BASE, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM62_ORIG_TD0, 0x400079f0 +.set CYREG_PHUB_TDMEM62_ORIG_TD1, 0x400079f4 +.set CYDEV_PHUB_TDMEM63_BASE, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM63_ORIG_TD0, 0x400079f8 +.set CYREG_PHUB_TDMEM63_ORIG_TD1, 0x400079fc +.set CYDEV_PHUB_TDMEM64_BASE, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM64_ORIG_TD0, 0x40007a00 +.set CYREG_PHUB_TDMEM64_ORIG_TD1, 0x40007a04 +.set CYDEV_PHUB_TDMEM65_BASE, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM65_ORIG_TD0, 0x40007a08 +.set CYREG_PHUB_TDMEM65_ORIG_TD1, 0x40007a0c +.set CYDEV_PHUB_TDMEM66_BASE, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM66_ORIG_TD0, 0x40007a10 +.set CYREG_PHUB_TDMEM66_ORIG_TD1, 0x40007a14 +.set CYDEV_PHUB_TDMEM67_BASE, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM67_ORIG_TD0, 0x40007a18 +.set CYREG_PHUB_TDMEM67_ORIG_TD1, 0x40007a1c +.set CYDEV_PHUB_TDMEM68_BASE, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM68_ORIG_TD0, 0x40007a20 +.set CYREG_PHUB_TDMEM68_ORIG_TD1, 0x40007a24 +.set CYDEV_PHUB_TDMEM69_BASE, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM69_ORIG_TD0, 0x40007a28 +.set CYREG_PHUB_TDMEM69_ORIG_TD1, 0x40007a2c +.set CYDEV_PHUB_TDMEM70_BASE, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM70_ORIG_TD0, 0x40007a30 +.set CYREG_PHUB_TDMEM70_ORIG_TD1, 0x40007a34 +.set CYDEV_PHUB_TDMEM71_BASE, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM71_ORIG_TD0, 0x40007a38 +.set CYREG_PHUB_TDMEM71_ORIG_TD1, 0x40007a3c +.set CYDEV_PHUB_TDMEM72_BASE, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM72_ORIG_TD0, 0x40007a40 +.set CYREG_PHUB_TDMEM72_ORIG_TD1, 0x40007a44 +.set CYDEV_PHUB_TDMEM73_BASE, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM73_ORIG_TD0, 0x40007a48 +.set CYREG_PHUB_TDMEM73_ORIG_TD1, 0x40007a4c +.set CYDEV_PHUB_TDMEM74_BASE, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM74_ORIG_TD0, 0x40007a50 +.set CYREG_PHUB_TDMEM74_ORIG_TD1, 0x40007a54 +.set CYDEV_PHUB_TDMEM75_BASE, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM75_ORIG_TD0, 0x40007a58 +.set CYREG_PHUB_TDMEM75_ORIG_TD1, 0x40007a5c +.set CYDEV_PHUB_TDMEM76_BASE, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM76_ORIG_TD0, 0x40007a60 +.set CYREG_PHUB_TDMEM76_ORIG_TD1, 0x40007a64 +.set CYDEV_PHUB_TDMEM77_BASE, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM77_ORIG_TD0, 0x40007a68 +.set CYREG_PHUB_TDMEM77_ORIG_TD1, 0x40007a6c +.set CYDEV_PHUB_TDMEM78_BASE, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM78_ORIG_TD0, 0x40007a70 +.set CYREG_PHUB_TDMEM78_ORIG_TD1, 0x40007a74 +.set CYDEV_PHUB_TDMEM79_BASE, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM79_ORIG_TD0, 0x40007a78 +.set CYREG_PHUB_TDMEM79_ORIG_TD1, 0x40007a7c +.set CYDEV_PHUB_TDMEM80_BASE, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM80_ORIG_TD0, 0x40007a80 +.set CYREG_PHUB_TDMEM80_ORIG_TD1, 0x40007a84 +.set CYDEV_PHUB_TDMEM81_BASE, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM81_ORIG_TD0, 0x40007a88 +.set CYREG_PHUB_TDMEM81_ORIG_TD1, 0x40007a8c +.set CYDEV_PHUB_TDMEM82_BASE, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM82_ORIG_TD0, 0x40007a90 +.set CYREG_PHUB_TDMEM82_ORIG_TD1, 0x40007a94 +.set CYDEV_PHUB_TDMEM83_BASE, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM83_ORIG_TD0, 0x40007a98 +.set CYREG_PHUB_TDMEM83_ORIG_TD1, 0x40007a9c +.set CYDEV_PHUB_TDMEM84_BASE, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM84_ORIG_TD0, 0x40007aa0 +.set CYREG_PHUB_TDMEM84_ORIG_TD1, 0x40007aa4 +.set CYDEV_PHUB_TDMEM85_BASE, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM85_ORIG_TD0, 0x40007aa8 +.set CYREG_PHUB_TDMEM85_ORIG_TD1, 0x40007aac +.set CYDEV_PHUB_TDMEM86_BASE, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM86_ORIG_TD0, 0x40007ab0 +.set CYREG_PHUB_TDMEM86_ORIG_TD1, 0x40007ab4 +.set CYDEV_PHUB_TDMEM87_BASE, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM87_ORIG_TD0, 0x40007ab8 +.set CYREG_PHUB_TDMEM87_ORIG_TD1, 0x40007abc +.set CYDEV_PHUB_TDMEM88_BASE, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM88_ORIG_TD0, 0x40007ac0 +.set CYREG_PHUB_TDMEM88_ORIG_TD1, 0x40007ac4 +.set CYDEV_PHUB_TDMEM89_BASE, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM89_ORIG_TD0, 0x40007ac8 +.set CYREG_PHUB_TDMEM89_ORIG_TD1, 0x40007acc +.set CYDEV_PHUB_TDMEM90_BASE, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM90_ORIG_TD0, 0x40007ad0 +.set CYREG_PHUB_TDMEM90_ORIG_TD1, 0x40007ad4 +.set CYDEV_PHUB_TDMEM91_BASE, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM91_ORIG_TD0, 0x40007ad8 +.set CYREG_PHUB_TDMEM91_ORIG_TD1, 0x40007adc +.set CYDEV_PHUB_TDMEM92_BASE, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM92_ORIG_TD0, 0x40007ae0 +.set CYREG_PHUB_TDMEM92_ORIG_TD1, 0x40007ae4 +.set CYDEV_PHUB_TDMEM93_BASE, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM93_ORIG_TD0, 0x40007ae8 +.set CYREG_PHUB_TDMEM93_ORIG_TD1, 0x40007aec +.set CYDEV_PHUB_TDMEM94_BASE, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM94_ORIG_TD0, 0x40007af0 +.set CYREG_PHUB_TDMEM94_ORIG_TD1, 0x40007af4 +.set CYDEV_PHUB_TDMEM95_BASE, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM95_ORIG_TD0, 0x40007af8 +.set CYREG_PHUB_TDMEM95_ORIG_TD1, 0x40007afc +.set CYDEV_PHUB_TDMEM96_BASE, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM96_ORIG_TD0, 0x40007b00 +.set CYREG_PHUB_TDMEM96_ORIG_TD1, 0x40007b04 +.set CYDEV_PHUB_TDMEM97_BASE, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM97_ORIG_TD0, 0x40007b08 +.set CYREG_PHUB_TDMEM97_ORIG_TD1, 0x40007b0c +.set CYDEV_PHUB_TDMEM98_BASE, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM98_ORIG_TD0, 0x40007b10 +.set CYREG_PHUB_TDMEM98_ORIG_TD1, 0x40007b14 +.set CYDEV_PHUB_TDMEM99_BASE, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM99_ORIG_TD0, 0x40007b18 +.set CYREG_PHUB_TDMEM99_ORIG_TD1, 0x40007b1c +.set CYDEV_PHUB_TDMEM100_BASE, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM100_ORIG_TD0, 0x40007b20 +.set CYREG_PHUB_TDMEM100_ORIG_TD1, 0x40007b24 +.set CYDEV_PHUB_TDMEM101_BASE, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM101_ORIG_TD0, 0x40007b28 +.set CYREG_PHUB_TDMEM101_ORIG_TD1, 0x40007b2c +.set CYDEV_PHUB_TDMEM102_BASE, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM102_ORIG_TD0, 0x40007b30 +.set CYREG_PHUB_TDMEM102_ORIG_TD1, 0x40007b34 +.set CYDEV_PHUB_TDMEM103_BASE, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM103_ORIG_TD0, 0x40007b38 +.set CYREG_PHUB_TDMEM103_ORIG_TD1, 0x40007b3c +.set CYDEV_PHUB_TDMEM104_BASE, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM104_ORIG_TD0, 0x40007b40 +.set CYREG_PHUB_TDMEM104_ORIG_TD1, 0x40007b44 +.set CYDEV_PHUB_TDMEM105_BASE, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM105_ORIG_TD0, 0x40007b48 +.set CYREG_PHUB_TDMEM105_ORIG_TD1, 0x40007b4c +.set CYDEV_PHUB_TDMEM106_BASE, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM106_ORIG_TD0, 0x40007b50 +.set CYREG_PHUB_TDMEM106_ORIG_TD1, 0x40007b54 +.set CYDEV_PHUB_TDMEM107_BASE, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM107_ORIG_TD0, 0x40007b58 +.set CYREG_PHUB_TDMEM107_ORIG_TD1, 0x40007b5c +.set CYDEV_PHUB_TDMEM108_BASE, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM108_ORIG_TD0, 0x40007b60 +.set CYREG_PHUB_TDMEM108_ORIG_TD1, 0x40007b64 +.set CYDEV_PHUB_TDMEM109_BASE, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM109_ORIG_TD0, 0x40007b68 +.set CYREG_PHUB_TDMEM109_ORIG_TD1, 0x40007b6c +.set CYDEV_PHUB_TDMEM110_BASE, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM110_ORIG_TD0, 0x40007b70 +.set CYREG_PHUB_TDMEM110_ORIG_TD1, 0x40007b74 +.set CYDEV_PHUB_TDMEM111_BASE, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM111_ORIG_TD0, 0x40007b78 +.set CYREG_PHUB_TDMEM111_ORIG_TD1, 0x40007b7c +.set CYDEV_PHUB_TDMEM112_BASE, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM112_ORIG_TD0, 0x40007b80 +.set CYREG_PHUB_TDMEM112_ORIG_TD1, 0x40007b84 +.set CYDEV_PHUB_TDMEM113_BASE, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM113_ORIG_TD0, 0x40007b88 +.set CYREG_PHUB_TDMEM113_ORIG_TD1, 0x40007b8c +.set CYDEV_PHUB_TDMEM114_BASE, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM114_ORIG_TD0, 0x40007b90 +.set CYREG_PHUB_TDMEM114_ORIG_TD1, 0x40007b94 +.set CYDEV_PHUB_TDMEM115_BASE, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM115_ORIG_TD0, 0x40007b98 +.set CYREG_PHUB_TDMEM115_ORIG_TD1, 0x40007b9c +.set CYDEV_PHUB_TDMEM116_BASE, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM116_ORIG_TD0, 0x40007ba0 +.set CYREG_PHUB_TDMEM116_ORIG_TD1, 0x40007ba4 +.set CYDEV_PHUB_TDMEM117_BASE, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM117_ORIG_TD0, 0x40007ba8 +.set CYREG_PHUB_TDMEM117_ORIG_TD1, 0x40007bac +.set CYDEV_PHUB_TDMEM118_BASE, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM118_ORIG_TD0, 0x40007bb0 +.set CYREG_PHUB_TDMEM118_ORIG_TD1, 0x40007bb4 +.set CYDEV_PHUB_TDMEM119_BASE, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM119_ORIG_TD0, 0x40007bb8 +.set CYREG_PHUB_TDMEM119_ORIG_TD1, 0x40007bbc +.set CYDEV_PHUB_TDMEM120_BASE, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM120_ORIG_TD0, 0x40007bc0 +.set CYREG_PHUB_TDMEM120_ORIG_TD1, 0x40007bc4 +.set CYDEV_PHUB_TDMEM121_BASE, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM121_ORIG_TD0, 0x40007bc8 +.set CYREG_PHUB_TDMEM121_ORIG_TD1, 0x40007bcc +.set CYDEV_PHUB_TDMEM122_BASE, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM122_ORIG_TD0, 0x40007bd0 +.set CYREG_PHUB_TDMEM122_ORIG_TD1, 0x40007bd4 +.set CYDEV_PHUB_TDMEM123_BASE, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM123_ORIG_TD0, 0x40007bd8 +.set CYREG_PHUB_TDMEM123_ORIG_TD1, 0x40007bdc +.set CYDEV_PHUB_TDMEM124_BASE, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM124_ORIG_TD0, 0x40007be0 +.set CYREG_PHUB_TDMEM124_ORIG_TD1, 0x40007be4 +.set CYDEV_PHUB_TDMEM125_BASE, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM125_ORIG_TD0, 0x40007be8 +.set CYREG_PHUB_TDMEM125_ORIG_TD1, 0x40007bec +.set CYDEV_PHUB_TDMEM126_BASE, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM126_ORIG_TD0, 0x40007bf0 +.set CYREG_PHUB_TDMEM126_ORIG_TD1, 0x40007bf4 +.set CYDEV_PHUB_TDMEM127_BASE, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM127_ORIG_TD0, 0x40007bf8 +.set CYREG_PHUB_TDMEM127_ORIG_TD1, 0x40007bfc +.set CYDEV_EE_BASE, 0x40008000 +.set CYDEV_EE_SIZE, 0x00000800 +.set CYREG_EE_DATA_MBASE, 0x40008000 +.set CYREG_EE_DATA_MSIZE, 0x00000800 +.set CYDEV_CAN0_BASE, 0x4000a000 +.set CYDEV_CAN0_SIZE, 0x000002a0 +.set CYDEV_CAN0_CSR_BASE, 0x4000a000 +.set CYDEV_CAN0_CSR_SIZE, 0x00000018 +.set CYREG_CAN0_CSR_INT_SR, 0x4000a000 +.set CYREG_CAN0_CSR_INT_EN, 0x4000a004 +.set CYREG_CAN0_CSR_BUF_SR, 0x4000a008 +.set CYREG_CAN0_CSR_ERR_SR, 0x4000a00c +.set CYREG_CAN0_CSR_CMD, 0x4000a010 +.set CYREG_CAN0_CSR_CFG, 0x4000a014 +.set CYDEV_CAN0_TX0_BASE, 0x4000a020 +.set CYDEV_CAN0_TX0_SIZE, 0x00000010 +.set CYREG_CAN0_TX0_CMD, 0x4000a020 +.set CYREG_CAN0_TX0_ID, 0x4000a024 +.set CYREG_CAN0_TX0_DH, 0x4000a028 +.set CYREG_CAN0_TX0_DL, 0x4000a02c +.set CYDEV_CAN0_TX1_BASE, 0x4000a030 +.set CYDEV_CAN0_TX1_SIZE, 0x00000010 +.set CYREG_CAN0_TX1_CMD, 0x4000a030 +.set CYREG_CAN0_TX1_ID, 0x4000a034 +.set CYREG_CAN0_TX1_DH, 0x4000a038 +.set CYREG_CAN0_TX1_DL, 0x4000a03c +.set CYDEV_CAN0_TX2_BASE, 0x4000a040 +.set CYDEV_CAN0_TX2_SIZE, 0x00000010 +.set CYREG_CAN0_TX2_CMD, 0x4000a040 +.set CYREG_CAN0_TX2_ID, 0x4000a044 +.set CYREG_CAN0_TX2_DH, 0x4000a048 +.set CYREG_CAN0_TX2_DL, 0x4000a04c +.set CYDEV_CAN0_TX3_BASE, 0x4000a050 +.set CYDEV_CAN0_TX3_SIZE, 0x00000010 +.set CYREG_CAN0_TX3_CMD, 0x4000a050 +.set CYREG_CAN0_TX3_ID, 0x4000a054 +.set CYREG_CAN0_TX3_DH, 0x4000a058 +.set CYREG_CAN0_TX3_DL, 0x4000a05c +.set CYDEV_CAN0_TX4_BASE, 0x4000a060 +.set CYDEV_CAN0_TX4_SIZE, 0x00000010 +.set CYREG_CAN0_TX4_CMD, 0x4000a060 +.set CYREG_CAN0_TX4_ID, 0x4000a064 +.set CYREG_CAN0_TX4_DH, 0x4000a068 +.set CYREG_CAN0_TX4_DL, 0x4000a06c +.set CYDEV_CAN0_TX5_BASE, 0x4000a070 +.set CYDEV_CAN0_TX5_SIZE, 0x00000010 +.set CYREG_CAN0_TX5_CMD, 0x4000a070 +.set CYREG_CAN0_TX5_ID, 0x4000a074 +.set CYREG_CAN0_TX5_DH, 0x4000a078 +.set CYREG_CAN0_TX5_DL, 0x4000a07c +.set CYDEV_CAN0_TX6_BASE, 0x4000a080 +.set CYDEV_CAN0_TX6_SIZE, 0x00000010 +.set CYREG_CAN0_TX6_CMD, 0x4000a080 +.set CYREG_CAN0_TX6_ID, 0x4000a084 +.set CYREG_CAN0_TX6_DH, 0x4000a088 +.set CYREG_CAN0_TX6_DL, 0x4000a08c +.set CYDEV_CAN0_TX7_BASE, 0x4000a090 +.set CYDEV_CAN0_TX7_SIZE, 0x00000010 +.set CYREG_CAN0_TX7_CMD, 0x4000a090 +.set CYREG_CAN0_TX7_ID, 0x4000a094 +.set CYREG_CAN0_TX7_DH, 0x4000a098 +.set CYREG_CAN0_TX7_DL, 0x4000a09c +.set CYDEV_CAN0_RX0_BASE, 0x4000a0a0 +.set CYDEV_CAN0_RX0_SIZE, 0x00000020 +.set CYREG_CAN0_RX0_CMD, 0x4000a0a0 +.set CYREG_CAN0_RX0_ID, 0x4000a0a4 +.set CYREG_CAN0_RX0_DH, 0x4000a0a8 +.set CYREG_CAN0_RX0_DL, 0x4000a0ac +.set CYREG_CAN0_RX0_AMR, 0x4000a0b0 +.set CYREG_CAN0_RX0_ACR, 0x4000a0b4 +.set CYREG_CAN0_RX0_AMRD, 0x4000a0b8 +.set CYREG_CAN0_RX0_ACRD, 0x4000a0bc +.set CYDEV_CAN0_RX1_BASE, 0x4000a0c0 +.set CYDEV_CAN0_RX1_SIZE, 0x00000020 +.set CYREG_CAN0_RX1_CMD, 0x4000a0c0 +.set CYREG_CAN0_RX1_ID, 0x4000a0c4 +.set CYREG_CAN0_RX1_DH, 0x4000a0c8 +.set CYREG_CAN0_RX1_DL, 0x4000a0cc +.set CYREG_CAN0_RX1_AMR, 0x4000a0d0 +.set CYREG_CAN0_RX1_ACR, 0x4000a0d4 +.set CYREG_CAN0_RX1_AMRD, 0x4000a0d8 +.set CYREG_CAN0_RX1_ACRD, 0x4000a0dc +.set CYDEV_CAN0_RX2_BASE, 0x4000a0e0 +.set CYDEV_CAN0_RX2_SIZE, 0x00000020 +.set CYREG_CAN0_RX2_CMD, 0x4000a0e0 +.set CYREG_CAN0_RX2_ID, 0x4000a0e4 +.set CYREG_CAN0_RX2_DH, 0x4000a0e8 +.set CYREG_CAN0_RX2_DL, 0x4000a0ec +.set CYREG_CAN0_RX2_AMR, 0x4000a0f0 +.set CYREG_CAN0_RX2_ACR, 0x4000a0f4 +.set CYREG_CAN0_RX2_AMRD, 0x4000a0f8 +.set CYREG_CAN0_RX2_ACRD, 0x4000a0fc +.set CYDEV_CAN0_RX3_BASE, 0x4000a100 +.set CYDEV_CAN0_RX3_SIZE, 0x00000020 +.set CYREG_CAN0_RX3_CMD, 0x4000a100 +.set CYREG_CAN0_RX3_ID, 0x4000a104 +.set CYREG_CAN0_RX3_DH, 0x4000a108 +.set CYREG_CAN0_RX3_DL, 0x4000a10c +.set CYREG_CAN0_RX3_AMR, 0x4000a110 +.set CYREG_CAN0_RX3_ACR, 0x4000a114 +.set CYREG_CAN0_RX3_AMRD, 0x4000a118 +.set CYREG_CAN0_RX3_ACRD, 0x4000a11c +.set CYDEV_CAN0_RX4_BASE, 0x4000a120 +.set CYDEV_CAN0_RX4_SIZE, 0x00000020 +.set CYREG_CAN0_RX4_CMD, 0x4000a120 +.set CYREG_CAN0_RX4_ID, 0x4000a124 +.set CYREG_CAN0_RX4_DH, 0x4000a128 +.set CYREG_CAN0_RX4_DL, 0x4000a12c +.set CYREG_CAN0_RX4_AMR, 0x4000a130 +.set CYREG_CAN0_RX4_ACR, 0x4000a134 +.set CYREG_CAN0_RX4_AMRD, 0x4000a138 +.set CYREG_CAN0_RX4_ACRD, 0x4000a13c +.set CYDEV_CAN0_RX5_BASE, 0x4000a140 +.set CYDEV_CAN0_RX5_SIZE, 0x00000020 +.set CYREG_CAN0_RX5_CMD, 0x4000a140 +.set CYREG_CAN0_RX5_ID, 0x4000a144 +.set CYREG_CAN0_RX5_DH, 0x4000a148 +.set CYREG_CAN0_RX5_DL, 0x4000a14c +.set CYREG_CAN0_RX5_AMR, 0x4000a150 +.set CYREG_CAN0_RX5_ACR, 0x4000a154 +.set CYREG_CAN0_RX5_AMRD, 0x4000a158 +.set CYREG_CAN0_RX5_ACRD, 0x4000a15c +.set CYDEV_CAN0_RX6_BASE, 0x4000a160 +.set CYDEV_CAN0_RX6_SIZE, 0x00000020 +.set CYREG_CAN0_RX6_CMD, 0x4000a160 +.set CYREG_CAN0_RX6_ID, 0x4000a164 +.set CYREG_CAN0_RX6_DH, 0x4000a168 +.set CYREG_CAN0_RX6_DL, 0x4000a16c +.set CYREG_CAN0_RX6_AMR, 0x4000a170 +.set CYREG_CAN0_RX6_ACR, 0x4000a174 +.set CYREG_CAN0_RX6_AMRD, 0x4000a178 +.set CYREG_CAN0_RX6_ACRD, 0x4000a17c +.set CYDEV_CAN0_RX7_BASE, 0x4000a180 +.set CYDEV_CAN0_RX7_SIZE, 0x00000020 +.set CYREG_CAN0_RX7_CMD, 0x4000a180 +.set CYREG_CAN0_RX7_ID, 0x4000a184 +.set CYREG_CAN0_RX7_DH, 0x4000a188 +.set CYREG_CAN0_RX7_DL, 0x4000a18c +.set CYREG_CAN0_RX7_AMR, 0x4000a190 +.set CYREG_CAN0_RX7_ACR, 0x4000a194 +.set CYREG_CAN0_RX7_AMRD, 0x4000a198 +.set CYREG_CAN0_RX7_ACRD, 0x4000a19c +.set CYDEV_CAN0_RX8_BASE, 0x4000a1a0 +.set CYDEV_CAN0_RX8_SIZE, 0x00000020 +.set CYREG_CAN0_RX8_CMD, 0x4000a1a0 +.set CYREG_CAN0_RX8_ID, 0x4000a1a4 +.set CYREG_CAN0_RX8_DH, 0x4000a1a8 +.set CYREG_CAN0_RX8_DL, 0x4000a1ac +.set CYREG_CAN0_RX8_AMR, 0x4000a1b0 +.set CYREG_CAN0_RX8_ACR, 0x4000a1b4 +.set CYREG_CAN0_RX8_AMRD, 0x4000a1b8 +.set CYREG_CAN0_RX8_ACRD, 0x4000a1bc +.set CYDEV_CAN0_RX9_BASE, 0x4000a1c0 +.set CYDEV_CAN0_RX9_SIZE, 0x00000020 +.set CYREG_CAN0_RX9_CMD, 0x4000a1c0 +.set CYREG_CAN0_RX9_ID, 0x4000a1c4 +.set CYREG_CAN0_RX9_DH, 0x4000a1c8 +.set CYREG_CAN0_RX9_DL, 0x4000a1cc +.set CYREG_CAN0_RX9_AMR, 0x4000a1d0 +.set CYREG_CAN0_RX9_ACR, 0x4000a1d4 +.set CYREG_CAN0_RX9_AMRD, 0x4000a1d8 +.set CYREG_CAN0_RX9_ACRD, 0x4000a1dc +.set CYDEV_CAN0_RX10_BASE, 0x4000a1e0 +.set CYDEV_CAN0_RX10_SIZE, 0x00000020 +.set CYREG_CAN0_RX10_CMD, 0x4000a1e0 +.set CYREG_CAN0_RX10_ID, 0x4000a1e4 +.set CYREG_CAN0_RX10_DH, 0x4000a1e8 +.set CYREG_CAN0_RX10_DL, 0x4000a1ec +.set CYREG_CAN0_RX10_AMR, 0x4000a1f0 +.set CYREG_CAN0_RX10_ACR, 0x4000a1f4 +.set CYREG_CAN0_RX10_AMRD, 0x4000a1f8 +.set CYREG_CAN0_RX10_ACRD, 0x4000a1fc +.set CYDEV_CAN0_RX11_BASE, 0x4000a200 +.set CYDEV_CAN0_RX11_SIZE, 0x00000020 +.set CYREG_CAN0_RX11_CMD, 0x4000a200 +.set CYREG_CAN0_RX11_ID, 0x4000a204 +.set CYREG_CAN0_RX11_DH, 0x4000a208 +.set CYREG_CAN0_RX11_DL, 0x4000a20c +.set CYREG_CAN0_RX11_AMR, 0x4000a210 +.set CYREG_CAN0_RX11_ACR, 0x4000a214 +.set CYREG_CAN0_RX11_AMRD, 0x4000a218 +.set CYREG_CAN0_RX11_ACRD, 0x4000a21c +.set CYDEV_CAN0_RX12_BASE, 0x4000a220 +.set CYDEV_CAN0_RX12_SIZE, 0x00000020 +.set CYREG_CAN0_RX12_CMD, 0x4000a220 +.set CYREG_CAN0_RX12_ID, 0x4000a224 +.set CYREG_CAN0_RX12_DH, 0x4000a228 +.set CYREG_CAN0_RX12_DL, 0x4000a22c +.set CYREG_CAN0_RX12_AMR, 0x4000a230 +.set CYREG_CAN0_RX12_ACR, 0x4000a234 +.set CYREG_CAN0_RX12_AMRD, 0x4000a238 +.set CYREG_CAN0_RX12_ACRD, 0x4000a23c +.set CYDEV_CAN0_RX13_BASE, 0x4000a240 +.set CYDEV_CAN0_RX13_SIZE, 0x00000020 +.set CYREG_CAN0_RX13_CMD, 0x4000a240 +.set CYREG_CAN0_RX13_ID, 0x4000a244 +.set CYREG_CAN0_RX13_DH, 0x4000a248 +.set CYREG_CAN0_RX13_DL, 0x4000a24c +.set CYREG_CAN0_RX13_AMR, 0x4000a250 +.set CYREG_CAN0_RX13_ACR, 0x4000a254 +.set CYREG_CAN0_RX13_AMRD, 0x4000a258 +.set CYREG_CAN0_RX13_ACRD, 0x4000a25c +.set CYDEV_CAN0_RX14_BASE, 0x4000a260 +.set CYDEV_CAN0_RX14_SIZE, 0x00000020 +.set CYREG_CAN0_RX14_CMD, 0x4000a260 +.set CYREG_CAN0_RX14_ID, 0x4000a264 +.set CYREG_CAN0_RX14_DH, 0x4000a268 +.set CYREG_CAN0_RX14_DL, 0x4000a26c +.set CYREG_CAN0_RX14_AMR, 0x4000a270 +.set CYREG_CAN0_RX14_ACR, 0x4000a274 +.set CYREG_CAN0_RX14_AMRD, 0x4000a278 +.set CYREG_CAN0_RX14_ACRD, 0x4000a27c +.set CYDEV_CAN0_RX15_BASE, 0x4000a280 +.set CYDEV_CAN0_RX15_SIZE, 0x00000020 +.set CYREG_CAN0_RX15_CMD, 0x4000a280 +.set CYREG_CAN0_RX15_ID, 0x4000a284 +.set CYREG_CAN0_RX15_DH, 0x4000a288 +.set CYREG_CAN0_RX15_DL, 0x4000a28c +.set CYREG_CAN0_RX15_AMR, 0x4000a290 +.set CYREG_CAN0_RX15_ACR, 0x4000a294 +.set CYREG_CAN0_RX15_AMRD, 0x4000a298 +.set CYREG_CAN0_RX15_ACRD, 0x4000a29c +.set CYDEV_DFB0_BASE, 0x4000c000 +.set CYDEV_DFB0_SIZE, 0x000007b5 +.set CYDEV_DFB0_DPA_SRAM_BASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_SIZE, 0x00000200 +.set CYREG_DFB0_DPA_SRAM_DATA_MBASE, 0x4000c000 +.set CYREG_DFB0_DPA_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_BASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_SIZE, 0x00000200 +.set CYREG_DFB0_DPB_SRAM_DATA_MBASE, 0x4000c200 +.set CYREG_DFB0_DPB_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_CSA_SRAM_BASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_CSA_SRAM_DATA_MBASE, 0x4000c400 +.set CYREG_DFB0_CSA_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_BASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_CSB_SRAM_DATA_MBASE, 0x4000c500 +.set CYREG_DFB0_CSB_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_BASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_FSM_SRAM_DATA_MBASE, 0x4000c600 +.set CYREG_DFB0_FSM_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_ACU_SRAM_BASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_SIZE, 0x00000040 +.set CYREG_DFB0_ACU_SRAM_DATA_MBASE, 0x4000c700 +.set CYREG_DFB0_ACU_SRAM_DATA_MSIZE, 0x00000040 +.set CYREG_DFB0_CR, 0x4000c780 +.set CYREG_DFB0_SR, 0x4000c784 +.set CYREG_DFB0_RAM_EN, 0x4000c788 +.set CYREG_DFB0_RAM_DIR, 0x4000c78c +.set CYREG_DFB0_SEMA, 0x4000c790 +.set CYREG_DFB0_DSI_CTRL, 0x4000c794 +.set CYREG_DFB0_INT_CTRL, 0x4000c798 +.set CYREG_DFB0_DMA_CTRL, 0x4000c79c +.set CYREG_DFB0_STAGEA, 0x4000c7a0 +.set CYREG_DFB0_STAGEAM, 0x4000c7a1 +.set CYREG_DFB0_STAGEAH, 0x4000c7a2 +.set CYREG_DFB0_STAGEB, 0x4000c7a4 +.set CYREG_DFB0_STAGEBM, 0x4000c7a5 +.set CYREG_DFB0_STAGEBH, 0x4000c7a6 +.set CYREG_DFB0_HOLDA, 0x4000c7a8 +.set CYREG_DFB0_HOLDAM, 0x4000c7a9 +.set CYREG_DFB0_HOLDAH, 0x4000c7aa +.set CYREG_DFB0_HOLDAS, 0x4000c7ab +.set CYREG_DFB0_HOLDB, 0x4000c7ac +.set CYREG_DFB0_HOLDBM, 0x4000c7ad +.set CYREG_DFB0_HOLDBH, 0x4000c7ae +.set CYREG_DFB0_HOLDBS, 0x4000c7af +.set CYREG_DFB0_COHER, 0x4000c7b0 +.set CYREG_DFB0_DALIGN, 0x4000c7b4 +.set CYDEV_UCFG_BASE, 0x40010000 +.set CYDEV_UCFG_SIZE, 0x00005040 +.set CYDEV_UCFG_B0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_SIZE, 0x00000fef +.set CYDEV_UCFG_B0_P0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P0_U0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_SIZE, 0x00000070 +.set CYREG_B0_P0_U0_PLD_IT0, 0x40010000 +.set CYREG_B0_P0_U0_PLD_IT1, 0x40010004 +.set CYREG_B0_P0_U0_PLD_IT2, 0x40010008 +.set CYREG_B0_P0_U0_PLD_IT3, 0x4001000c +.set CYREG_B0_P0_U0_PLD_IT4, 0x40010010 +.set CYREG_B0_P0_U0_PLD_IT5, 0x40010014 +.set CYREG_B0_P0_U0_PLD_IT6, 0x40010018 +.set CYREG_B0_P0_U0_PLD_IT7, 0x4001001c +.set CYREG_B0_P0_U0_PLD_IT8, 0x40010020 +.set CYREG_B0_P0_U0_PLD_IT9, 0x40010024 +.set CYREG_B0_P0_U0_PLD_IT10, 0x40010028 +.set CYREG_B0_P0_U0_PLD_IT11, 0x4001002c +.set CYREG_B0_P0_U0_PLD_ORT0, 0x40010030 +.set CYREG_B0_P0_U0_PLD_ORT1, 0x40010032 +.set CYREG_B0_P0_U0_PLD_ORT2, 0x40010034 +.set CYREG_B0_P0_U0_PLD_ORT3, 0x40010036 +.set CYREG_B0_P0_U0_MC_CFG_CEN_CONST, 0x40010038 +.set CYREG_B0_P0_U0_MC_CFG_XORFB, 0x4001003a +.set CYREG_B0_P0_U0_MC_CFG_SET_RESET, 0x4001003c +.set CYREG_B0_P0_U0_MC_CFG_BYPASS, 0x4001003e +.set CYREG_B0_P0_U0_CFG0, 0x40010040 +.set CYREG_B0_P0_U0_CFG1, 0x40010041 +.set CYREG_B0_P0_U0_CFG2, 0x40010042 +.set CYREG_B0_P0_U0_CFG3, 0x40010043 +.set CYREG_B0_P0_U0_CFG4, 0x40010044 +.set CYREG_B0_P0_U0_CFG5, 0x40010045 +.set CYREG_B0_P0_U0_CFG6, 0x40010046 +.set CYREG_B0_P0_U0_CFG7, 0x40010047 +.set CYREG_B0_P0_U0_CFG8, 0x40010048 +.set CYREG_B0_P0_U0_CFG9, 0x40010049 +.set CYREG_B0_P0_U0_CFG10, 0x4001004a +.set CYREG_B0_P0_U0_CFG11, 0x4001004b +.set CYREG_B0_P0_U0_CFG12, 0x4001004c +.set CYREG_B0_P0_U0_CFG13, 0x4001004d +.set CYREG_B0_P0_U0_CFG14, 0x4001004e +.set CYREG_B0_P0_U0_CFG15, 0x4001004f +.set CYREG_B0_P0_U0_CFG16, 0x40010050 +.set CYREG_B0_P0_U0_CFG17, 0x40010051 +.set CYREG_B0_P0_U0_CFG18, 0x40010052 +.set CYREG_B0_P0_U0_CFG19, 0x40010053 +.set CYREG_B0_P0_U0_CFG20, 0x40010054 +.set CYREG_B0_P0_U0_CFG21, 0x40010055 +.set CYREG_B0_P0_U0_CFG22, 0x40010056 +.set CYREG_B0_P0_U0_CFG23, 0x40010057 +.set CYREG_B0_P0_U0_CFG24, 0x40010058 +.set CYREG_B0_P0_U0_CFG25, 0x40010059 +.set CYREG_B0_P0_U0_CFG26, 0x4001005a +.set CYREG_B0_P0_U0_CFG27, 0x4001005b +.set CYREG_B0_P0_U0_CFG28, 0x4001005c +.set CYREG_B0_P0_U0_CFG29, 0x4001005d +.set CYREG_B0_P0_U0_CFG30, 0x4001005e +.set CYREG_B0_P0_U0_CFG31, 0x4001005f +.set CYREG_B0_P0_U0_DCFG0, 0x40010060 +.set CYREG_B0_P0_U0_DCFG1, 0x40010062 +.set CYREG_B0_P0_U0_DCFG2, 0x40010064 +.set CYREG_B0_P0_U0_DCFG3, 0x40010066 +.set CYREG_B0_P0_U0_DCFG4, 0x40010068 +.set CYREG_B0_P0_U0_DCFG5, 0x4001006a +.set CYREG_B0_P0_U0_DCFG6, 0x4001006c +.set CYREG_B0_P0_U0_DCFG7, 0x4001006e +.set CYDEV_UCFG_B0_P0_U1_BASE, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_SIZE, 0x00000070 +.set CYREG_B0_P0_U1_PLD_IT0, 0x40010080 +.set CYREG_B0_P0_U1_PLD_IT1, 0x40010084 +.set CYREG_B0_P0_U1_PLD_IT2, 0x40010088 +.set CYREG_B0_P0_U1_PLD_IT3, 0x4001008c +.set CYREG_B0_P0_U1_PLD_IT4, 0x40010090 +.set CYREG_B0_P0_U1_PLD_IT5, 0x40010094 +.set CYREG_B0_P0_U1_PLD_IT6, 0x40010098 +.set CYREG_B0_P0_U1_PLD_IT7, 0x4001009c +.set CYREG_B0_P0_U1_PLD_IT8, 0x400100a0 +.set CYREG_B0_P0_U1_PLD_IT9, 0x400100a4 +.set CYREG_B0_P0_U1_PLD_IT10, 0x400100a8 +.set CYREG_B0_P0_U1_PLD_IT11, 0x400100ac +.set CYREG_B0_P0_U1_PLD_ORT0, 0x400100b0 +.set CYREG_B0_P0_U1_PLD_ORT1, 0x400100b2 +.set CYREG_B0_P0_U1_PLD_ORT2, 0x400100b4 +.set CYREG_B0_P0_U1_PLD_ORT3, 0x400100b6 +.set CYREG_B0_P0_U1_MC_CFG_CEN_CONST, 0x400100b8 +.set CYREG_B0_P0_U1_MC_CFG_XORFB, 0x400100ba +.set CYREG_B0_P0_U1_MC_CFG_SET_RESET, 0x400100bc +.set CYREG_B0_P0_U1_MC_CFG_BYPASS, 0x400100be +.set CYREG_B0_P0_U1_CFG0, 0x400100c0 +.set CYREG_B0_P0_U1_CFG1, 0x400100c1 +.set CYREG_B0_P0_U1_CFG2, 0x400100c2 +.set CYREG_B0_P0_U1_CFG3, 0x400100c3 +.set CYREG_B0_P0_U1_CFG4, 0x400100c4 +.set CYREG_B0_P0_U1_CFG5, 0x400100c5 +.set CYREG_B0_P0_U1_CFG6, 0x400100c6 +.set CYREG_B0_P0_U1_CFG7, 0x400100c7 +.set CYREG_B0_P0_U1_CFG8, 0x400100c8 +.set CYREG_B0_P0_U1_CFG9, 0x400100c9 +.set CYREG_B0_P0_U1_CFG10, 0x400100ca +.set CYREG_B0_P0_U1_CFG11, 0x400100cb +.set CYREG_B0_P0_U1_CFG12, 0x400100cc +.set CYREG_B0_P0_U1_CFG13, 0x400100cd +.set CYREG_B0_P0_U1_CFG14, 0x400100ce +.set CYREG_B0_P0_U1_CFG15, 0x400100cf +.set CYREG_B0_P0_U1_CFG16, 0x400100d0 +.set CYREG_B0_P0_U1_CFG17, 0x400100d1 +.set CYREG_B0_P0_U1_CFG18, 0x400100d2 +.set CYREG_B0_P0_U1_CFG19, 0x400100d3 +.set CYREG_B0_P0_U1_CFG20, 0x400100d4 +.set CYREG_B0_P0_U1_CFG21, 0x400100d5 +.set CYREG_B0_P0_U1_CFG22, 0x400100d6 +.set CYREG_B0_P0_U1_CFG23, 0x400100d7 +.set CYREG_B0_P0_U1_CFG24, 0x400100d8 +.set CYREG_B0_P0_U1_CFG25, 0x400100d9 +.set CYREG_B0_P0_U1_CFG26, 0x400100da +.set CYREG_B0_P0_U1_CFG27, 0x400100db +.set CYREG_B0_P0_U1_CFG28, 0x400100dc +.set CYREG_B0_P0_U1_CFG29, 0x400100dd +.set CYREG_B0_P0_U1_CFG30, 0x400100de +.set CYREG_B0_P0_U1_CFG31, 0x400100df +.set CYREG_B0_P0_U1_DCFG0, 0x400100e0 +.set CYREG_B0_P0_U1_DCFG1, 0x400100e2 +.set CYREG_B0_P0_U1_DCFG2, 0x400100e4 +.set CYREG_B0_P0_U1_DCFG3, 0x400100e6 +.set CYREG_B0_P0_U1_DCFG4, 0x400100e8 +.set CYREG_B0_P0_U1_DCFG5, 0x400100ea +.set CYREG_B0_P0_U1_DCFG6, 0x400100ec +.set CYREG_B0_P0_U1_DCFG7, 0x400100ee +.set CYDEV_UCFG_B0_P0_ROUTE_BASE, 0x40010100 +.set CYDEV_UCFG_B0_P0_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P1_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P1_U0_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_SIZE, 0x00000070 +.set CYREG_B0_P1_U0_PLD_IT0, 0x40010200 +.set CYREG_B0_P1_U0_PLD_IT1, 0x40010204 +.set CYREG_B0_P1_U0_PLD_IT2, 0x40010208 +.set CYREG_B0_P1_U0_PLD_IT3, 0x4001020c +.set CYREG_B0_P1_U0_PLD_IT4, 0x40010210 +.set CYREG_B0_P1_U0_PLD_IT5, 0x40010214 +.set CYREG_B0_P1_U0_PLD_IT6, 0x40010218 +.set CYREG_B0_P1_U0_PLD_IT7, 0x4001021c +.set CYREG_B0_P1_U0_PLD_IT8, 0x40010220 +.set CYREG_B0_P1_U0_PLD_IT9, 0x40010224 +.set CYREG_B0_P1_U0_PLD_IT10, 0x40010228 +.set CYREG_B0_P1_U0_PLD_IT11, 0x4001022c +.set CYREG_B0_P1_U0_PLD_ORT0, 0x40010230 +.set CYREG_B0_P1_U0_PLD_ORT1, 0x40010232 +.set CYREG_B0_P1_U0_PLD_ORT2, 0x40010234 +.set CYREG_B0_P1_U0_PLD_ORT3, 0x40010236 +.set CYREG_B0_P1_U0_MC_CFG_CEN_CONST, 0x40010238 +.set CYREG_B0_P1_U0_MC_CFG_XORFB, 0x4001023a +.set CYREG_B0_P1_U0_MC_CFG_SET_RESET, 0x4001023c +.set CYREG_B0_P1_U0_MC_CFG_BYPASS, 0x4001023e +.set CYREG_B0_P1_U0_CFG0, 0x40010240 +.set CYREG_B0_P1_U0_CFG1, 0x40010241 +.set CYREG_B0_P1_U0_CFG2, 0x40010242 +.set CYREG_B0_P1_U0_CFG3, 0x40010243 +.set CYREG_B0_P1_U0_CFG4, 0x40010244 +.set CYREG_B0_P1_U0_CFG5, 0x40010245 +.set CYREG_B0_P1_U0_CFG6, 0x40010246 +.set CYREG_B0_P1_U0_CFG7, 0x40010247 +.set CYREG_B0_P1_U0_CFG8, 0x40010248 +.set CYREG_B0_P1_U0_CFG9, 0x40010249 +.set CYREG_B0_P1_U0_CFG10, 0x4001024a +.set CYREG_B0_P1_U0_CFG11, 0x4001024b +.set CYREG_B0_P1_U0_CFG12, 0x4001024c +.set CYREG_B0_P1_U0_CFG13, 0x4001024d +.set CYREG_B0_P1_U0_CFG14, 0x4001024e +.set CYREG_B0_P1_U0_CFG15, 0x4001024f +.set CYREG_B0_P1_U0_CFG16, 0x40010250 +.set CYREG_B0_P1_U0_CFG17, 0x40010251 +.set CYREG_B0_P1_U0_CFG18, 0x40010252 +.set CYREG_B0_P1_U0_CFG19, 0x40010253 +.set CYREG_B0_P1_U0_CFG20, 0x40010254 +.set CYREG_B0_P1_U0_CFG21, 0x40010255 +.set CYREG_B0_P1_U0_CFG22, 0x40010256 +.set CYREG_B0_P1_U0_CFG23, 0x40010257 +.set CYREG_B0_P1_U0_CFG24, 0x40010258 +.set CYREG_B0_P1_U0_CFG25, 0x40010259 +.set CYREG_B0_P1_U0_CFG26, 0x4001025a +.set CYREG_B0_P1_U0_CFG27, 0x4001025b +.set CYREG_B0_P1_U0_CFG28, 0x4001025c +.set CYREG_B0_P1_U0_CFG29, 0x4001025d +.set CYREG_B0_P1_U0_CFG30, 0x4001025e +.set CYREG_B0_P1_U0_CFG31, 0x4001025f +.set CYREG_B0_P1_U0_DCFG0, 0x40010260 +.set CYREG_B0_P1_U0_DCFG1, 0x40010262 +.set CYREG_B0_P1_U0_DCFG2, 0x40010264 +.set CYREG_B0_P1_U0_DCFG3, 0x40010266 +.set CYREG_B0_P1_U0_DCFG4, 0x40010268 +.set CYREG_B0_P1_U0_DCFG5, 0x4001026a +.set CYREG_B0_P1_U0_DCFG6, 0x4001026c +.set CYREG_B0_P1_U0_DCFG7, 0x4001026e +.set CYDEV_UCFG_B0_P1_U1_BASE, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_SIZE, 0x00000070 +.set CYREG_B0_P1_U1_PLD_IT0, 0x40010280 +.set CYREG_B0_P1_U1_PLD_IT1, 0x40010284 +.set CYREG_B0_P1_U1_PLD_IT2, 0x40010288 +.set CYREG_B0_P1_U1_PLD_IT3, 0x4001028c +.set CYREG_B0_P1_U1_PLD_IT4, 0x40010290 +.set CYREG_B0_P1_U1_PLD_IT5, 0x40010294 +.set CYREG_B0_P1_U1_PLD_IT6, 0x40010298 +.set CYREG_B0_P1_U1_PLD_IT7, 0x4001029c +.set CYREG_B0_P1_U1_PLD_IT8, 0x400102a0 +.set CYREG_B0_P1_U1_PLD_IT9, 0x400102a4 +.set CYREG_B0_P1_U1_PLD_IT10, 0x400102a8 +.set CYREG_B0_P1_U1_PLD_IT11, 0x400102ac +.set CYREG_B0_P1_U1_PLD_ORT0, 0x400102b0 +.set CYREG_B0_P1_U1_PLD_ORT1, 0x400102b2 +.set CYREG_B0_P1_U1_PLD_ORT2, 0x400102b4 +.set CYREG_B0_P1_U1_PLD_ORT3, 0x400102b6 +.set CYREG_B0_P1_U1_MC_CFG_CEN_CONST, 0x400102b8 +.set CYREG_B0_P1_U1_MC_CFG_XORFB, 0x400102ba +.set CYREG_B0_P1_U1_MC_CFG_SET_RESET, 0x400102bc +.set CYREG_B0_P1_U1_MC_CFG_BYPASS, 0x400102be +.set CYREG_B0_P1_U1_CFG0, 0x400102c0 +.set CYREG_B0_P1_U1_CFG1, 0x400102c1 +.set CYREG_B0_P1_U1_CFG2, 0x400102c2 +.set CYREG_B0_P1_U1_CFG3, 0x400102c3 +.set CYREG_B0_P1_U1_CFG4, 0x400102c4 +.set CYREG_B0_P1_U1_CFG5, 0x400102c5 +.set CYREG_B0_P1_U1_CFG6, 0x400102c6 +.set CYREG_B0_P1_U1_CFG7, 0x400102c7 +.set CYREG_B0_P1_U1_CFG8, 0x400102c8 +.set CYREG_B0_P1_U1_CFG9, 0x400102c9 +.set CYREG_B0_P1_U1_CFG10, 0x400102ca +.set CYREG_B0_P1_U1_CFG11, 0x400102cb +.set CYREG_B0_P1_U1_CFG12, 0x400102cc +.set CYREG_B0_P1_U1_CFG13, 0x400102cd +.set CYREG_B0_P1_U1_CFG14, 0x400102ce +.set CYREG_B0_P1_U1_CFG15, 0x400102cf +.set CYREG_B0_P1_U1_CFG16, 0x400102d0 +.set CYREG_B0_P1_U1_CFG17, 0x400102d1 +.set CYREG_B0_P1_U1_CFG18, 0x400102d2 +.set CYREG_B0_P1_U1_CFG19, 0x400102d3 +.set CYREG_B0_P1_U1_CFG20, 0x400102d4 +.set CYREG_B0_P1_U1_CFG21, 0x400102d5 +.set CYREG_B0_P1_U1_CFG22, 0x400102d6 +.set CYREG_B0_P1_U1_CFG23, 0x400102d7 +.set CYREG_B0_P1_U1_CFG24, 0x400102d8 +.set CYREG_B0_P1_U1_CFG25, 0x400102d9 +.set CYREG_B0_P1_U1_CFG26, 0x400102da +.set CYREG_B0_P1_U1_CFG27, 0x400102db +.set CYREG_B0_P1_U1_CFG28, 0x400102dc +.set CYREG_B0_P1_U1_CFG29, 0x400102dd +.set CYREG_B0_P1_U1_CFG30, 0x400102de +.set CYREG_B0_P1_U1_CFG31, 0x400102df +.set CYREG_B0_P1_U1_DCFG0, 0x400102e0 +.set CYREG_B0_P1_U1_DCFG1, 0x400102e2 +.set CYREG_B0_P1_U1_DCFG2, 0x400102e4 +.set CYREG_B0_P1_U1_DCFG3, 0x400102e6 +.set CYREG_B0_P1_U1_DCFG4, 0x400102e8 +.set CYREG_B0_P1_U1_DCFG5, 0x400102ea +.set CYREG_B0_P1_U1_DCFG6, 0x400102ec +.set CYREG_B0_P1_U1_DCFG7, 0x400102ee +.set CYDEV_UCFG_B0_P1_ROUTE_BASE, 0x40010300 +.set CYDEV_UCFG_B0_P1_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P2_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P2_U0_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_SIZE, 0x00000070 +.set CYREG_B0_P2_U0_PLD_IT0, 0x40010400 +.set CYREG_B0_P2_U0_PLD_IT1, 0x40010404 +.set CYREG_B0_P2_U0_PLD_IT2, 0x40010408 +.set CYREG_B0_P2_U0_PLD_IT3, 0x4001040c +.set CYREG_B0_P2_U0_PLD_IT4, 0x40010410 +.set CYREG_B0_P2_U0_PLD_IT5, 0x40010414 +.set CYREG_B0_P2_U0_PLD_IT6, 0x40010418 +.set CYREG_B0_P2_U0_PLD_IT7, 0x4001041c +.set CYREG_B0_P2_U0_PLD_IT8, 0x40010420 +.set CYREG_B0_P2_U0_PLD_IT9, 0x40010424 +.set CYREG_B0_P2_U0_PLD_IT10, 0x40010428 +.set CYREG_B0_P2_U0_PLD_IT11, 0x4001042c +.set CYREG_B0_P2_U0_PLD_ORT0, 0x40010430 +.set CYREG_B0_P2_U0_PLD_ORT1, 0x40010432 +.set CYREG_B0_P2_U0_PLD_ORT2, 0x40010434 +.set CYREG_B0_P2_U0_PLD_ORT3, 0x40010436 +.set CYREG_B0_P2_U0_MC_CFG_CEN_CONST, 0x40010438 +.set CYREG_B0_P2_U0_MC_CFG_XORFB, 0x4001043a +.set CYREG_B0_P2_U0_MC_CFG_SET_RESET, 0x4001043c +.set CYREG_B0_P2_U0_MC_CFG_BYPASS, 0x4001043e +.set CYREG_B0_P2_U0_CFG0, 0x40010440 +.set CYREG_B0_P2_U0_CFG1, 0x40010441 +.set CYREG_B0_P2_U0_CFG2, 0x40010442 +.set CYREG_B0_P2_U0_CFG3, 0x40010443 +.set CYREG_B0_P2_U0_CFG4, 0x40010444 +.set CYREG_B0_P2_U0_CFG5, 0x40010445 +.set CYREG_B0_P2_U0_CFG6, 0x40010446 +.set CYREG_B0_P2_U0_CFG7, 0x40010447 +.set CYREG_B0_P2_U0_CFG8, 0x40010448 +.set CYREG_B0_P2_U0_CFG9, 0x40010449 +.set CYREG_B0_P2_U0_CFG10, 0x4001044a +.set CYREG_B0_P2_U0_CFG11, 0x4001044b +.set CYREG_B0_P2_U0_CFG12, 0x4001044c +.set CYREG_B0_P2_U0_CFG13, 0x4001044d +.set CYREG_B0_P2_U0_CFG14, 0x4001044e +.set CYREG_B0_P2_U0_CFG15, 0x4001044f +.set CYREG_B0_P2_U0_CFG16, 0x40010450 +.set CYREG_B0_P2_U0_CFG17, 0x40010451 +.set CYREG_B0_P2_U0_CFG18, 0x40010452 +.set CYREG_B0_P2_U0_CFG19, 0x40010453 +.set CYREG_B0_P2_U0_CFG20, 0x40010454 +.set CYREG_B0_P2_U0_CFG21, 0x40010455 +.set CYREG_B0_P2_U0_CFG22, 0x40010456 +.set CYREG_B0_P2_U0_CFG23, 0x40010457 +.set CYREG_B0_P2_U0_CFG24, 0x40010458 +.set CYREG_B0_P2_U0_CFG25, 0x40010459 +.set CYREG_B0_P2_U0_CFG26, 0x4001045a +.set CYREG_B0_P2_U0_CFG27, 0x4001045b +.set CYREG_B0_P2_U0_CFG28, 0x4001045c +.set CYREG_B0_P2_U0_CFG29, 0x4001045d +.set CYREG_B0_P2_U0_CFG30, 0x4001045e +.set CYREG_B0_P2_U0_CFG31, 0x4001045f +.set CYREG_B0_P2_U0_DCFG0, 0x40010460 +.set CYREG_B0_P2_U0_DCFG1, 0x40010462 +.set CYREG_B0_P2_U0_DCFG2, 0x40010464 +.set CYREG_B0_P2_U0_DCFG3, 0x40010466 +.set CYREG_B0_P2_U0_DCFG4, 0x40010468 +.set CYREG_B0_P2_U0_DCFG5, 0x4001046a +.set CYREG_B0_P2_U0_DCFG6, 0x4001046c +.set CYREG_B0_P2_U0_DCFG7, 0x4001046e +.set CYDEV_UCFG_B0_P2_U1_BASE, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_SIZE, 0x00000070 +.set CYREG_B0_P2_U1_PLD_IT0, 0x40010480 +.set CYREG_B0_P2_U1_PLD_IT1, 0x40010484 +.set CYREG_B0_P2_U1_PLD_IT2, 0x40010488 +.set CYREG_B0_P2_U1_PLD_IT3, 0x4001048c +.set CYREG_B0_P2_U1_PLD_IT4, 0x40010490 +.set CYREG_B0_P2_U1_PLD_IT5, 0x40010494 +.set CYREG_B0_P2_U1_PLD_IT6, 0x40010498 +.set CYREG_B0_P2_U1_PLD_IT7, 0x4001049c +.set CYREG_B0_P2_U1_PLD_IT8, 0x400104a0 +.set CYREG_B0_P2_U1_PLD_IT9, 0x400104a4 +.set CYREG_B0_P2_U1_PLD_IT10, 0x400104a8 +.set CYREG_B0_P2_U1_PLD_IT11, 0x400104ac +.set CYREG_B0_P2_U1_PLD_ORT0, 0x400104b0 +.set CYREG_B0_P2_U1_PLD_ORT1, 0x400104b2 +.set CYREG_B0_P2_U1_PLD_ORT2, 0x400104b4 +.set CYREG_B0_P2_U1_PLD_ORT3, 0x400104b6 +.set CYREG_B0_P2_U1_MC_CFG_CEN_CONST, 0x400104b8 +.set CYREG_B0_P2_U1_MC_CFG_XORFB, 0x400104ba +.set CYREG_B0_P2_U1_MC_CFG_SET_RESET, 0x400104bc +.set CYREG_B0_P2_U1_MC_CFG_BYPASS, 0x400104be +.set CYREG_B0_P2_U1_CFG0, 0x400104c0 +.set CYREG_B0_P2_U1_CFG1, 0x400104c1 +.set CYREG_B0_P2_U1_CFG2, 0x400104c2 +.set CYREG_B0_P2_U1_CFG3, 0x400104c3 +.set CYREG_B0_P2_U1_CFG4, 0x400104c4 +.set CYREG_B0_P2_U1_CFG5, 0x400104c5 +.set CYREG_B0_P2_U1_CFG6, 0x400104c6 +.set CYREG_B0_P2_U1_CFG7, 0x400104c7 +.set CYREG_B0_P2_U1_CFG8, 0x400104c8 +.set CYREG_B0_P2_U1_CFG9, 0x400104c9 +.set CYREG_B0_P2_U1_CFG10, 0x400104ca +.set CYREG_B0_P2_U1_CFG11, 0x400104cb +.set CYREG_B0_P2_U1_CFG12, 0x400104cc +.set CYREG_B0_P2_U1_CFG13, 0x400104cd +.set CYREG_B0_P2_U1_CFG14, 0x400104ce +.set CYREG_B0_P2_U1_CFG15, 0x400104cf +.set CYREG_B0_P2_U1_CFG16, 0x400104d0 +.set CYREG_B0_P2_U1_CFG17, 0x400104d1 +.set CYREG_B0_P2_U1_CFG18, 0x400104d2 +.set CYREG_B0_P2_U1_CFG19, 0x400104d3 +.set CYREG_B0_P2_U1_CFG20, 0x400104d4 +.set CYREG_B0_P2_U1_CFG21, 0x400104d5 +.set CYREG_B0_P2_U1_CFG22, 0x400104d6 +.set CYREG_B0_P2_U1_CFG23, 0x400104d7 +.set CYREG_B0_P2_U1_CFG24, 0x400104d8 +.set CYREG_B0_P2_U1_CFG25, 0x400104d9 +.set CYREG_B0_P2_U1_CFG26, 0x400104da +.set CYREG_B0_P2_U1_CFG27, 0x400104db +.set CYREG_B0_P2_U1_CFG28, 0x400104dc +.set CYREG_B0_P2_U1_CFG29, 0x400104dd +.set CYREG_B0_P2_U1_CFG30, 0x400104de +.set CYREG_B0_P2_U1_CFG31, 0x400104df +.set CYREG_B0_P2_U1_DCFG0, 0x400104e0 +.set CYREG_B0_P2_U1_DCFG1, 0x400104e2 +.set CYREG_B0_P2_U1_DCFG2, 0x400104e4 +.set CYREG_B0_P2_U1_DCFG3, 0x400104e6 +.set CYREG_B0_P2_U1_DCFG4, 0x400104e8 +.set CYREG_B0_P2_U1_DCFG5, 0x400104ea +.set CYREG_B0_P2_U1_DCFG6, 0x400104ec +.set CYREG_B0_P2_U1_DCFG7, 0x400104ee +.set CYDEV_UCFG_B0_P2_ROUTE_BASE, 0x40010500 +.set CYDEV_UCFG_B0_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P3_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P3_U0_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_SIZE, 0x00000070 +.set CYREG_B0_P3_U0_PLD_IT0, 0x40010600 +.set CYREG_B0_P3_U0_PLD_IT1, 0x40010604 +.set CYREG_B0_P3_U0_PLD_IT2, 0x40010608 +.set CYREG_B0_P3_U0_PLD_IT3, 0x4001060c +.set CYREG_B0_P3_U0_PLD_IT4, 0x40010610 +.set CYREG_B0_P3_U0_PLD_IT5, 0x40010614 +.set CYREG_B0_P3_U0_PLD_IT6, 0x40010618 +.set CYREG_B0_P3_U0_PLD_IT7, 0x4001061c +.set CYREG_B0_P3_U0_PLD_IT8, 0x40010620 +.set CYREG_B0_P3_U0_PLD_IT9, 0x40010624 +.set CYREG_B0_P3_U0_PLD_IT10, 0x40010628 +.set CYREG_B0_P3_U0_PLD_IT11, 0x4001062c +.set CYREG_B0_P3_U0_PLD_ORT0, 0x40010630 +.set CYREG_B0_P3_U0_PLD_ORT1, 0x40010632 +.set CYREG_B0_P3_U0_PLD_ORT2, 0x40010634 +.set CYREG_B0_P3_U0_PLD_ORT3, 0x40010636 +.set CYREG_B0_P3_U0_MC_CFG_CEN_CONST, 0x40010638 +.set CYREG_B0_P3_U0_MC_CFG_XORFB, 0x4001063a +.set CYREG_B0_P3_U0_MC_CFG_SET_RESET, 0x4001063c +.set CYREG_B0_P3_U0_MC_CFG_BYPASS, 0x4001063e +.set CYREG_B0_P3_U0_CFG0, 0x40010640 +.set CYREG_B0_P3_U0_CFG1, 0x40010641 +.set CYREG_B0_P3_U0_CFG2, 0x40010642 +.set CYREG_B0_P3_U0_CFG3, 0x40010643 +.set CYREG_B0_P3_U0_CFG4, 0x40010644 +.set CYREG_B0_P3_U0_CFG5, 0x40010645 +.set CYREG_B0_P3_U0_CFG6, 0x40010646 +.set CYREG_B0_P3_U0_CFG7, 0x40010647 +.set CYREG_B0_P3_U0_CFG8, 0x40010648 +.set CYREG_B0_P3_U0_CFG9, 0x40010649 +.set CYREG_B0_P3_U0_CFG10, 0x4001064a +.set CYREG_B0_P3_U0_CFG11, 0x4001064b +.set CYREG_B0_P3_U0_CFG12, 0x4001064c +.set CYREG_B0_P3_U0_CFG13, 0x4001064d +.set CYREG_B0_P3_U0_CFG14, 0x4001064e +.set CYREG_B0_P3_U0_CFG15, 0x4001064f +.set CYREG_B0_P3_U0_CFG16, 0x40010650 +.set CYREG_B0_P3_U0_CFG17, 0x40010651 +.set CYREG_B0_P3_U0_CFG18, 0x40010652 +.set CYREG_B0_P3_U0_CFG19, 0x40010653 +.set CYREG_B0_P3_U0_CFG20, 0x40010654 +.set CYREG_B0_P3_U0_CFG21, 0x40010655 +.set CYREG_B0_P3_U0_CFG22, 0x40010656 +.set CYREG_B0_P3_U0_CFG23, 0x40010657 +.set CYREG_B0_P3_U0_CFG24, 0x40010658 +.set CYREG_B0_P3_U0_CFG25, 0x40010659 +.set CYREG_B0_P3_U0_CFG26, 0x4001065a +.set CYREG_B0_P3_U0_CFG27, 0x4001065b +.set CYREG_B0_P3_U0_CFG28, 0x4001065c +.set CYREG_B0_P3_U0_CFG29, 0x4001065d +.set CYREG_B0_P3_U0_CFG30, 0x4001065e +.set CYREG_B0_P3_U0_CFG31, 0x4001065f +.set CYREG_B0_P3_U0_DCFG0, 0x40010660 +.set CYREG_B0_P3_U0_DCFG1, 0x40010662 +.set CYREG_B0_P3_U0_DCFG2, 0x40010664 +.set CYREG_B0_P3_U0_DCFG3, 0x40010666 +.set CYREG_B0_P3_U0_DCFG4, 0x40010668 +.set CYREG_B0_P3_U0_DCFG5, 0x4001066a +.set CYREG_B0_P3_U0_DCFG6, 0x4001066c +.set CYREG_B0_P3_U0_DCFG7, 0x4001066e +.set CYDEV_UCFG_B0_P3_U1_BASE, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_SIZE, 0x00000070 +.set CYREG_B0_P3_U1_PLD_IT0, 0x40010680 +.set CYREG_B0_P3_U1_PLD_IT1, 0x40010684 +.set CYREG_B0_P3_U1_PLD_IT2, 0x40010688 +.set CYREG_B0_P3_U1_PLD_IT3, 0x4001068c +.set CYREG_B0_P3_U1_PLD_IT4, 0x40010690 +.set CYREG_B0_P3_U1_PLD_IT5, 0x40010694 +.set CYREG_B0_P3_U1_PLD_IT6, 0x40010698 +.set CYREG_B0_P3_U1_PLD_IT7, 0x4001069c +.set CYREG_B0_P3_U1_PLD_IT8, 0x400106a0 +.set CYREG_B0_P3_U1_PLD_IT9, 0x400106a4 +.set CYREG_B0_P3_U1_PLD_IT10, 0x400106a8 +.set CYREG_B0_P3_U1_PLD_IT11, 0x400106ac +.set CYREG_B0_P3_U1_PLD_ORT0, 0x400106b0 +.set CYREG_B0_P3_U1_PLD_ORT1, 0x400106b2 +.set CYREG_B0_P3_U1_PLD_ORT2, 0x400106b4 +.set CYREG_B0_P3_U1_PLD_ORT3, 0x400106b6 +.set CYREG_B0_P3_U1_MC_CFG_CEN_CONST, 0x400106b8 +.set CYREG_B0_P3_U1_MC_CFG_XORFB, 0x400106ba +.set CYREG_B0_P3_U1_MC_CFG_SET_RESET, 0x400106bc +.set CYREG_B0_P3_U1_MC_CFG_BYPASS, 0x400106be +.set CYREG_B0_P3_U1_CFG0, 0x400106c0 +.set CYREG_B0_P3_U1_CFG1, 0x400106c1 +.set CYREG_B0_P3_U1_CFG2, 0x400106c2 +.set CYREG_B0_P3_U1_CFG3, 0x400106c3 +.set CYREG_B0_P3_U1_CFG4, 0x400106c4 +.set CYREG_B0_P3_U1_CFG5, 0x400106c5 +.set CYREG_B0_P3_U1_CFG6, 0x400106c6 +.set CYREG_B0_P3_U1_CFG7, 0x400106c7 +.set CYREG_B0_P3_U1_CFG8, 0x400106c8 +.set CYREG_B0_P3_U1_CFG9, 0x400106c9 +.set CYREG_B0_P3_U1_CFG10, 0x400106ca +.set CYREG_B0_P3_U1_CFG11, 0x400106cb +.set CYREG_B0_P3_U1_CFG12, 0x400106cc +.set CYREG_B0_P3_U1_CFG13, 0x400106cd +.set CYREG_B0_P3_U1_CFG14, 0x400106ce +.set CYREG_B0_P3_U1_CFG15, 0x400106cf +.set CYREG_B0_P3_U1_CFG16, 0x400106d0 +.set CYREG_B0_P3_U1_CFG17, 0x400106d1 +.set CYREG_B0_P3_U1_CFG18, 0x400106d2 +.set CYREG_B0_P3_U1_CFG19, 0x400106d3 +.set CYREG_B0_P3_U1_CFG20, 0x400106d4 +.set CYREG_B0_P3_U1_CFG21, 0x400106d5 +.set CYREG_B0_P3_U1_CFG22, 0x400106d6 +.set CYREG_B0_P3_U1_CFG23, 0x400106d7 +.set CYREG_B0_P3_U1_CFG24, 0x400106d8 +.set CYREG_B0_P3_U1_CFG25, 0x400106d9 +.set CYREG_B0_P3_U1_CFG26, 0x400106da +.set CYREG_B0_P3_U1_CFG27, 0x400106db +.set CYREG_B0_P3_U1_CFG28, 0x400106dc +.set CYREG_B0_P3_U1_CFG29, 0x400106dd +.set CYREG_B0_P3_U1_CFG30, 0x400106de +.set CYREG_B0_P3_U1_CFG31, 0x400106df +.set CYREG_B0_P3_U1_DCFG0, 0x400106e0 +.set CYREG_B0_P3_U1_DCFG1, 0x400106e2 +.set CYREG_B0_P3_U1_DCFG2, 0x400106e4 +.set CYREG_B0_P3_U1_DCFG3, 0x400106e6 +.set CYREG_B0_P3_U1_DCFG4, 0x400106e8 +.set CYREG_B0_P3_U1_DCFG5, 0x400106ea +.set CYREG_B0_P3_U1_DCFG6, 0x400106ec +.set CYREG_B0_P3_U1_DCFG7, 0x400106ee +.set CYDEV_UCFG_B0_P3_ROUTE_BASE, 0x40010700 +.set CYDEV_UCFG_B0_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P4_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P4_U0_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_SIZE, 0x00000070 +.set CYREG_B0_P4_U0_PLD_IT0, 0x40010800 +.set CYREG_B0_P4_U0_PLD_IT1, 0x40010804 +.set CYREG_B0_P4_U0_PLD_IT2, 0x40010808 +.set CYREG_B0_P4_U0_PLD_IT3, 0x4001080c +.set CYREG_B0_P4_U0_PLD_IT4, 0x40010810 +.set CYREG_B0_P4_U0_PLD_IT5, 0x40010814 +.set CYREG_B0_P4_U0_PLD_IT6, 0x40010818 +.set CYREG_B0_P4_U0_PLD_IT7, 0x4001081c +.set CYREG_B0_P4_U0_PLD_IT8, 0x40010820 +.set CYREG_B0_P4_U0_PLD_IT9, 0x40010824 +.set CYREG_B0_P4_U0_PLD_IT10, 0x40010828 +.set CYREG_B0_P4_U0_PLD_IT11, 0x4001082c +.set CYREG_B0_P4_U0_PLD_ORT0, 0x40010830 +.set CYREG_B0_P4_U0_PLD_ORT1, 0x40010832 +.set CYREG_B0_P4_U0_PLD_ORT2, 0x40010834 +.set CYREG_B0_P4_U0_PLD_ORT3, 0x40010836 +.set CYREG_B0_P4_U0_MC_CFG_CEN_CONST, 0x40010838 +.set CYREG_B0_P4_U0_MC_CFG_XORFB, 0x4001083a +.set CYREG_B0_P4_U0_MC_CFG_SET_RESET, 0x4001083c +.set CYREG_B0_P4_U0_MC_CFG_BYPASS, 0x4001083e +.set CYREG_B0_P4_U0_CFG0, 0x40010840 +.set CYREG_B0_P4_U0_CFG1, 0x40010841 +.set CYREG_B0_P4_U0_CFG2, 0x40010842 +.set CYREG_B0_P4_U0_CFG3, 0x40010843 +.set CYREG_B0_P4_U0_CFG4, 0x40010844 +.set CYREG_B0_P4_U0_CFG5, 0x40010845 +.set CYREG_B0_P4_U0_CFG6, 0x40010846 +.set CYREG_B0_P4_U0_CFG7, 0x40010847 +.set CYREG_B0_P4_U0_CFG8, 0x40010848 +.set CYREG_B0_P4_U0_CFG9, 0x40010849 +.set CYREG_B0_P4_U0_CFG10, 0x4001084a +.set CYREG_B0_P4_U0_CFG11, 0x4001084b +.set CYREG_B0_P4_U0_CFG12, 0x4001084c +.set CYREG_B0_P4_U0_CFG13, 0x4001084d +.set CYREG_B0_P4_U0_CFG14, 0x4001084e +.set CYREG_B0_P4_U0_CFG15, 0x4001084f +.set CYREG_B0_P4_U0_CFG16, 0x40010850 +.set CYREG_B0_P4_U0_CFG17, 0x40010851 +.set CYREG_B0_P4_U0_CFG18, 0x40010852 +.set CYREG_B0_P4_U0_CFG19, 0x40010853 +.set CYREG_B0_P4_U0_CFG20, 0x40010854 +.set CYREG_B0_P4_U0_CFG21, 0x40010855 +.set CYREG_B0_P4_U0_CFG22, 0x40010856 +.set CYREG_B0_P4_U0_CFG23, 0x40010857 +.set CYREG_B0_P4_U0_CFG24, 0x40010858 +.set CYREG_B0_P4_U0_CFG25, 0x40010859 +.set CYREG_B0_P4_U0_CFG26, 0x4001085a +.set CYREG_B0_P4_U0_CFG27, 0x4001085b +.set CYREG_B0_P4_U0_CFG28, 0x4001085c +.set CYREG_B0_P4_U0_CFG29, 0x4001085d +.set CYREG_B0_P4_U0_CFG30, 0x4001085e +.set CYREG_B0_P4_U0_CFG31, 0x4001085f +.set CYREG_B0_P4_U0_DCFG0, 0x40010860 +.set CYREG_B0_P4_U0_DCFG1, 0x40010862 +.set CYREG_B0_P4_U0_DCFG2, 0x40010864 +.set CYREG_B0_P4_U0_DCFG3, 0x40010866 +.set CYREG_B0_P4_U0_DCFG4, 0x40010868 +.set CYREG_B0_P4_U0_DCFG5, 0x4001086a +.set CYREG_B0_P4_U0_DCFG6, 0x4001086c +.set CYREG_B0_P4_U0_DCFG7, 0x4001086e +.set CYDEV_UCFG_B0_P4_U1_BASE, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_SIZE, 0x00000070 +.set CYREG_B0_P4_U1_PLD_IT0, 0x40010880 +.set CYREG_B0_P4_U1_PLD_IT1, 0x40010884 +.set CYREG_B0_P4_U1_PLD_IT2, 0x40010888 +.set CYREG_B0_P4_U1_PLD_IT3, 0x4001088c +.set CYREG_B0_P4_U1_PLD_IT4, 0x40010890 +.set CYREG_B0_P4_U1_PLD_IT5, 0x40010894 +.set CYREG_B0_P4_U1_PLD_IT6, 0x40010898 +.set CYREG_B0_P4_U1_PLD_IT7, 0x4001089c +.set CYREG_B0_P4_U1_PLD_IT8, 0x400108a0 +.set CYREG_B0_P4_U1_PLD_IT9, 0x400108a4 +.set CYREG_B0_P4_U1_PLD_IT10, 0x400108a8 +.set CYREG_B0_P4_U1_PLD_IT11, 0x400108ac +.set CYREG_B0_P4_U1_PLD_ORT0, 0x400108b0 +.set CYREG_B0_P4_U1_PLD_ORT1, 0x400108b2 +.set CYREG_B0_P4_U1_PLD_ORT2, 0x400108b4 +.set CYREG_B0_P4_U1_PLD_ORT3, 0x400108b6 +.set CYREG_B0_P4_U1_MC_CFG_CEN_CONST, 0x400108b8 +.set CYREG_B0_P4_U1_MC_CFG_XORFB, 0x400108ba +.set CYREG_B0_P4_U1_MC_CFG_SET_RESET, 0x400108bc +.set CYREG_B0_P4_U1_MC_CFG_BYPASS, 0x400108be +.set CYREG_B0_P4_U1_CFG0, 0x400108c0 +.set CYREG_B0_P4_U1_CFG1, 0x400108c1 +.set CYREG_B0_P4_U1_CFG2, 0x400108c2 +.set CYREG_B0_P4_U1_CFG3, 0x400108c3 +.set CYREG_B0_P4_U1_CFG4, 0x400108c4 +.set CYREG_B0_P4_U1_CFG5, 0x400108c5 +.set CYREG_B0_P4_U1_CFG6, 0x400108c6 +.set CYREG_B0_P4_U1_CFG7, 0x400108c7 +.set CYREG_B0_P4_U1_CFG8, 0x400108c8 +.set CYREG_B0_P4_U1_CFG9, 0x400108c9 +.set CYREG_B0_P4_U1_CFG10, 0x400108ca +.set CYREG_B0_P4_U1_CFG11, 0x400108cb +.set CYREG_B0_P4_U1_CFG12, 0x400108cc +.set CYREG_B0_P4_U1_CFG13, 0x400108cd +.set CYREG_B0_P4_U1_CFG14, 0x400108ce +.set CYREG_B0_P4_U1_CFG15, 0x400108cf +.set CYREG_B0_P4_U1_CFG16, 0x400108d0 +.set CYREG_B0_P4_U1_CFG17, 0x400108d1 +.set CYREG_B0_P4_U1_CFG18, 0x400108d2 +.set CYREG_B0_P4_U1_CFG19, 0x400108d3 +.set CYREG_B0_P4_U1_CFG20, 0x400108d4 +.set CYREG_B0_P4_U1_CFG21, 0x400108d5 +.set CYREG_B0_P4_U1_CFG22, 0x400108d6 +.set CYREG_B0_P4_U1_CFG23, 0x400108d7 +.set CYREG_B0_P4_U1_CFG24, 0x400108d8 +.set CYREG_B0_P4_U1_CFG25, 0x400108d9 +.set CYREG_B0_P4_U1_CFG26, 0x400108da +.set CYREG_B0_P4_U1_CFG27, 0x400108db +.set CYREG_B0_P4_U1_CFG28, 0x400108dc +.set CYREG_B0_P4_U1_CFG29, 0x400108dd +.set CYREG_B0_P4_U1_CFG30, 0x400108de +.set CYREG_B0_P4_U1_CFG31, 0x400108df +.set CYREG_B0_P4_U1_DCFG0, 0x400108e0 +.set CYREG_B0_P4_U1_DCFG1, 0x400108e2 +.set CYREG_B0_P4_U1_DCFG2, 0x400108e4 +.set CYREG_B0_P4_U1_DCFG3, 0x400108e6 +.set CYREG_B0_P4_U1_DCFG4, 0x400108e8 +.set CYREG_B0_P4_U1_DCFG5, 0x400108ea +.set CYREG_B0_P4_U1_DCFG6, 0x400108ec +.set CYREG_B0_P4_U1_DCFG7, 0x400108ee +.set CYDEV_UCFG_B0_P4_ROUTE_BASE, 0x40010900 +.set CYDEV_UCFG_B0_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P5_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P5_U0_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_SIZE, 0x00000070 +.set CYREG_B0_P5_U0_PLD_IT0, 0x40010a00 +.set CYREG_B0_P5_U0_PLD_IT1, 0x40010a04 +.set CYREG_B0_P5_U0_PLD_IT2, 0x40010a08 +.set CYREG_B0_P5_U0_PLD_IT3, 0x40010a0c +.set CYREG_B0_P5_U0_PLD_IT4, 0x40010a10 +.set CYREG_B0_P5_U0_PLD_IT5, 0x40010a14 +.set CYREG_B0_P5_U0_PLD_IT6, 0x40010a18 +.set CYREG_B0_P5_U0_PLD_IT7, 0x40010a1c +.set CYREG_B0_P5_U0_PLD_IT8, 0x40010a20 +.set CYREG_B0_P5_U0_PLD_IT9, 0x40010a24 +.set CYREG_B0_P5_U0_PLD_IT10, 0x40010a28 +.set CYREG_B0_P5_U0_PLD_IT11, 0x40010a2c +.set CYREG_B0_P5_U0_PLD_ORT0, 0x40010a30 +.set CYREG_B0_P5_U0_PLD_ORT1, 0x40010a32 +.set CYREG_B0_P5_U0_PLD_ORT2, 0x40010a34 +.set CYREG_B0_P5_U0_PLD_ORT3, 0x40010a36 +.set CYREG_B0_P5_U0_MC_CFG_CEN_CONST, 0x40010a38 +.set CYREG_B0_P5_U0_MC_CFG_XORFB, 0x40010a3a +.set CYREG_B0_P5_U0_MC_CFG_SET_RESET, 0x40010a3c +.set CYREG_B0_P5_U0_MC_CFG_BYPASS, 0x40010a3e +.set CYREG_B0_P5_U0_CFG0, 0x40010a40 +.set CYREG_B0_P5_U0_CFG1, 0x40010a41 +.set CYREG_B0_P5_U0_CFG2, 0x40010a42 +.set CYREG_B0_P5_U0_CFG3, 0x40010a43 +.set CYREG_B0_P5_U0_CFG4, 0x40010a44 +.set CYREG_B0_P5_U0_CFG5, 0x40010a45 +.set CYREG_B0_P5_U0_CFG6, 0x40010a46 +.set CYREG_B0_P5_U0_CFG7, 0x40010a47 +.set CYREG_B0_P5_U0_CFG8, 0x40010a48 +.set CYREG_B0_P5_U0_CFG9, 0x40010a49 +.set CYREG_B0_P5_U0_CFG10, 0x40010a4a +.set CYREG_B0_P5_U0_CFG11, 0x40010a4b +.set CYREG_B0_P5_U0_CFG12, 0x40010a4c +.set CYREG_B0_P5_U0_CFG13, 0x40010a4d +.set CYREG_B0_P5_U0_CFG14, 0x40010a4e +.set CYREG_B0_P5_U0_CFG15, 0x40010a4f +.set CYREG_B0_P5_U0_CFG16, 0x40010a50 +.set CYREG_B0_P5_U0_CFG17, 0x40010a51 +.set CYREG_B0_P5_U0_CFG18, 0x40010a52 +.set CYREG_B0_P5_U0_CFG19, 0x40010a53 +.set CYREG_B0_P5_U0_CFG20, 0x40010a54 +.set CYREG_B0_P5_U0_CFG21, 0x40010a55 +.set CYREG_B0_P5_U0_CFG22, 0x40010a56 +.set CYREG_B0_P5_U0_CFG23, 0x40010a57 +.set CYREG_B0_P5_U0_CFG24, 0x40010a58 +.set CYREG_B0_P5_U0_CFG25, 0x40010a59 +.set CYREG_B0_P5_U0_CFG26, 0x40010a5a +.set CYREG_B0_P5_U0_CFG27, 0x40010a5b +.set CYREG_B0_P5_U0_CFG28, 0x40010a5c +.set CYREG_B0_P5_U0_CFG29, 0x40010a5d +.set CYREG_B0_P5_U0_CFG30, 0x40010a5e +.set CYREG_B0_P5_U0_CFG31, 0x40010a5f +.set CYREG_B0_P5_U0_DCFG0, 0x40010a60 +.set CYREG_B0_P5_U0_DCFG1, 0x40010a62 +.set CYREG_B0_P5_U0_DCFG2, 0x40010a64 +.set CYREG_B0_P5_U0_DCFG3, 0x40010a66 +.set CYREG_B0_P5_U0_DCFG4, 0x40010a68 +.set CYREG_B0_P5_U0_DCFG5, 0x40010a6a +.set CYREG_B0_P5_U0_DCFG6, 0x40010a6c +.set CYREG_B0_P5_U0_DCFG7, 0x40010a6e +.set CYDEV_UCFG_B0_P5_U1_BASE, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_SIZE, 0x00000070 +.set CYREG_B0_P5_U1_PLD_IT0, 0x40010a80 +.set CYREG_B0_P5_U1_PLD_IT1, 0x40010a84 +.set CYREG_B0_P5_U1_PLD_IT2, 0x40010a88 +.set CYREG_B0_P5_U1_PLD_IT3, 0x40010a8c +.set CYREG_B0_P5_U1_PLD_IT4, 0x40010a90 +.set CYREG_B0_P5_U1_PLD_IT5, 0x40010a94 +.set CYREG_B0_P5_U1_PLD_IT6, 0x40010a98 +.set CYREG_B0_P5_U1_PLD_IT7, 0x40010a9c +.set CYREG_B0_P5_U1_PLD_IT8, 0x40010aa0 +.set CYREG_B0_P5_U1_PLD_IT9, 0x40010aa4 +.set CYREG_B0_P5_U1_PLD_IT10, 0x40010aa8 +.set CYREG_B0_P5_U1_PLD_IT11, 0x40010aac +.set CYREG_B0_P5_U1_PLD_ORT0, 0x40010ab0 +.set CYREG_B0_P5_U1_PLD_ORT1, 0x40010ab2 +.set CYREG_B0_P5_U1_PLD_ORT2, 0x40010ab4 +.set CYREG_B0_P5_U1_PLD_ORT3, 0x40010ab6 +.set CYREG_B0_P5_U1_MC_CFG_CEN_CONST, 0x40010ab8 +.set CYREG_B0_P5_U1_MC_CFG_XORFB, 0x40010aba +.set CYREG_B0_P5_U1_MC_CFG_SET_RESET, 0x40010abc +.set CYREG_B0_P5_U1_MC_CFG_BYPASS, 0x40010abe +.set CYREG_B0_P5_U1_CFG0, 0x40010ac0 +.set CYREG_B0_P5_U1_CFG1, 0x40010ac1 +.set CYREG_B0_P5_U1_CFG2, 0x40010ac2 +.set CYREG_B0_P5_U1_CFG3, 0x40010ac3 +.set CYREG_B0_P5_U1_CFG4, 0x40010ac4 +.set CYREG_B0_P5_U1_CFG5, 0x40010ac5 +.set CYREG_B0_P5_U1_CFG6, 0x40010ac6 +.set CYREG_B0_P5_U1_CFG7, 0x40010ac7 +.set CYREG_B0_P5_U1_CFG8, 0x40010ac8 +.set CYREG_B0_P5_U1_CFG9, 0x40010ac9 +.set CYREG_B0_P5_U1_CFG10, 0x40010aca +.set CYREG_B0_P5_U1_CFG11, 0x40010acb +.set CYREG_B0_P5_U1_CFG12, 0x40010acc +.set CYREG_B0_P5_U1_CFG13, 0x40010acd +.set CYREG_B0_P5_U1_CFG14, 0x40010ace +.set CYREG_B0_P5_U1_CFG15, 0x40010acf +.set CYREG_B0_P5_U1_CFG16, 0x40010ad0 +.set CYREG_B0_P5_U1_CFG17, 0x40010ad1 +.set CYREG_B0_P5_U1_CFG18, 0x40010ad2 +.set CYREG_B0_P5_U1_CFG19, 0x40010ad3 +.set CYREG_B0_P5_U1_CFG20, 0x40010ad4 +.set CYREG_B0_P5_U1_CFG21, 0x40010ad5 +.set CYREG_B0_P5_U1_CFG22, 0x40010ad6 +.set CYREG_B0_P5_U1_CFG23, 0x40010ad7 +.set CYREG_B0_P5_U1_CFG24, 0x40010ad8 +.set CYREG_B0_P5_U1_CFG25, 0x40010ad9 +.set CYREG_B0_P5_U1_CFG26, 0x40010ada +.set CYREG_B0_P5_U1_CFG27, 0x40010adb +.set CYREG_B0_P5_U1_CFG28, 0x40010adc +.set CYREG_B0_P5_U1_CFG29, 0x40010add +.set CYREG_B0_P5_U1_CFG30, 0x40010ade +.set CYREG_B0_P5_U1_CFG31, 0x40010adf +.set CYREG_B0_P5_U1_DCFG0, 0x40010ae0 +.set CYREG_B0_P5_U1_DCFG1, 0x40010ae2 +.set CYREG_B0_P5_U1_DCFG2, 0x40010ae4 +.set CYREG_B0_P5_U1_DCFG3, 0x40010ae6 +.set CYREG_B0_P5_U1_DCFG4, 0x40010ae8 +.set CYREG_B0_P5_U1_DCFG5, 0x40010aea +.set CYREG_B0_P5_U1_DCFG6, 0x40010aec +.set CYREG_B0_P5_U1_DCFG7, 0x40010aee +.set CYDEV_UCFG_B0_P5_ROUTE_BASE, 0x40010b00 +.set CYDEV_UCFG_B0_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P6_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P6_U0_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_SIZE, 0x00000070 +.set CYREG_B0_P6_U0_PLD_IT0, 0x40010c00 +.set CYREG_B0_P6_U0_PLD_IT1, 0x40010c04 +.set CYREG_B0_P6_U0_PLD_IT2, 0x40010c08 +.set CYREG_B0_P6_U0_PLD_IT3, 0x40010c0c +.set CYREG_B0_P6_U0_PLD_IT4, 0x40010c10 +.set CYREG_B0_P6_U0_PLD_IT5, 0x40010c14 +.set CYREG_B0_P6_U0_PLD_IT6, 0x40010c18 +.set CYREG_B0_P6_U0_PLD_IT7, 0x40010c1c +.set CYREG_B0_P6_U0_PLD_IT8, 0x40010c20 +.set CYREG_B0_P6_U0_PLD_IT9, 0x40010c24 +.set CYREG_B0_P6_U0_PLD_IT10, 0x40010c28 +.set CYREG_B0_P6_U0_PLD_IT11, 0x40010c2c +.set CYREG_B0_P6_U0_PLD_ORT0, 0x40010c30 +.set CYREG_B0_P6_U0_PLD_ORT1, 0x40010c32 +.set CYREG_B0_P6_U0_PLD_ORT2, 0x40010c34 +.set CYREG_B0_P6_U0_PLD_ORT3, 0x40010c36 +.set CYREG_B0_P6_U0_MC_CFG_CEN_CONST, 0x40010c38 +.set CYREG_B0_P6_U0_MC_CFG_XORFB, 0x40010c3a +.set CYREG_B0_P6_U0_MC_CFG_SET_RESET, 0x40010c3c +.set CYREG_B0_P6_U0_MC_CFG_BYPASS, 0x40010c3e +.set CYREG_B0_P6_U0_CFG0, 0x40010c40 +.set CYREG_B0_P6_U0_CFG1, 0x40010c41 +.set CYREG_B0_P6_U0_CFG2, 0x40010c42 +.set CYREG_B0_P6_U0_CFG3, 0x40010c43 +.set CYREG_B0_P6_U0_CFG4, 0x40010c44 +.set CYREG_B0_P6_U0_CFG5, 0x40010c45 +.set CYREG_B0_P6_U0_CFG6, 0x40010c46 +.set CYREG_B0_P6_U0_CFG7, 0x40010c47 +.set CYREG_B0_P6_U0_CFG8, 0x40010c48 +.set CYREG_B0_P6_U0_CFG9, 0x40010c49 +.set CYREG_B0_P6_U0_CFG10, 0x40010c4a +.set CYREG_B0_P6_U0_CFG11, 0x40010c4b +.set CYREG_B0_P6_U0_CFG12, 0x40010c4c +.set CYREG_B0_P6_U0_CFG13, 0x40010c4d +.set CYREG_B0_P6_U0_CFG14, 0x40010c4e +.set CYREG_B0_P6_U0_CFG15, 0x40010c4f +.set CYREG_B0_P6_U0_CFG16, 0x40010c50 +.set CYREG_B0_P6_U0_CFG17, 0x40010c51 +.set CYREG_B0_P6_U0_CFG18, 0x40010c52 +.set CYREG_B0_P6_U0_CFG19, 0x40010c53 +.set CYREG_B0_P6_U0_CFG20, 0x40010c54 +.set CYREG_B0_P6_U0_CFG21, 0x40010c55 +.set CYREG_B0_P6_U0_CFG22, 0x40010c56 +.set CYREG_B0_P6_U0_CFG23, 0x40010c57 +.set CYREG_B0_P6_U0_CFG24, 0x40010c58 +.set CYREG_B0_P6_U0_CFG25, 0x40010c59 +.set CYREG_B0_P6_U0_CFG26, 0x40010c5a +.set CYREG_B0_P6_U0_CFG27, 0x40010c5b +.set CYREG_B0_P6_U0_CFG28, 0x40010c5c +.set CYREG_B0_P6_U0_CFG29, 0x40010c5d +.set CYREG_B0_P6_U0_CFG30, 0x40010c5e +.set CYREG_B0_P6_U0_CFG31, 0x40010c5f +.set CYREG_B0_P6_U0_DCFG0, 0x40010c60 +.set CYREG_B0_P6_U0_DCFG1, 0x40010c62 +.set CYREG_B0_P6_U0_DCFG2, 0x40010c64 +.set CYREG_B0_P6_U0_DCFG3, 0x40010c66 +.set CYREG_B0_P6_U0_DCFG4, 0x40010c68 +.set CYREG_B0_P6_U0_DCFG5, 0x40010c6a +.set CYREG_B0_P6_U0_DCFG6, 0x40010c6c +.set CYREG_B0_P6_U0_DCFG7, 0x40010c6e +.set CYDEV_UCFG_B0_P6_U1_BASE, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_SIZE, 0x00000070 +.set CYREG_B0_P6_U1_PLD_IT0, 0x40010c80 +.set CYREG_B0_P6_U1_PLD_IT1, 0x40010c84 +.set CYREG_B0_P6_U1_PLD_IT2, 0x40010c88 +.set CYREG_B0_P6_U1_PLD_IT3, 0x40010c8c +.set CYREG_B0_P6_U1_PLD_IT4, 0x40010c90 +.set CYREG_B0_P6_U1_PLD_IT5, 0x40010c94 +.set CYREG_B0_P6_U1_PLD_IT6, 0x40010c98 +.set CYREG_B0_P6_U1_PLD_IT7, 0x40010c9c +.set CYREG_B0_P6_U1_PLD_IT8, 0x40010ca0 +.set CYREG_B0_P6_U1_PLD_IT9, 0x40010ca4 +.set CYREG_B0_P6_U1_PLD_IT10, 0x40010ca8 +.set CYREG_B0_P6_U1_PLD_IT11, 0x40010cac +.set CYREG_B0_P6_U1_PLD_ORT0, 0x40010cb0 +.set CYREG_B0_P6_U1_PLD_ORT1, 0x40010cb2 +.set CYREG_B0_P6_U1_PLD_ORT2, 0x40010cb4 +.set CYREG_B0_P6_U1_PLD_ORT3, 0x40010cb6 +.set CYREG_B0_P6_U1_MC_CFG_CEN_CONST, 0x40010cb8 +.set CYREG_B0_P6_U1_MC_CFG_XORFB, 0x40010cba +.set CYREG_B0_P6_U1_MC_CFG_SET_RESET, 0x40010cbc +.set CYREG_B0_P6_U1_MC_CFG_BYPASS, 0x40010cbe +.set CYREG_B0_P6_U1_CFG0, 0x40010cc0 +.set CYREG_B0_P6_U1_CFG1, 0x40010cc1 +.set CYREG_B0_P6_U1_CFG2, 0x40010cc2 +.set CYREG_B0_P6_U1_CFG3, 0x40010cc3 +.set CYREG_B0_P6_U1_CFG4, 0x40010cc4 +.set CYREG_B0_P6_U1_CFG5, 0x40010cc5 +.set CYREG_B0_P6_U1_CFG6, 0x40010cc6 +.set CYREG_B0_P6_U1_CFG7, 0x40010cc7 +.set CYREG_B0_P6_U1_CFG8, 0x40010cc8 +.set CYREG_B0_P6_U1_CFG9, 0x40010cc9 +.set CYREG_B0_P6_U1_CFG10, 0x40010cca +.set CYREG_B0_P6_U1_CFG11, 0x40010ccb +.set CYREG_B0_P6_U1_CFG12, 0x40010ccc +.set CYREG_B0_P6_U1_CFG13, 0x40010ccd +.set CYREG_B0_P6_U1_CFG14, 0x40010cce +.set CYREG_B0_P6_U1_CFG15, 0x40010ccf +.set CYREG_B0_P6_U1_CFG16, 0x40010cd0 +.set CYREG_B0_P6_U1_CFG17, 0x40010cd1 +.set CYREG_B0_P6_U1_CFG18, 0x40010cd2 +.set CYREG_B0_P6_U1_CFG19, 0x40010cd3 +.set CYREG_B0_P6_U1_CFG20, 0x40010cd4 +.set CYREG_B0_P6_U1_CFG21, 0x40010cd5 +.set CYREG_B0_P6_U1_CFG22, 0x40010cd6 +.set CYREG_B0_P6_U1_CFG23, 0x40010cd7 +.set CYREG_B0_P6_U1_CFG24, 0x40010cd8 +.set CYREG_B0_P6_U1_CFG25, 0x40010cd9 +.set CYREG_B0_P6_U1_CFG26, 0x40010cda +.set CYREG_B0_P6_U1_CFG27, 0x40010cdb +.set CYREG_B0_P6_U1_CFG28, 0x40010cdc +.set CYREG_B0_P6_U1_CFG29, 0x40010cdd +.set CYREG_B0_P6_U1_CFG30, 0x40010cde +.set CYREG_B0_P6_U1_CFG31, 0x40010cdf +.set CYREG_B0_P6_U1_DCFG0, 0x40010ce0 +.set CYREG_B0_P6_U1_DCFG1, 0x40010ce2 +.set CYREG_B0_P6_U1_DCFG2, 0x40010ce4 +.set CYREG_B0_P6_U1_DCFG3, 0x40010ce6 +.set CYREG_B0_P6_U1_DCFG4, 0x40010ce8 +.set CYREG_B0_P6_U1_DCFG5, 0x40010cea +.set CYREG_B0_P6_U1_DCFG6, 0x40010cec +.set CYREG_B0_P6_U1_DCFG7, 0x40010cee +.set CYDEV_UCFG_B0_P6_ROUTE_BASE, 0x40010d00 +.set CYDEV_UCFG_B0_P6_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P7_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P7_U0_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_SIZE, 0x00000070 +.set CYREG_B0_P7_U0_PLD_IT0, 0x40010e00 +.set CYREG_B0_P7_U0_PLD_IT1, 0x40010e04 +.set CYREG_B0_P7_U0_PLD_IT2, 0x40010e08 +.set CYREG_B0_P7_U0_PLD_IT3, 0x40010e0c +.set CYREG_B0_P7_U0_PLD_IT4, 0x40010e10 +.set CYREG_B0_P7_U0_PLD_IT5, 0x40010e14 +.set CYREG_B0_P7_U0_PLD_IT6, 0x40010e18 +.set CYREG_B0_P7_U0_PLD_IT7, 0x40010e1c +.set CYREG_B0_P7_U0_PLD_IT8, 0x40010e20 +.set CYREG_B0_P7_U0_PLD_IT9, 0x40010e24 +.set CYREG_B0_P7_U0_PLD_IT10, 0x40010e28 +.set CYREG_B0_P7_U0_PLD_IT11, 0x40010e2c +.set CYREG_B0_P7_U0_PLD_ORT0, 0x40010e30 +.set CYREG_B0_P7_U0_PLD_ORT1, 0x40010e32 +.set CYREG_B0_P7_U0_PLD_ORT2, 0x40010e34 +.set CYREG_B0_P7_U0_PLD_ORT3, 0x40010e36 +.set CYREG_B0_P7_U0_MC_CFG_CEN_CONST, 0x40010e38 +.set CYREG_B0_P7_U0_MC_CFG_XORFB, 0x40010e3a +.set CYREG_B0_P7_U0_MC_CFG_SET_RESET, 0x40010e3c +.set CYREG_B0_P7_U0_MC_CFG_BYPASS, 0x40010e3e +.set CYREG_B0_P7_U0_CFG0, 0x40010e40 +.set CYREG_B0_P7_U0_CFG1, 0x40010e41 +.set CYREG_B0_P7_U0_CFG2, 0x40010e42 +.set CYREG_B0_P7_U0_CFG3, 0x40010e43 +.set CYREG_B0_P7_U0_CFG4, 0x40010e44 +.set CYREG_B0_P7_U0_CFG5, 0x40010e45 +.set CYREG_B0_P7_U0_CFG6, 0x40010e46 +.set CYREG_B0_P7_U0_CFG7, 0x40010e47 +.set CYREG_B0_P7_U0_CFG8, 0x40010e48 +.set CYREG_B0_P7_U0_CFG9, 0x40010e49 +.set CYREG_B0_P7_U0_CFG10, 0x40010e4a +.set CYREG_B0_P7_U0_CFG11, 0x40010e4b +.set CYREG_B0_P7_U0_CFG12, 0x40010e4c +.set CYREG_B0_P7_U0_CFG13, 0x40010e4d +.set CYREG_B0_P7_U0_CFG14, 0x40010e4e +.set CYREG_B0_P7_U0_CFG15, 0x40010e4f +.set CYREG_B0_P7_U0_CFG16, 0x40010e50 +.set CYREG_B0_P7_U0_CFG17, 0x40010e51 +.set CYREG_B0_P7_U0_CFG18, 0x40010e52 +.set CYREG_B0_P7_U0_CFG19, 0x40010e53 +.set CYREG_B0_P7_U0_CFG20, 0x40010e54 +.set CYREG_B0_P7_U0_CFG21, 0x40010e55 +.set CYREG_B0_P7_U0_CFG22, 0x40010e56 +.set CYREG_B0_P7_U0_CFG23, 0x40010e57 +.set CYREG_B0_P7_U0_CFG24, 0x40010e58 +.set CYREG_B0_P7_U0_CFG25, 0x40010e59 +.set CYREG_B0_P7_U0_CFG26, 0x40010e5a +.set CYREG_B0_P7_U0_CFG27, 0x40010e5b +.set CYREG_B0_P7_U0_CFG28, 0x40010e5c +.set CYREG_B0_P7_U0_CFG29, 0x40010e5d +.set CYREG_B0_P7_U0_CFG30, 0x40010e5e +.set CYREG_B0_P7_U0_CFG31, 0x40010e5f +.set CYREG_B0_P7_U0_DCFG0, 0x40010e60 +.set CYREG_B0_P7_U0_DCFG1, 0x40010e62 +.set CYREG_B0_P7_U0_DCFG2, 0x40010e64 +.set CYREG_B0_P7_U0_DCFG3, 0x40010e66 +.set CYREG_B0_P7_U0_DCFG4, 0x40010e68 +.set CYREG_B0_P7_U0_DCFG5, 0x40010e6a +.set CYREG_B0_P7_U0_DCFG6, 0x40010e6c +.set CYREG_B0_P7_U0_DCFG7, 0x40010e6e +.set CYDEV_UCFG_B0_P7_U1_BASE, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_SIZE, 0x00000070 +.set CYREG_B0_P7_U1_PLD_IT0, 0x40010e80 +.set CYREG_B0_P7_U1_PLD_IT1, 0x40010e84 +.set CYREG_B0_P7_U1_PLD_IT2, 0x40010e88 +.set CYREG_B0_P7_U1_PLD_IT3, 0x40010e8c +.set CYREG_B0_P7_U1_PLD_IT4, 0x40010e90 +.set CYREG_B0_P7_U1_PLD_IT5, 0x40010e94 +.set CYREG_B0_P7_U1_PLD_IT6, 0x40010e98 +.set CYREG_B0_P7_U1_PLD_IT7, 0x40010e9c +.set CYREG_B0_P7_U1_PLD_IT8, 0x40010ea0 +.set CYREG_B0_P7_U1_PLD_IT9, 0x40010ea4 +.set CYREG_B0_P7_U1_PLD_IT10, 0x40010ea8 +.set CYREG_B0_P7_U1_PLD_IT11, 0x40010eac +.set CYREG_B0_P7_U1_PLD_ORT0, 0x40010eb0 +.set CYREG_B0_P7_U1_PLD_ORT1, 0x40010eb2 +.set CYREG_B0_P7_U1_PLD_ORT2, 0x40010eb4 +.set CYREG_B0_P7_U1_PLD_ORT3, 0x40010eb6 +.set CYREG_B0_P7_U1_MC_CFG_CEN_CONST, 0x40010eb8 +.set CYREG_B0_P7_U1_MC_CFG_XORFB, 0x40010eba +.set CYREG_B0_P7_U1_MC_CFG_SET_RESET, 0x40010ebc +.set CYREG_B0_P7_U1_MC_CFG_BYPASS, 0x40010ebe +.set CYREG_B0_P7_U1_CFG0, 0x40010ec0 +.set CYREG_B0_P7_U1_CFG1, 0x40010ec1 +.set CYREG_B0_P7_U1_CFG2, 0x40010ec2 +.set CYREG_B0_P7_U1_CFG3, 0x40010ec3 +.set CYREG_B0_P7_U1_CFG4, 0x40010ec4 +.set CYREG_B0_P7_U1_CFG5, 0x40010ec5 +.set CYREG_B0_P7_U1_CFG6, 0x40010ec6 +.set CYREG_B0_P7_U1_CFG7, 0x40010ec7 +.set CYREG_B0_P7_U1_CFG8, 0x40010ec8 +.set CYREG_B0_P7_U1_CFG9, 0x40010ec9 +.set CYREG_B0_P7_U1_CFG10, 0x40010eca +.set CYREG_B0_P7_U1_CFG11, 0x40010ecb +.set CYREG_B0_P7_U1_CFG12, 0x40010ecc +.set CYREG_B0_P7_U1_CFG13, 0x40010ecd +.set CYREG_B0_P7_U1_CFG14, 0x40010ece +.set CYREG_B0_P7_U1_CFG15, 0x40010ecf +.set CYREG_B0_P7_U1_CFG16, 0x40010ed0 +.set CYREG_B0_P7_U1_CFG17, 0x40010ed1 +.set CYREG_B0_P7_U1_CFG18, 0x40010ed2 +.set CYREG_B0_P7_U1_CFG19, 0x40010ed3 +.set CYREG_B0_P7_U1_CFG20, 0x40010ed4 +.set CYREG_B0_P7_U1_CFG21, 0x40010ed5 +.set CYREG_B0_P7_U1_CFG22, 0x40010ed6 +.set CYREG_B0_P7_U1_CFG23, 0x40010ed7 +.set CYREG_B0_P7_U1_CFG24, 0x40010ed8 +.set CYREG_B0_P7_U1_CFG25, 0x40010ed9 +.set CYREG_B0_P7_U1_CFG26, 0x40010eda +.set CYREG_B0_P7_U1_CFG27, 0x40010edb +.set CYREG_B0_P7_U1_CFG28, 0x40010edc +.set CYREG_B0_P7_U1_CFG29, 0x40010edd +.set CYREG_B0_P7_U1_CFG30, 0x40010ede +.set CYREG_B0_P7_U1_CFG31, 0x40010edf +.set CYREG_B0_P7_U1_DCFG0, 0x40010ee0 +.set CYREG_B0_P7_U1_DCFG1, 0x40010ee2 +.set CYREG_B0_P7_U1_DCFG2, 0x40010ee4 +.set CYREG_B0_P7_U1_DCFG3, 0x40010ee6 +.set CYREG_B0_P7_U1_DCFG4, 0x40010ee8 +.set CYREG_B0_P7_U1_DCFG5, 0x40010eea +.set CYREG_B0_P7_U1_DCFG6, 0x40010eec +.set CYREG_B0_P7_U1_DCFG7, 0x40010eee +.set CYDEV_UCFG_B0_P7_ROUTE_BASE, 0x40010f00 +.set CYDEV_UCFG_B0_P7_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_BASE, 0x40011000 +.set CYDEV_UCFG_B1_SIZE, 0x00000fef +.set CYDEV_UCFG_B1_P2_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P2_U0_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_SIZE, 0x00000070 +.set CYREG_B1_P2_U0_PLD_IT0, 0x40011400 +.set CYREG_B1_P2_U0_PLD_IT1, 0x40011404 +.set CYREG_B1_P2_U0_PLD_IT2, 0x40011408 +.set CYREG_B1_P2_U0_PLD_IT3, 0x4001140c +.set CYREG_B1_P2_U0_PLD_IT4, 0x40011410 +.set CYREG_B1_P2_U0_PLD_IT5, 0x40011414 +.set CYREG_B1_P2_U0_PLD_IT6, 0x40011418 +.set CYREG_B1_P2_U0_PLD_IT7, 0x4001141c +.set CYREG_B1_P2_U0_PLD_IT8, 0x40011420 +.set CYREG_B1_P2_U0_PLD_IT9, 0x40011424 +.set CYREG_B1_P2_U0_PLD_IT10, 0x40011428 +.set CYREG_B1_P2_U0_PLD_IT11, 0x4001142c +.set CYREG_B1_P2_U0_PLD_ORT0, 0x40011430 +.set CYREG_B1_P2_U0_PLD_ORT1, 0x40011432 +.set CYREG_B1_P2_U0_PLD_ORT2, 0x40011434 +.set CYREG_B1_P2_U0_PLD_ORT3, 0x40011436 +.set CYREG_B1_P2_U0_MC_CFG_CEN_CONST, 0x40011438 +.set CYREG_B1_P2_U0_MC_CFG_XORFB, 0x4001143a +.set CYREG_B1_P2_U0_MC_CFG_SET_RESET, 0x4001143c +.set CYREG_B1_P2_U0_MC_CFG_BYPASS, 0x4001143e +.set CYREG_B1_P2_U0_CFG0, 0x40011440 +.set CYREG_B1_P2_U0_CFG1, 0x40011441 +.set CYREG_B1_P2_U0_CFG2, 0x40011442 +.set CYREG_B1_P2_U0_CFG3, 0x40011443 +.set CYREG_B1_P2_U0_CFG4, 0x40011444 +.set CYREG_B1_P2_U0_CFG5, 0x40011445 +.set CYREG_B1_P2_U0_CFG6, 0x40011446 +.set CYREG_B1_P2_U0_CFG7, 0x40011447 +.set CYREG_B1_P2_U0_CFG8, 0x40011448 +.set CYREG_B1_P2_U0_CFG9, 0x40011449 +.set CYREG_B1_P2_U0_CFG10, 0x4001144a +.set CYREG_B1_P2_U0_CFG11, 0x4001144b +.set CYREG_B1_P2_U0_CFG12, 0x4001144c +.set CYREG_B1_P2_U0_CFG13, 0x4001144d +.set CYREG_B1_P2_U0_CFG14, 0x4001144e +.set CYREG_B1_P2_U0_CFG15, 0x4001144f +.set CYREG_B1_P2_U0_CFG16, 0x40011450 +.set CYREG_B1_P2_U0_CFG17, 0x40011451 +.set CYREG_B1_P2_U0_CFG18, 0x40011452 +.set CYREG_B1_P2_U0_CFG19, 0x40011453 +.set CYREG_B1_P2_U0_CFG20, 0x40011454 +.set CYREG_B1_P2_U0_CFG21, 0x40011455 +.set CYREG_B1_P2_U0_CFG22, 0x40011456 +.set CYREG_B1_P2_U0_CFG23, 0x40011457 +.set CYREG_B1_P2_U0_CFG24, 0x40011458 +.set CYREG_B1_P2_U0_CFG25, 0x40011459 +.set CYREG_B1_P2_U0_CFG26, 0x4001145a +.set CYREG_B1_P2_U0_CFG27, 0x4001145b +.set CYREG_B1_P2_U0_CFG28, 0x4001145c +.set CYREG_B1_P2_U0_CFG29, 0x4001145d +.set CYREG_B1_P2_U0_CFG30, 0x4001145e +.set CYREG_B1_P2_U0_CFG31, 0x4001145f +.set CYREG_B1_P2_U0_DCFG0, 0x40011460 +.set CYREG_B1_P2_U0_DCFG1, 0x40011462 +.set CYREG_B1_P2_U0_DCFG2, 0x40011464 +.set CYREG_B1_P2_U0_DCFG3, 0x40011466 +.set CYREG_B1_P2_U0_DCFG4, 0x40011468 +.set CYREG_B1_P2_U0_DCFG5, 0x4001146a +.set CYREG_B1_P2_U0_DCFG6, 0x4001146c +.set CYREG_B1_P2_U0_DCFG7, 0x4001146e +.set CYDEV_UCFG_B1_P2_U1_BASE, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_SIZE, 0x00000070 +.set CYREG_B1_P2_U1_PLD_IT0, 0x40011480 +.set CYREG_B1_P2_U1_PLD_IT1, 0x40011484 +.set CYREG_B1_P2_U1_PLD_IT2, 0x40011488 +.set CYREG_B1_P2_U1_PLD_IT3, 0x4001148c +.set CYREG_B1_P2_U1_PLD_IT4, 0x40011490 +.set CYREG_B1_P2_U1_PLD_IT5, 0x40011494 +.set CYREG_B1_P2_U1_PLD_IT6, 0x40011498 +.set CYREG_B1_P2_U1_PLD_IT7, 0x4001149c +.set CYREG_B1_P2_U1_PLD_IT8, 0x400114a0 +.set CYREG_B1_P2_U1_PLD_IT9, 0x400114a4 +.set CYREG_B1_P2_U1_PLD_IT10, 0x400114a8 +.set CYREG_B1_P2_U1_PLD_IT11, 0x400114ac +.set CYREG_B1_P2_U1_PLD_ORT0, 0x400114b0 +.set CYREG_B1_P2_U1_PLD_ORT1, 0x400114b2 +.set CYREG_B1_P2_U1_PLD_ORT2, 0x400114b4 +.set CYREG_B1_P2_U1_PLD_ORT3, 0x400114b6 +.set CYREG_B1_P2_U1_MC_CFG_CEN_CONST, 0x400114b8 +.set CYREG_B1_P2_U1_MC_CFG_XORFB, 0x400114ba +.set CYREG_B1_P2_U1_MC_CFG_SET_RESET, 0x400114bc +.set CYREG_B1_P2_U1_MC_CFG_BYPASS, 0x400114be +.set CYREG_B1_P2_U1_CFG0, 0x400114c0 +.set CYREG_B1_P2_U1_CFG1, 0x400114c1 +.set CYREG_B1_P2_U1_CFG2, 0x400114c2 +.set CYREG_B1_P2_U1_CFG3, 0x400114c3 +.set CYREG_B1_P2_U1_CFG4, 0x400114c4 +.set CYREG_B1_P2_U1_CFG5, 0x400114c5 +.set CYREG_B1_P2_U1_CFG6, 0x400114c6 +.set CYREG_B1_P2_U1_CFG7, 0x400114c7 +.set CYREG_B1_P2_U1_CFG8, 0x400114c8 +.set CYREG_B1_P2_U1_CFG9, 0x400114c9 +.set CYREG_B1_P2_U1_CFG10, 0x400114ca +.set CYREG_B1_P2_U1_CFG11, 0x400114cb +.set CYREG_B1_P2_U1_CFG12, 0x400114cc +.set CYREG_B1_P2_U1_CFG13, 0x400114cd +.set CYREG_B1_P2_U1_CFG14, 0x400114ce +.set CYREG_B1_P2_U1_CFG15, 0x400114cf +.set CYREG_B1_P2_U1_CFG16, 0x400114d0 +.set CYREG_B1_P2_U1_CFG17, 0x400114d1 +.set CYREG_B1_P2_U1_CFG18, 0x400114d2 +.set CYREG_B1_P2_U1_CFG19, 0x400114d3 +.set CYREG_B1_P2_U1_CFG20, 0x400114d4 +.set CYREG_B1_P2_U1_CFG21, 0x400114d5 +.set CYREG_B1_P2_U1_CFG22, 0x400114d6 +.set CYREG_B1_P2_U1_CFG23, 0x400114d7 +.set CYREG_B1_P2_U1_CFG24, 0x400114d8 +.set CYREG_B1_P2_U1_CFG25, 0x400114d9 +.set CYREG_B1_P2_U1_CFG26, 0x400114da +.set CYREG_B1_P2_U1_CFG27, 0x400114db +.set CYREG_B1_P2_U1_CFG28, 0x400114dc +.set CYREG_B1_P2_U1_CFG29, 0x400114dd +.set CYREG_B1_P2_U1_CFG30, 0x400114de +.set CYREG_B1_P2_U1_CFG31, 0x400114df +.set CYREG_B1_P2_U1_DCFG0, 0x400114e0 +.set CYREG_B1_P2_U1_DCFG1, 0x400114e2 +.set CYREG_B1_P2_U1_DCFG2, 0x400114e4 +.set CYREG_B1_P2_U1_DCFG3, 0x400114e6 +.set CYREG_B1_P2_U1_DCFG4, 0x400114e8 +.set CYREG_B1_P2_U1_DCFG5, 0x400114ea +.set CYREG_B1_P2_U1_DCFG6, 0x400114ec +.set CYREG_B1_P2_U1_DCFG7, 0x400114ee +.set CYDEV_UCFG_B1_P2_ROUTE_BASE, 0x40011500 +.set CYDEV_UCFG_B1_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P3_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P3_U0_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_SIZE, 0x00000070 +.set CYREG_B1_P3_U0_PLD_IT0, 0x40011600 +.set CYREG_B1_P3_U0_PLD_IT1, 0x40011604 +.set CYREG_B1_P3_U0_PLD_IT2, 0x40011608 +.set CYREG_B1_P3_U0_PLD_IT3, 0x4001160c +.set CYREG_B1_P3_U0_PLD_IT4, 0x40011610 +.set CYREG_B1_P3_U0_PLD_IT5, 0x40011614 +.set CYREG_B1_P3_U0_PLD_IT6, 0x40011618 +.set CYREG_B1_P3_U0_PLD_IT7, 0x4001161c +.set CYREG_B1_P3_U0_PLD_IT8, 0x40011620 +.set CYREG_B1_P3_U0_PLD_IT9, 0x40011624 +.set CYREG_B1_P3_U0_PLD_IT10, 0x40011628 +.set CYREG_B1_P3_U0_PLD_IT11, 0x4001162c +.set CYREG_B1_P3_U0_PLD_ORT0, 0x40011630 +.set CYREG_B1_P3_U0_PLD_ORT1, 0x40011632 +.set CYREG_B1_P3_U0_PLD_ORT2, 0x40011634 +.set CYREG_B1_P3_U0_PLD_ORT3, 0x40011636 +.set CYREG_B1_P3_U0_MC_CFG_CEN_CONST, 0x40011638 +.set CYREG_B1_P3_U0_MC_CFG_XORFB, 0x4001163a +.set CYREG_B1_P3_U0_MC_CFG_SET_RESET, 0x4001163c +.set CYREG_B1_P3_U0_MC_CFG_BYPASS, 0x4001163e +.set CYREG_B1_P3_U0_CFG0, 0x40011640 +.set CYREG_B1_P3_U0_CFG1, 0x40011641 +.set CYREG_B1_P3_U0_CFG2, 0x40011642 +.set CYREG_B1_P3_U0_CFG3, 0x40011643 +.set CYREG_B1_P3_U0_CFG4, 0x40011644 +.set CYREG_B1_P3_U0_CFG5, 0x40011645 +.set CYREG_B1_P3_U0_CFG6, 0x40011646 +.set CYREG_B1_P3_U0_CFG7, 0x40011647 +.set CYREG_B1_P3_U0_CFG8, 0x40011648 +.set CYREG_B1_P3_U0_CFG9, 0x40011649 +.set CYREG_B1_P3_U0_CFG10, 0x4001164a +.set CYREG_B1_P3_U0_CFG11, 0x4001164b +.set CYREG_B1_P3_U0_CFG12, 0x4001164c +.set CYREG_B1_P3_U0_CFG13, 0x4001164d +.set CYREG_B1_P3_U0_CFG14, 0x4001164e +.set CYREG_B1_P3_U0_CFG15, 0x4001164f +.set CYREG_B1_P3_U0_CFG16, 0x40011650 +.set CYREG_B1_P3_U0_CFG17, 0x40011651 +.set CYREG_B1_P3_U0_CFG18, 0x40011652 +.set CYREG_B1_P3_U0_CFG19, 0x40011653 +.set CYREG_B1_P3_U0_CFG20, 0x40011654 +.set CYREG_B1_P3_U0_CFG21, 0x40011655 +.set CYREG_B1_P3_U0_CFG22, 0x40011656 +.set CYREG_B1_P3_U0_CFG23, 0x40011657 +.set CYREG_B1_P3_U0_CFG24, 0x40011658 +.set CYREG_B1_P3_U0_CFG25, 0x40011659 +.set CYREG_B1_P3_U0_CFG26, 0x4001165a +.set CYREG_B1_P3_U0_CFG27, 0x4001165b +.set CYREG_B1_P3_U0_CFG28, 0x4001165c +.set CYREG_B1_P3_U0_CFG29, 0x4001165d +.set CYREG_B1_P3_U0_CFG30, 0x4001165e +.set CYREG_B1_P3_U0_CFG31, 0x4001165f +.set CYREG_B1_P3_U0_DCFG0, 0x40011660 +.set CYREG_B1_P3_U0_DCFG1, 0x40011662 +.set CYREG_B1_P3_U0_DCFG2, 0x40011664 +.set CYREG_B1_P3_U0_DCFG3, 0x40011666 +.set CYREG_B1_P3_U0_DCFG4, 0x40011668 +.set CYREG_B1_P3_U0_DCFG5, 0x4001166a +.set CYREG_B1_P3_U0_DCFG6, 0x4001166c +.set CYREG_B1_P3_U0_DCFG7, 0x4001166e +.set CYDEV_UCFG_B1_P3_U1_BASE, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_SIZE, 0x00000070 +.set CYREG_B1_P3_U1_PLD_IT0, 0x40011680 +.set CYREG_B1_P3_U1_PLD_IT1, 0x40011684 +.set CYREG_B1_P3_U1_PLD_IT2, 0x40011688 +.set CYREG_B1_P3_U1_PLD_IT3, 0x4001168c +.set CYREG_B1_P3_U1_PLD_IT4, 0x40011690 +.set CYREG_B1_P3_U1_PLD_IT5, 0x40011694 +.set CYREG_B1_P3_U1_PLD_IT6, 0x40011698 +.set CYREG_B1_P3_U1_PLD_IT7, 0x4001169c +.set CYREG_B1_P3_U1_PLD_IT8, 0x400116a0 +.set CYREG_B1_P3_U1_PLD_IT9, 0x400116a4 +.set CYREG_B1_P3_U1_PLD_IT10, 0x400116a8 +.set CYREG_B1_P3_U1_PLD_IT11, 0x400116ac +.set CYREG_B1_P3_U1_PLD_ORT0, 0x400116b0 +.set CYREG_B1_P3_U1_PLD_ORT1, 0x400116b2 +.set CYREG_B1_P3_U1_PLD_ORT2, 0x400116b4 +.set CYREG_B1_P3_U1_PLD_ORT3, 0x400116b6 +.set CYREG_B1_P3_U1_MC_CFG_CEN_CONST, 0x400116b8 +.set CYREG_B1_P3_U1_MC_CFG_XORFB, 0x400116ba +.set CYREG_B1_P3_U1_MC_CFG_SET_RESET, 0x400116bc +.set CYREG_B1_P3_U1_MC_CFG_BYPASS, 0x400116be +.set CYREG_B1_P3_U1_CFG0, 0x400116c0 +.set CYREG_B1_P3_U1_CFG1, 0x400116c1 +.set CYREG_B1_P3_U1_CFG2, 0x400116c2 +.set CYREG_B1_P3_U1_CFG3, 0x400116c3 +.set CYREG_B1_P3_U1_CFG4, 0x400116c4 +.set CYREG_B1_P3_U1_CFG5, 0x400116c5 +.set CYREG_B1_P3_U1_CFG6, 0x400116c6 +.set CYREG_B1_P3_U1_CFG7, 0x400116c7 +.set CYREG_B1_P3_U1_CFG8, 0x400116c8 +.set CYREG_B1_P3_U1_CFG9, 0x400116c9 +.set CYREG_B1_P3_U1_CFG10, 0x400116ca +.set CYREG_B1_P3_U1_CFG11, 0x400116cb +.set CYREG_B1_P3_U1_CFG12, 0x400116cc +.set CYREG_B1_P3_U1_CFG13, 0x400116cd +.set CYREG_B1_P3_U1_CFG14, 0x400116ce +.set CYREG_B1_P3_U1_CFG15, 0x400116cf +.set CYREG_B1_P3_U1_CFG16, 0x400116d0 +.set CYREG_B1_P3_U1_CFG17, 0x400116d1 +.set CYREG_B1_P3_U1_CFG18, 0x400116d2 +.set CYREG_B1_P3_U1_CFG19, 0x400116d3 +.set CYREG_B1_P3_U1_CFG20, 0x400116d4 +.set CYREG_B1_P3_U1_CFG21, 0x400116d5 +.set CYREG_B1_P3_U1_CFG22, 0x400116d6 +.set CYREG_B1_P3_U1_CFG23, 0x400116d7 +.set CYREG_B1_P3_U1_CFG24, 0x400116d8 +.set CYREG_B1_P3_U1_CFG25, 0x400116d9 +.set CYREG_B1_P3_U1_CFG26, 0x400116da +.set CYREG_B1_P3_U1_CFG27, 0x400116db +.set CYREG_B1_P3_U1_CFG28, 0x400116dc +.set CYREG_B1_P3_U1_CFG29, 0x400116dd +.set CYREG_B1_P3_U1_CFG30, 0x400116de +.set CYREG_B1_P3_U1_CFG31, 0x400116df +.set CYREG_B1_P3_U1_DCFG0, 0x400116e0 +.set CYREG_B1_P3_U1_DCFG1, 0x400116e2 +.set CYREG_B1_P3_U1_DCFG2, 0x400116e4 +.set CYREG_B1_P3_U1_DCFG3, 0x400116e6 +.set CYREG_B1_P3_U1_DCFG4, 0x400116e8 +.set CYREG_B1_P3_U1_DCFG5, 0x400116ea +.set CYREG_B1_P3_U1_DCFG6, 0x400116ec +.set CYREG_B1_P3_U1_DCFG7, 0x400116ee +.set CYDEV_UCFG_B1_P3_ROUTE_BASE, 0x40011700 +.set CYDEV_UCFG_B1_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P4_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P4_U0_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_SIZE, 0x00000070 +.set CYREG_B1_P4_U0_PLD_IT0, 0x40011800 +.set CYREG_B1_P4_U0_PLD_IT1, 0x40011804 +.set CYREG_B1_P4_U0_PLD_IT2, 0x40011808 +.set CYREG_B1_P4_U0_PLD_IT3, 0x4001180c +.set CYREG_B1_P4_U0_PLD_IT4, 0x40011810 +.set CYREG_B1_P4_U0_PLD_IT5, 0x40011814 +.set CYREG_B1_P4_U0_PLD_IT6, 0x40011818 +.set CYREG_B1_P4_U0_PLD_IT7, 0x4001181c +.set CYREG_B1_P4_U0_PLD_IT8, 0x40011820 +.set CYREG_B1_P4_U0_PLD_IT9, 0x40011824 +.set CYREG_B1_P4_U0_PLD_IT10, 0x40011828 +.set CYREG_B1_P4_U0_PLD_IT11, 0x4001182c +.set CYREG_B1_P4_U0_PLD_ORT0, 0x40011830 +.set CYREG_B1_P4_U0_PLD_ORT1, 0x40011832 +.set CYREG_B1_P4_U0_PLD_ORT2, 0x40011834 +.set CYREG_B1_P4_U0_PLD_ORT3, 0x40011836 +.set CYREG_B1_P4_U0_MC_CFG_CEN_CONST, 0x40011838 +.set CYREG_B1_P4_U0_MC_CFG_XORFB, 0x4001183a +.set CYREG_B1_P4_U0_MC_CFG_SET_RESET, 0x4001183c +.set CYREG_B1_P4_U0_MC_CFG_BYPASS, 0x4001183e +.set CYREG_B1_P4_U0_CFG0, 0x40011840 +.set CYREG_B1_P4_U0_CFG1, 0x40011841 +.set CYREG_B1_P4_U0_CFG2, 0x40011842 +.set CYREG_B1_P4_U0_CFG3, 0x40011843 +.set CYREG_B1_P4_U0_CFG4, 0x40011844 +.set CYREG_B1_P4_U0_CFG5, 0x40011845 +.set CYREG_B1_P4_U0_CFG6, 0x40011846 +.set CYREG_B1_P4_U0_CFG7, 0x40011847 +.set CYREG_B1_P4_U0_CFG8, 0x40011848 +.set CYREG_B1_P4_U0_CFG9, 0x40011849 +.set CYREG_B1_P4_U0_CFG10, 0x4001184a +.set CYREG_B1_P4_U0_CFG11, 0x4001184b +.set CYREG_B1_P4_U0_CFG12, 0x4001184c +.set CYREG_B1_P4_U0_CFG13, 0x4001184d +.set CYREG_B1_P4_U0_CFG14, 0x4001184e +.set CYREG_B1_P4_U0_CFG15, 0x4001184f +.set CYREG_B1_P4_U0_CFG16, 0x40011850 +.set CYREG_B1_P4_U0_CFG17, 0x40011851 +.set CYREG_B1_P4_U0_CFG18, 0x40011852 +.set CYREG_B1_P4_U0_CFG19, 0x40011853 +.set CYREG_B1_P4_U0_CFG20, 0x40011854 +.set CYREG_B1_P4_U0_CFG21, 0x40011855 +.set CYREG_B1_P4_U0_CFG22, 0x40011856 +.set CYREG_B1_P4_U0_CFG23, 0x40011857 +.set CYREG_B1_P4_U0_CFG24, 0x40011858 +.set CYREG_B1_P4_U0_CFG25, 0x40011859 +.set CYREG_B1_P4_U0_CFG26, 0x4001185a +.set CYREG_B1_P4_U0_CFG27, 0x4001185b +.set CYREG_B1_P4_U0_CFG28, 0x4001185c +.set CYREG_B1_P4_U0_CFG29, 0x4001185d +.set CYREG_B1_P4_U0_CFG30, 0x4001185e +.set CYREG_B1_P4_U0_CFG31, 0x4001185f +.set CYREG_B1_P4_U0_DCFG0, 0x40011860 +.set CYREG_B1_P4_U0_DCFG1, 0x40011862 +.set CYREG_B1_P4_U0_DCFG2, 0x40011864 +.set CYREG_B1_P4_U0_DCFG3, 0x40011866 +.set CYREG_B1_P4_U0_DCFG4, 0x40011868 +.set CYREG_B1_P4_U0_DCFG5, 0x4001186a +.set CYREG_B1_P4_U0_DCFG6, 0x4001186c +.set CYREG_B1_P4_U0_DCFG7, 0x4001186e +.set CYDEV_UCFG_B1_P4_U1_BASE, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_SIZE, 0x00000070 +.set CYREG_B1_P4_U1_PLD_IT0, 0x40011880 +.set CYREG_B1_P4_U1_PLD_IT1, 0x40011884 +.set CYREG_B1_P4_U1_PLD_IT2, 0x40011888 +.set CYREG_B1_P4_U1_PLD_IT3, 0x4001188c +.set CYREG_B1_P4_U1_PLD_IT4, 0x40011890 +.set CYREG_B1_P4_U1_PLD_IT5, 0x40011894 +.set CYREG_B1_P4_U1_PLD_IT6, 0x40011898 +.set CYREG_B1_P4_U1_PLD_IT7, 0x4001189c +.set CYREG_B1_P4_U1_PLD_IT8, 0x400118a0 +.set CYREG_B1_P4_U1_PLD_IT9, 0x400118a4 +.set CYREG_B1_P4_U1_PLD_IT10, 0x400118a8 +.set CYREG_B1_P4_U1_PLD_IT11, 0x400118ac +.set CYREG_B1_P4_U1_PLD_ORT0, 0x400118b0 +.set CYREG_B1_P4_U1_PLD_ORT1, 0x400118b2 +.set CYREG_B1_P4_U1_PLD_ORT2, 0x400118b4 +.set CYREG_B1_P4_U1_PLD_ORT3, 0x400118b6 +.set CYREG_B1_P4_U1_MC_CFG_CEN_CONST, 0x400118b8 +.set CYREG_B1_P4_U1_MC_CFG_XORFB, 0x400118ba +.set CYREG_B1_P4_U1_MC_CFG_SET_RESET, 0x400118bc +.set CYREG_B1_P4_U1_MC_CFG_BYPASS, 0x400118be +.set CYREG_B1_P4_U1_CFG0, 0x400118c0 +.set CYREG_B1_P4_U1_CFG1, 0x400118c1 +.set CYREG_B1_P4_U1_CFG2, 0x400118c2 +.set CYREG_B1_P4_U1_CFG3, 0x400118c3 +.set CYREG_B1_P4_U1_CFG4, 0x400118c4 +.set CYREG_B1_P4_U1_CFG5, 0x400118c5 +.set CYREG_B1_P4_U1_CFG6, 0x400118c6 +.set CYREG_B1_P4_U1_CFG7, 0x400118c7 +.set CYREG_B1_P4_U1_CFG8, 0x400118c8 +.set CYREG_B1_P4_U1_CFG9, 0x400118c9 +.set CYREG_B1_P4_U1_CFG10, 0x400118ca +.set CYREG_B1_P4_U1_CFG11, 0x400118cb +.set CYREG_B1_P4_U1_CFG12, 0x400118cc +.set CYREG_B1_P4_U1_CFG13, 0x400118cd +.set CYREG_B1_P4_U1_CFG14, 0x400118ce +.set CYREG_B1_P4_U1_CFG15, 0x400118cf +.set CYREG_B1_P4_U1_CFG16, 0x400118d0 +.set CYREG_B1_P4_U1_CFG17, 0x400118d1 +.set CYREG_B1_P4_U1_CFG18, 0x400118d2 +.set CYREG_B1_P4_U1_CFG19, 0x400118d3 +.set CYREG_B1_P4_U1_CFG20, 0x400118d4 +.set CYREG_B1_P4_U1_CFG21, 0x400118d5 +.set CYREG_B1_P4_U1_CFG22, 0x400118d6 +.set CYREG_B1_P4_U1_CFG23, 0x400118d7 +.set CYREG_B1_P4_U1_CFG24, 0x400118d8 +.set CYREG_B1_P4_U1_CFG25, 0x400118d9 +.set CYREG_B1_P4_U1_CFG26, 0x400118da +.set CYREG_B1_P4_U1_CFG27, 0x400118db +.set CYREG_B1_P4_U1_CFG28, 0x400118dc +.set CYREG_B1_P4_U1_CFG29, 0x400118dd +.set CYREG_B1_P4_U1_CFG30, 0x400118de +.set CYREG_B1_P4_U1_CFG31, 0x400118df +.set CYREG_B1_P4_U1_DCFG0, 0x400118e0 +.set CYREG_B1_P4_U1_DCFG1, 0x400118e2 +.set CYREG_B1_P4_U1_DCFG2, 0x400118e4 +.set CYREG_B1_P4_U1_DCFG3, 0x400118e6 +.set CYREG_B1_P4_U1_DCFG4, 0x400118e8 +.set CYREG_B1_P4_U1_DCFG5, 0x400118ea +.set CYREG_B1_P4_U1_DCFG6, 0x400118ec +.set CYREG_B1_P4_U1_DCFG7, 0x400118ee +.set CYDEV_UCFG_B1_P4_ROUTE_BASE, 0x40011900 +.set CYDEV_UCFG_B1_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P5_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P5_U0_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_SIZE, 0x00000070 +.set CYREG_B1_P5_U0_PLD_IT0, 0x40011a00 +.set CYREG_B1_P5_U0_PLD_IT1, 0x40011a04 +.set CYREG_B1_P5_U0_PLD_IT2, 0x40011a08 +.set CYREG_B1_P5_U0_PLD_IT3, 0x40011a0c +.set CYREG_B1_P5_U0_PLD_IT4, 0x40011a10 +.set CYREG_B1_P5_U0_PLD_IT5, 0x40011a14 +.set CYREG_B1_P5_U0_PLD_IT6, 0x40011a18 +.set CYREG_B1_P5_U0_PLD_IT7, 0x40011a1c +.set CYREG_B1_P5_U0_PLD_IT8, 0x40011a20 +.set CYREG_B1_P5_U0_PLD_IT9, 0x40011a24 +.set CYREG_B1_P5_U0_PLD_IT10, 0x40011a28 +.set CYREG_B1_P5_U0_PLD_IT11, 0x40011a2c +.set CYREG_B1_P5_U0_PLD_ORT0, 0x40011a30 +.set CYREG_B1_P5_U0_PLD_ORT1, 0x40011a32 +.set CYREG_B1_P5_U0_PLD_ORT2, 0x40011a34 +.set CYREG_B1_P5_U0_PLD_ORT3, 0x40011a36 +.set CYREG_B1_P5_U0_MC_CFG_CEN_CONST, 0x40011a38 +.set CYREG_B1_P5_U0_MC_CFG_XORFB, 0x40011a3a +.set CYREG_B1_P5_U0_MC_CFG_SET_RESET, 0x40011a3c +.set CYREG_B1_P5_U0_MC_CFG_BYPASS, 0x40011a3e +.set CYREG_B1_P5_U0_CFG0, 0x40011a40 +.set CYREG_B1_P5_U0_CFG1, 0x40011a41 +.set CYREG_B1_P5_U0_CFG2, 0x40011a42 +.set CYREG_B1_P5_U0_CFG3, 0x40011a43 +.set CYREG_B1_P5_U0_CFG4, 0x40011a44 +.set CYREG_B1_P5_U0_CFG5, 0x40011a45 +.set CYREG_B1_P5_U0_CFG6, 0x40011a46 +.set CYREG_B1_P5_U0_CFG7, 0x40011a47 +.set CYREG_B1_P5_U0_CFG8, 0x40011a48 +.set CYREG_B1_P5_U0_CFG9, 0x40011a49 +.set CYREG_B1_P5_U0_CFG10, 0x40011a4a +.set CYREG_B1_P5_U0_CFG11, 0x40011a4b +.set CYREG_B1_P5_U0_CFG12, 0x40011a4c +.set CYREG_B1_P5_U0_CFG13, 0x40011a4d +.set CYREG_B1_P5_U0_CFG14, 0x40011a4e +.set CYREG_B1_P5_U0_CFG15, 0x40011a4f +.set CYREG_B1_P5_U0_CFG16, 0x40011a50 +.set CYREG_B1_P5_U0_CFG17, 0x40011a51 +.set CYREG_B1_P5_U0_CFG18, 0x40011a52 +.set CYREG_B1_P5_U0_CFG19, 0x40011a53 +.set CYREG_B1_P5_U0_CFG20, 0x40011a54 +.set CYREG_B1_P5_U0_CFG21, 0x40011a55 +.set CYREG_B1_P5_U0_CFG22, 0x40011a56 +.set CYREG_B1_P5_U0_CFG23, 0x40011a57 +.set CYREG_B1_P5_U0_CFG24, 0x40011a58 +.set CYREG_B1_P5_U0_CFG25, 0x40011a59 +.set CYREG_B1_P5_U0_CFG26, 0x40011a5a +.set CYREG_B1_P5_U0_CFG27, 0x40011a5b +.set CYREG_B1_P5_U0_CFG28, 0x40011a5c +.set CYREG_B1_P5_U0_CFG29, 0x40011a5d +.set CYREG_B1_P5_U0_CFG30, 0x40011a5e +.set CYREG_B1_P5_U0_CFG31, 0x40011a5f +.set CYREG_B1_P5_U0_DCFG0, 0x40011a60 +.set CYREG_B1_P5_U0_DCFG1, 0x40011a62 +.set CYREG_B1_P5_U0_DCFG2, 0x40011a64 +.set CYREG_B1_P5_U0_DCFG3, 0x40011a66 +.set CYREG_B1_P5_U0_DCFG4, 0x40011a68 +.set CYREG_B1_P5_U0_DCFG5, 0x40011a6a +.set CYREG_B1_P5_U0_DCFG6, 0x40011a6c +.set CYREG_B1_P5_U0_DCFG7, 0x40011a6e +.set CYDEV_UCFG_B1_P5_U1_BASE, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_SIZE, 0x00000070 +.set CYREG_B1_P5_U1_PLD_IT0, 0x40011a80 +.set CYREG_B1_P5_U1_PLD_IT1, 0x40011a84 +.set CYREG_B1_P5_U1_PLD_IT2, 0x40011a88 +.set CYREG_B1_P5_U1_PLD_IT3, 0x40011a8c +.set CYREG_B1_P5_U1_PLD_IT4, 0x40011a90 +.set CYREG_B1_P5_U1_PLD_IT5, 0x40011a94 +.set CYREG_B1_P5_U1_PLD_IT6, 0x40011a98 +.set CYREG_B1_P5_U1_PLD_IT7, 0x40011a9c +.set CYREG_B1_P5_U1_PLD_IT8, 0x40011aa0 +.set CYREG_B1_P5_U1_PLD_IT9, 0x40011aa4 +.set CYREG_B1_P5_U1_PLD_IT10, 0x40011aa8 +.set CYREG_B1_P5_U1_PLD_IT11, 0x40011aac +.set CYREG_B1_P5_U1_PLD_ORT0, 0x40011ab0 +.set CYREG_B1_P5_U1_PLD_ORT1, 0x40011ab2 +.set CYREG_B1_P5_U1_PLD_ORT2, 0x40011ab4 +.set CYREG_B1_P5_U1_PLD_ORT3, 0x40011ab6 +.set CYREG_B1_P5_U1_MC_CFG_CEN_CONST, 0x40011ab8 +.set CYREG_B1_P5_U1_MC_CFG_XORFB, 0x40011aba +.set CYREG_B1_P5_U1_MC_CFG_SET_RESET, 0x40011abc +.set CYREG_B1_P5_U1_MC_CFG_BYPASS, 0x40011abe +.set CYREG_B1_P5_U1_CFG0, 0x40011ac0 +.set CYREG_B1_P5_U1_CFG1, 0x40011ac1 +.set CYREG_B1_P5_U1_CFG2, 0x40011ac2 +.set CYREG_B1_P5_U1_CFG3, 0x40011ac3 +.set CYREG_B1_P5_U1_CFG4, 0x40011ac4 +.set CYREG_B1_P5_U1_CFG5, 0x40011ac5 +.set CYREG_B1_P5_U1_CFG6, 0x40011ac6 +.set CYREG_B1_P5_U1_CFG7, 0x40011ac7 +.set CYREG_B1_P5_U1_CFG8, 0x40011ac8 +.set CYREG_B1_P5_U1_CFG9, 0x40011ac9 +.set CYREG_B1_P5_U1_CFG10, 0x40011aca +.set CYREG_B1_P5_U1_CFG11, 0x40011acb +.set CYREG_B1_P5_U1_CFG12, 0x40011acc +.set CYREG_B1_P5_U1_CFG13, 0x40011acd +.set CYREG_B1_P5_U1_CFG14, 0x40011ace +.set CYREG_B1_P5_U1_CFG15, 0x40011acf +.set CYREG_B1_P5_U1_CFG16, 0x40011ad0 +.set CYREG_B1_P5_U1_CFG17, 0x40011ad1 +.set CYREG_B1_P5_U1_CFG18, 0x40011ad2 +.set CYREG_B1_P5_U1_CFG19, 0x40011ad3 +.set CYREG_B1_P5_U1_CFG20, 0x40011ad4 +.set CYREG_B1_P5_U1_CFG21, 0x40011ad5 +.set CYREG_B1_P5_U1_CFG22, 0x40011ad6 +.set CYREG_B1_P5_U1_CFG23, 0x40011ad7 +.set CYREG_B1_P5_U1_CFG24, 0x40011ad8 +.set CYREG_B1_P5_U1_CFG25, 0x40011ad9 +.set CYREG_B1_P5_U1_CFG26, 0x40011ada +.set CYREG_B1_P5_U1_CFG27, 0x40011adb +.set CYREG_B1_P5_U1_CFG28, 0x40011adc +.set CYREG_B1_P5_U1_CFG29, 0x40011add +.set CYREG_B1_P5_U1_CFG30, 0x40011ade +.set CYREG_B1_P5_U1_CFG31, 0x40011adf +.set CYREG_B1_P5_U1_DCFG0, 0x40011ae0 +.set CYREG_B1_P5_U1_DCFG1, 0x40011ae2 +.set CYREG_B1_P5_U1_DCFG2, 0x40011ae4 +.set CYREG_B1_P5_U1_DCFG3, 0x40011ae6 +.set CYREG_B1_P5_U1_DCFG4, 0x40011ae8 +.set CYREG_B1_P5_U1_DCFG5, 0x40011aea +.set CYREG_B1_P5_U1_DCFG6, 0x40011aec +.set CYREG_B1_P5_U1_DCFG7, 0x40011aee +.set CYDEV_UCFG_B1_P5_ROUTE_BASE, 0x40011b00 +.set CYDEV_UCFG_B1_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI0_BASE, 0x40014000 +.set CYDEV_UCFG_DSI0_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI1_BASE, 0x40014100 +.set CYDEV_UCFG_DSI1_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI2_BASE, 0x40014200 +.set CYDEV_UCFG_DSI2_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI3_BASE, 0x40014300 +.set CYDEV_UCFG_DSI3_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI4_BASE, 0x40014400 +.set CYDEV_UCFG_DSI4_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI5_BASE, 0x40014500 +.set CYDEV_UCFG_DSI5_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI6_BASE, 0x40014600 +.set CYDEV_UCFG_DSI6_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI7_BASE, 0x40014700 +.set CYDEV_UCFG_DSI7_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI8_BASE, 0x40014800 +.set CYDEV_UCFG_DSI8_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI9_BASE, 0x40014900 +.set CYDEV_UCFG_DSI9_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI12_BASE, 0x40014c00 +.set CYDEV_UCFG_DSI12_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI13_BASE, 0x40014d00 +.set CYDEV_UCFG_DSI13_SIZE, 0x000000ef +.set CYDEV_UCFG_BCTL0_BASE, 0x40015000 +.set CYDEV_UCFG_BCTL0_SIZE, 0x00000010 +.set CYREG_BCTL0_MDCLK_EN, 0x40015000 +.set CYREG_BCTL0_MBCLK_EN, 0x40015001 +.set CYREG_BCTL0_WAIT_CFG, 0x40015002 +.set CYREG_BCTL0_BANK_CTL, 0x40015003 +.set CYREG_BCTL0_UDB_TEST_3, 0x40015007 +.set CYREG_BCTL0_DCLK_EN0, 0x40015008 +.set CYREG_BCTL0_BCLK_EN0, 0x40015009 +.set CYREG_BCTL0_DCLK_EN1, 0x4001500a +.set CYREG_BCTL0_BCLK_EN1, 0x4001500b +.set CYREG_BCTL0_DCLK_EN2, 0x4001500c +.set CYREG_BCTL0_BCLK_EN2, 0x4001500d +.set CYREG_BCTL0_DCLK_EN3, 0x4001500e +.set CYREG_BCTL0_BCLK_EN3, 0x4001500f +.set CYDEV_UCFG_BCTL1_BASE, 0x40015010 +.set CYDEV_UCFG_BCTL1_SIZE, 0x00000010 +.set CYREG_BCTL1_MDCLK_EN, 0x40015010 +.set CYREG_BCTL1_MBCLK_EN, 0x40015011 +.set CYREG_BCTL1_WAIT_CFG, 0x40015012 +.set CYREG_BCTL1_BANK_CTL, 0x40015013 +.set CYREG_BCTL1_UDB_TEST_3, 0x40015017 +.set CYREG_BCTL1_DCLK_EN0, 0x40015018 +.set CYREG_BCTL1_BCLK_EN0, 0x40015019 +.set CYREG_BCTL1_DCLK_EN1, 0x4001501a +.set CYREG_BCTL1_BCLK_EN1, 0x4001501b +.set CYREG_BCTL1_DCLK_EN2, 0x4001501c +.set CYREG_BCTL1_BCLK_EN2, 0x4001501d +.set CYREG_BCTL1_DCLK_EN3, 0x4001501e +.set CYREG_BCTL1_BCLK_EN3, 0x4001501f +.set CYDEV_IDMUX_BASE, 0x40015100 +.set CYDEV_IDMUX_SIZE, 0x00000016 +.set CYREG_IDMUX_IRQ_CTL0, 0x40015100 +.set CYREG_IDMUX_IRQ_CTL1, 0x40015101 +.set CYREG_IDMUX_IRQ_CTL2, 0x40015102 +.set CYREG_IDMUX_IRQ_CTL3, 0x40015103 +.set CYREG_IDMUX_IRQ_CTL4, 0x40015104 +.set CYREG_IDMUX_IRQ_CTL5, 0x40015105 +.set CYREG_IDMUX_IRQ_CTL6, 0x40015106 +.set CYREG_IDMUX_IRQ_CTL7, 0x40015107 +.set CYREG_IDMUX_DRQ_CTL0, 0x40015110 +.set CYREG_IDMUX_DRQ_CTL1, 0x40015111 +.set CYREG_IDMUX_DRQ_CTL2, 0x40015112 +.set CYREG_IDMUX_DRQ_CTL3, 0x40015113 +.set CYREG_IDMUX_DRQ_CTL4, 0x40015114 +.set CYREG_IDMUX_DRQ_CTL5, 0x40015115 +.set CYDEV_CACHERAM_BASE, 0x40030000 +.set CYDEV_CACHERAM_SIZE, 0x00000400 +.set CYREG_CACHERAM_DATA_MBASE, 0x40030000 +.set CYREG_CACHERAM_DATA_MSIZE, 0x00000400 +.set CYDEV_SFR_BASE, 0x40050100 +.set CYDEV_SFR_SIZE, 0x000000fb +.set CYREG_SFR_GPIO0, 0x40050180 +.set CYREG_SFR_GPIRD0, 0x40050189 +.set CYREG_SFR_GPIO0_SEL, 0x4005018a +.set CYREG_SFR_GPIO1, 0x40050190 +.set CYREG_SFR_GPIRD1, 0x40050191 +.set CYREG_SFR_GPIO2, 0x40050198 +.set CYREG_SFR_GPIRD2, 0x40050199 +.set CYREG_SFR_GPIO2_SEL, 0x4005019a +.set CYREG_SFR_GPIO1_SEL, 0x400501a2 +.set CYREG_SFR_GPIO3, 0x400501b0 +.set CYREG_SFR_GPIRD3, 0x400501b1 +.set CYREG_SFR_GPIO3_SEL, 0x400501b2 +.set CYREG_SFR_GPIO4, 0x400501c0 +.set CYREG_SFR_GPIRD4, 0x400501c1 +.set CYREG_SFR_GPIO4_SEL, 0x400501c2 +.set CYREG_SFR_GPIO5, 0x400501c8 +.set CYREG_SFR_GPIRD5, 0x400501c9 +.set CYREG_SFR_GPIO5_SEL, 0x400501ca +.set CYREG_SFR_GPIO6, 0x400501d8 +.set CYREG_SFR_GPIRD6, 0x400501d9 +.set CYREG_SFR_GPIO6_SEL, 0x400501da +.set CYREG_SFR_GPIO12, 0x400501e8 +.set CYREG_SFR_GPIRD12, 0x400501e9 +.set CYREG_SFR_GPIO12_SEL, 0x400501f2 +.set CYREG_SFR_GPIO15, 0x400501f8 +.set CYREG_SFR_GPIRD15, 0x400501f9 +.set CYREG_SFR_GPIO15_SEL, 0x400501fa +.set CYDEV_P3BA_BASE, 0x40050300 +.set CYDEV_P3BA_SIZE, 0x0000002b +.set CYREG_P3BA_Y_START, 0x40050300 +.set CYREG_P3BA_YROLL, 0x40050301 +.set CYREG_P3BA_YCFG, 0x40050302 +.set CYREG_P3BA_X_START1, 0x40050303 +.set CYREG_P3BA_X_START2, 0x40050304 +.set CYREG_P3BA_XROLL1, 0x40050305 +.set CYREG_P3BA_XROLL2, 0x40050306 +.set CYREG_P3BA_XINC, 0x40050307 +.set CYREG_P3BA_XCFG, 0x40050308 +.set CYREG_P3BA_OFFSETADDR1, 0x40050309 +.set CYREG_P3BA_OFFSETADDR2, 0x4005030a +.set CYREG_P3BA_OFFSETADDR3, 0x4005030b +.set CYREG_P3BA_ABSADDR1, 0x4005030c +.set CYREG_P3BA_ABSADDR2, 0x4005030d +.set CYREG_P3BA_ABSADDR3, 0x4005030e +.set CYREG_P3BA_ABSADDR4, 0x4005030f +.set CYREG_P3BA_DATCFG1, 0x40050310 +.set CYREG_P3BA_DATCFG2, 0x40050311 +.set CYREG_P3BA_CMP_RSLT1, 0x40050314 +.set CYREG_P3BA_CMP_RSLT2, 0x40050315 +.set CYREG_P3BA_CMP_RSLT3, 0x40050316 +.set CYREG_P3BA_CMP_RSLT4, 0x40050317 +.set CYREG_P3BA_DATA_REG1, 0x40050318 +.set CYREG_P3BA_DATA_REG2, 0x40050319 +.set CYREG_P3BA_DATA_REG3, 0x4005031a +.set CYREG_P3BA_DATA_REG4, 0x4005031b +.set CYREG_P3BA_EXP_DATA1, 0x4005031c +.set CYREG_P3BA_EXP_DATA2, 0x4005031d +.set CYREG_P3BA_EXP_DATA3, 0x4005031e +.set CYREG_P3BA_EXP_DATA4, 0x4005031f +.set CYREG_P3BA_MSTR_HRDATA1, 0x40050320 +.set CYREG_P3BA_MSTR_HRDATA2, 0x40050321 +.set CYREG_P3BA_MSTR_HRDATA3, 0x40050322 +.set CYREG_P3BA_MSTR_HRDATA4, 0x40050323 +.set CYREG_P3BA_BIST_EN, 0x40050324 +.set CYREG_P3BA_PHUB_MASTER_SSR, 0x40050325 +.set CYREG_P3BA_SEQCFG1, 0x40050326 +.set CYREG_P3BA_SEQCFG2, 0x40050327 +.set CYREG_P3BA_Y_CURR, 0x40050328 +.set CYREG_P3BA_X_CURR1, 0x40050329 +.set CYREG_P3BA_X_CURR2, 0x4005032a +.set CYDEV_PANTHER_BASE, 0x40080000 +.set CYDEV_PANTHER_SIZE, 0x00000020 +.set CYREG_PANTHER_STCALIB_CFG, 0x40080000 +.set CYREG_PANTHER_WAITPIPE, 0x40080004 +.set CYREG_PANTHER_TRACE_CFG, 0x40080008 +.set CYREG_PANTHER_DBG_CFG, 0x4008000c +.set CYREG_PANTHER_CM3_LCKRST_STAT, 0x40080018 +.set CYREG_PANTHER_DEVICE_ID, 0x4008001c +.set CYDEV_FLSECC_BASE, 0x48000000 +.set CYDEV_FLSECC_SIZE, 0x00008000 +.set CYREG_FLSECC_DATA_MBASE, 0x48000000 +.set CYREG_FLSECC_DATA_MSIZE, 0x00008000 +.set CYDEV_FLSHID_BASE, 0x49000000 +.set CYDEV_FLSHID_SIZE, 0x00000200 +.set CYREG_FLSHID_RSVD_MBASE, 0x49000000 +.set CYREG_FLSHID_RSVD_MSIZE, 0x00000080 +.set CYREG_FLSHID_CUST_MDATA_MBASE, 0x49000080 +.set CYREG_FLSHID_CUST_MDATA_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_TABLES_BASE, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_SIZE, 0x00000040 +.set CYREG_FLSHID_CUST_TABLES_Y_LOC, 0x49000100 +.set CYREG_FLSHID_CUST_TABLES_X_LOC, 0x49000101 +.set CYREG_FLSHID_CUST_TABLES_WAFER_NUM, 0x49000102 +.set CYREG_FLSHID_CUST_TABLES_LOT_LSB, 0x49000103 +.set CYREG_FLSHID_CUST_TABLES_LOT_MSB, 0x49000104 +.set CYREG_FLSHID_CUST_TABLES_WRK_WK, 0x49000105 +.set CYREG_FLSHID_CUST_TABLES_FAB_YR, 0x49000106 +.set CYREG_FLSHID_CUST_TABLES_MINOR, 0x49000107 +.set CYREG_FLSHID_CUST_TABLES_IMO_3MHZ, 0x49000108 +.set CYREG_FLSHID_CUST_TABLES_IMO_6MHZ, 0x49000109 +.set CYREG_FLSHID_CUST_TABLES_IMO_12MHZ, 0x4900010a +.set CYREG_FLSHID_CUST_TABLES_IMO_24MHZ, 0x4900010b +.set CYREG_FLSHID_CUST_TABLES_IMO_67MHZ, 0x4900010c +.set CYREG_FLSHID_CUST_TABLES_IMO_80MHZ, 0x4900010d +.set CYREG_FLSHID_CUST_TABLES_IMO_92MHZ, 0x4900010e +.set CYREG_FLSHID_CUST_TABLES_IMO_USB, 0x4900010f +.set CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS, 0x49000110 +.set CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS, 0x49000111 +.set CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS, 0x49000112 +.set CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS, 0x49000113 +.set CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS, 0x49000114 +.set CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS, 0x49000115 +.set CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS, 0x49000116 +.set CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS, 0x49000117 +.set CYREG_FLSHID_CUST_TABLES_DEC_M1, 0x49000118 +.set CYREG_FLSHID_CUST_TABLES_DEC_M2, 0x49000119 +.set CYREG_FLSHID_CUST_TABLES_DEC_M3, 0x4900011a +.set CYREG_FLSHID_CUST_TABLES_DEC_M4, 0x4900011b +.set CYREG_FLSHID_CUST_TABLES_DEC_M5, 0x4900011c +.set CYREG_FLSHID_CUST_TABLES_DEC_M6, 0x4900011d +.set CYREG_FLSHID_CUST_TABLES_DEC_M7, 0x4900011e +.set CYREG_FLSHID_CUST_TABLES_DEC_M8, 0x4900011f +.set CYREG_FLSHID_CUST_TABLES_DAC0_M1, 0x49000120 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M2, 0x49000121 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M3, 0x49000122 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M4, 0x49000123 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M5, 0x49000124 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M6, 0x49000125 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M7, 0x49000126 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M8, 0x49000127 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M1, 0x49000128 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M2, 0x49000129 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M3, 0x4900012a +.set CYREG_FLSHID_CUST_TABLES_DAC2_M4, 0x4900012b +.set CYREG_FLSHID_CUST_TABLES_DAC2_M5, 0x4900012c +.set CYREG_FLSHID_CUST_TABLES_DAC2_M6, 0x4900012d +.set CYREG_FLSHID_CUST_TABLES_DAC2_M7, 0x4900012e +.set CYREG_FLSHID_CUST_TABLES_DAC2_M8, 0x4900012f +.set CYREG_FLSHID_CUST_TABLES_DAC1_M1, 0x49000130 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M2, 0x49000131 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M3, 0x49000132 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M4, 0x49000133 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M5, 0x49000134 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M6, 0x49000135 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M7, 0x49000136 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M8, 0x49000137 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M1, 0x49000138 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M2, 0x49000139 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M3, 0x4900013a +.set CYREG_FLSHID_CUST_TABLES_DAC3_M4, 0x4900013b +.set CYREG_FLSHID_CUST_TABLES_DAC3_M5, 0x4900013c +.set CYREG_FLSHID_CUST_TABLES_DAC3_M6, 0x4900013d +.set CYREG_FLSHID_CUST_TABLES_DAC3_M7, 0x4900013e +.set CYREG_FLSHID_CUST_TABLES_DAC3_M8, 0x4900013f +.set CYDEV_FLSHID_MFG_CFG_BASE, 0x49000180 +.set CYDEV_FLSHID_MFG_CFG_SIZE, 0x00000080 +.set CYREG_FLSHID_MFG_CFG_IMO_TR1, 0x49000188 +.set CYREG_FLSHID_MFG_CFG_CMP0_TR0, 0x490001ac +.set CYREG_FLSHID_MFG_CFG_CMP1_TR0, 0x490001ae +.set CYREG_FLSHID_MFG_CFG_CMP2_TR0, 0x490001b0 +.set CYREG_FLSHID_MFG_CFG_CMP3_TR0, 0x490001b2 +.set CYREG_FLSHID_MFG_CFG_CMP0_TR1, 0x490001b4 +.set CYREG_FLSHID_MFG_CFG_CMP1_TR1, 0x490001b6 +.set CYREG_FLSHID_MFG_CFG_CMP2_TR1, 0x490001b8 +.set CYREG_FLSHID_MFG_CFG_CMP3_TR1, 0x490001ba +.set CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM, 0x490001ce +.set CYDEV_EXTMEM_BASE, 0x60000000 +.set CYDEV_EXTMEM_SIZE, 0x00800000 +.set CYREG_EXTMEM_DATA_MBASE, 0x60000000 +.set CYREG_EXTMEM_DATA_MSIZE, 0x00800000 +.set CYDEV_ITM_BASE, 0xe0000000 +.set CYDEV_ITM_SIZE, 0x00001000 +.set CYREG_ITM_TRACE_EN, 0xe0000e00 +.set CYREG_ITM_TRACE_PRIVILEGE, 0xe0000e40 +.set CYREG_ITM_TRACE_CTRL, 0xe0000e80 +.set CYREG_ITM_LOCK_ACCESS, 0xe0000fb0 +.set CYREG_ITM_LOCK_STATUS, 0xe0000fb4 +.set CYREG_ITM_PID4, 0xe0000fd0 +.set CYREG_ITM_PID5, 0xe0000fd4 +.set CYREG_ITM_PID6, 0xe0000fd8 +.set CYREG_ITM_PID7, 0xe0000fdc +.set CYREG_ITM_PID0, 0xe0000fe0 +.set CYREG_ITM_PID1, 0xe0000fe4 +.set CYREG_ITM_PID2, 0xe0000fe8 +.set CYREG_ITM_PID3, 0xe0000fec +.set CYREG_ITM_CID0, 0xe0000ff0 +.set CYREG_ITM_CID1, 0xe0000ff4 +.set CYREG_ITM_CID2, 0xe0000ff8 +.set CYREG_ITM_CID3, 0xe0000ffc +.set CYDEV_DWT_BASE, 0xe0001000 +.set CYDEV_DWT_SIZE, 0x0000005c +.set CYREG_DWT_CTRL, 0xe0001000 +.set CYREG_DWT_CYCLE_COUNT, 0xe0001004 +.set CYREG_DWT_CPI_COUNT, 0xe0001008 +.set CYREG_DWT_EXC_OVHD_COUNT, 0xe000100c +.set CYREG_DWT_SLEEP_COUNT, 0xe0001010 +.set CYREG_DWT_LSU_COUNT, 0xe0001014 +.set CYREG_DWT_FOLD_COUNT, 0xe0001018 +.set CYREG_DWT_PC_SAMPLE, 0xe000101c +.set CYREG_DWT_COMP_0, 0xe0001020 +.set CYREG_DWT_MASK_0, 0xe0001024 +.set CYREG_DWT_FUNCTION_0, 0xe0001028 +.set CYREG_DWT_COMP_1, 0xe0001030 +.set CYREG_DWT_MASK_1, 0xe0001034 +.set CYREG_DWT_FUNCTION_1, 0xe0001038 +.set CYREG_DWT_COMP_2, 0xe0001040 +.set CYREG_DWT_MASK_2, 0xe0001044 +.set CYREG_DWT_FUNCTION_2, 0xe0001048 +.set CYREG_DWT_COMP_3, 0xe0001050 +.set CYREG_DWT_MASK_3, 0xe0001054 +.set CYREG_DWT_FUNCTION_3, 0xe0001058 +.set CYDEV_FPB_BASE, 0xe0002000 +.set CYDEV_FPB_SIZE, 0x00001000 +.set CYREG_FPB_CTRL, 0xe0002000 +.set CYREG_FPB_REMAP, 0xe0002004 +.set CYREG_FPB_FP_COMP_0, 0xe0002008 +.set CYREG_FPB_FP_COMP_1, 0xe000200c +.set CYREG_FPB_FP_COMP_2, 0xe0002010 +.set CYREG_FPB_FP_COMP_3, 0xe0002014 +.set CYREG_FPB_FP_COMP_4, 0xe0002018 +.set CYREG_FPB_FP_COMP_5, 0xe000201c +.set CYREG_FPB_FP_COMP_6, 0xe0002020 +.set CYREG_FPB_FP_COMP_7, 0xe0002024 +.set CYREG_FPB_PID4, 0xe0002fd0 +.set CYREG_FPB_PID5, 0xe0002fd4 +.set CYREG_FPB_PID6, 0xe0002fd8 +.set CYREG_FPB_PID7, 0xe0002fdc +.set CYREG_FPB_PID0, 0xe0002fe0 +.set CYREG_FPB_PID1, 0xe0002fe4 +.set CYREG_FPB_PID2, 0xe0002fe8 +.set CYREG_FPB_PID3, 0xe0002fec +.set CYREG_FPB_CID0, 0xe0002ff0 +.set CYREG_FPB_CID1, 0xe0002ff4 +.set CYREG_FPB_CID2, 0xe0002ff8 +.set CYREG_FPB_CID3, 0xe0002ffc +.set CYDEV_NVIC_BASE, 0xe000e000 +.set CYDEV_NVIC_SIZE, 0x00000d3c +.set CYREG_NVIC_INT_CTL_TYPE, 0xe000e004 +.set CYREG_NVIC_SYSTICK_CTL, 0xe000e010 +.set CYREG_NVIC_SYSTICK_RELOAD, 0xe000e014 +.set CYREG_NVIC_SYSTICK_CURRENT, 0xe000e018 +.set CYREG_NVIC_SYSTICK_CAL, 0xe000e01c +.set CYREG_NVIC_SETENA0, 0xe000e100 +.set CYREG_NVIC_CLRENA0, 0xe000e180 +.set CYREG_NVIC_SETPEND0, 0xe000e200 +.set CYREG_NVIC_CLRPEND0, 0xe000e280 +.set CYREG_NVIC_ACTIVE0, 0xe000e300 +.set CYREG_NVIC_PRI_0, 0xe000e400 +.set CYREG_NVIC_PRI_1, 0xe000e401 +.set CYREG_NVIC_PRI_2, 0xe000e402 +.set CYREG_NVIC_PRI_3, 0xe000e403 +.set CYREG_NVIC_PRI_4, 0xe000e404 +.set CYREG_NVIC_PRI_5, 0xe000e405 +.set CYREG_NVIC_PRI_6, 0xe000e406 +.set CYREG_NVIC_PRI_7, 0xe000e407 +.set CYREG_NVIC_PRI_8, 0xe000e408 +.set CYREG_NVIC_PRI_9, 0xe000e409 +.set CYREG_NVIC_PRI_10, 0xe000e40a +.set CYREG_NVIC_PRI_11, 0xe000e40b +.set CYREG_NVIC_PRI_12, 0xe000e40c +.set CYREG_NVIC_PRI_13, 0xe000e40d +.set CYREG_NVIC_PRI_14, 0xe000e40e +.set CYREG_NVIC_PRI_15, 0xe000e40f +.set CYREG_NVIC_PRI_16, 0xe000e410 +.set CYREG_NVIC_PRI_17, 0xe000e411 +.set CYREG_NVIC_PRI_18, 0xe000e412 +.set CYREG_NVIC_PRI_19, 0xe000e413 +.set CYREG_NVIC_PRI_20, 0xe000e414 +.set CYREG_NVIC_PRI_21, 0xe000e415 +.set CYREG_NVIC_PRI_22, 0xe000e416 +.set CYREG_NVIC_PRI_23, 0xe000e417 +.set CYREG_NVIC_PRI_24, 0xe000e418 +.set CYREG_NVIC_PRI_25, 0xe000e419 +.set CYREG_NVIC_PRI_26, 0xe000e41a +.set CYREG_NVIC_PRI_27, 0xe000e41b +.set CYREG_NVIC_PRI_28, 0xe000e41c +.set CYREG_NVIC_PRI_29, 0xe000e41d +.set CYREG_NVIC_PRI_30, 0xe000e41e +.set CYREG_NVIC_PRI_31, 0xe000e41f +.set CYREG_NVIC_CPUID_BASE, 0xe000ed00 +.set CYREG_NVIC_INTR_CTRL_STATE, 0xe000ed04 +.set CYREG_NVIC_VECT_OFFSET, 0xe000ed08 +.set CYREG_NVIC_APPLN_INTR, 0xe000ed0c +.set CYREG_NVIC_SYSTEM_CONTROL, 0xe000ed10 +.set CYREG_NVIC_CFG_CONTROL, 0xe000ed14 +.set CYREG_NVIC_SYS_PRIO_HANDLER_4_7, 0xe000ed18 +.set CYREG_NVIC_SYS_PRIO_HANDLER_8_11, 0xe000ed1c +.set CYREG_NVIC_SYS_PRIO_HANDLER_12_15, 0xe000ed20 +.set CYREG_NVIC_SYS_HANDLER_CSR, 0xe000ed24 +.set CYREG_NVIC_MEMMAN_FAULT_STATUS, 0xe000ed28 +.set CYREG_NVIC_BUS_FAULT_STATUS, 0xe000ed29 +.set CYREG_NVIC_USAGE_FAULT_STATUS, 0xe000ed2a +.set CYREG_NVIC_HARD_FAULT_STATUS, 0xe000ed2c +.set CYREG_NVIC_DEBUG_FAULT_STATUS, 0xe000ed30 +.set CYREG_NVIC_MEMMAN_FAULT_ADD, 0xe000ed34 +.set CYREG_NVIC_BUS_FAULT_ADD, 0xe000ed38 +.set CYDEV_CORE_DBG_BASE, 0xe000edf0 +.set CYDEV_CORE_DBG_SIZE, 0x00000010 +.set CYREG_CORE_DBG_DBG_HLT_CS, 0xe000edf0 +.set CYREG_CORE_DBG_DBG_REG_SEL, 0xe000edf4 +.set CYREG_CORE_DBG_DBG_REG_DATA, 0xe000edf8 +.set CYREG_CORE_DBG_EXC_MON_CTL, 0xe000edfc +.set CYDEV_TPIU_BASE, 0xe0040000 +.set CYDEV_TPIU_SIZE, 0x00001000 +.set CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ, 0xe0040000 +.set CYREG_TPIU_CURRENT_SYNC_PRT_SZ, 0xe0040004 +.set CYREG_TPIU_ASYNC_CLK_PRESCALER, 0xe0040010 +.set CYREG_TPIU_PROTOCOL, 0xe00400f0 +.set CYREG_TPIU_FORM_FLUSH_STAT, 0xe0040300 +.set CYREG_TPIU_FORM_FLUSH_CTRL, 0xe0040304 +.set CYREG_TPIU_TRIGGER, 0xe0040ee8 +.set CYREG_TPIU_ITETMDATA, 0xe0040eec +.set CYREG_TPIU_ITATBCTR2, 0xe0040ef0 +.set CYREG_TPIU_ITATBCTR0, 0xe0040ef8 +.set CYREG_TPIU_ITITMDATA, 0xe0040efc +.set CYREG_TPIU_ITCTRL, 0xe0040f00 +.set CYREG_TPIU_DEVID, 0xe0040fc8 +.set CYREG_TPIU_DEVTYPE, 0xe0040fcc +.set CYREG_TPIU_PID4, 0xe0040fd0 +.set CYREG_TPIU_PID5, 0xe0040fd4 +.set CYREG_TPIU_PID6, 0xe0040fd8 +.set CYREG_TPIU_PID7, 0xe0040fdc +.set CYREG_TPIU_PID0, 0xe0040fe0 +.set CYREG_TPIU_PID1, 0xe0040fe4 +.set CYREG_TPIU_PID2, 0xe0040fe8 +.set CYREG_TPIU_PID3, 0xe0040fec +.set CYREG_TPIU_CID0, 0xe0040ff0 +.set CYREG_TPIU_CID1, 0xe0040ff4 +.set CYREG_TPIU_CID2, 0xe0040ff8 +.set CYREG_TPIU_CID3, 0xe0040ffc +.set CYDEV_ETM_BASE, 0xe0041000 +.set CYDEV_ETM_SIZE, 0x00001000 +.set CYREG_ETM_CTL, 0xe0041000 +.set CYREG_ETM_CFG_CODE, 0xe0041004 +.set CYREG_ETM_TRIG_EVENT, 0xe0041008 +.set CYREG_ETM_STATUS, 0xe0041010 +.set CYREG_ETM_SYS_CFG, 0xe0041014 +.set CYREG_ETM_TRACE_ENB_EVENT, 0xe0041020 +.set CYREG_ETM_TRACE_EN_CTRL1, 0xe0041024 +.set CYREG_ETM_FIFOFULL_LEVEL, 0xe004102c +.set CYREG_ETM_SYNC_FREQ, 0xe00411e0 +.set CYREG_ETM_ETM_ID, 0xe00411e4 +.set CYREG_ETM_CFG_CODE_EXT, 0xe00411e8 +.set CYREG_ETM_TR_SS_EMBICE_CTRL, 0xe00411f0 +.set CYREG_ETM_CS_TRACE_ID, 0xe0041200 +.set CYREG_ETM_OS_LOCK_ACCESS, 0xe0041300 +.set CYREG_ETM_OS_LOCK_STATUS, 0xe0041304 +.set CYREG_ETM_PDSR, 0xe0041314 +.set CYREG_ETM_ITMISCIN, 0xe0041ee0 +.set CYREG_ETM_ITTRIGOUT, 0xe0041ee8 +.set CYREG_ETM_ITATBCTR2, 0xe0041ef0 +.set CYREG_ETM_ITATBCTR0, 0xe0041ef8 +.set CYREG_ETM_INT_MODE_CTRL, 0xe0041f00 +.set CYREG_ETM_CLM_TAG_SET, 0xe0041fa0 +.set CYREG_ETM_CLM_TAG_CLR, 0xe0041fa4 +.set CYREG_ETM_LOCK_ACCESS, 0xe0041fb0 +.set CYREG_ETM_LOCK_STATUS, 0xe0041fb4 +.set CYREG_ETM_AUTH_STATUS, 0xe0041fb8 +.set CYREG_ETM_DEV_TYPE, 0xe0041fcc +.set CYREG_ETM_PID4, 0xe0041fd0 +.set CYREG_ETM_PID5, 0xe0041fd4 +.set CYREG_ETM_PID6, 0xe0041fd8 +.set CYREG_ETM_PID7, 0xe0041fdc +.set CYREG_ETM_PID0, 0xe0041fe0 +.set CYREG_ETM_PID1, 0xe0041fe4 +.set CYREG_ETM_PID2, 0xe0041fe8 +.set CYREG_ETM_PID3, 0xe0041fec +.set CYREG_ETM_CID0, 0xe0041ff0 +.set CYREG_ETM_CID1, 0xe0041ff4 +.set CYREG_ETM_CID2, 0xe0041ff8 +.set CYREG_ETM_CID3, 0xe0041ffc +.set CYDEV_ROM_TABLE_BASE, 0xe00ff000 +.set CYDEV_ROM_TABLE_SIZE, 0x00001000 +.set CYREG_ROM_TABLE_NVIC, 0xe00ff000 +.set CYREG_ROM_TABLE_DWT, 0xe00ff004 +.set CYREG_ROM_TABLE_FPB, 0xe00ff008 +.set CYREG_ROM_TABLE_ITM, 0xe00ff00c +.set CYREG_ROM_TABLE_TPIU, 0xe00ff010 +.set CYREG_ROM_TABLE_ETM, 0xe00ff014 +.set CYREG_ROM_TABLE_END, 0xe00ff018 +.set CYREG_ROM_TABLE_MEMTYPE, 0xe00fffcc +.set CYREG_ROM_TABLE_PID4, 0xe00fffd0 +.set CYREG_ROM_TABLE_PID5, 0xe00fffd4 +.set CYREG_ROM_TABLE_PID6, 0xe00fffd8 +.set CYREG_ROM_TABLE_PID7, 0xe00fffdc +.set CYREG_ROM_TABLE_PID0, 0xe00fffe0 +.set CYREG_ROM_TABLE_PID1, 0xe00fffe4 +.set CYREG_ROM_TABLE_PID2, 0xe00fffe8 +.set CYREG_ROM_TABLE_PID3, 0xe00fffec +.set CYREG_ROM_TABLE_CID0, 0xe00ffff0 +.set CYREG_ROM_TABLE_CID1, 0xe00ffff4 +.set CYREG_ROM_TABLE_CID2, 0xe00ffff8 +.set CYREG_ROM_TABLE_CID3, 0xe00ffffc +.set CYDEV_FLS_SIZE, CYDEV_FLASH_SIZE +.set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE +.set CYDEV_FLS_SECTOR_SIZE, 0x00010000 +.set CYDEV_FLS_ROW_SIZE, 0x00000100 +.set CYDEV_ECC_SECTOR_SIZE, 0x00002000 +.set CYDEV_ECC_ROW_SIZE, 0x00000020 +.set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 +.set CYDEV_EEPROM_ROW_SIZE, 0x00000010 +.set CYDEV_PERIPH_BASE, CYDEV_CLKDIST_BASE +.set CYCLK_LD_DISABLE, 0x00000004 +.set CYCLK_LD_SYNC_EN, 0x00000002 +.set CYCLK_LD_LOAD, 0x00000001 +.set CYCLK_PIPE, 0x00000080 +.set CYCLK_SSS, 0x00000040 +.set CYCLK_EARLY, 0x00000020 +.set CYCLK_DUTY, 0x00000010 +.set CYCLK_SYNC, 0x00000008 +.set CYCLK_SRC_SEL_CLK_SYNC_D, 0 +.set CYCLK_SRC_SEL_SYNC_DIG, 0 +.set CYCLK_SRC_SEL_IMO, 1 +.set CYCLK_SRC_SEL_XTAL_MHZ, 2 +.set CYCLK_SRC_SEL_XTALM, 2 +.set CYCLK_SRC_SEL_ILO, 3 +.set CYCLK_SRC_SEL_PLL, 4 +.set CYCLK_SRC_SEL_XTAL_KHZ, 5 +.set CYCLK_SRC_SEL_XTALK, 5 +.set CYCLK_SRC_SEL_DSI_G, 6 +.set CYCLK_SRC_SEL_DSI_D, 7 +.set CYCLK_SRC_SEL_CLK_SYNC_A, 0 +.set CYCLK_SRC_SEL_DSI_A, 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar.inc new file mode 100755 index 0000000..8556d0a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar.inc @@ -0,0 +1,5356 @@ +; +; FILENAME: cydeviceiar.inc +; OBSOLETE: Do not use this file. Use the _trm version instead. +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + +#define CYDEV_FLASH_BASE 0x00000000 +#define CYDEV_FLASH_SIZE 0x00020000 +#define CYDEV_FLASH_DATA_MBASE 0x00000000 +#define CYDEV_FLASH_DATA_MSIZE 0x00020000 +#define CYDEV_SRAM_BASE 0x1fffc000 +#define CYDEV_SRAM_SIZE 0x00008000 +#define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000 +#define CYDEV_SRAM_CODE64K_MSIZE 0x00004000 +#define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000 +#define CYDEV_SRAM_CODE32K_MSIZE 0x00002000 +#define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000 +#define CYDEV_SRAM_CODE16K_MSIZE 0x00001000 +#define CYDEV_SRAM_CODE_MBASE 0x1fffc000 +#define CYDEV_SRAM_CODE_MSIZE 0x00004000 +#define CYDEV_SRAM_DATA_MBASE 0x20000000 +#define CYDEV_SRAM_DATA_MSIZE 0x00004000 +#define CYDEV_SRAM_DATA16K_MBASE 0x20001000 +#define CYDEV_SRAM_DATA16K_MSIZE 0x00001000 +#define CYDEV_SRAM_DATA32K_MBASE 0x20002000 +#define CYDEV_SRAM_DATA32K_MSIZE 0x00002000 +#define CYDEV_SRAM_DATA64K_MBASE 0x20004000 +#define CYDEV_SRAM_DATA64K_MSIZE 0x00004000 +#define CYDEV_DMA_BASE 0x20008000 +#define CYDEV_DMA_SIZE 0x00008000 +#define CYDEV_DMA_SRAM64K_MBASE 0x20008000 +#define CYDEV_DMA_SRAM64K_MSIZE 0x00004000 +#define CYDEV_DMA_SRAM32K_MBASE 0x2000c000 +#define CYDEV_DMA_SRAM32K_MSIZE 0x00002000 +#define CYDEV_DMA_SRAM16K_MBASE 0x2000e000 +#define CYDEV_DMA_SRAM16K_MSIZE 0x00001000 +#define CYDEV_DMA_SRAM_MBASE 0x2000f000 +#define CYDEV_DMA_SRAM_MSIZE 0x00001000 +#define CYDEV_CLKDIST_BASE 0x40004000 +#define CYDEV_CLKDIST_SIZE 0x00000110 +#define CYDEV_CLKDIST_CR 0x40004000 +#define CYDEV_CLKDIST_LD 0x40004001 +#define CYDEV_CLKDIST_WRK0 0x40004002 +#define CYDEV_CLKDIST_WRK1 0x40004003 +#define CYDEV_CLKDIST_MSTR0 0x40004004 +#define CYDEV_CLKDIST_MSTR1 0x40004005 +#define CYDEV_CLKDIST_BCFG0 0x40004006 +#define CYDEV_CLKDIST_BCFG1 0x40004007 +#define CYDEV_CLKDIST_BCFG2 0x40004008 +#define CYDEV_CLKDIST_UCFG 0x40004009 +#define CYDEV_CLKDIST_DLY0 0x4000400a +#define CYDEV_CLKDIST_DLY1 0x4000400b +#define CYDEV_CLKDIST_DMASK 0x40004010 +#define CYDEV_CLKDIST_AMASK 0x40004014 +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080 +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG0_CFG0 0x40004080 +#define CYDEV_CLKDIST_DCFG0_CFG1 0x40004081 +#define CYDEV_CLKDIST_DCFG0_CFG2 0x40004082 +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084 +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG1_CFG0 0x40004084 +#define CYDEV_CLKDIST_DCFG1_CFG1 0x40004085 +#define CYDEV_CLKDIST_DCFG1_CFG2 0x40004086 +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088 +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG2_CFG0 0x40004088 +#define CYDEV_CLKDIST_DCFG2_CFG1 0x40004089 +#define CYDEV_CLKDIST_DCFG2_CFG2 0x4000408a +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408c +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG3_CFG0 0x4000408c +#define CYDEV_CLKDIST_DCFG3_CFG1 0x4000408d +#define CYDEV_CLKDIST_DCFG3_CFG2 0x4000408e +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090 +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG4_CFG0 0x40004090 +#define CYDEV_CLKDIST_DCFG4_CFG1 0x40004091 +#define CYDEV_CLKDIST_DCFG4_CFG2 0x40004092 +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094 +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG5_CFG0 0x40004094 +#define CYDEV_CLKDIST_DCFG5_CFG1 0x40004095 +#define CYDEV_CLKDIST_DCFG5_CFG2 0x40004096 +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098 +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG6_CFG0 0x40004098 +#define CYDEV_CLKDIST_DCFG6_CFG1 0x40004099 +#define CYDEV_CLKDIST_DCFG6_CFG2 0x4000409a +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409c +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG7_CFG0 0x4000409c +#define CYDEV_CLKDIST_DCFG7_CFG1 0x4000409d +#define CYDEV_CLKDIST_DCFG7_CFG2 0x4000409e +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100 +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG0_CFG0 0x40004100 +#define CYDEV_CLKDIST_ACFG0_CFG1 0x40004101 +#define CYDEV_CLKDIST_ACFG0_CFG2 0x40004102 +#define CYDEV_CLKDIST_ACFG0_CFG3 0x40004103 +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104 +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG1_CFG0 0x40004104 +#define CYDEV_CLKDIST_ACFG1_CFG1 0x40004105 +#define CYDEV_CLKDIST_ACFG1_CFG2 0x40004106 +#define CYDEV_CLKDIST_ACFG1_CFG3 0x40004107 +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108 +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG2_CFG0 0x40004108 +#define CYDEV_CLKDIST_ACFG2_CFG1 0x40004109 +#define CYDEV_CLKDIST_ACFG2_CFG2 0x4000410a +#define CYDEV_CLKDIST_ACFG2_CFG3 0x4000410b +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410c +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG3_CFG0 0x4000410c +#define CYDEV_CLKDIST_ACFG3_CFG1 0x4000410d +#define CYDEV_CLKDIST_ACFG3_CFG2 0x4000410e +#define CYDEV_CLKDIST_ACFG3_CFG3 0x4000410f +#define CYDEV_FASTCLK_BASE 0x40004200 +#define CYDEV_FASTCLK_SIZE 0x00000026 +#define CYDEV_FASTCLK_IMO_BASE 0x40004200 +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001 +#define CYDEV_FASTCLK_IMO_CR 0x40004200 +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210 +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004 +#define CYDEV_FASTCLK_XMHZ_CSR 0x40004210 +#define CYDEV_FASTCLK_XMHZ_CFG0 0x40004212 +#define CYDEV_FASTCLK_XMHZ_CFG1 0x40004213 +#define CYDEV_FASTCLK_PLL_BASE 0x40004220 +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006 +#define CYDEV_FASTCLK_PLL_CFG0 0x40004220 +#define CYDEV_FASTCLK_PLL_CFG1 0x40004221 +#define CYDEV_FASTCLK_PLL_P 0x40004222 +#define CYDEV_FASTCLK_PLL_Q 0x40004223 +#define CYDEV_FASTCLK_PLL_SR 0x40004225 +#define CYDEV_SLOWCLK_BASE 0x40004300 +#define CYDEV_SLOWCLK_SIZE 0x0000000b +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300 +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002 +#define CYDEV_SLOWCLK_ILO_CR0 0x40004300 +#define CYDEV_SLOWCLK_ILO_CR1 0x40004301 +#define CYDEV_SLOWCLK_X32_BASE 0x40004308 +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003 +#define CYDEV_SLOWCLK_X32_CR 0x40004308 +#define CYDEV_SLOWCLK_X32_CFG 0x40004309 +#define CYDEV_SLOWCLK_X32_TST 0x4000430a +#define CYDEV_BOOST_BASE 0x40004320 +#define CYDEV_BOOST_SIZE 0x00000007 +#define CYDEV_BOOST_CR0 0x40004320 +#define CYDEV_BOOST_CR1 0x40004321 +#define CYDEV_BOOST_CR2 0x40004322 +#define CYDEV_BOOST_CR3 0x40004323 +#define CYDEV_BOOST_SR 0x40004324 +#define CYDEV_BOOST_CR4 0x40004325 +#define CYDEV_BOOST_SR2 0x40004326 +#define CYDEV_PWRSYS_BASE 0x40004330 +#define CYDEV_PWRSYS_SIZE 0x00000002 +#define CYDEV_PWRSYS_CR0 0x40004330 +#define CYDEV_PWRSYS_CR1 0x40004331 +#define CYDEV_PM_BASE 0x40004380 +#define CYDEV_PM_SIZE 0x00000057 +#define CYDEV_PM_TW_CFG0 0x40004380 +#define CYDEV_PM_TW_CFG1 0x40004381 +#define CYDEV_PM_TW_CFG2 0x40004382 +#define CYDEV_PM_WDT_CFG 0x40004383 +#define CYDEV_PM_WDT_CR 0x40004384 +#define CYDEV_PM_INT_SR 0x40004390 +#define CYDEV_PM_MODE_CFG0 0x40004391 +#define CYDEV_PM_MODE_CFG1 0x40004392 +#define CYDEV_PM_MODE_CSR 0x40004393 +#define CYDEV_PM_USB_CR0 0x40004394 +#define CYDEV_PM_WAKEUP_CFG0 0x40004398 +#define CYDEV_PM_WAKEUP_CFG1 0x40004399 +#define CYDEV_PM_WAKEUP_CFG2 0x4000439a +#define CYDEV_PM_ACT_BASE 0x400043a0 +#define CYDEV_PM_ACT_SIZE 0x0000000e +#define CYDEV_PM_ACT_CFG0 0x400043a0 +#define CYDEV_PM_ACT_CFG1 0x400043a1 +#define CYDEV_PM_ACT_CFG2 0x400043a2 +#define CYDEV_PM_ACT_CFG3 0x400043a3 +#define CYDEV_PM_ACT_CFG4 0x400043a4 +#define CYDEV_PM_ACT_CFG5 0x400043a5 +#define CYDEV_PM_ACT_CFG6 0x400043a6 +#define CYDEV_PM_ACT_CFG7 0x400043a7 +#define CYDEV_PM_ACT_CFG8 0x400043a8 +#define CYDEV_PM_ACT_CFG9 0x400043a9 +#define CYDEV_PM_ACT_CFG10 0x400043aa +#define CYDEV_PM_ACT_CFG11 0x400043ab +#define CYDEV_PM_ACT_CFG12 0x400043ac +#define CYDEV_PM_ACT_CFG13 0x400043ad +#define CYDEV_PM_STBY_BASE 0x400043b0 +#define CYDEV_PM_STBY_SIZE 0x0000000e +#define CYDEV_PM_STBY_CFG0 0x400043b0 +#define CYDEV_PM_STBY_CFG1 0x400043b1 +#define CYDEV_PM_STBY_CFG2 0x400043b2 +#define CYDEV_PM_STBY_CFG3 0x400043b3 +#define CYDEV_PM_STBY_CFG4 0x400043b4 +#define CYDEV_PM_STBY_CFG5 0x400043b5 +#define CYDEV_PM_STBY_CFG6 0x400043b6 +#define CYDEV_PM_STBY_CFG7 0x400043b7 +#define CYDEV_PM_STBY_CFG8 0x400043b8 +#define CYDEV_PM_STBY_CFG9 0x400043b9 +#define CYDEV_PM_STBY_CFG10 0x400043ba +#define CYDEV_PM_STBY_CFG11 0x400043bb +#define CYDEV_PM_STBY_CFG12 0x400043bc +#define CYDEV_PM_STBY_CFG13 0x400043bd +#define CYDEV_PM_AVAIL_BASE 0x400043c0 +#define CYDEV_PM_AVAIL_SIZE 0x00000017 +#define CYDEV_PM_AVAIL_CR0 0x400043c0 +#define CYDEV_PM_AVAIL_CR1 0x400043c1 +#define CYDEV_PM_AVAIL_CR2 0x400043c2 +#define CYDEV_PM_AVAIL_CR3 0x400043c3 +#define CYDEV_PM_AVAIL_CR4 0x400043c4 +#define CYDEV_PM_AVAIL_CR5 0x400043c5 +#define CYDEV_PM_AVAIL_CR6 0x400043c6 +#define CYDEV_PM_AVAIL_SR0 0x400043d0 +#define CYDEV_PM_AVAIL_SR1 0x400043d1 +#define CYDEV_PM_AVAIL_SR2 0x400043d2 +#define CYDEV_PM_AVAIL_SR3 0x400043d3 +#define CYDEV_PM_AVAIL_SR4 0x400043d4 +#define CYDEV_PM_AVAIL_SR5 0x400043d5 +#define CYDEV_PM_AVAIL_SR6 0x400043d6 +#define CYDEV_PICU_BASE 0x40004500 +#define CYDEV_PICU_SIZE 0x000000b0 +#define CYDEV_PICU_INTTYPE_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080 +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 0x40004500 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 0x40004501 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 0x40004502 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 0x40004503 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 0x40004504 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 0x40004505 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 0x40004506 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 0x40004507 +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508 +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 0x40004508 +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 0x40004509 +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 0x4000450a +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 0x4000450b +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 0x4000450c +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 0x4000450d +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 0x4000450e +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 0x4000450f +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510 +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 0x40004510 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 0x40004511 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 0x40004512 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 0x40004513 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 0x40004514 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 0x40004515 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 0x40004516 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 0x40004517 +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518 +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 0x40004518 +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 0x40004519 +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 0x4000451a +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 0x4000451b +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 0x4000451c +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 0x4000451d +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 0x4000451e +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 0x4000451f +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520 +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 0x40004520 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 0x40004521 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 0x40004522 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 0x40004523 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 0x40004524 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 0x40004525 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 0x40004526 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 0x40004527 +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528 +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 0x40004528 +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 0x40004529 +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 0x4000452a +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 0x4000452b +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 0x4000452c +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 0x4000452d +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 0x4000452e +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 0x4000452f +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530 +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 0x40004530 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 0x40004531 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 0x40004532 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 0x40004533 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 0x40004534 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 0x40004535 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 0x40004536 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 0x40004537 +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560 +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 0x40004560 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 0x40004561 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 0x40004562 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 0x40004563 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 0x40004564 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 0x40004565 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 0x40004566 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 0x40004567 +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578 +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 0x40004578 +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 0x40004579 +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 0x4000457a +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 0x4000457b +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 0x4000457c +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 0x4000457d +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 0x4000457e +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 0x4000457f +#define CYDEV_PICU_STAT_BASE 0x40004580 +#define CYDEV_PICU_STAT_SIZE 0x00000010 +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580 +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU0_INTSTAT 0x40004580 +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581 +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU1_INTSTAT 0x40004581 +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582 +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU2_INTSTAT 0x40004582 +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583 +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU3_INTSTAT 0x40004583 +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584 +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU4_INTSTAT 0x40004584 +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585 +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU5_INTSTAT 0x40004585 +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586 +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU6_INTSTAT 0x40004586 +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458c +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU12_INTSTAT 0x4000458c +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458f +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU15_INTSTAT 0x4000458f +#define CYDEV_PICU_SNAP_BASE 0x40004590 +#define CYDEV_PICU_SNAP_SIZE 0x00000010 +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590 +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU0_SNAP 0x40004590 +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591 +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU1_SNAP 0x40004591 +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592 +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU2_SNAP 0x40004592 +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593 +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU3_SNAP 0x40004593 +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594 +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU4_SNAP 0x40004594 +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595 +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU5_SNAP 0x40004595 +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596 +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU6_SNAP 0x40004596 +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459c +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU12_SNAP 0x4000459c +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459f +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU_15_SNAP_15 0x4000459f +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010 +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045af +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR 0x400045af +#define CYDEV_MFGCFG_BASE 0x40004600 +#define CYDEV_MFGCFG_SIZE 0x000000ed +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600 +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038 +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC0_TR 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC1_TR 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC2_TR 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460b +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC3_TR 0x4000460b +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 0x40004612 +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_SAR0_TR0 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616 +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_SAR1_TR0 0x40004616 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 0x40004620 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 0x40004621 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 0x40004622 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 0x40004623 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 0x40004624 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 0x40004625 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 0x40004626 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 0x40004627 +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630 +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP0_TR0 0x40004630 +#define CYDEV_MFGCFG_ANAIF_CMP0_TR1 0x40004631 +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632 +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP1_TR0 0x40004632 +#define CYDEV_MFGCFG_ANAIF_CMP1_TR1 0x40004633 +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634 +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP2_TR0 0x40004634 +#define CYDEV_MFGCFG_ANAIF_CMP2_TR1 0x40004635 +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636 +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP3_TR0 0x40004636 +#define CYDEV_MFGCFG_ANAIF_CMP3_TR1 0x40004637 +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680 +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000b +#define CYDEV_MFGCFG_PWRSYS_HIB_TR0 0x40004680 +#define CYDEV_MFGCFG_PWRSYS_HIB_TR1 0x40004681 +#define CYDEV_MFGCFG_PWRSYS_I2C_TR 0x40004682 +#define CYDEV_MFGCFG_PWRSYS_SLP_TR 0x40004683 +#define CYDEV_MFGCFG_PWRSYS_BUZZ_TR 0x40004684 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR0 0x40004685 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR1 0x40004686 +#define CYDEV_MFGCFG_PWRSYS_BREF_TR 0x40004687 +#define CYDEV_MFGCFG_PWRSYS_BG_TR 0x40004688 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR2 0x40004689 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR3 0x4000468a +#define CYDEV_MFGCFG_ILO_BASE 0x40004690 +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002 +#define CYDEV_MFGCFG_ILO_TR0 0x40004690 +#define CYDEV_MFGCFG_ILO_TR1 0x40004691 +#define CYDEV_MFGCFG_X32_BASE 0x40004698 +#define CYDEV_MFGCFG_X32_SIZE 0x00000001 +#define CYDEV_MFGCFG_X32_TR 0x40004698 +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0 +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005 +#define CYDEV_MFGCFG_IMO_TR0 0x400046a0 +#define CYDEV_MFGCFG_IMO_TR1 0x400046a1 +#define CYDEV_MFGCFG_IMO_GAIN 0x400046a2 +#define CYDEV_MFGCFG_IMO_C36M 0x400046a3 +#define CYDEV_MFGCFG_IMO_TR2 0x400046a4 +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8 +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001 +#define CYDEV_MFGCFG_XMHZ_TR 0x400046a8 +#define CYDEV_MFGCFG_DLY 0x400046c0 +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0 +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000d +#define CYDEV_MFGCFG_MLOGIC_DMPSTR 0x400046e2 +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4 +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002 +#define CYDEV_MFGCFG_MLOGIC_SEG_CR 0x400046e4 +#define CYDEV_MFGCFG_MLOGIC_SEG_CFG0 0x400046e5 +#define CYDEV_MFGCFG_MLOGIC_DEBUG 0x400046e8 +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046ea +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001 +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR 0x400046ea +#define CYDEV_MFGCFG_MLOGIC_REV_ID 0x400046ec +#define CYDEV_RESET_BASE 0x400046f0 +#define CYDEV_RESET_SIZE 0x0000000f +#define CYDEV_RESET_IPOR_CR0 0x400046f0 +#define CYDEV_RESET_IPOR_CR1 0x400046f1 +#define CYDEV_RESET_IPOR_CR2 0x400046f2 +#define CYDEV_RESET_IPOR_CR3 0x400046f3 +#define CYDEV_RESET_CR0 0x400046f4 +#define CYDEV_RESET_CR1 0x400046f5 +#define CYDEV_RESET_CR2 0x400046f6 +#define CYDEV_RESET_CR3 0x400046f7 +#define CYDEV_RESET_CR4 0x400046f8 +#define CYDEV_RESET_CR5 0x400046f9 +#define CYDEV_RESET_SR0 0x400046fa +#define CYDEV_RESET_SR1 0x400046fb +#define CYDEV_RESET_SR2 0x400046fc +#define CYDEV_RESET_SR3 0x400046fd +#define CYDEV_RESET_TR 0x400046fe +#define CYDEV_SPC_BASE 0x40004700 +#define CYDEV_SPC_SIZE 0x00000100 +#define CYDEV_SPC_FM_EE_CR 0x40004700 +#define CYDEV_SPC_FM_EE_WAKE_CNT 0x40004701 +#define CYDEV_SPC_EE_SCR 0x40004702 +#define CYDEV_SPC_EE_ERR 0x40004703 +#define CYDEV_SPC_CPU_DATA 0x40004720 +#define CYDEV_SPC_DMA_DATA 0x40004721 +#define CYDEV_SPC_SR 0x40004722 +#define CYDEV_SPC_CR 0x40004723 +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780 +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080 +#define CYDEV_SPC_DMM_MAP_SRAM_MBASE 0x40004780 +#define CYDEV_SPC_DMM_MAP_SRAM_MSIZE 0x00000080 +#define CYDEV_CACHE_BASE 0x40004800 +#define CYDEV_CACHE_SIZE 0x0000009c +#define CYDEV_CACHE_CC_CTL 0x40004800 +#define CYDEV_CACHE_ECC_CORR 0x40004880 +#define CYDEV_CACHE_ECC_ERR 0x40004888 +#define CYDEV_CACHE_FLASH_ERR 0x40004890 +#define CYDEV_CACHE_HITMISS 0x40004898 +#define CYDEV_I2C_BASE 0x40004900 +#define CYDEV_I2C_SIZE 0x000000e1 +#define CYDEV_I2C_XCFG 0x400049c8 +#define CYDEV_I2C_ADR 0x400049ca +#define CYDEV_I2C_CFG 0x400049d6 +#define CYDEV_I2C_CSR 0x400049d7 +#define CYDEV_I2C_D 0x400049d8 +#define CYDEV_I2C_MCSR 0x400049d9 +#define CYDEV_I2C_CLK_DIV1 0x400049db +#define CYDEV_I2C_CLK_DIV2 0x400049dc +#define CYDEV_I2C_TMOUT_CSR 0x400049dd +#define CYDEV_I2C_TMOUT_SR 0x400049de +#define CYDEV_I2C_TMOUT_CFG0 0x400049df +#define CYDEV_I2C_TMOUT_CFG1 0x400049e0 +#define CYDEV_DEC_BASE 0x40004e00 +#define CYDEV_DEC_SIZE 0x00000015 +#define CYDEV_DEC_CR 0x40004e00 +#define CYDEV_DEC_SR 0x40004e01 +#define CYDEV_DEC_SHIFT1 0x40004e02 +#define CYDEV_DEC_SHIFT2 0x40004e03 +#define CYDEV_DEC_DR2 0x40004e04 +#define CYDEV_DEC_DR2H 0x40004e05 +#define CYDEV_DEC_DR1 0x40004e06 +#define CYDEV_DEC_OCOR 0x40004e08 +#define CYDEV_DEC_OCORM 0x40004e09 +#define CYDEV_DEC_OCORH 0x40004e0a +#define CYDEV_DEC_GCOR 0x40004e0c +#define CYDEV_DEC_GCORH 0x40004e0d +#define CYDEV_DEC_GVAL 0x40004e0e +#define CYDEV_DEC_OUTSAMP 0x40004e10 +#define CYDEV_DEC_OUTSAMPM 0x40004e11 +#define CYDEV_DEC_OUTSAMPH 0x40004e12 +#define CYDEV_DEC_OUTSAMPS 0x40004e13 +#define CYDEV_DEC_COHER 0x40004e14 +#define CYDEV_TMR0_BASE 0x40004f00 +#define CYDEV_TMR0_SIZE 0x0000000c +#define CYDEV_TMR0_CFG0 0x40004f00 +#define CYDEV_TMR0_CFG1 0x40004f01 +#define CYDEV_TMR0_CFG2 0x40004f02 +#define CYDEV_TMR0_SR0 0x40004f03 +#define CYDEV_TMR0_PER0 0x40004f04 +#define CYDEV_TMR0_PER1 0x40004f05 +#define CYDEV_TMR0_CNT_CMP0 0x40004f06 +#define CYDEV_TMR0_CNT_CMP1 0x40004f07 +#define CYDEV_TMR0_CAP0 0x40004f08 +#define CYDEV_TMR0_CAP1 0x40004f09 +#define CYDEV_TMR0_RT0 0x40004f0a +#define CYDEV_TMR0_RT1 0x40004f0b +#define CYDEV_TMR1_BASE 0x40004f0c +#define CYDEV_TMR1_SIZE 0x0000000c +#define CYDEV_TMR1_CFG0 0x40004f0c +#define CYDEV_TMR1_CFG1 0x40004f0d +#define CYDEV_TMR1_CFG2 0x40004f0e +#define CYDEV_TMR1_SR0 0x40004f0f +#define CYDEV_TMR1_PER0 0x40004f10 +#define CYDEV_TMR1_PER1 0x40004f11 +#define CYDEV_TMR1_CNT_CMP0 0x40004f12 +#define CYDEV_TMR1_CNT_CMP1 0x40004f13 +#define CYDEV_TMR1_CAP0 0x40004f14 +#define CYDEV_TMR1_CAP1 0x40004f15 +#define CYDEV_TMR1_RT0 0x40004f16 +#define CYDEV_TMR1_RT1 0x40004f17 +#define CYDEV_TMR2_BASE 0x40004f18 +#define CYDEV_TMR2_SIZE 0x0000000c +#define CYDEV_TMR2_CFG0 0x40004f18 +#define CYDEV_TMR2_CFG1 0x40004f19 +#define CYDEV_TMR2_CFG2 0x40004f1a +#define CYDEV_TMR2_SR0 0x40004f1b +#define CYDEV_TMR2_PER0 0x40004f1c +#define CYDEV_TMR2_PER1 0x40004f1d +#define CYDEV_TMR2_CNT_CMP0 0x40004f1e +#define CYDEV_TMR2_CNT_CMP1 0x40004f1f +#define CYDEV_TMR2_CAP0 0x40004f20 +#define CYDEV_TMR2_CAP1 0x40004f21 +#define CYDEV_TMR2_RT0 0x40004f22 +#define CYDEV_TMR2_RT1 0x40004f23 +#define CYDEV_TMR3_BASE 0x40004f24 +#define CYDEV_TMR3_SIZE 0x0000000c +#define CYDEV_TMR3_CFG0 0x40004f24 +#define CYDEV_TMR3_CFG1 0x40004f25 +#define CYDEV_TMR3_CFG2 0x40004f26 +#define CYDEV_TMR3_SR0 0x40004f27 +#define CYDEV_TMR3_PER0 0x40004f28 +#define CYDEV_TMR3_PER1 0x40004f29 +#define CYDEV_TMR3_CNT_CMP0 0x40004f2a +#define CYDEV_TMR3_CNT_CMP1 0x40004f2b +#define CYDEV_TMR3_CAP0 0x40004f2c +#define CYDEV_TMR3_CAP1 0x40004f2d +#define CYDEV_TMR3_RT0 0x40004f2e +#define CYDEV_TMR3_RT1 0x40004f2f +#define CYDEV_IO_BASE 0x40005000 +#define CYDEV_IO_SIZE 0x00000200 +#define CYDEV_IO_PC_BASE 0x40005000 +#define CYDEV_IO_PC_SIZE 0x00000080 +#define CYDEV_IO_PC_PRT0_BASE 0x40005000 +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT0_PC0 0x40005000 +#define CYDEV_IO_PC_PRT0_PC1 0x40005001 +#define CYDEV_IO_PC_PRT0_PC2 0x40005002 +#define CYDEV_IO_PC_PRT0_PC3 0x40005003 +#define CYDEV_IO_PC_PRT0_PC4 0x40005004 +#define CYDEV_IO_PC_PRT0_PC5 0x40005005 +#define CYDEV_IO_PC_PRT0_PC6 0x40005006 +#define CYDEV_IO_PC_PRT0_PC7 0x40005007 +#define CYDEV_IO_PC_PRT1_BASE 0x40005008 +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT1_PC0 0x40005008 +#define CYDEV_IO_PC_PRT1_PC1 0x40005009 +#define CYDEV_IO_PC_PRT1_PC2 0x4000500a +#define CYDEV_IO_PC_PRT1_PC3 0x4000500b +#define CYDEV_IO_PC_PRT1_PC4 0x4000500c +#define CYDEV_IO_PC_PRT1_PC5 0x4000500d +#define CYDEV_IO_PC_PRT1_PC6 0x4000500e +#define CYDEV_IO_PC_PRT1_PC7 0x4000500f +#define CYDEV_IO_PC_PRT2_BASE 0x40005010 +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT2_PC0 0x40005010 +#define CYDEV_IO_PC_PRT2_PC1 0x40005011 +#define CYDEV_IO_PC_PRT2_PC2 0x40005012 +#define CYDEV_IO_PC_PRT2_PC3 0x40005013 +#define CYDEV_IO_PC_PRT2_PC4 0x40005014 +#define CYDEV_IO_PC_PRT2_PC5 0x40005015 +#define CYDEV_IO_PC_PRT2_PC6 0x40005016 +#define CYDEV_IO_PC_PRT2_PC7 0x40005017 +#define CYDEV_IO_PC_PRT3_BASE 0x40005018 +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT3_PC0 0x40005018 +#define CYDEV_IO_PC_PRT3_PC1 0x40005019 +#define CYDEV_IO_PC_PRT3_PC2 0x4000501a +#define CYDEV_IO_PC_PRT3_PC3 0x4000501b +#define CYDEV_IO_PC_PRT3_PC4 0x4000501c +#define CYDEV_IO_PC_PRT3_PC5 0x4000501d +#define CYDEV_IO_PC_PRT3_PC6 0x4000501e +#define CYDEV_IO_PC_PRT3_PC7 0x4000501f +#define CYDEV_IO_PC_PRT4_BASE 0x40005020 +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT4_PC0 0x40005020 +#define CYDEV_IO_PC_PRT4_PC1 0x40005021 +#define CYDEV_IO_PC_PRT4_PC2 0x40005022 +#define CYDEV_IO_PC_PRT4_PC3 0x40005023 +#define CYDEV_IO_PC_PRT4_PC4 0x40005024 +#define CYDEV_IO_PC_PRT4_PC5 0x40005025 +#define CYDEV_IO_PC_PRT4_PC6 0x40005026 +#define CYDEV_IO_PC_PRT4_PC7 0x40005027 +#define CYDEV_IO_PC_PRT5_BASE 0x40005028 +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT5_PC0 0x40005028 +#define CYDEV_IO_PC_PRT5_PC1 0x40005029 +#define CYDEV_IO_PC_PRT5_PC2 0x4000502a +#define CYDEV_IO_PC_PRT5_PC3 0x4000502b +#define CYDEV_IO_PC_PRT5_PC4 0x4000502c +#define CYDEV_IO_PC_PRT5_PC5 0x4000502d +#define CYDEV_IO_PC_PRT5_PC6 0x4000502e +#define CYDEV_IO_PC_PRT5_PC7 0x4000502f +#define CYDEV_IO_PC_PRT6_BASE 0x40005030 +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT6_PC0 0x40005030 +#define CYDEV_IO_PC_PRT6_PC1 0x40005031 +#define CYDEV_IO_PC_PRT6_PC2 0x40005032 +#define CYDEV_IO_PC_PRT6_PC3 0x40005033 +#define CYDEV_IO_PC_PRT6_PC4 0x40005034 +#define CYDEV_IO_PC_PRT6_PC5 0x40005035 +#define CYDEV_IO_PC_PRT6_PC6 0x40005036 +#define CYDEV_IO_PC_PRT6_PC7 0x40005037 +#define CYDEV_IO_PC_PRT12_BASE 0x40005060 +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT12_PC0 0x40005060 +#define CYDEV_IO_PC_PRT12_PC1 0x40005061 +#define CYDEV_IO_PC_PRT12_PC2 0x40005062 +#define CYDEV_IO_PC_PRT12_PC3 0x40005063 +#define CYDEV_IO_PC_PRT12_PC4 0x40005064 +#define CYDEV_IO_PC_PRT12_PC5 0x40005065 +#define CYDEV_IO_PC_PRT12_PC6 0x40005066 +#define CYDEV_IO_PC_PRT12_PC7 0x40005067 +#define CYDEV_IO_PC_PRT15_BASE 0x40005078 +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006 +#define CYDEV_IO_PC_PRT15_PC0 0x40005078 +#define CYDEV_IO_PC_PRT15_PC1 0x40005079 +#define CYDEV_IO_PC_PRT15_PC2 0x4000507a +#define CYDEV_IO_PC_PRT15_PC3 0x4000507b +#define CYDEV_IO_PC_PRT15_PC4 0x4000507c +#define CYDEV_IO_PC_PRT15_PC5 0x4000507d +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507e +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002 +#define CYDEV_IO_PC_PRT15_7_6_PC0 0x4000507e +#define CYDEV_IO_PC_PRT15_7_6_PC1 0x4000507f +#define CYDEV_IO_DR_BASE 0x40005080 +#define CYDEV_IO_DR_SIZE 0x00000010 +#define CYDEV_IO_DR_PRT0_BASE 0x40005080 +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT0_DR_ALIAS 0x40005080 +#define CYDEV_IO_DR_PRT1_BASE 0x40005081 +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT1_DR_ALIAS 0x40005081 +#define CYDEV_IO_DR_PRT2_BASE 0x40005082 +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT2_DR_ALIAS 0x40005082 +#define CYDEV_IO_DR_PRT3_BASE 0x40005083 +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT3_DR_ALIAS 0x40005083 +#define CYDEV_IO_DR_PRT4_BASE 0x40005084 +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT4_DR_ALIAS 0x40005084 +#define CYDEV_IO_DR_PRT5_BASE 0x40005085 +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT5_DR_ALIAS 0x40005085 +#define CYDEV_IO_DR_PRT6_BASE 0x40005086 +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT6_DR_ALIAS 0x40005086 +#define CYDEV_IO_DR_PRT12_BASE 0x4000508c +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT12_DR_ALIAS 0x4000508c +#define CYDEV_IO_DR_PRT15_BASE 0x4000508f +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT15_DR_15_ALIAS 0x4000508f +#define CYDEV_IO_PS_BASE 0x40005090 +#define CYDEV_IO_PS_SIZE 0x00000010 +#define CYDEV_IO_PS_PRT0_BASE 0x40005090 +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT0_PS_ALIAS 0x40005090 +#define CYDEV_IO_PS_PRT1_BASE 0x40005091 +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT1_PS_ALIAS 0x40005091 +#define CYDEV_IO_PS_PRT2_BASE 0x40005092 +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT2_PS_ALIAS 0x40005092 +#define CYDEV_IO_PS_PRT3_BASE 0x40005093 +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT3_PS_ALIAS 0x40005093 +#define CYDEV_IO_PS_PRT4_BASE 0x40005094 +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT4_PS_ALIAS 0x40005094 +#define CYDEV_IO_PS_PRT5_BASE 0x40005095 +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT5_PS_ALIAS 0x40005095 +#define CYDEV_IO_PS_PRT6_BASE 0x40005096 +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT6_PS_ALIAS 0x40005096 +#define CYDEV_IO_PS_PRT12_BASE 0x4000509c +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT12_PS_ALIAS 0x4000509c +#define CYDEV_IO_PS_PRT15_BASE 0x4000509f +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT15_PS15_ALIAS 0x4000509f +#define CYDEV_IO_PRT_BASE 0x40005100 +#define CYDEV_IO_PRT_SIZE 0x00000100 +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100 +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT0_DR 0x40005100 +#define CYDEV_IO_PRT_PRT0_PS 0x40005101 +#define CYDEV_IO_PRT_PRT0_DM0 0x40005102 +#define CYDEV_IO_PRT_PRT0_DM1 0x40005103 +#define CYDEV_IO_PRT_PRT0_DM2 0x40005104 +#define CYDEV_IO_PRT_PRT0_SLW 0x40005105 +#define CYDEV_IO_PRT_PRT0_BYP 0x40005106 +#define CYDEV_IO_PRT_PRT0_BIE 0x40005107 +#define CYDEV_IO_PRT_PRT0_INP_DIS 0x40005108 +#define CYDEV_IO_PRT_PRT0_CTL 0x40005109 +#define CYDEV_IO_PRT_PRT0_PRT 0x4000510a +#define CYDEV_IO_PRT_PRT0_BIT_MASK 0x4000510b +#define CYDEV_IO_PRT_PRT0_AMUX 0x4000510c +#define CYDEV_IO_PRT_PRT0_AG 0x4000510d +#define CYDEV_IO_PRT_PRT0_LCD_COM_SEG 0x4000510e +#define CYDEV_IO_PRT_PRT0_LCD_EN 0x4000510f +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110 +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT1_DR 0x40005110 +#define CYDEV_IO_PRT_PRT1_PS 0x40005111 +#define CYDEV_IO_PRT_PRT1_DM0 0x40005112 +#define CYDEV_IO_PRT_PRT1_DM1 0x40005113 +#define CYDEV_IO_PRT_PRT1_DM2 0x40005114 +#define CYDEV_IO_PRT_PRT1_SLW 0x40005115 +#define CYDEV_IO_PRT_PRT1_BYP 0x40005116 +#define CYDEV_IO_PRT_PRT1_BIE 0x40005117 +#define CYDEV_IO_PRT_PRT1_INP_DIS 0x40005118 +#define CYDEV_IO_PRT_PRT1_CTL 0x40005119 +#define CYDEV_IO_PRT_PRT1_PRT 0x4000511a +#define CYDEV_IO_PRT_PRT1_BIT_MASK 0x4000511b +#define CYDEV_IO_PRT_PRT1_AMUX 0x4000511c +#define CYDEV_IO_PRT_PRT1_AG 0x4000511d +#define CYDEV_IO_PRT_PRT1_LCD_COM_SEG 0x4000511e +#define CYDEV_IO_PRT_PRT1_LCD_EN 0x4000511f +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120 +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT2_DR 0x40005120 +#define CYDEV_IO_PRT_PRT2_PS 0x40005121 +#define CYDEV_IO_PRT_PRT2_DM0 0x40005122 +#define CYDEV_IO_PRT_PRT2_DM1 0x40005123 +#define CYDEV_IO_PRT_PRT2_DM2 0x40005124 +#define CYDEV_IO_PRT_PRT2_SLW 0x40005125 +#define CYDEV_IO_PRT_PRT2_BYP 0x40005126 +#define CYDEV_IO_PRT_PRT2_BIE 0x40005127 +#define CYDEV_IO_PRT_PRT2_INP_DIS 0x40005128 +#define CYDEV_IO_PRT_PRT2_CTL 0x40005129 +#define CYDEV_IO_PRT_PRT2_PRT 0x4000512a +#define CYDEV_IO_PRT_PRT2_BIT_MASK 0x4000512b +#define CYDEV_IO_PRT_PRT2_AMUX 0x4000512c +#define CYDEV_IO_PRT_PRT2_AG 0x4000512d +#define CYDEV_IO_PRT_PRT2_LCD_COM_SEG 0x4000512e +#define CYDEV_IO_PRT_PRT2_LCD_EN 0x4000512f +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130 +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT3_DR 0x40005130 +#define CYDEV_IO_PRT_PRT3_PS 0x40005131 +#define CYDEV_IO_PRT_PRT3_DM0 0x40005132 +#define CYDEV_IO_PRT_PRT3_DM1 0x40005133 +#define CYDEV_IO_PRT_PRT3_DM2 0x40005134 +#define CYDEV_IO_PRT_PRT3_SLW 0x40005135 +#define CYDEV_IO_PRT_PRT3_BYP 0x40005136 +#define CYDEV_IO_PRT_PRT3_BIE 0x40005137 +#define CYDEV_IO_PRT_PRT3_INP_DIS 0x40005138 +#define CYDEV_IO_PRT_PRT3_CTL 0x40005139 +#define CYDEV_IO_PRT_PRT3_PRT 0x4000513a +#define CYDEV_IO_PRT_PRT3_BIT_MASK 0x4000513b +#define CYDEV_IO_PRT_PRT3_AMUX 0x4000513c +#define CYDEV_IO_PRT_PRT3_AG 0x4000513d +#define CYDEV_IO_PRT_PRT3_LCD_COM_SEG 0x4000513e +#define CYDEV_IO_PRT_PRT3_LCD_EN 0x4000513f +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140 +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT4_DR 0x40005140 +#define CYDEV_IO_PRT_PRT4_PS 0x40005141 +#define CYDEV_IO_PRT_PRT4_DM0 0x40005142 +#define CYDEV_IO_PRT_PRT4_DM1 0x40005143 +#define CYDEV_IO_PRT_PRT4_DM2 0x40005144 +#define CYDEV_IO_PRT_PRT4_SLW 0x40005145 +#define CYDEV_IO_PRT_PRT4_BYP 0x40005146 +#define CYDEV_IO_PRT_PRT4_BIE 0x40005147 +#define CYDEV_IO_PRT_PRT4_INP_DIS 0x40005148 +#define CYDEV_IO_PRT_PRT4_CTL 0x40005149 +#define CYDEV_IO_PRT_PRT4_PRT 0x4000514a +#define CYDEV_IO_PRT_PRT4_BIT_MASK 0x4000514b +#define CYDEV_IO_PRT_PRT4_AMUX 0x4000514c +#define CYDEV_IO_PRT_PRT4_AG 0x4000514d +#define CYDEV_IO_PRT_PRT4_LCD_COM_SEG 0x4000514e +#define CYDEV_IO_PRT_PRT4_LCD_EN 0x4000514f +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150 +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT5_DR 0x40005150 +#define CYDEV_IO_PRT_PRT5_PS 0x40005151 +#define CYDEV_IO_PRT_PRT5_DM0 0x40005152 +#define CYDEV_IO_PRT_PRT5_DM1 0x40005153 +#define CYDEV_IO_PRT_PRT5_DM2 0x40005154 +#define CYDEV_IO_PRT_PRT5_SLW 0x40005155 +#define CYDEV_IO_PRT_PRT5_BYP 0x40005156 +#define CYDEV_IO_PRT_PRT5_BIE 0x40005157 +#define CYDEV_IO_PRT_PRT5_INP_DIS 0x40005158 +#define CYDEV_IO_PRT_PRT5_CTL 0x40005159 +#define CYDEV_IO_PRT_PRT5_PRT 0x4000515a +#define CYDEV_IO_PRT_PRT5_BIT_MASK 0x4000515b +#define CYDEV_IO_PRT_PRT5_AMUX 0x4000515c +#define CYDEV_IO_PRT_PRT5_AG 0x4000515d +#define CYDEV_IO_PRT_PRT5_LCD_COM_SEG 0x4000515e +#define CYDEV_IO_PRT_PRT5_LCD_EN 0x4000515f +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160 +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT6_DR 0x40005160 +#define CYDEV_IO_PRT_PRT6_PS 0x40005161 +#define CYDEV_IO_PRT_PRT6_DM0 0x40005162 +#define CYDEV_IO_PRT_PRT6_DM1 0x40005163 +#define CYDEV_IO_PRT_PRT6_DM2 0x40005164 +#define CYDEV_IO_PRT_PRT6_SLW 0x40005165 +#define CYDEV_IO_PRT_PRT6_BYP 0x40005166 +#define CYDEV_IO_PRT_PRT6_BIE 0x40005167 +#define CYDEV_IO_PRT_PRT6_INP_DIS 0x40005168 +#define CYDEV_IO_PRT_PRT6_CTL 0x40005169 +#define CYDEV_IO_PRT_PRT6_PRT 0x4000516a +#define CYDEV_IO_PRT_PRT6_BIT_MASK 0x4000516b +#define CYDEV_IO_PRT_PRT6_AMUX 0x4000516c +#define CYDEV_IO_PRT_PRT6_AG 0x4000516d +#define CYDEV_IO_PRT_PRT6_LCD_COM_SEG 0x4000516e +#define CYDEV_IO_PRT_PRT6_LCD_EN 0x4000516f +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0 +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT12_DR 0x400051c0 +#define CYDEV_IO_PRT_PRT12_PS 0x400051c1 +#define CYDEV_IO_PRT_PRT12_DM0 0x400051c2 +#define CYDEV_IO_PRT_PRT12_DM1 0x400051c3 +#define CYDEV_IO_PRT_PRT12_DM2 0x400051c4 +#define CYDEV_IO_PRT_PRT12_SLW 0x400051c5 +#define CYDEV_IO_PRT_PRT12_BYP 0x400051c6 +#define CYDEV_IO_PRT_PRT12_BIE 0x400051c7 +#define CYDEV_IO_PRT_PRT12_INP_DIS 0x400051c8 +#define CYDEV_IO_PRT_PRT12_SIO_HYST_EN 0x400051c9 +#define CYDEV_IO_PRT_PRT12_PRT 0x400051ca +#define CYDEV_IO_PRT_PRT12_BIT_MASK 0x400051cb +#define CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ 0x400051cc +#define CYDEV_IO_PRT_PRT12_AG 0x400051cd +#define CYDEV_IO_PRT_PRT12_SIO_CFG 0x400051ce +#define CYDEV_IO_PRT_PRT12_SIO_DIFF 0x400051cf +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0 +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT15_DR 0x400051f0 +#define CYDEV_IO_PRT_PRT15_PS 0x400051f1 +#define CYDEV_IO_PRT_PRT15_DM0 0x400051f2 +#define CYDEV_IO_PRT_PRT15_DM1 0x400051f3 +#define CYDEV_IO_PRT_PRT15_DM2 0x400051f4 +#define CYDEV_IO_PRT_PRT15_SLW 0x400051f5 +#define CYDEV_IO_PRT_PRT15_BYP 0x400051f6 +#define CYDEV_IO_PRT_PRT15_BIE 0x400051f7 +#define CYDEV_IO_PRT_PRT15_INP_DIS 0x400051f8 +#define CYDEV_IO_PRT_PRT15_CTL 0x400051f9 +#define CYDEV_IO_PRT_PRT15_PRT 0x400051fa +#define CYDEV_IO_PRT_PRT15_BIT_MASK 0x400051fb +#define CYDEV_IO_PRT_PRT15_AMUX 0x400051fc +#define CYDEV_IO_PRT_PRT15_AG 0x400051fd +#define CYDEV_IO_PRT_PRT15_LCD_COM_SEG 0x400051fe +#define CYDEV_IO_PRT_PRT15_LCD_EN 0x400051ff +#define CYDEV_PRTDSI_BASE 0x40005200 +#define CYDEV_PRTDSI_SIZE 0x0000007f +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200 +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT0_OUT_SEL0 0x40005200 +#define CYDEV_PRTDSI_PRT0_OUT_SEL1 0x40005201 +#define CYDEV_PRTDSI_PRT0_OE_SEL0 0x40005202 +#define CYDEV_PRTDSI_PRT0_OE_SEL1 0x40005203 +#define CYDEV_PRTDSI_PRT0_DBL_SYNC_IN 0x40005204 +#define CYDEV_PRTDSI_PRT0_SYNC_OUT 0x40005205 +#define CYDEV_PRTDSI_PRT0_CAPS_SEL 0x40005206 +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208 +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT1_OUT_SEL0 0x40005208 +#define CYDEV_PRTDSI_PRT1_OUT_SEL1 0x40005209 +#define CYDEV_PRTDSI_PRT1_OE_SEL0 0x4000520a +#define CYDEV_PRTDSI_PRT1_OE_SEL1 0x4000520b +#define CYDEV_PRTDSI_PRT1_DBL_SYNC_IN 0x4000520c +#define CYDEV_PRTDSI_PRT1_SYNC_OUT 0x4000520d +#define CYDEV_PRTDSI_PRT1_CAPS_SEL 0x4000520e +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210 +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT2_OUT_SEL0 0x40005210 +#define CYDEV_PRTDSI_PRT2_OUT_SEL1 0x40005211 +#define CYDEV_PRTDSI_PRT2_OE_SEL0 0x40005212 +#define CYDEV_PRTDSI_PRT2_OE_SEL1 0x40005213 +#define CYDEV_PRTDSI_PRT2_DBL_SYNC_IN 0x40005214 +#define CYDEV_PRTDSI_PRT2_SYNC_OUT 0x40005215 +#define CYDEV_PRTDSI_PRT2_CAPS_SEL 0x40005216 +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218 +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT3_OUT_SEL0 0x40005218 +#define CYDEV_PRTDSI_PRT3_OUT_SEL1 0x40005219 +#define CYDEV_PRTDSI_PRT3_OE_SEL0 0x4000521a +#define CYDEV_PRTDSI_PRT3_OE_SEL1 0x4000521b +#define CYDEV_PRTDSI_PRT3_DBL_SYNC_IN 0x4000521c +#define CYDEV_PRTDSI_PRT3_SYNC_OUT 0x4000521d +#define CYDEV_PRTDSI_PRT3_CAPS_SEL 0x4000521e +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220 +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT4_OUT_SEL0 0x40005220 +#define CYDEV_PRTDSI_PRT4_OUT_SEL1 0x40005221 +#define CYDEV_PRTDSI_PRT4_OE_SEL0 0x40005222 +#define CYDEV_PRTDSI_PRT4_OE_SEL1 0x40005223 +#define CYDEV_PRTDSI_PRT4_DBL_SYNC_IN 0x40005224 +#define CYDEV_PRTDSI_PRT4_SYNC_OUT 0x40005225 +#define CYDEV_PRTDSI_PRT4_CAPS_SEL 0x40005226 +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228 +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT5_OUT_SEL0 0x40005228 +#define CYDEV_PRTDSI_PRT5_OUT_SEL1 0x40005229 +#define CYDEV_PRTDSI_PRT5_OE_SEL0 0x4000522a +#define CYDEV_PRTDSI_PRT5_OE_SEL1 0x4000522b +#define CYDEV_PRTDSI_PRT5_DBL_SYNC_IN 0x4000522c +#define CYDEV_PRTDSI_PRT5_SYNC_OUT 0x4000522d +#define CYDEV_PRTDSI_PRT5_CAPS_SEL 0x4000522e +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230 +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT6_OUT_SEL0 0x40005230 +#define CYDEV_PRTDSI_PRT6_OUT_SEL1 0x40005231 +#define CYDEV_PRTDSI_PRT6_OE_SEL0 0x40005232 +#define CYDEV_PRTDSI_PRT6_OE_SEL1 0x40005233 +#define CYDEV_PRTDSI_PRT6_DBL_SYNC_IN 0x40005234 +#define CYDEV_PRTDSI_PRT6_SYNC_OUT 0x40005235 +#define CYDEV_PRTDSI_PRT6_CAPS_SEL 0x40005236 +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260 +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006 +#define CYDEV_PRTDSI_PRT12_OUT_SEL0 0x40005260 +#define CYDEV_PRTDSI_PRT12_OUT_SEL1 0x40005261 +#define CYDEV_PRTDSI_PRT12_OE_SEL0 0x40005262 +#define CYDEV_PRTDSI_PRT12_OE_SEL1 0x40005263 +#define CYDEV_PRTDSI_PRT12_DBL_SYNC_IN 0x40005264 +#define CYDEV_PRTDSI_PRT12_SYNC_OUT 0x40005265 +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278 +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT15_OUT_SEL0 0x40005278 +#define CYDEV_PRTDSI_PRT15_OUT_SEL1 0x40005279 +#define CYDEV_PRTDSI_PRT15_OE_SEL0 0x4000527a +#define CYDEV_PRTDSI_PRT15_OE_SEL1 0x4000527b +#define CYDEV_PRTDSI_PRT15_DBL_SYNC_IN 0x4000527c +#define CYDEV_PRTDSI_PRT15_SYNC_OUT 0x4000527d +#define CYDEV_PRTDSI_PRT15_CAPS_SEL 0x4000527e +#define CYDEV_EMIF_BASE 0x40005400 +#define CYDEV_EMIF_SIZE 0x00000007 +#define CYDEV_EMIF_NO_UDB 0x40005400 +#define CYDEV_EMIF_RP_WAIT_STATES 0x40005401 +#define CYDEV_EMIF_MEM_DWN 0x40005402 +#define CYDEV_EMIF_MEMCLK_DIV 0x40005403 +#define CYDEV_EMIF_CLOCK_EN 0x40005404 +#define CYDEV_EMIF_EM_TYPE 0x40005405 +#define CYDEV_EMIF_WP_WAIT_STATES 0x40005406 +#define CYDEV_ANAIF_BASE 0x40005800 +#define CYDEV_ANAIF_SIZE 0x000003a9 +#define CYDEV_ANAIF_CFG_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SIZE 0x0000010f +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC0_CR0 0x40005800 +#define CYDEV_ANAIF_CFG_SC0_CR1 0x40005801 +#define CYDEV_ANAIF_CFG_SC0_CR2 0x40005802 +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804 +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC1_CR0 0x40005804 +#define CYDEV_ANAIF_CFG_SC1_CR1 0x40005805 +#define CYDEV_ANAIF_CFG_SC1_CR2 0x40005806 +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808 +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC2_CR0 0x40005808 +#define CYDEV_ANAIF_CFG_SC2_CR1 0x40005809 +#define CYDEV_ANAIF_CFG_SC2_CR2 0x4000580a +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580c +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC3_CR0 0x4000580c +#define CYDEV_ANAIF_CFG_SC3_CR1 0x4000580d +#define CYDEV_ANAIF_CFG_SC3_CR2 0x4000580e +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820 +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC0_CR0 0x40005820 +#define CYDEV_ANAIF_CFG_DAC0_CR1 0x40005821 +#define CYDEV_ANAIF_CFG_DAC0_TST 0x40005822 +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824 +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC1_CR0 0x40005824 +#define CYDEV_ANAIF_CFG_DAC1_CR1 0x40005825 +#define CYDEV_ANAIF_CFG_DAC1_TST 0x40005826 +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828 +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC2_CR0 0x40005828 +#define CYDEV_ANAIF_CFG_DAC2_CR1 0x40005829 +#define CYDEV_ANAIF_CFG_DAC2_TST 0x4000582a +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582c +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC3_CR0 0x4000582c +#define CYDEV_ANAIF_CFG_DAC3_CR1 0x4000582d +#define CYDEV_ANAIF_CFG_DAC3_TST 0x4000582e +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840 +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP0_CR 0x40005840 +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841 +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP1_CR 0x40005841 +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842 +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP2_CR 0x40005842 +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843 +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP3_CR 0x40005843 +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848 +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT0_CR 0x40005848 +#define CYDEV_ANAIF_CFG_LUT0_MX 0x40005849 +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584a +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT1_CR 0x4000584a +#define CYDEV_ANAIF_CFG_LUT1_MX 0x4000584b +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584c +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT2_CR 0x4000584c +#define CYDEV_ANAIF_CFG_LUT2_MX 0x4000584d +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584e +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT3_CR 0x4000584e +#define CYDEV_ANAIF_CFG_LUT3_MX 0x4000584f +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858 +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP0_CR 0x40005858 +#define CYDEV_ANAIF_CFG_OPAMP0_RSVD 0x40005859 +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585a +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP1_CR 0x4000585a +#define CYDEV_ANAIF_CFG_OPAMP1_RSVD 0x4000585b +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585c +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP2_CR 0x4000585c +#define CYDEV_ANAIF_CFG_OPAMP2_RSVD 0x4000585d +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585e +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP3_CR 0x4000585e +#define CYDEV_ANAIF_CFG_OPAMP3_RSVD 0x4000585f +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868 +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LCDDAC_CR0 0x40005868 +#define CYDEV_ANAIF_CFG_LCDDAC_CR1 0x40005869 +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586a +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_LCDDRV_CR 0x4000586a +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586b +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_LCDTMR_CFG 0x4000586b +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586c +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004 +#define CYDEV_ANAIF_CFG_BG_CR0 0x4000586c +#define CYDEV_ANAIF_CFG_BG_RSVD 0x4000586d +#define CYDEV_ANAIF_CFG_BG_DFT0 0x4000586e +#define CYDEV_ANAIF_CFG_BG_DFT1 0x4000586f +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870 +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_CAPSL_CFG0 0x40005870 +#define CYDEV_ANAIF_CFG_CAPSL_CFG1 0x40005871 +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872 +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_CAPSR_CFG0 0x40005872 +#define CYDEV_ANAIF_CFG_CAPSR_CFG1 0x40005873 +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876 +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_PUMP_CR0 0x40005876 +#define CYDEV_ANAIF_CFG_PUMP_CR1 0x40005877 +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878 +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LPF0_CR0 0x40005878 +#define CYDEV_ANAIF_CFG_LPF0_RSVD 0x40005879 +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587a +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LPF1_CR0 0x4000587a +#define CYDEV_ANAIF_CFG_LPF1_RSVD 0x4000587b +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587c +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_MISC_CR0 0x4000587c +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880 +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020 +#define CYDEV_ANAIF_CFG_DSM0_CR0 0x40005880 +#define CYDEV_ANAIF_CFG_DSM0_CR1 0x40005881 +#define CYDEV_ANAIF_CFG_DSM0_CR2 0x40005882 +#define CYDEV_ANAIF_CFG_DSM0_CR3 0x40005883 +#define CYDEV_ANAIF_CFG_DSM0_CR4 0x40005884 +#define CYDEV_ANAIF_CFG_DSM0_CR5 0x40005885 +#define CYDEV_ANAIF_CFG_DSM0_CR6 0x40005886 +#define CYDEV_ANAIF_CFG_DSM0_CR7 0x40005887 +#define CYDEV_ANAIF_CFG_DSM0_CR8 0x40005888 +#define CYDEV_ANAIF_CFG_DSM0_CR9 0x40005889 +#define CYDEV_ANAIF_CFG_DSM0_CR10 0x4000588a +#define CYDEV_ANAIF_CFG_DSM0_CR11 0x4000588b +#define CYDEV_ANAIF_CFG_DSM0_CR12 0x4000588c +#define CYDEV_ANAIF_CFG_DSM0_CR13 0x4000588d +#define CYDEV_ANAIF_CFG_DSM0_CR14 0x4000588e +#define CYDEV_ANAIF_CFG_DSM0_CR15 0x4000588f +#define CYDEV_ANAIF_CFG_DSM0_CR16 0x40005890 +#define CYDEV_ANAIF_CFG_DSM0_CR17 0x40005891 +#define CYDEV_ANAIF_CFG_DSM0_REF0 0x40005892 +#define CYDEV_ANAIF_CFG_DSM0_REF1 0x40005893 +#define CYDEV_ANAIF_CFG_DSM0_REF2 0x40005894 +#define CYDEV_ANAIF_CFG_DSM0_REF3 0x40005895 +#define CYDEV_ANAIF_CFG_DSM0_DEM0 0x40005896 +#define CYDEV_ANAIF_CFG_DSM0_DEM1 0x40005897 +#define CYDEV_ANAIF_CFG_DSM0_TST0 0x40005898 +#define CYDEV_ANAIF_CFG_DSM0_TST1 0x40005899 +#define CYDEV_ANAIF_CFG_DSM0_BUF0 0x4000589a +#define CYDEV_ANAIF_CFG_DSM0_BUF1 0x4000589b +#define CYDEV_ANAIF_CFG_DSM0_BUF2 0x4000589c +#define CYDEV_ANAIF_CFG_DSM0_BUF3 0x4000589d +#define CYDEV_ANAIF_CFG_DSM0_MISC 0x4000589e +#define CYDEV_ANAIF_CFG_DSM0_RSVD1 0x4000589f +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900 +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007 +#define CYDEV_ANAIF_CFG_SAR0_CSR0 0x40005900 +#define CYDEV_ANAIF_CFG_SAR0_CSR1 0x40005901 +#define CYDEV_ANAIF_CFG_SAR0_CSR2 0x40005902 +#define CYDEV_ANAIF_CFG_SAR0_CSR3 0x40005903 +#define CYDEV_ANAIF_CFG_SAR0_CSR4 0x40005904 +#define CYDEV_ANAIF_CFG_SAR0_CSR5 0x40005905 +#define CYDEV_ANAIF_CFG_SAR0_CSR6 0x40005906 +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908 +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007 +#define CYDEV_ANAIF_CFG_SAR1_CSR0 0x40005908 +#define CYDEV_ANAIF_CFG_SAR1_CSR1 0x40005909 +#define CYDEV_ANAIF_CFG_SAR1_CSR2 0x4000590a +#define CYDEV_ANAIF_CFG_SAR1_CSR3 0x4000590b +#define CYDEV_ANAIF_CFG_SAR1_CSR4 0x4000590c +#define CYDEV_ANAIF_CFG_SAR1_CSR5 0x4000590d +#define CYDEV_ANAIF_CFG_SAR1_CSR6 0x4000590e +#define CYDEV_ANAIF_RT_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SIZE 0x00000162 +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC0_SW0 0x40005a00 +#define CYDEV_ANAIF_RT_SC0_SW2 0x40005a02 +#define CYDEV_ANAIF_RT_SC0_SW3 0x40005a03 +#define CYDEV_ANAIF_RT_SC0_SW4 0x40005a04 +#define CYDEV_ANAIF_RT_SC0_SW6 0x40005a06 +#define CYDEV_ANAIF_RT_SC0_SW7 0x40005a07 +#define CYDEV_ANAIF_RT_SC0_SW8 0x40005a08 +#define CYDEV_ANAIF_RT_SC0_SW10 0x40005a0a +#define CYDEV_ANAIF_RT_SC0_CLK 0x40005a0b +#define CYDEV_ANAIF_RT_SC0_BST 0x40005a0c +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10 +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC1_SW0 0x40005a10 +#define CYDEV_ANAIF_RT_SC1_SW2 0x40005a12 +#define CYDEV_ANAIF_RT_SC1_SW3 0x40005a13 +#define CYDEV_ANAIF_RT_SC1_SW4 0x40005a14 +#define CYDEV_ANAIF_RT_SC1_SW6 0x40005a16 +#define CYDEV_ANAIF_RT_SC1_SW7 0x40005a17 +#define CYDEV_ANAIF_RT_SC1_SW8 0x40005a18 +#define CYDEV_ANAIF_RT_SC1_SW10 0x40005a1a +#define CYDEV_ANAIF_RT_SC1_CLK 0x40005a1b +#define CYDEV_ANAIF_RT_SC1_BST 0x40005a1c +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20 +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC2_SW0 0x40005a20 +#define CYDEV_ANAIF_RT_SC2_SW2 0x40005a22 +#define CYDEV_ANAIF_RT_SC2_SW3 0x40005a23 +#define CYDEV_ANAIF_RT_SC2_SW4 0x40005a24 +#define CYDEV_ANAIF_RT_SC2_SW6 0x40005a26 +#define CYDEV_ANAIF_RT_SC2_SW7 0x40005a27 +#define CYDEV_ANAIF_RT_SC2_SW8 0x40005a28 +#define CYDEV_ANAIF_RT_SC2_SW10 0x40005a2a +#define CYDEV_ANAIF_RT_SC2_CLK 0x40005a2b +#define CYDEV_ANAIF_RT_SC2_BST 0x40005a2c +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30 +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC3_SW0 0x40005a30 +#define CYDEV_ANAIF_RT_SC3_SW2 0x40005a32 +#define CYDEV_ANAIF_RT_SC3_SW3 0x40005a33 +#define CYDEV_ANAIF_RT_SC3_SW4 0x40005a34 +#define CYDEV_ANAIF_RT_SC3_SW6 0x40005a36 +#define CYDEV_ANAIF_RT_SC3_SW7 0x40005a37 +#define CYDEV_ANAIF_RT_SC3_SW8 0x40005a38 +#define CYDEV_ANAIF_RT_SC3_SW10 0x40005a3a +#define CYDEV_ANAIF_RT_SC3_CLK 0x40005a3b +#define CYDEV_ANAIF_RT_SC3_BST 0x40005a3c +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80 +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC0_SW0 0x40005a80 +#define CYDEV_ANAIF_RT_DAC0_SW2 0x40005a82 +#define CYDEV_ANAIF_RT_DAC0_SW3 0x40005a83 +#define CYDEV_ANAIF_RT_DAC0_SW4 0x40005a84 +#define CYDEV_ANAIF_RT_DAC0_STROBE 0x40005a87 +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88 +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC1_SW0 0x40005a88 +#define CYDEV_ANAIF_RT_DAC1_SW2 0x40005a8a +#define CYDEV_ANAIF_RT_DAC1_SW3 0x40005a8b +#define CYDEV_ANAIF_RT_DAC1_SW4 0x40005a8c +#define CYDEV_ANAIF_RT_DAC1_STROBE 0x40005a8f +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90 +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC2_SW0 0x40005a90 +#define CYDEV_ANAIF_RT_DAC2_SW2 0x40005a92 +#define CYDEV_ANAIF_RT_DAC2_SW3 0x40005a93 +#define CYDEV_ANAIF_RT_DAC2_SW4 0x40005a94 +#define CYDEV_ANAIF_RT_DAC2_STROBE 0x40005a97 +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98 +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC3_SW0 0x40005a98 +#define CYDEV_ANAIF_RT_DAC3_SW2 0x40005a9a +#define CYDEV_ANAIF_RT_DAC3_SW3 0x40005a9b +#define CYDEV_ANAIF_RT_DAC3_SW4 0x40005a9c +#define CYDEV_ANAIF_RT_DAC3_STROBE 0x40005a9f +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0 +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP0_SW0 0x40005ac0 +#define CYDEV_ANAIF_RT_CMP0_SW2 0x40005ac2 +#define CYDEV_ANAIF_RT_CMP0_SW3 0x40005ac3 +#define CYDEV_ANAIF_RT_CMP0_SW4 0x40005ac4 +#define CYDEV_ANAIF_RT_CMP0_SW6 0x40005ac6 +#define CYDEV_ANAIF_RT_CMP0_CLK 0x40005ac7 +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8 +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP1_SW0 0x40005ac8 +#define CYDEV_ANAIF_RT_CMP1_SW2 0x40005aca +#define CYDEV_ANAIF_RT_CMP1_SW3 0x40005acb +#define CYDEV_ANAIF_RT_CMP1_SW4 0x40005acc +#define CYDEV_ANAIF_RT_CMP1_SW6 0x40005ace +#define CYDEV_ANAIF_RT_CMP1_CLK 0x40005acf +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0 +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP2_SW0 0x40005ad0 +#define CYDEV_ANAIF_RT_CMP2_SW2 0x40005ad2 +#define CYDEV_ANAIF_RT_CMP2_SW3 0x40005ad3 +#define CYDEV_ANAIF_RT_CMP2_SW4 0x40005ad4 +#define CYDEV_ANAIF_RT_CMP2_SW6 0x40005ad6 +#define CYDEV_ANAIF_RT_CMP2_CLK 0x40005ad7 +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8 +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP3_SW0 0x40005ad8 +#define CYDEV_ANAIF_RT_CMP3_SW2 0x40005ada +#define CYDEV_ANAIF_RT_CMP3_SW3 0x40005adb +#define CYDEV_ANAIF_RT_CMP3_SW4 0x40005adc +#define CYDEV_ANAIF_RT_CMP3_SW6 0x40005ade +#define CYDEV_ANAIF_RT_CMP3_CLK 0x40005adf +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00 +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DSM0_SW0 0x40005b00 +#define CYDEV_ANAIF_RT_DSM0_SW2 0x40005b02 +#define CYDEV_ANAIF_RT_DSM0_SW3 0x40005b03 +#define CYDEV_ANAIF_RT_DSM0_SW4 0x40005b04 +#define CYDEV_ANAIF_RT_DSM0_SW6 0x40005b06 +#define CYDEV_ANAIF_RT_DSM0_CLK 0x40005b07 +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20 +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_SAR0_SW0 0x40005b20 +#define CYDEV_ANAIF_RT_SAR0_SW2 0x40005b22 +#define CYDEV_ANAIF_RT_SAR0_SW3 0x40005b23 +#define CYDEV_ANAIF_RT_SAR0_SW4 0x40005b24 +#define CYDEV_ANAIF_RT_SAR0_SW6 0x40005b26 +#define CYDEV_ANAIF_RT_SAR0_CLK 0x40005b27 +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28 +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_SAR1_SW0 0x40005b28 +#define CYDEV_ANAIF_RT_SAR1_SW2 0x40005b2a +#define CYDEV_ANAIF_RT_SAR1_SW3 0x40005b2b +#define CYDEV_ANAIF_RT_SAR1_SW4 0x40005b2c +#define CYDEV_ANAIF_RT_SAR1_SW6 0x40005b2e +#define CYDEV_ANAIF_RT_SAR1_CLK 0x40005b2f +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40 +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP0_MX 0x40005b40 +#define CYDEV_ANAIF_RT_OPAMP0_SW 0x40005b41 +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42 +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP1_MX 0x40005b42 +#define CYDEV_ANAIF_RT_OPAMP1_SW 0x40005b43 +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44 +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP2_MX 0x40005b44 +#define CYDEV_ANAIF_RT_OPAMP2_SW 0x40005b45 +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46 +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP3_MX 0x40005b46 +#define CYDEV_ANAIF_RT_OPAMP3_SW 0x40005b47 +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50 +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005 +#define CYDEV_ANAIF_RT_LCDDAC_SW0 0x40005b50 +#define CYDEV_ANAIF_RT_LCDDAC_SW1 0x40005b51 +#define CYDEV_ANAIF_RT_LCDDAC_SW2 0x40005b52 +#define CYDEV_ANAIF_RT_LCDDAC_SW3 0x40005b53 +#define CYDEV_ANAIF_RT_LCDDAC_SW4 0x40005b54 +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56 +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001 +#define CYDEV_ANAIF_RT_SC_MISC 0x40005b56 +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58 +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004 +#define CYDEV_ANAIF_RT_BUS_SW0 0x40005b58 +#define CYDEV_ANAIF_RT_BUS_SW2 0x40005b5a +#define CYDEV_ANAIF_RT_BUS_SW3 0x40005b5b +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5c +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006 +#define CYDEV_ANAIF_RT_DFT_CR0 0x40005b5c +#define CYDEV_ANAIF_RT_DFT_CR1 0x40005b5d +#define CYDEV_ANAIF_RT_DFT_CR2 0x40005b5e +#define CYDEV_ANAIF_RT_DFT_CR3 0x40005b5f +#define CYDEV_ANAIF_RT_DFT_CR4 0x40005b60 +#define CYDEV_ANAIF_RT_DFT_CR5 0x40005b61 +#define CYDEV_ANAIF_WRK_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_SIZE 0x00000029 +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC0_D 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC1_D 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC2_D 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83 +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC3_D 0x40005b83 +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88 +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_DSM0_OUT0 0x40005b88 +#define CYDEV_ANAIF_WRK_DSM0_OUT1 0x40005b89 +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90 +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005 +#define CYDEV_ANAIF_WRK_LUT_SR 0x40005b90 +#define CYDEV_ANAIF_WRK_LUT_WRK1 0x40005b91 +#define CYDEV_ANAIF_WRK_LUT_MSK 0x40005b92 +#define CYDEV_ANAIF_WRK_LUT_CLK 0x40005b93 +#define CYDEV_ANAIF_WRK_LUT_CPTR 0x40005b94 +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96 +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_CMP_WRK 0x40005b96 +#define CYDEV_ANAIF_WRK_CMP_TST 0x40005b97 +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98 +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005 +#define CYDEV_ANAIF_WRK_SC_SR 0x40005b98 +#define CYDEV_ANAIF_WRK_SC_WRK1 0x40005b99 +#define CYDEV_ANAIF_WRK_SC_MSK 0x40005b9a +#define CYDEV_ANAIF_WRK_SC_CMPINV 0x40005b9b +#define CYDEV_ANAIF_WRK_SC_CPTR 0x40005b9c +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0 +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_SAR0_WRK0 0x40005ba0 +#define CYDEV_ANAIF_WRK_SAR0_WRK1 0x40005ba1 +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2 +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_SAR1_WRK0 0x40005ba2 +#define CYDEV_ANAIF_WRK_SAR1_WRK1 0x40005ba3 +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8 +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_SARS_SOF 0x40005ba8 +#define CYDEV_USB_BASE 0x40006000 +#define CYDEV_USB_SIZE 0x00000300 +#define CYDEV_USB_EP0_DR0 0x40006000 +#define CYDEV_USB_EP0_DR1 0x40006001 +#define CYDEV_USB_EP0_DR2 0x40006002 +#define CYDEV_USB_EP0_DR3 0x40006003 +#define CYDEV_USB_EP0_DR4 0x40006004 +#define CYDEV_USB_EP0_DR5 0x40006005 +#define CYDEV_USB_EP0_DR6 0x40006006 +#define CYDEV_USB_EP0_DR7 0x40006007 +#define CYDEV_USB_CR0 0x40006008 +#define CYDEV_USB_CR1 0x40006009 +#define CYDEV_USB_SIE_EP_INT_EN 0x4000600a +#define CYDEV_USB_SIE_EP_INT_SR 0x4000600b +#define CYDEV_USB_SIE_EP1_BASE 0x4000600c +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP1_CNT0 0x4000600c +#define CYDEV_USB_SIE_EP1_CNT1 0x4000600d +#define CYDEV_USB_SIE_EP1_CR0 0x4000600e +#define CYDEV_USB_USBIO_CR0 0x40006010 +#define CYDEV_USB_USBIO_CR1 0x40006012 +#define CYDEV_USB_DYN_RECONFIG 0x40006014 +#define CYDEV_USB_SOF0 0x40006018 +#define CYDEV_USB_SOF1 0x40006019 +#define CYDEV_USB_SIE_EP2_BASE 0x4000601c +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP2_CNT0 0x4000601c +#define CYDEV_USB_SIE_EP2_CNT1 0x4000601d +#define CYDEV_USB_SIE_EP2_CR0 0x4000601e +#define CYDEV_USB_EP0_CR 0x40006028 +#define CYDEV_USB_EP0_CNT 0x40006029 +#define CYDEV_USB_SIE_EP3_BASE 0x4000602c +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP3_CNT0 0x4000602c +#define CYDEV_USB_SIE_EP3_CNT1 0x4000602d +#define CYDEV_USB_SIE_EP3_CR0 0x4000602e +#define CYDEV_USB_SIE_EP4_BASE 0x4000603c +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP4_CNT0 0x4000603c +#define CYDEV_USB_SIE_EP4_CNT1 0x4000603d +#define CYDEV_USB_SIE_EP4_CR0 0x4000603e +#define CYDEV_USB_SIE_EP5_BASE 0x4000604c +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP5_CNT0 0x4000604c +#define CYDEV_USB_SIE_EP5_CNT1 0x4000604d +#define CYDEV_USB_SIE_EP5_CR0 0x4000604e +#define CYDEV_USB_SIE_EP6_BASE 0x4000605c +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP6_CNT0 0x4000605c +#define CYDEV_USB_SIE_EP6_CNT1 0x4000605d +#define CYDEV_USB_SIE_EP6_CR0 0x4000605e +#define CYDEV_USB_SIE_EP7_BASE 0x4000606c +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP7_CNT0 0x4000606c +#define CYDEV_USB_SIE_EP7_CNT1 0x4000606d +#define CYDEV_USB_SIE_EP7_CR0 0x4000606e +#define CYDEV_USB_SIE_EP8_BASE 0x4000607c +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP8_CNT0 0x4000607c +#define CYDEV_USB_SIE_EP8_CNT1 0x4000607d +#define CYDEV_USB_SIE_EP8_CR0 0x4000607e +#define CYDEV_USB_ARB_EP1_BASE 0x40006080 +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP1_CFG 0x40006080 +#define CYDEV_USB_ARB_EP1_INT_EN 0x40006081 +#define CYDEV_USB_ARB_EP1_SR 0x40006082 +#define CYDEV_USB_ARB_RW1_BASE 0x40006084 +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW1_WA 0x40006084 +#define CYDEV_USB_ARB_RW1_WA_MSB 0x40006085 +#define CYDEV_USB_ARB_RW1_RA 0x40006086 +#define CYDEV_USB_ARB_RW1_RA_MSB 0x40006087 +#define CYDEV_USB_ARB_RW1_DR 0x40006088 +#define CYDEV_USB_BUF_SIZE 0x4000608c +#define CYDEV_USB_EP_ACTIVE 0x4000608e +#define CYDEV_USB_EP_TYPE 0x4000608f +#define CYDEV_USB_ARB_EP2_BASE 0x40006090 +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP2_CFG 0x40006090 +#define CYDEV_USB_ARB_EP2_INT_EN 0x40006091 +#define CYDEV_USB_ARB_EP2_SR 0x40006092 +#define CYDEV_USB_ARB_RW2_BASE 0x40006094 +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW2_WA 0x40006094 +#define CYDEV_USB_ARB_RW2_WA_MSB 0x40006095 +#define CYDEV_USB_ARB_RW2_RA 0x40006096 +#define CYDEV_USB_ARB_RW2_RA_MSB 0x40006097 +#define CYDEV_USB_ARB_RW2_DR 0x40006098 +#define CYDEV_USB_ARB_CFG 0x4000609c +#define CYDEV_USB_USB_CLK_EN 0x4000609d +#define CYDEV_USB_ARB_INT_EN 0x4000609e +#define CYDEV_USB_ARB_INT_SR 0x4000609f +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0 +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP3_CFG 0x400060a0 +#define CYDEV_USB_ARB_EP3_INT_EN 0x400060a1 +#define CYDEV_USB_ARB_EP3_SR 0x400060a2 +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4 +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW3_WA 0x400060a4 +#define CYDEV_USB_ARB_RW3_WA_MSB 0x400060a5 +#define CYDEV_USB_ARB_RW3_RA 0x400060a6 +#define CYDEV_USB_ARB_RW3_RA_MSB 0x400060a7 +#define CYDEV_USB_ARB_RW3_DR 0x400060a8 +#define CYDEV_USB_CWA 0x400060ac +#define CYDEV_USB_CWA_MSB 0x400060ad +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0 +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP4_CFG 0x400060b0 +#define CYDEV_USB_ARB_EP4_INT_EN 0x400060b1 +#define CYDEV_USB_ARB_EP4_SR 0x400060b2 +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4 +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW4_WA 0x400060b4 +#define CYDEV_USB_ARB_RW4_WA_MSB 0x400060b5 +#define CYDEV_USB_ARB_RW4_RA 0x400060b6 +#define CYDEV_USB_ARB_RW4_RA_MSB 0x400060b7 +#define CYDEV_USB_ARB_RW4_DR 0x400060b8 +#define CYDEV_USB_DMA_THRES 0x400060bc +#define CYDEV_USB_DMA_THRES_MSB 0x400060bd +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0 +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP5_CFG 0x400060c0 +#define CYDEV_USB_ARB_EP5_INT_EN 0x400060c1 +#define CYDEV_USB_ARB_EP5_SR 0x400060c2 +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4 +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW5_WA 0x400060c4 +#define CYDEV_USB_ARB_RW5_WA_MSB 0x400060c5 +#define CYDEV_USB_ARB_RW5_RA 0x400060c6 +#define CYDEV_USB_ARB_RW5_RA_MSB 0x400060c7 +#define CYDEV_USB_ARB_RW5_DR 0x400060c8 +#define CYDEV_USB_BUS_RST_CNT 0x400060cc +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0 +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP6_CFG 0x400060d0 +#define CYDEV_USB_ARB_EP6_INT_EN 0x400060d1 +#define CYDEV_USB_ARB_EP6_SR 0x400060d2 +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4 +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW6_WA 0x400060d4 +#define CYDEV_USB_ARB_RW6_WA_MSB 0x400060d5 +#define CYDEV_USB_ARB_RW6_RA 0x400060d6 +#define CYDEV_USB_ARB_RW6_RA_MSB 0x400060d7 +#define CYDEV_USB_ARB_RW6_DR 0x400060d8 +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0 +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP7_CFG 0x400060e0 +#define CYDEV_USB_ARB_EP7_INT_EN 0x400060e1 +#define CYDEV_USB_ARB_EP7_SR 0x400060e2 +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4 +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW7_WA 0x400060e4 +#define CYDEV_USB_ARB_RW7_WA_MSB 0x400060e5 +#define CYDEV_USB_ARB_RW7_RA 0x400060e6 +#define CYDEV_USB_ARB_RW7_RA_MSB 0x400060e7 +#define CYDEV_USB_ARB_RW7_DR 0x400060e8 +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0 +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP8_CFG 0x400060f0 +#define CYDEV_USB_ARB_EP8_INT_EN 0x400060f1 +#define CYDEV_USB_ARB_EP8_SR 0x400060f2 +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4 +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW8_WA 0x400060f4 +#define CYDEV_USB_ARB_RW8_WA_MSB 0x400060f5 +#define CYDEV_USB_ARB_RW8_RA 0x400060f6 +#define CYDEV_USB_ARB_RW8_RA_MSB 0x400060f7 +#define CYDEV_USB_ARB_RW8_DR 0x400060f8 +#define CYDEV_USB_MEM_BASE 0x40006100 +#define CYDEV_USB_MEM_SIZE 0x00000200 +#define CYDEV_USB_MEM_DATA_MBASE 0x40006100 +#define CYDEV_USB_MEM_DATA_MSIZE 0x00000200 +#define CYDEV_UWRK_BASE 0x40006400 +#define CYDEV_UWRK_SIZE 0x00000b60 +#define CYDEV_UWRK_UWRK8_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0 +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0 +#define CYDEV_UWRK_UWRK8_B0_UDB00_A0 0x40006400 +#define CYDEV_UWRK_UWRK8_B0_UDB01_A0 0x40006401 +#define CYDEV_UWRK_UWRK8_B0_UDB02_A0 0x40006402 +#define CYDEV_UWRK_UWRK8_B0_UDB03_A0 0x40006403 +#define CYDEV_UWRK_UWRK8_B0_UDB04_A0 0x40006404 +#define CYDEV_UWRK_UWRK8_B0_UDB05_A0 0x40006405 +#define CYDEV_UWRK_UWRK8_B0_UDB06_A0 0x40006406 +#define CYDEV_UWRK_UWRK8_B0_UDB07_A0 0x40006407 +#define CYDEV_UWRK_UWRK8_B0_UDB08_A0 0x40006408 +#define CYDEV_UWRK_UWRK8_B0_UDB09_A0 0x40006409 +#define CYDEV_UWRK_UWRK8_B0_UDB10_A0 0x4000640a +#define CYDEV_UWRK_UWRK8_B0_UDB11_A0 0x4000640b +#define CYDEV_UWRK_UWRK8_B0_UDB12_A0 0x4000640c +#define CYDEV_UWRK_UWRK8_B0_UDB13_A0 0x4000640d +#define CYDEV_UWRK_UWRK8_B0_UDB14_A0 0x4000640e +#define CYDEV_UWRK_UWRK8_B0_UDB15_A0 0x4000640f +#define CYDEV_UWRK_UWRK8_B0_UDB00_A1 0x40006410 +#define CYDEV_UWRK_UWRK8_B0_UDB01_A1 0x40006411 +#define CYDEV_UWRK_UWRK8_B0_UDB02_A1 0x40006412 +#define CYDEV_UWRK_UWRK8_B0_UDB03_A1 0x40006413 +#define CYDEV_UWRK_UWRK8_B0_UDB04_A1 0x40006414 +#define CYDEV_UWRK_UWRK8_B0_UDB05_A1 0x40006415 +#define CYDEV_UWRK_UWRK8_B0_UDB06_A1 0x40006416 +#define CYDEV_UWRK_UWRK8_B0_UDB07_A1 0x40006417 +#define CYDEV_UWRK_UWRK8_B0_UDB08_A1 0x40006418 +#define CYDEV_UWRK_UWRK8_B0_UDB09_A1 0x40006419 +#define CYDEV_UWRK_UWRK8_B0_UDB10_A1 0x4000641a +#define CYDEV_UWRK_UWRK8_B0_UDB11_A1 0x4000641b +#define CYDEV_UWRK_UWRK8_B0_UDB12_A1 0x4000641c +#define CYDEV_UWRK_UWRK8_B0_UDB13_A1 0x4000641d +#define CYDEV_UWRK_UWRK8_B0_UDB14_A1 0x4000641e +#define CYDEV_UWRK_UWRK8_B0_UDB15_A1 0x4000641f +#define CYDEV_UWRK_UWRK8_B0_UDB00_D0 0x40006420 +#define CYDEV_UWRK_UWRK8_B0_UDB01_D0 0x40006421 +#define CYDEV_UWRK_UWRK8_B0_UDB02_D0 0x40006422 +#define CYDEV_UWRK_UWRK8_B0_UDB03_D0 0x40006423 +#define CYDEV_UWRK_UWRK8_B0_UDB04_D0 0x40006424 +#define CYDEV_UWRK_UWRK8_B0_UDB05_D0 0x40006425 +#define CYDEV_UWRK_UWRK8_B0_UDB06_D0 0x40006426 +#define CYDEV_UWRK_UWRK8_B0_UDB07_D0 0x40006427 +#define CYDEV_UWRK_UWRK8_B0_UDB08_D0 0x40006428 +#define CYDEV_UWRK_UWRK8_B0_UDB09_D0 0x40006429 +#define CYDEV_UWRK_UWRK8_B0_UDB10_D0 0x4000642a +#define CYDEV_UWRK_UWRK8_B0_UDB11_D0 0x4000642b +#define CYDEV_UWRK_UWRK8_B0_UDB12_D0 0x4000642c +#define CYDEV_UWRK_UWRK8_B0_UDB13_D0 0x4000642d +#define CYDEV_UWRK_UWRK8_B0_UDB14_D0 0x4000642e +#define CYDEV_UWRK_UWRK8_B0_UDB15_D0 0x4000642f +#define CYDEV_UWRK_UWRK8_B0_UDB00_D1 0x40006430 +#define CYDEV_UWRK_UWRK8_B0_UDB01_D1 0x40006431 +#define CYDEV_UWRK_UWRK8_B0_UDB02_D1 0x40006432 +#define CYDEV_UWRK_UWRK8_B0_UDB03_D1 0x40006433 +#define CYDEV_UWRK_UWRK8_B0_UDB04_D1 0x40006434 +#define CYDEV_UWRK_UWRK8_B0_UDB05_D1 0x40006435 +#define CYDEV_UWRK_UWRK8_B0_UDB06_D1 0x40006436 +#define CYDEV_UWRK_UWRK8_B0_UDB07_D1 0x40006437 +#define CYDEV_UWRK_UWRK8_B0_UDB08_D1 0x40006438 +#define CYDEV_UWRK_UWRK8_B0_UDB09_D1 0x40006439 +#define CYDEV_UWRK_UWRK8_B0_UDB10_D1 0x4000643a +#define CYDEV_UWRK_UWRK8_B0_UDB11_D1 0x4000643b +#define CYDEV_UWRK_UWRK8_B0_UDB12_D1 0x4000643c +#define CYDEV_UWRK_UWRK8_B0_UDB13_D1 0x4000643d +#define CYDEV_UWRK_UWRK8_B0_UDB14_D1 0x4000643e +#define CYDEV_UWRK_UWRK8_B0_UDB15_D1 0x4000643f +#define CYDEV_UWRK_UWRK8_B0_UDB00_F0 0x40006440 +#define CYDEV_UWRK_UWRK8_B0_UDB01_F0 0x40006441 +#define CYDEV_UWRK_UWRK8_B0_UDB02_F0 0x40006442 +#define CYDEV_UWRK_UWRK8_B0_UDB03_F0 0x40006443 +#define CYDEV_UWRK_UWRK8_B0_UDB04_F0 0x40006444 +#define CYDEV_UWRK_UWRK8_B0_UDB05_F0 0x40006445 +#define CYDEV_UWRK_UWRK8_B0_UDB06_F0 0x40006446 +#define CYDEV_UWRK_UWRK8_B0_UDB07_F0 0x40006447 +#define CYDEV_UWRK_UWRK8_B0_UDB08_F0 0x40006448 +#define CYDEV_UWRK_UWRK8_B0_UDB09_F0 0x40006449 +#define CYDEV_UWRK_UWRK8_B0_UDB10_F0 0x4000644a +#define CYDEV_UWRK_UWRK8_B0_UDB11_F0 0x4000644b +#define CYDEV_UWRK_UWRK8_B0_UDB12_F0 0x4000644c +#define CYDEV_UWRK_UWRK8_B0_UDB13_F0 0x4000644d +#define CYDEV_UWRK_UWRK8_B0_UDB14_F0 0x4000644e +#define CYDEV_UWRK_UWRK8_B0_UDB15_F0 0x4000644f +#define CYDEV_UWRK_UWRK8_B0_UDB00_F1 0x40006450 +#define CYDEV_UWRK_UWRK8_B0_UDB01_F1 0x40006451 +#define CYDEV_UWRK_UWRK8_B0_UDB02_F1 0x40006452 +#define CYDEV_UWRK_UWRK8_B0_UDB03_F1 0x40006453 +#define CYDEV_UWRK_UWRK8_B0_UDB04_F1 0x40006454 +#define CYDEV_UWRK_UWRK8_B0_UDB05_F1 0x40006455 +#define CYDEV_UWRK_UWRK8_B0_UDB06_F1 0x40006456 +#define CYDEV_UWRK_UWRK8_B0_UDB07_F1 0x40006457 +#define CYDEV_UWRK_UWRK8_B0_UDB08_F1 0x40006458 +#define CYDEV_UWRK_UWRK8_B0_UDB09_F1 0x40006459 +#define CYDEV_UWRK_UWRK8_B0_UDB10_F1 0x4000645a +#define CYDEV_UWRK_UWRK8_B0_UDB11_F1 0x4000645b +#define CYDEV_UWRK_UWRK8_B0_UDB12_F1 0x4000645c +#define CYDEV_UWRK_UWRK8_B0_UDB13_F1 0x4000645d +#define CYDEV_UWRK_UWRK8_B0_UDB14_F1 0x4000645e +#define CYDEV_UWRK_UWRK8_B0_UDB15_F1 0x4000645f +#define CYDEV_UWRK_UWRK8_B0_UDB00_ST 0x40006460 +#define CYDEV_UWRK_UWRK8_B0_UDB01_ST 0x40006461 +#define CYDEV_UWRK_UWRK8_B0_UDB02_ST 0x40006462 +#define CYDEV_UWRK_UWRK8_B0_UDB03_ST 0x40006463 +#define CYDEV_UWRK_UWRK8_B0_UDB04_ST 0x40006464 +#define CYDEV_UWRK_UWRK8_B0_UDB05_ST 0x40006465 +#define CYDEV_UWRK_UWRK8_B0_UDB06_ST 0x40006466 +#define CYDEV_UWRK_UWRK8_B0_UDB07_ST 0x40006467 +#define CYDEV_UWRK_UWRK8_B0_UDB08_ST 0x40006468 +#define CYDEV_UWRK_UWRK8_B0_UDB09_ST 0x40006469 +#define CYDEV_UWRK_UWRK8_B0_UDB10_ST 0x4000646a +#define CYDEV_UWRK_UWRK8_B0_UDB11_ST 0x4000646b +#define CYDEV_UWRK_UWRK8_B0_UDB12_ST 0x4000646c +#define CYDEV_UWRK_UWRK8_B0_UDB13_ST 0x4000646d +#define CYDEV_UWRK_UWRK8_B0_UDB14_ST 0x4000646e +#define CYDEV_UWRK_UWRK8_B0_UDB15_ST 0x4000646f +#define CYDEV_UWRK_UWRK8_B0_UDB00_CTL 0x40006470 +#define CYDEV_UWRK_UWRK8_B0_UDB01_CTL 0x40006471 +#define CYDEV_UWRK_UWRK8_B0_UDB02_CTL 0x40006472 +#define CYDEV_UWRK_UWRK8_B0_UDB03_CTL 0x40006473 +#define CYDEV_UWRK_UWRK8_B0_UDB04_CTL 0x40006474 +#define CYDEV_UWRK_UWRK8_B0_UDB05_CTL 0x40006475 +#define CYDEV_UWRK_UWRK8_B0_UDB06_CTL 0x40006476 +#define CYDEV_UWRK_UWRK8_B0_UDB07_CTL 0x40006477 +#define CYDEV_UWRK_UWRK8_B0_UDB08_CTL 0x40006478 +#define CYDEV_UWRK_UWRK8_B0_UDB09_CTL 0x40006479 +#define CYDEV_UWRK_UWRK8_B0_UDB10_CTL 0x4000647a +#define CYDEV_UWRK_UWRK8_B0_UDB11_CTL 0x4000647b +#define CYDEV_UWRK_UWRK8_B0_UDB12_CTL 0x4000647c +#define CYDEV_UWRK_UWRK8_B0_UDB13_CTL 0x4000647d +#define CYDEV_UWRK_UWRK8_B0_UDB14_CTL 0x4000647e +#define CYDEV_UWRK_UWRK8_B0_UDB15_CTL 0x4000647f +#define CYDEV_UWRK_UWRK8_B0_UDB00_MSK 0x40006480 +#define CYDEV_UWRK_UWRK8_B0_UDB01_MSK 0x40006481 +#define CYDEV_UWRK_UWRK8_B0_UDB02_MSK 0x40006482 +#define CYDEV_UWRK_UWRK8_B0_UDB03_MSK 0x40006483 +#define CYDEV_UWRK_UWRK8_B0_UDB04_MSK 0x40006484 +#define CYDEV_UWRK_UWRK8_B0_UDB05_MSK 0x40006485 +#define CYDEV_UWRK_UWRK8_B0_UDB06_MSK 0x40006486 +#define CYDEV_UWRK_UWRK8_B0_UDB07_MSK 0x40006487 +#define CYDEV_UWRK_UWRK8_B0_UDB08_MSK 0x40006488 +#define CYDEV_UWRK_UWRK8_B0_UDB09_MSK 0x40006489 +#define CYDEV_UWRK_UWRK8_B0_UDB10_MSK 0x4000648a +#define CYDEV_UWRK_UWRK8_B0_UDB11_MSK 0x4000648b +#define CYDEV_UWRK_UWRK8_B0_UDB12_MSK 0x4000648c +#define CYDEV_UWRK_UWRK8_B0_UDB13_MSK 0x4000648d +#define CYDEV_UWRK_UWRK8_B0_UDB14_MSK 0x4000648e +#define CYDEV_UWRK_UWRK8_B0_UDB15_MSK 0x4000648f +#define CYDEV_UWRK_UWRK8_B0_UDB00_ACTL 0x40006490 +#define CYDEV_UWRK_UWRK8_B0_UDB01_ACTL 0x40006491 +#define CYDEV_UWRK_UWRK8_B0_UDB02_ACTL 0x40006492 +#define CYDEV_UWRK_UWRK8_B0_UDB03_ACTL 0x40006493 +#define CYDEV_UWRK_UWRK8_B0_UDB04_ACTL 0x40006494 +#define CYDEV_UWRK_UWRK8_B0_UDB05_ACTL 0x40006495 +#define CYDEV_UWRK_UWRK8_B0_UDB06_ACTL 0x40006496 +#define CYDEV_UWRK_UWRK8_B0_UDB07_ACTL 0x40006497 +#define CYDEV_UWRK_UWRK8_B0_UDB08_ACTL 0x40006498 +#define CYDEV_UWRK_UWRK8_B0_UDB09_ACTL 0x40006499 +#define CYDEV_UWRK_UWRK8_B0_UDB10_ACTL 0x4000649a +#define CYDEV_UWRK_UWRK8_B0_UDB11_ACTL 0x4000649b +#define CYDEV_UWRK_UWRK8_B0_UDB12_ACTL 0x4000649c +#define CYDEV_UWRK_UWRK8_B0_UDB13_ACTL 0x4000649d +#define CYDEV_UWRK_UWRK8_B0_UDB14_ACTL 0x4000649e +#define CYDEV_UWRK_UWRK8_B0_UDB15_ACTL 0x4000649f +#define CYDEV_UWRK_UWRK8_B0_UDB00_MC 0x400064a0 +#define CYDEV_UWRK_UWRK8_B0_UDB01_MC 0x400064a1 +#define CYDEV_UWRK_UWRK8_B0_UDB02_MC 0x400064a2 +#define CYDEV_UWRK_UWRK8_B0_UDB03_MC 0x400064a3 +#define CYDEV_UWRK_UWRK8_B0_UDB04_MC 0x400064a4 +#define CYDEV_UWRK_UWRK8_B0_UDB05_MC 0x400064a5 +#define CYDEV_UWRK_UWRK8_B0_UDB06_MC 0x400064a6 +#define CYDEV_UWRK_UWRK8_B0_UDB07_MC 0x400064a7 +#define CYDEV_UWRK_UWRK8_B0_UDB08_MC 0x400064a8 +#define CYDEV_UWRK_UWRK8_B0_UDB09_MC 0x400064a9 +#define CYDEV_UWRK_UWRK8_B0_UDB10_MC 0x400064aa +#define CYDEV_UWRK_UWRK8_B0_UDB11_MC 0x400064ab +#define CYDEV_UWRK_UWRK8_B0_UDB12_MC 0x400064ac +#define CYDEV_UWRK_UWRK8_B0_UDB13_MC 0x400064ad +#define CYDEV_UWRK_UWRK8_B0_UDB14_MC 0x400064ae +#define CYDEV_UWRK_UWRK8_B0_UDB15_MC 0x400064af +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500 +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0 +#define CYDEV_UWRK_UWRK8_B1_UDB04_A0 0x40006504 +#define CYDEV_UWRK_UWRK8_B1_UDB05_A0 0x40006505 +#define CYDEV_UWRK_UWRK8_B1_UDB06_A0 0x40006506 +#define CYDEV_UWRK_UWRK8_B1_UDB07_A0 0x40006507 +#define CYDEV_UWRK_UWRK8_B1_UDB08_A0 0x40006508 +#define CYDEV_UWRK_UWRK8_B1_UDB09_A0 0x40006509 +#define CYDEV_UWRK_UWRK8_B1_UDB10_A0 0x4000650a +#define CYDEV_UWRK_UWRK8_B1_UDB11_A0 0x4000650b +#define CYDEV_UWRK_UWRK8_B1_UDB04_A1 0x40006514 +#define CYDEV_UWRK_UWRK8_B1_UDB05_A1 0x40006515 +#define CYDEV_UWRK_UWRK8_B1_UDB06_A1 0x40006516 +#define CYDEV_UWRK_UWRK8_B1_UDB07_A1 0x40006517 +#define CYDEV_UWRK_UWRK8_B1_UDB08_A1 0x40006518 +#define CYDEV_UWRK_UWRK8_B1_UDB09_A1 0x40006519 +#define CYDEV_UWRK_UWRK8_B1_UDB10_A1 0x4000651a +#define CYDEV_UWRK_UWRK8_B1_UDB11_A1 0x4000651b +#define CYDEV_UWRK_UWRK8_B1_UDB04_D0 0x40006524 +#define CYDEV_UWRK_UWRK8_B1_UDB05_D0 0x40006525 +#define CYDEV_UWRK_UWRK8_B1_UDB06_D0 0x40006526 +#define CYDEV_UWRK_UWRK8_B1_UDB07_D0 0x40006527 +#define CYDEV_UWRK_UWRK8_B1_UDB08_D0 0x40006528 +#define CYDEV_UWRK_UWRK8_B1_UDB09_D0 0x40006529 +#define CYDEV_UWRK_UWRK8_B1_UDB10_D0 0x4000652a +#define CYDEV_UWRK_UWRK8_B1_UDB11_D0 0x4000652b +#define CYDEV_UWRK_UWRK8_B1_UDB04_D1 0x40006534 +#define CYDEV_UWRK_UWRK8_B1_UDB05_D1 0x40006535 +#define CYDEV_UWRK_UWRK8_B1_UDB06_D1 0x40006536 +#define CYDEV_UWRK_UWRK8_B1_UDB07_D1 0x40006537 +#define CYDEV_UWRK_UWRK8_B1_UDB08_D1 0x40006538 +#define CYDEV_UWRK_UWRK8_B1_UDB09_D1 0x40006539 +#define CYDEV_UWRK_UWRK8_B1_UDB10_D1 0x4000653a +#define CYDEV_UWRK_UWRK8_B1_UDB11_D1 0x4000653b +#define CYDEV_UWRK_UWRK8_B1_UDB04_F0 0x40006544 +#define CYDEV_UWRK_UWRK8_B1_UDB05_F0 0x40006545 +#define CYDEV_UWRK_UWRK8_B1_UDB06_F0 0x40006546 +#define CYDEV_UWRK_UWRK8_B1_UDB07_F0 0x40006547 +#define CYDEV_UWRK_UWRK8_B1_UDB08_F0 0x40006548 +#define CYDEV_UWRK_UWRK8_B1_UDB09_F0 0x40006549 +#define CYDEV_UWRK_UWRK8_B1_UDB10_F0 0x4000654a +#define CYDEV_UWRK_UWRK8_B1_UDB11_F0 0x4000654b +#define CYDEV_UWRK_UWRK8_B1_UDB04_F1 0x40006554 +#define CYDEV_UWRK_UWRK8_B1_UDB05_F1 0x40006555 +#define CYDEV_UWRK_UWRK8_B1_UDB06_F1 0x40006556 +#define CYDEV_UWRK_UWRK8_B1_UDB07_F1 0x40006557 +#define CYDEV_UWRK_UWRK8_B1_UDB08_F1 0x40006558 +#define CYDEV_UWRK_UWRK8_B1_UDB09_F1 0x40006559 +#define CYDEV_UWRK_UWRK8_B1_UDB10_F1 0x4000655a +#define CYDEV_UWRK_UWRK8_B1_UDB11_F1 0x4000655b +#define CYDEV_UWRK_UWRK8_B1_UDB04_ST 0x40006564 +#define CYDEV_UWRK_UWRK8_B1_UDB05_ST 0x40006565 +#define CYDEV_UWRK_UWRK8_B1_UDB06_ST 0x40006566 +#define CYDEV_UWRK_UWRK8_B1_UDB07_ST 0x40006567 +#define CYDEV_UWRK_UWRK8_B1_UDB08_ST 0x40006568 +#define CYDEV_UWRK_UWRK8_B1_UDB09_ST 0x40006569 +#define CYDEV_UWRK_UWRK8_B1_UDB10_ST 0x4000656a +#define CYDEV_UWRK_UWRK8_B1_UDB11_ST 0x4000656b +#define CYDEV_UWRK_UWRK8_B1_UDB04_CTL 0x40006574 +#define CYDEV_UWRK_UWRK8_B1_UDB05_CTL 0x40006575 +#define CYDEV_UWRK_UWRK8_B1_UDB06_CTL 0x40006576 +#define CYDEV_UWRK_UWRK8_B1_UDB07_CTL 0x40006577 +#define CYDEV_UWRK_UWRK8_B1_UDB08_CTL 0x40006578 +#define CYDEV_UWRK_UWRK8_B1_UDB09_CTL 0x40006579 +#define CYDEV_UWRK_UWRK8_B1_UDB10_CTL 0x4000657a +#define CYDEV_UWRK_UWRK8_B1_UDB11_CTL 0x4000657b +#define CYDEV_UWRK_UWRK8_B1_UDB04_MSK 0x40006584 +#define CYDEV_UWRK_UWRK8_B1_UDB05_MSK 0x40006585 +#define CYDEV_UWRK_UWRK8_B1_UDB06_MSK 0x40006586 +#define CYDEV_UWRK_UWRK8_B1_UDB07_MSK 0x40006587 +#define CYDEV_UWRK_UWRK8_B1_UDB08_MSK 0x40006588 +#define CYDEV_UWRK_UWRK8_B1_UDB09_MSK 0x40006589 +#define CYDEV_UWRK_UWRK8_B1_UDB10_MSK 0x4000658a +#define CYDEV_UWRK_UWRK8_B1_UDB11_MSK 0x4000658b +#define CYDEV_UWRK_UWRK8_B1_UDB04_ACTL 0x40006594 +#define CYDEV_UWRK_UWRK8_B1_UDB05_ACTL 0x40006595 +#define CYDEV_UWRK_UWRK8_B1_UDB06_ACTL 0x40006596 +#define CYDEV_UWRK_UWRK8_B1_UDB07_ACTL 0x40006597 +#define CYDEV_UWRK_UWRK8_B1_UDB08_ACTL 0x40006598 +#define CYDEV_UWRK_UWRK8_B1_UDB09_ACTL 0x40006599 +#define CYDEV_UWRK_UWRK8_B1_UDB10_ACTL 0x4000659a +#define CYDEV_UWRK_UWRK8_B1_UDB11_ACTL 0x4000659b +#define CYDEV_UWRK_UWRK8_B1_UDB04_MC 0x400065a4 +#define CYDEV_UWRK_UWRK8_B1_UDB05_MC 0x400065a5 +#define CYDEV_UWRK_UWRK8_B1_UDB06_MC 0x400065a6 +#define CYDEV_UWRK_UWRK8_B1_UDB07_MC 0x400065a7 +#define CYDEV_UWRK_UWRK8_B1_UDB08_MC 0x400065a8 +#define CYDEV_UWRK_UWRK8_B1_UDB09_MC 0x400065a9 +#define CYDEV_UWRK_UWRK8_B1_UDB10_MC 0x400065aa +#define CYDEV_UWRK_UWRK8_B1_UDB11_MC 0x400065ab +#define CYDEV_UWRK_UWRK16_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 0x40006802 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 0x40006804 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 0x40006806 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 0x40006808 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 0x4000680a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 0x4000680c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 0x4000680e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 0x40006810 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 0x40006812 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 0x40006814 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 0x40006816 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 0x40006818 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 0x4000681a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 0x4000681c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 0x4000681e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 0x40006840 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 0x40006842 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 0x40006844 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 0x40006846 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 0x40006848 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 0x4000684a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 0x4000684c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 0x4000684e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 0x40006850 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 0x40006852 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 0x40006854 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 0x40006856 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 0x40006858 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 0x4000685a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 0x4000685c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 0x4000685e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 0x40006880 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 0x40006882 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 0x40006884 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 0x40006886 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 0x40006888 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 0x4000688a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 0x4000688c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 0x4000688e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 0x40006890 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 0x40006892 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 0x40006894 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 0x40006896 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 0x40006898 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 0x4000689a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 0x4000689c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 0x4000689e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL 0x400068c0 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL 0x400068c2 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL 0x400068c4 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL 0x400068c6 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL 0x400068c8 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL 0x400068ca +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL 0x400068cc +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL 0x400068ce +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL 0x400068d0 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL 0x400068d2 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL 0x400068d4 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL 0x400068d6 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL 0x400068d8 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL 0x400068da +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL 0x400068dc +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL 0x400068de +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL 0x40006900 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL 0x40006902 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL 0x40006904 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL 0x40006906 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL 0x40006908 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL 0x4000690a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL 0x4000690c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL 0x4000690e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL 0x40006910 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL 0x40006912 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL 0x40006914 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL 0x40006916 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL 0x40006918 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL 0x4000691a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL 0x4000691c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL 0x4000691e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 0x40006940 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 0x40006942 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 0x40006944 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 0x40006946 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 0x40006948 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 0x4000694a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 0x4000694c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 0x4000694e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 0x40006950 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 0x40006952 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 0x40006954 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 0x40006956 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 0x40006958 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 0x4000695a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 0x4000695c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 0x4000695e +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 0x40006a08 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 0x40006a0a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 0x40006a0c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 0x40006a0e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 0x40006a10 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 0x40006a12 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 0x40006a14 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 0x40006a16 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 0x40006a48 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 0x40006a4a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 0x40006a4c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 0x40006a4e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 0x40006a50 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 0x40006a52 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 0x40006a54 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 0x40006a56 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 0x40006a88 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 0x40006a8a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 0x40006a8c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 0x40006a8e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 0x40006a90 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 0x40006a92 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 0x40006a94 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 0x40006a96 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL 0x40006ac8 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL 0x40006aca +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL 0x40006acc +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL 0x40006ace +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL 0x40006ad0 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL 0x40006ad2 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL 0x40006ad4 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL 0x40006ad6 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL 0x40006b08 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL 0x40006b0a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL 0x40006b0c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL 0x40006b0e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL 0x40006b10 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL 0x40006b12 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL 0x40006b14 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL 0x40006b16 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 0x40006b48 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 0x40006b4a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 0x40006b4c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 0x40006b4e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 0x40006b50 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 0x40006b52 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 0x40006b54 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 0x40006b56 +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075e +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 0x40006802 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 0x40006804 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 0x40006806 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 0x40006808 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 0x4000680a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 0x4000680c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 0x4000680e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 0x40006810 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 0x40006812 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 0x40006814 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 0x40006816 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 0x40006818 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 0x4000681a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 0x4000681c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 0x40006820 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 0x40006822 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 0x40006824 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 0x40006826 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 0x40006828 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 0x4000682a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 0x4000682c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 0x4000682e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 0x40006830 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 0x40006832 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 0x40006834 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 0x40006836 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 0x40006838 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 0x4000683a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 0x4000683c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 0x40006840 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 0x40006842 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 0x40006844 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 0x40006846 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 0x40006848 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 0x4000684a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 0x4000684c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 0x4000684e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 0x40006850 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 0x40006852 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 0x40006854 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 0x40006856 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 0x40006858 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 0x4000685a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 0x4000685c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 0x40006860 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 0x40006862 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 0x40006864 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 0x40006866 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 0x40006868 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 0x4000686a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 0x4000686c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 0x4000686e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 0x40006870 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 0x40006872 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 0x40006874 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 0x40006876 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 0x40006878 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 0x4000687a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 0x4000687c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 0x40006880 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 0x40006882 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 0x40006884 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 0x40006886 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 0x40006888 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 0x4000688a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 0x4000688c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 0x4000688e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 0x40006890 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 0x40006892 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 0x40006894 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 0x40006896 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 0x40006898 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 0x4000689a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 0x4000689c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 0x400068a0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 0x400068a2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 0x400068a4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 0x400068a6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 0x400068a8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 0x400068aa +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 0x400068ac +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 0x400068ae +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 0x400068b0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 0x400068b2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 0x400068b4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 0x400068b6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 0x400068b8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 0x400068ba +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 0x400068bc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST 0x400068c0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST 0x400068c2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST 0x400068c4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST 0x400068c6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST 0x400068c8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST 0x400068ca +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST 0x400068cc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST 0x400068ce +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST 0x400068d0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST 0x400068d2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST 0x400068d4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST 0x400068d6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST 0x400068d8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST 0x400068da +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST 0x400068dc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL 0x400068e0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL 0x400068e2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL 0x400068e4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL 0x400068e6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL 0x400068e8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL 0x400068ea +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL 0x400068ec +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL 0x400068ee +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL 0x400068f0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL 0x400068f2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL 0x400068f4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL 0x400068f6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL 0x400068f8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL 0x400068fa +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL 0x400068fc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK 0x40006900 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK 0x40006902 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK 0x40006904 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK 0x40006906 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK 0x40006908 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK 0x4000690a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK 0x4000690c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK 0x4000690e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK 0x40006910 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK 0x40006912 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK 0x40006914 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK 0x40006916 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK 0x40006918 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK 0x4000691a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK 0x4000691c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL 0x40006920 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL 0x40006922 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL 0x40006924 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL 0x40006926 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL 0x40006928 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL 0x4000692a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL 0x4000692c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL 0x4000692e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL 0x40006930 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL 0x40006932 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL 0x40006934 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL 0x40006936 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL 0x40006938 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL 0x4000693a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL 0x4000693c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC 0x40006940 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC 0x40006942 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC 0x40006944 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC 0x40006946 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC 0x40006948 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC 0x4000694a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC 0x4000694c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC 0x4000694e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC 0x40006950 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC 0x40006952 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC 0x40006954 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC 0x40006956 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC 0x40006958 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC 0x4000695a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC 0x4000695c +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 0x40006a08 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 0x40006a0a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 0x40006a0c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 0x40006a0e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 0x40006a10 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 0x40006a12 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 0x40006a14 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 0x40006a16 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 0x40006a28 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 0x40006a2a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 0x40006a2c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 0x40006a2e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 0x40006a30 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 0x40006a32 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 0x40006a34 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 0x40006a36 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 0x40006a48 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 0x40006a4a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 0x40006a4c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 0x40006a4e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 0x40006a50 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 0x40006a52 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 0x40006a54 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 0x40006a56 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 0x40006a68 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 0x40006a6a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 0x40006a6c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 0x40006a6e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 0x40006a70 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 0x40006a72 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 0x40006a74 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 0x40006a76 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 0x40006a88 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 0x40006a8a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 0x40006a8c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 0x40006a8e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 0x40006a90 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 0x40006a92 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 0x40006a94 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 0x40006a96 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 0x40006aa8 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 0x40006aaa +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 0x40006aac +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 0x40006aae +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 0x40006ab0 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 0x40006ab2 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 0x40006ab4 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 0x40006ab6 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST 0x40006ac8 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST 0x40006aca +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST 0x40006acc +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST 0x40006ace +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST 0x40006ad0 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST 0x40006ad2 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST 0x40006ad4 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST 0x40006ad6 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL 0x40006ae8 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL 0x40006aea +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL 0x40006aec +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL 0x40006aee +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL 0x40006af0 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL 0x40006af2 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL 0x40006af4 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL 0x40006af6 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK 0x40006b08 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK 0x40006b0a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK 0x40006b0c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK 0x40006b0e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK 0x40006b10 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK 0x40006b12 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK 0x40006b14 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK 0x40006b16 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL 0x40006b28 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL 0x40006b2a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL 0x40006b2c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL 0x40006b2e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL 0x40006b30 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL 0x40006b32 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL 0x40006b34 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL 0x40006b36 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC 0x40006b48 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC 0x40006b4a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC 0x40006b4c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC 0x40006b4e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC 0x40006b50 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC 0x40006b52 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC 0x40006b54 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC 0x40006b56 +#define CYDEV_PHUB_BASE 0x40007000 +#define CYDEV_PHUB_SIZE 0x00000c00 +#define CYDEV_PHUB_CFG 0x40007000 +#define CYDEV_PHUB_ERR 0x40007004 +#define CYDEV_PHUB_ERR_ADR 0x40007008 +#define CYDEV_PHUB_CH0_BASE 0x40007010 +#define CYDEV_PHUB_CH0_SIZE 0x0000000c +#define CYDEV_PHUB_CH0_BASIC_CFG 0x40007010 +#define CYDEV_PHUB_CH0_ACTION 0x40007014 +#define CYDEV_PHUB_CH0_BASIC_STATUS 0x40007018 +#define CYDEV_PHUB_CH1_BASE 0x40007020 +#define CYDEV_PHUB_CH1_SIZE 0x0000000c +#define CYDEV_PHUB_CH1_BASIC_CFG 0x40007020 +#define CYDEV_PHUB_CH1_ACTION 0x40007024 +#define CYDEV_PHUB_CH1_BASIC_STATUS 0x40007028 +#define CYDEV_PHUB_CH2_BASE 0x40007030 +#define CYDEV_PHUB_CH2_SIZE 0x0000000c +#define CYDEV_PHUB_CH2_BASIC_CFG 0x40007030 +#define CYDEV_PHUB_CH2_ACTION 0x40007034 +#define CYDEV_PHUB_CH2_BASIC_STATUS 0x40007038 +#define CYDEV_PHUB_CH3_BASE 0x40007040 +#define CYDEV_PHUB_CH3_SIZE 0x0000000c +#define CYDEV_PHUB_CH3_BASIC_CFG 0x40007040 +#define CYDEV_PHUB_CH3_ACTION 0x40007044 +#define CYDEV_PHUB_CH3_BASIC_STATUS 0x40007048 +#define CYDEV_PHUB_CH4_BASE 0x40007050 +#define CYDEV_PHUB_CH4_SIZE 0x0000000c +#define CYDEV_PHUB_CH4_BASIC_CFG 0x40007050 +#define CYDEV_PHUB_CH4_ACTION 0x40007054 +#define CYDEV_PHUB_CH4_BASIC_STATUS 0x40007058 +#define CYDEV_PHUB_CH5_BASE 0x40007060 +#define CYDEV_PHUB_CH5_SIZE 0x0000000c +#define CYDEV_PHUB_CH5_BASIC_CFG 0x40007060 +#define CYDEV_PHUB_CH5_ACTION 0x40007064 +#define CYDEV_PHUB_CH5_BASIC_STATUS 0x40007068 +#define CYDEV_PHUB_CH6_BASE 0x40007070 +#define CYDEV_PHUB_CH6_SIZE 0x0000000c +#define CYDEV_PHUB_CH6_BASIC_CFG 0x40007070 +#define CYDEV_PHUB_CH6_ACTION 0x40007074 +#define CYDEV_PHUB_CH6_BASIC_STATUS 0x40007078 +#define CYDEV_PHUB_CH7_BASE 0x40007080 +#define CYDEV_PHUB_CH7_SIZE 0x0000000c +#define CYDEV_PHUB_CH7_BASIC_CFG 0x40007080 +#define CYDEV_PHUB_CH7_ACTION 0x40007084 +#define CYDEV_PHUB_CH7_BASIC_STATUS 0x40007088 +#define CYDEV_PHUB_CH8_BASE 0x40007090 +#define CYDEV_PHUB_CH8_SIZE 0x0000000c +#define CYDEV_PHUB_CH8_BASIC_CFG 0x40007090 +#define CYDEV_PHUB_CH8_ACTION 0x40007094 +#define CYDEV_PHUB_CH8_BASIC_STATUS 0x40007098 +#define CYDEV_PHUB_CH9_BASE 0x400070a0 +#define CYDEV_PHUB_CH9_SIZE 0x0000000c +#define CYDEV_PHUB_CH9_BASIC_CFG 0x400070a0 +#define CYDEV_PHUB_CH9_ACTION 0x400070a4 +#define CYDEV_PHUB_CH9_BASIC_STATUS 0x400070a8 +#define CYDEV_PHUB_CH10_BASE 0x400070b0 +#define CYDEV_PHUB_CH10_SIZE 0x0000000c +#define CYDEV_PHUB_CH10_BASIC_CFG 0x400070b0 +#define CYDEV_PHUB_CH10_ACTION 0x400070b4 +#define CYDEV_PHUB_CH10_BASIC_STATUS 0x400070b8 +#define CYDEV_PHUB_CH11_BASE 0x400070c0 +#define CYDEV_PHUB_CH11_SIZE 0x0000000c +#define CYDEV_PHUB_CH11_BASIC_CFG 0x400070c0 +#define CYDEV_PHUB_CH11_ACTION 0x400070c4 +#define CYDEV_PHUB_CH11_BASIC_STATUS 0x400070c8 +#define CYDEV_PHUB_CH12_BASE 0x400070d0 +#define CYDEV_PHUB_CH12_SIZE 0x0000000c +#define CYDEV_PHUB_CH12_BASIC_CFG 0x400070d0 +#define CYDEV_PHUB_CH12_ACTION 0x400070d4 +#define CYDEV_PHUB_CH12_BASIC_STATUS 0x400070d8 +#define CYDEV_PHUB_CH13_BASE 0x400070e0 +#define CYDEV_PHUB_CH13_SIZE 0x0000000c +#define CYDEV_PHUB_CH13_BASIC_CFG 0x400070e0 +#define CYDEV_PHUB_CH13_ACTION 0x400070e4 +#define CYDEV_PHUB_CH13_BASIC_STATUS 0x400070e8 +#define CYDEV_PHUB_CH14_BASE 0x400070f0 +#define CYDEV_PHUB_CH14_SIZE 0x0000000c +#define CYDEV_PHUB_CH14_BASIC_CFG 0x400070f0 +#define CYDEV_PHUB_CH14_ACTION 0x400070f4 +#define CYDEV_PHUB_CH14_BASIC_STATUS 0x400070f8 +#define CYDEV_PHUB_CH15_BASE 0x40007100 +#define CYDEV_PHUB_CH15_SIZE 0x0000000c +#define CYDEV_PHUB_CH15_BASIC_CFG 0x40007100 +#define CYDEV_PHUB_CH15_ACTION 0x40007104 +#define CYDEV_PHUB_CH15_BASIC_STATUS 0x40007108 +#define CYDEV_PHUB_CH16_BASE 0x40007110 +#define CYDEV_PHUB_CH16_SIZE 0x0000000c +#define CYDEV_PHUB_CH16_BASIC_CFG 0x40007110 +#define CYDEV_PHUB_CH16_ACTION 0x40007114 +#define CYDEV_PHUB_CH16_BASIC_STATUS 0x40007118 +#define CYDEV_PHUB_CH17_BASE 0x40007120 +#define CYDEV_PHUB_CH17_SIZE 0x0000000c +#define CYDEV_PHUB_CH17_BASIC_CFG 0x40007120 +#define CYDEV_PHUB_CH17_ACTION 0x40007124 +#define CYDEV_PHUB_CH17_BASIC_STATUS 0x40007128 +#define CYDEV_PHUB_CH18_BASE 0x40007130 +#define CYDEV_PHUB_CH18_SIZE 0x0000000c +#define CYDEV_PHUB_CH18_BASIC_CFG 0x40007130 +#define CYDEV_PHUB_CH18_ACTION 0x40007134 +#define CYDEV_PHUB_CH18_BASIC_STATUS 0x40007138 +#define CYDEV_PHUB_CH19_BASE 0x40007140 +#define CYDEV_PHUB_CH19_SIZE 0x0000000c +#define CYDEV_PHUB_CH19_BASIC_CFG 0x40007140 +#define CYDEV_PHUB_CH19_ACTION 0x40007144 +#define CYDEV_PHUB_CH19_BASIC_STATUS 0x40007148 +#define CYDEV_PHUB_CH20_BASE 0x40007150 +#define CYDEV_PHUB_CH20_SIZE 0x0000000c +#define CYDEV_PHUB_CH20_BASIC_CFG 0x40007150 +#define CYDEV_PHUB_CH20_ACTION 0x40007154 +#define CYDEV_PHUB_CH20_BASIC_STATUS 0x40007158 +#define CYDEV_PHUB_CH21_BASE 0x40007160 +#define CYDEV_PHUB_CH21_SIZE 0x0000000c +#define CYDEV_PHUB_CH21_BASIC_CFG 0x40007160 +#define CYDEV_PHUB_CH21_ACTION 0x40007164 +#define CYDEV_PHUB_CH21_BASIC_STATUS 0x40007168 +#define CYDEV_PHUB_CH22_BASE 0x40007170 +#define CYDEV_PHUB_CH22_SIZE 0x0000000c +#define CYDEV_PHUB_CH22_BASIC_CFG 0x40007170 +#define CYDEV_PHUB_CH22_ACTION 0x40007174 +#define CYDEV_PHUB_CH22_BASIC_STATUS 0x40007178 +#define CYDEV_PHUB_CH23_BASE 0x40007180 +#define CYDEV_PHUB_CH23_SIZE 0x0000000c +#define CYDEV_PHUB_CH23_BASIC_CFG 0x40007180 +#define CYDEV_PHUB_CH23_ACTION 0x40007184 +#define CYDEV_PHUB_CH23_BASIC_STATUS 0x40007188 +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600 +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM0_CFG0 0x40007600 +#define CYDEV_PHUB_CFGMEM0_CFG1 0x40007604 +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608 +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM1_CFG0 0x40007608 +#define CYDEV_PHUB_CFGMEM1_CFG1 0x4000760c +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610 +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM2_CFG0 0x40007610 +#define CYDEV_PHUB_CFGMEM2_CFG1 0x40007614 +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618 +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM3_CFG0 0x40007618 +#define CYDEV_PHUB_CFGMEM3_CFG1 0x4000761c +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620 +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM4_CFG0 0x40007620 +#define CYDEV_PHUB_CFGMEM4_CFG1 0x40007624 +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628 +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM5_CFG0 0x40007628 +#define CYDEV_PHUB_CFGMEM5_CFG1 0x4000762c +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630 +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM6_CFG0 0x40007630 +#define CYDEV_PHUB_CFGMEM6_CFG1 0x40007634 +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638 +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM7_CFG0 0x40007638 +#define CYDEV_PHUB_CFGMEM7_CFG1 0x4000763c +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640 +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM8_CFG0 0x40007640 +#define CYDEV_PHUB_CFGMEM8_CFG1 0x40007644 +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648 +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM9_CFG0 0x40007648 +#define CYDEV_PHUB_CFGMEM9_CFG1 0x4000764c +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650 +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM10_CFG0 0x40007650 +#define CYDEV_PHUB_CFGMEM10_CFG1 0x40007654 +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658 +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM11_CFG0 0x40007658 +#define CYDEV_PHUB_CFGMEM11_CFG1 0x4000765c +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660 +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM12_CFG0 0x40007660 +#define CYDEV_PHUB_CFGMEM12_CFG1 0x40007664 +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668 +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM13_CFG0 0x40007668 +#define CYDEV_PHUB_CFGMEM13_CFG1 0x4000766c +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670 +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM14_CFG0 0x40007670 +#define CYDEV_PHUB_CFGMEM14_CFG1 0x40007674 +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678 +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM15_CFG0 0x40007678 +#define CYDEV_PHUB_CFGMEM15_CFG1 0x4000767c +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680 +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM16_CFG0 0x40007680 +#define CYDEV_PHUB_CFGMEM16_CFG1 0x40007684 +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688 +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM17_CFG0 0x40007688 +#define CYDEV_PHUB_CFGMEM17_CFG1 0x4000768c +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690 +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM18_CFG0 0x40007690 +#define CYDEV_PHUB_CFGMEM18_CFG1 0x40007694 +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698 +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM19_CFG0 0x40007698 +#define CYDEV_PHUB_CFGMEM19_CFG1 0x4000769c +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0 +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM20_CFG0 0x400076a0 +#define CYDEV_PHUB_CFGMEM20_CFG1 0x400076a4 +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8 +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM21_CFG0 0x400076a8 +#define CYDEV_PHUB_CFGMEM21_CFG1 0x400076ac +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0 +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM22_CFG0 0x400076b0 +#define CYDEV_PHUB_CFGMEM22_CFG1 0x400076b4 +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8 +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM23_CFG0 0x400076b8 +#define CYDEV_PHUB_CFGMEM23_CFG1 0x400076bc +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800 +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM0_ORIG_TD0 0x40007800 +#define CYDEV_PHUB_TDMEM0_ORIG_TD1 0x40007804 +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808 +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM1_ORIG_TD0 0x40007808 +#define CYDEV_PHUB_TDMEM1_ORIG_TD1 0x4000780c +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810 +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM2_ORIG_TD0 0x40007810 +#define CYDEV_PHUB_TDMEM2_ORIG_TD1 0x40007814 +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818 +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM3_ORIG_TD0 0x40007818 +#define CYDEV_PHUB_TDMEM3_ORIG_TD1 0x4000781c +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820 +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM4_ORIG_TD0 0x40007820 +#define CYDEV_PHUB_TDMEM4_ORIG_TD1 0x40007824 +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828 +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM5_ORIG_TD0 0x40007828 +#define CYDEV_PHUB_TDMEM5_ORIG_TD1 0x4000782c +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830 +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM6_ORIG_TD0 0x40007830 +#define CYDEV_PHUB_TDMEM6_ORIG_TD1 0x40007834 +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838 +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM7_ORIG_TD0 0x40007838 +#define CYDEV_PHUB_TDMEM7_ORIG_TD1 0x4000783c +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840 +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM8_ORIG_TD0 0x40007840 +#define CYDEV_PHUB_TDMEM8_ORIG_TD1 0x40007844 +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848 +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM9_ORIG_TD0 0x40007848 +#define CYDEV_PHUB_TDMEM9_ORIG_TD1 0x4000784c +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850 +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM10_ORIG_TD0 0x40007850 +#define CYDEV_PHUB_TDMEM10_ORIG_TD1 0x40007854 +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858 +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM11_ORIG_TD0 0x40007858 +#define CYDEV_PHUB_TDMEM11_ORIG_TD1 0x4000785c +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860 +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM12_ORIG_TD0 0x40007860 +#define CYDEV_PHUB_TDMEM12_ORIG_TD1 0x40007864 +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868 +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM13_ORIG_TD0 0x40007868 +#define CYDEV_PHUB_TDMEM13_ORIG_TD1 0x4000786c +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870 +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM14_ORIG_TD0 0x40007870 +#define CYDEV_PHUB_TDMEM14_ORIG_TD1 0x40007874 +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878 +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM15_ORIG_TD0 0x40007878 +#define CYDEV_PHUB_TDMEM15_ORIG_TD1 0x4000787c +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880 +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM16_ORIG_TD0 0x40007880 +#define CYDEV_PHUB_TDMEM16_ORIG_TD1 0x40007884 +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888 +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM17_ORIG_TD0 0x40007888 +#define CYDEV_PHUB_TDMEM17_ORIG_TD1 0x4000788c +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890 +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM18_ORIG_TD0 0x40007890 +#define CYDEV_PHUB_TDMEM18_ORIG_TD1 0x40007894 +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898 +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM19_ORIG_TD0 0x40007898 +#define CYDEV_PHUB_TDMEM19_ORIG_TD1 0x4000789c +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0 +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM20_ORIG_TD0 0x400078a0 +#define CYDEV_PHUB_TDMEM20_ORIG_TD1 0x400078a4 +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8 +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM21_ORIG_TD0 0x400078a8 +#define CYDEV_PHUB_TDMEM21_ORIG_TD1 0x400078ac +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0 +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM22_ORIG_TD0 0x400078b0 +#define CYDEV_PHUB_TDMEM22_ORIG_TD1 0x400078b4 +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8 +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM23_ORIG_TD0 0x400078b8 +#define CYDEV_PHUB_TDMEM23_ORIG_TD1 0x400078bc +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0 +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM24_ORIG_TD0 0x400078c0 +#define CYDEV_PHUB_TDMEM24_ORIG_TD1 0x400078c4 +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8 +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM25_ORIG_TD0 0x400078c8 +#define CYDEV_PHUB_TDMEM25_ORIG_TD1 0x400078cc +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0 +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM26_ORIG_TD0 0x400078d0 +#define CYDEV_PHUB_TDMEM26_ORIG_TD1 0x400078d4 +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8 +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM27_ORIG_TD0 0x400078d8 +#define CYDEV_PHUB_TDMEM27_ORIG_TD1 0x400078dc +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0 +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM28_ORIG_TD0 0x400078e0 +#define CYDEV_PHUB_TDMEM28_ORIG_TD1 0x400078e4 +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8 +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM29_ORIG_TD0 0x400078e8 +#define CYDEV_PHUB_TDMEM29_ORIG_TD1 0x400078ec +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0 +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM30_ORIG_TD0 0x400078f0 +#define CYDEV_PHUB_TDMEM30_ORIG_TD1 0x400078f4 +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8 +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM31_ORIG_TD0 0x400078f8 +#define CYDEV_PHUB_TDMEM31_ORIG_TD1 0x400078fc +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900 +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM32_ORIG_TD0 0x40007900 +#define CYDEV_PHUB_TDMEM32_ORIG_TD1 0x40007904 +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908 +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM33_ORIG_TD0 0x40007908 +#define CYDEV_PHUB_TDMEM33_ORIG_TD1 0x4000790c +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910 +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM34_ORIG_TD0 0x40007910 +#define CYDEV_PHUB_TDMEM34_ORIG_TD1 0x40007914 +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918 +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM35_ORIG_TD0 0x40007918 +#define CYDEV_PHUB_TDMEM35_ORIG_TD1 0x4000791c +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920 +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM36_ORIG_TD0 0x40007920 +#define CYDEV_PHUB_TDMEM36_ORIG_TD1 0x40007924 +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928 +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM37_ORIG_TD0 0x40007928 +#define CYDEV_PHUB_TDMEM37_ORIG_TD1 0x4000792c +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930 +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM38_ORIG_TD0 0x40007930 +#define CYDEV_PHUB_TDMEM38_ORIG_TD1 0x40007934 +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938 +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM39_ORIG_TD0 0x40007938 +#define CYDEV_PHUB_TDMEM39_ORIG_TD1 0x4000793c +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940 +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM40_ORIG_TD0 0x40007940 +#define CYDEV_PHUB_TDMEM40_ORIG_TD1 0x40007944 +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948 +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM41_ORIG_TD0 0x40007948 +#define CYDEV_PHUB_TDMEM41_ORIG_TD1 0x4000794c +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950 +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM42_ORIG_TD0 0x40007950 +#define CYDEV_PHUB_TDMEM42_ORIG_TD1 0x40007954 +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958 +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM43_ORIG_TD0 0x40007958 +#define CYDEV_PHUB_TDMEM43_ORIG_TD1 0x4000795c +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960 +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM44_ORIG_TD0 0x40007960 +#define CYDEV_PHUB_TDMEM44_ORIG_TD1 0x40007964 +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968 +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM45_ORIG_TD0 0x40007968 +#define CYDEV_PHUB_TDMEM45_ORIG_TD1 0x4000796c +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970 +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM46_ORIG_TD0 0x40007970 +#define CYDEV_PHUB_TDMEM46_ORIG_TD1 0x40007974 +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978 +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM47_ORIG_TD0 0x40007978 +#define CYDEV_PHUB_TDMEM47_ORIG_TD1 0x4000797c +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980 +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM48_ORIG_TD0 0x40007980 +#define CYDEV_PHUB_TDMEM48_ORIG_TD1 0x40007984 +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988 +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM49_ORIG_TD0 0x40007988 +#define CYDEV_PHUB_TDMEM49_ORIG_TD1 0x4000798c +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990 +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM50_ORIG_TD0 0x40007990 +#define CYDEV_PHUB_TDMEM50_ORIG_TD1 0x40007994 +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998 +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM51_ORIG_TD0 0x40007998 +#define CYDEV_PHUB_TDMEM51_ORIG_TD1 0x4000799c +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0 +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM52_ORIG_TD0 0x400079a0 +#define CYDEV_PHUB_TDMEM52_ORIG_TD1 0x400079a4 +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8 +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM53_ORIG_TD0 0x400079a8 +#define CYDEV_PHUB_TDMEM53_ORIG_TD1 0x400079ac +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0 +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM54_ORIG_TD0 0x400079b0 +#define CYDEV_PHUB_TDMEM54_ORIG_TD1 0x400079b4 +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8 +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM55_ORIG_TD0 0x400079b8 +#define CYDEV_PHUB_TDMEM55_ORIG_TD1 0x400079bc +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0 +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM56_ORIG_TD0 0x400079c0 +#define CYDEV_PHUB_TDMEM56_ORIG_TD1 0x400079c4 +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8 +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM57_ORIG_TD0 0x400079c8 +#define CYDEV_PHUB_TDMEM57_ORIG_TD1 0x400079cc +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0 +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM58_ORIG_TD0 0x400079d0 +#define CYDEV_PHUB_TDMEM58_ORIG_TD1 0x400079d4 +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8 +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM59_ORIG_TD0 0x400079d8 +#define CYDEV_PHUB_TDMEM59_ORIG_TD1 0x400079dc +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0 +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM60_ORIG_TD0 0x400079e0 +#define CYDEV_PHUB_TDMEM60_ORIG_TD1 0x400079e4 +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8 +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM61_ORIG_TD0 0x400079e8 +#define CYDEV_PHUB_TDMEM61_ORIG_TD1 0x400079ec +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0 +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM62_ORIG_TD0 0x400079f0 +#define CYDEV_PHUB_TDMEM62_ORIG_TD1 0x400079f4 +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8 +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM63_ORIG_TD0 0x400079f8 +#define CYDEV_PHUB_TDMEM63_ORIG_TD1 0x400079fc +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00 +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM64_ORIG_TD0 0x40007a00 +#define CYDEV_PHUB_TDMEM64_ORIG_TD1 0x40007a04 +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08 +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM65_ORIG_TD0 0x40007a08 +#define CYDEV_PHUB_TDMEM65_ORIG_TD1 0x40007a0c +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10 +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM66_ORIG_TD0 0x40007a10 +#define CYDEV_PHUB_TDMEM66_ORIG_TD1 0x40007a14 +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18 +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM67_ORIG_TD0 0x40007a18 +#define CYDEV_PHUB_TDMEM67_ORIG_TD1 0x40007a1c +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20 +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM68_ORIG_TD0 0x40007a20 +#define CYDEV_PHUB_TDMEM68_ORIG_TD1 0x40007a24 +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28 +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM69_ORIG_TD0 0x40007a28 +#define CYDEV_PHUB_TDMEM69_ORIG_TD1 0x40007a2c +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30 +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM70_ORIG_TD0 0x40007a30 +#define CYDEV_PHUB_TDMEM70_ORIG_TD1 0x40007a34 +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38 +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM71_ORIG_TD0 0x40007a38 +#define CYDEV_PHUB_TDMEM71_ORIG_TD1 0x40007a3c +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40 +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM72_ORIG_TD0 0x40007a40 +#define CYDEV_PHUB_TDMEM72_ORIG_TD1 0x40007a44 +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48 +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM73_ORIG_TD0 0x40007a48 +#define CYDEV_PHUB_TDMEM73_ORIG_TD1 0x40007a4c +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50 +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM74_ORIG_TD0 0x40007a50 +#define CYDEV_PHUB_TDMEM74_ORIG_TD1 0x40007a54 +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58 +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM75_ORIG_TD0 0x40007a58 +#define CYDEV_PHUB_TDMEM75_ORIG_TD1 0x40007a5c +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60 +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM76_ORIG_TD0 0x40007a60 +#define CYDEV_PHUB_TDMEM76_ORIG_TD1 0x40007a64 +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68 +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM77_ORIG_TD0 0x40007a68 +#define CYDEV_PHUB_TDMEM77_ORIG_TD1 0x40007a6c +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70 +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM78_ORIG_TD0 0x40007a70 +#define CYDEV_PHUB_TDMEM78_ORIG_TD1 0x40007a74 +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78 +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM79_ORIG_TD0 0x40007a78 +#define CYDEV_PHUB_TDMEM79_ORIG_TD1 0x40007a7c +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80 +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM80_ORIG_TD0 0x40007a80 +#define CYDEV_PHUB_TDMEM80_ORIG_TD1 0x40007a84 +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88 +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM81_ORIG_TD0 0x40007a88 +#define CYDEV_PHUB_TDMEM81_ORIG_TD1 0x40007a8c +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90 +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM82_ORIG_TD0 0x40007a90 +#define CYDEV_PHUB_TDMEM82_ORIG_TD1 0x40007a94 +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98 +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM83_ORIG_TD0 0x40007a98 +#define CYDEV_PHUB_TDMEM83_ORIG_TD1 0x40007a9c +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0 +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM84_ORIG_TD0 0x40007aa0 +#define CYDEV_PHUB_TDMEM84_ORIG_TD1 0x40007aa4 +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8 +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM85_ORIG_TD0 0x40007aa8 +#define CYDEV_PHUB_TDMEM85_ORIG_TD1 0x40007aac +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0 +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM86_ORIG_TD0 0x40007ab0 +#define CYDEV_PHUB_TDMEM86_ORIG_TD1 0x40007ab4 +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8 +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM87_ORIG_TD0 0x40007ab8 +#define CYDEV_PHUB_TDMEM87_ORIG_TD1 0x40007abc +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0 +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM88_ORIG_TD0 0x40007ac0 +#define CYDEV_PHUB_TDMEM88_ORIG_TD1 0x40007ac4 +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8 +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM89_ORIG_TD0 0x40007ac8 +#define CYDEV_PHUB_TDMEM89_ORIG_TD1 0x40007acc +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0 +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM90_ORIG_TD0 0x40007ad0 +#define CYDEV_PHUB_TDMEM90_ORIG_TD1 0x40007ad4 +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8 +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM91_ORIG_TD0 0x40007ad8 +#define CYDEV_PHUB_TDMEM91_ORIG_TD1 0x40007adc +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0 +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM92_ORIG_TD0 0x40007ae0 +#define CYDEV_PHUB_TDMEM92_ORIG_TD1 0x40007ae4 +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8 +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM93_ORIG_TD0 0x40007ae8 +#define CYDEV_PHUB_TDMEM93_ORIG_TD1 0x40007aec +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0 +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM94_ORIG_TD0 0x40007af0 +#define CYDEV_PHUB_TDMEM94_ORIG_TD1 0x40007af4 +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8 +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM95_ORIG_TD0 0x40007af8 +#define CYDEV_PHUB_TDMEM95_ORIG_TD1 0x40007afc +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00 +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM96_ORIG_TD0 0x40007b00 +#define CYDEV_PHUB_TDMEM96_ORIG_TD1 0x40007b04 +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08 +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM97_ORIG_TD0 0x40007b08 +#define CYDEV_PHUB_TDMEM97_ORIG_TD1 0x40007b0c +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10 +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM98_ORIG_TD0 0x40007b10 +#define CYDEV_PHUB_TDMEM98_ORIG_TD1 0x40007b14 +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18 +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM99_ORIG_TD0 0x40007b18 +#define CYDEV_PHUB_TDMEM99_ORIG_TD1 0x40007b1c +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20 +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM100_ORIG_TD0 0x40007b20 +#define CYDEV_PHUB_TDMEM100_ORIG_TD1 0x40007b24 +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28 +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM101_ORIG_TD0 0x40007b28 +#define CYDEV_PHUB_TDMEM101_ORIG_TD1 0x40007b2c +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30 +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM102_ORIG_TD0 0x40007b30 +#define CYDEV_PHUB_TDMEM102_ORIG_TD1 0x40007b34 +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38 +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM103_ORIG_TD0 0x40007b38 +#define CYDEV_PHUB_TDMEM103_ORIG_TD1 0x40007b3c +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40 +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM104_ORIG_TD0 0x40007b40 +#define CYDEV_PHUB_TDMEM104_ORIG_TD1 0x40007b44 +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48 +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM105_ORIG_TD0 0x40007b48 +#define CYDEV_PHUB_TDMEM105_ORIG_TD1 0x40007b4c +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50 +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM106_ORIG_TD0 0x40007b50 +#define CYDEV_PHUB_TDMEM106_ORIG_TD1 0x40007b54 +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58 +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM107_ORIG_TD0 0x40007b58 +#define CYDEV_PHUB_TDMEM107_ORIG_TD1 0x40007b5c +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60 +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM108_ORIG_TD0 0x40007b60 +#define CYDEV_PHUB_TDMEM108_ORIG_TD1 0x40007b64 +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68 +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM109_ORIG_TD0 0x40007b68 +#define CYDEV_PHUB_TDMEM109_ORIG_TD1 0x40007b6c +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70 +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM110_ORIG_TD0 0x40007b70 +#define CYDEV_PHUB_TDMEM110_ORIG_TD1 0x40007b74 +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78 +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM111_ORIG_TD0 0x40007b78 +#define CYDEV_PHUB_TDMEM111_ORIG_TD1 0x40007b7c +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80 +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM112_ORIG_TD0 0x40007b80 +#define CYDEV_PHUB_TDMEM112_ORIG_TD1 0x40007b84 +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88 +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM113_ORIG_TD0 0x40007b88 +#define CYDEV_PHUB_TDMEM113_ORIG_TD1 0x40007b8c +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90 +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM114_ORIG_TD0 0x40007b90 +#define CYDEV_PHUB_TDMEM114_ORIG_TD1 0x40007b94 +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98 +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM115_ORIG_TD0 0x40007b98 +#define CYDEV_PHUB_TDMEM115_ORIG_TD1 0x40007b9c +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0 +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM116_ORIG_TD0 0x40007ba0 +#define CYDEV_PHUB_TDMEM116_ORIG_TD1 0x40007ba4 +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8 +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM117_ORIG_TD0 0x40007ba8 +#define CYDEV_PHUB_TDMEM117_ORIG_TD1 0x40007bac +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0 +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM118_ORIG_TD0 0x40007bb0 +#define CYDEV_PHUB_TDMEM118_ORIG_TD1 0x40007bb4 +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8 +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM119_ORIG_TD0 0x40007bb8 +#define CYDEV_PHUB_TDMEM119_ORIG_TD1 0x40007bbc +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0 +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM120_ORIG_TD0 0x40007bc0 +#define CYDEV_PHUB_TDMEM120_ORIG_TD1 0x40007bc4 +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8 +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM121_ORIG_TD0 0x40007bc8 +#define CYDEV_PHUB_TDMEM121_ORIG_TD1 0x40007bcc +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0 +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM122_ORIG_TD0 0x40007bd0 +#define CYDEV_PHUB_TDMEM122_ORIG_TD1 0x40007bd4 +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8 +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM123_ORIG_TD0 0x40007bd8 +#define CYDEV_PHUB_TDMEM123_ORIG_TD1 0x40007bdc +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0 +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM124_ORIG_TD0 0x40007be0 +#define CYDEV_PHUB_TDMEM124_ORIG_TD1 0x40007be4 +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8 +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM125_ORIG_TD0 0x40007be8 +#define CYDEV_PHUB_TDMEM125_ORIG_TD1 0x40007bec +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0 +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM126_ORIG_TD0 0x40007bf0 +#define CYDEV_PHUB_TDMEM126_ORIG_TD1 0x40007bf4 +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8 +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM127_ORIG_TD0 0x40007bf8 +#define CYDEV_PHUB_TDMEM127_ORIG_TD1 0x40007bfc +#define CYDEV_EE_BASE 0x40008000 +#define CYDEV_EE_SIZE 0x00000800 +#define CYDEV_EE_DATA_MBASE 0x40008000 +#define CYDEV_EE_DATA_MSIZE 0x00000800 +#define CYDEV_CAN0_BASE 0x4000a000 +#define CYDEV_CAN0_SIZE 0x000002a0 +#define CYDEV_CAN0_CSR_BASE 0x4000a000 +#define CYDEV_CAN0_CSR_SIZE 0x00000018 +#define CYDEV_CAN0_CSR_INT_SR 0x4000a000 +#define CYDEV_CAN0_CSR_INT_EN 0x4000a004 +#define CYDEV_CAN0_CSR_BUF_SR 0x4000a008 +#define CYDEV_CAN0_CSR_ERR_SR 0x4000a00c +#define CYDEV_CAN0_CSR_CMD 0x4000a010 +#define CYDEV_CAN0_CSR_CFG 0x4000a014 +#define CYDEV_CAN0_TX0_BASE 0x4000a020 +#define CYDEV_CAN0_TX0_SIZE 0x00000010 +#define CYDEV_CAN0_TX0_CMD 0x4000a020 +#define CYDEV_CAN0_TX0_ID 0x4000a024 +#define CYDEV_CAN0_TX0_DH 0x4000a028 +#define CYDEV_CAN0_TX0_DL 0x4000a02c +#define CYDEV_CAN0_TX1_BASE 0x4000a030 +#define CYDEV_CAN0_TX1_SIZE 0x00000010 +#define CYDEV_CAN0_TX1_CMD 0x4000a030 +#define CYDEV_CAN0_TX1_ID 0x4000a034 +#define CYDEV_CAN0_TX1_DH 0x4000a038 +#define CYDEV_CAN0_TX1_DL 0x4000a03c +#define CYDEV_CAN0_TX2_BASE 0x4000a040 +#define CYDEV_CAN0_TX2_SIZE 0x00000010 +#define CYDEV_CAN0_TX2_CMD 0x4000a040 +#define CYDEV_CAN0_TX2_ID 0x4000a044 +#define CYDEV_CAN0_TX2_DH 0x4000a048 +#define CYDEV_CAN0_TX2_DL 0x4000a04c +#define CYDEV_CAN0_TX3_BASE 0x4000a050 +#define CYDEV_CAN0_TX3_SIZE 0x00000010 +#define CYDEV_CAN0_TX3_CMD 0x4000a050 +#define CYDEV_CAN0_TX3_ID 0x4000a054 +#define CYDEV_CAN0_TX3_DH 0x4000a058 +#define CYDEV_CAN0_TX3_DL 0x4000a05c +#define CYDEV_CAN0_TX4_BASE 0x4000a060 +#define CYDEV_CAN0_TX4_SIZE 0x00000010 +#define CYDEV_CAN0_TX4_CMD 0x4000a060 +#define CYDEV_CAN0_TX4_ID 0x4000a064 +#define CYDEV_CAN0_TX4_DH 0x4000a068 +#define CYDEV_CAN0_TX4_DL 0x4000a06c +#define CYDEV_CAN0_TX5_BASE 0x4000a070 +#define CYDEV_CAN0_TX5_SIZE 0x00000010 +#define CYDEV_CAN0_TX5_CMD 0x4000a070 +#define CYDEV_CAN0_TX5_ID 0x4000a074 +#define CYDEV_CAN0_TX5_DH 0x4000a078 +#define CYDEV_CAN0_TX5_DL 0x4000a07c +#define CYDEV_CAN0_TX6_BASE 0x4000a080 +#define CYDEV_CAN0_TX6_SIZE 0x00000010 +#define CYDEV_CAN0_TX6_CMD 0x4000a080 +#define CYDEV_CAN0_TX6_ID 0x4000a084 +#define CYDEV_CAN0_TX6_DH 0x4000a088 +#define CYDEV_CAN0_TX6_DL 0x4000a08c +#define CYDEV_CAN0_TX7_BASE 0x4000a090 +#define CYDEV_CAN0_TX7_SIZE 0x00000010 +#define CYDEV_CAN0_TX7_CMD 0x4000a090 +#define CYDEV_CAN0_TX7_ID 0x4000a094 +#define CYDEV_CAN0_TX7_DH 0x4000a098 +#define CYDEV_CAN0_TX7_DL 0x4000a09c +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0 +#define CYDEV_CAN0_RX0_SIZE 0x00000020 +#define CYDEV_CAN0_RX0_CMD 0x4000a0a0 +#define CYDEV_CAN0_RX0_ID 0x4000a0a4 +#define CYDEV_CAN0_RX0_DH 0x4000a0a8 +#define CYDEV_CAN0_RX0_DL 0x4000a0ac +#define CYDEV_CAN0_RX0_AMR 0x4000a0b0 +#define CYDEV_CAN0_RX0_ACR 0x4000a0b4 +#define CYDEV_CAN0_RX0_AMRD 0x4000a0b8 +#define CYDEV_CAN0_RX0_ACRD 0x4000a0bc +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0 +#define CYDEV_CAN0_RX1_SIZE 0x00000020 +#define CYDEV_CAN0_RX1_CMD 0x4000a0c0 +#define CYDEV_CAN0_RX1_ID 0x4000a0c4 +#define CYDEV_CAN0_RX1_DH 0x4000a0c8 +#define CYDEV_CAN0_RX1_DL 0x4000a0cc +#define CYDEV_CAN0_RX1_AMR 0x4000a0d0 +#define CYDEV_CAN0_RX1_ACR 0x4000a0d4 +#define CYDEV_CAN0_RX1_AMRD 0x4000a0d8 +#define CYDEV_CAN0_RX1_ACRD 0x4000a0dc +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0 +#define CYDEV_CAN0_RX2_SIZE 0x00000020 +#define CYDEV_CAN0_RX2_CMD 0x4000a0e0 +#define CYDEV_CAN0_RX2_ID 0x4000a0e4 +#define CYDEV_CAN0_RX2_DH 0x4000a0e8 +#define CYDEV_CAN0_RX2_DL 0x4000a0ec +#define CYDEV_CAN0_RX2_AMR 0x4000a0f0 +#define CYDEV_CAN0_RX2_ACR 0x4000a0f4 +#define CYDEV_CAN0_RX2_AMRD 0x4000a0f8 +#define CYDEV_CAN0_RX2_ACRD 0x4000a0fc +#define CYDEV_CAN0_RX3_BASE 0x4000a100 +#define CYDEV_CAN0_RX3_SIZE 0x00000020 +#define CYDEV_CAN0_RX3_CMD 0x4000a100 +#define CYDEV_CAN0_RX3_ID 0x4000a104 +#define CYDEV_CAN0_RX3_DH 0x4000a108 +#define CYDEV_CAN0_RX3_DL 0x4000a10c +#define CYDEV_CAN0_RX3_AMR 0x4000a110 +#define CYDEV_CAN0_RX3_ACR 0x4000a114 +#define CYDEV_CAN0_RX3_AMRD 0x4000a118 +#define CYDEV_CAN0_RX3_ACRD 0x4000a11c +#define CYDEV_CAN0_RX4_BASE 0x4000a120 +#define CYDEV_CAN0_RX4_SIZE 0x00000020 +#define CYDEV_CAN0_RX4_CMD 0x4000a120 +#define CYDEV_CAN0_RX4_ID 0x4000a124 +#define CYDEV_CAN0_RX4_DH 0x4000a128 +#define CYDEV_CAN0_RX4_DL 0x4000a12c +#define CYDEV_CAN0_RX4_AMR 0x4000a130 +#define CYDEV_CAN0_RX4_ACR 0x4000a134 +#define CYDEV_CAN0_RX4_AMRD 0x4000a138 +#define CYDEV_CAN0_RX4_ACRD 0x4000a13c +#define CYDEV_CAN0_RX5_BASE 0x4000a140 +#define CYDEV_CAN0_RX5_SIZE 0x00000020 +#define CYDEV_CAN0_RX5_CMD 0x4000a140 +#define CYDEV_CAN0_RX5_ID 0x4000a144 +#define CYDEV_CAN0_RX5_DH 0x4000a148 +#define CYDEV_CAN0_RX5_DL 0x4000a14c +#define CYDEV_CAN0_RX5_AMR 0x4000a150 +#define CYDEV_CAN0_RX5_ACR 0x4000a154 +#define CYDEV_CAN0_RX5_AMRD 0x4000a158 +#define CYDEV_CAN0_RX5_ACRD 0x4000a15c +#define CYDEV_CAN0_RX6_BASE 0x4000a160 +#define CYDEV_CAN0_RX6_SIZE 0x00000020 +#define CYDEV_CAN0_RX6_CMD 0x4000a160 +#define CYDEV_CAN0_RX6_ID 0x4000a164 +#define CYDEV_CAN0_RX6_DH 0x4000a168 +#define CYDEV_CAN0_RX6_DL 0x4000a16c +#define CYDEV_CAN0_RX6_AMR 0x4000a170 +#define CYDEV_CAN0_RX6_ACR 0x4000a174 +#define CYDEV_CAN0_RX6_AMRD 0x4000a178 +#define CYDEV_CAN0_RX6_ACRD 0x4000a17c +#define CYDEV_CAN0_RX7_BASE 0x4000a180 +#define CYDEV_CAN0_RX7_SIZE 0x00000020 +#define CYDEV_CAN0_RX7_CMD 0x4000a180 +#define CYDEV_CAN0_RX7_ID 0x4000a184 +#define CYDEV_CAN0_RX7_DH 0x4000a188 +#define CYDEV_CAN0_RX7_DL 0x4000a18c +#define CYDEV_CAN0_RX7_AMR 0x4000a190 +#define CYDEV_CAN0_RX7_ACR 0x4000a194 +#define CYDEV_CAN0_RX7_AMRD 0x4000a198 +#define CYDEV_CAN0_RX7_ACRD 0x4000a19c +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0 +#define CYDEV_CAN0_RX8_SIZE 0x00000020 +#define CYDEV_CAN0_RX8_CMD 0x4000a1a0 +#define CYDEV_CAN0_RX8_ID 0x4000a1a4 +#define CYDEV_CAN0_RX8_DH 0x4000a1a8 +#define CYDEV_CAN0_RX8_DL 0x4000a1ac +#define CYDEV_CAN0_RX8_AMR 0x4000a1b0 +#define CYDEV_CAN0_RX8_ACR 0x4000a1b4 +#define CYDEV_CAN0_RX8_AMRD 0x4000a1b8 +#define CYDEV_CAN0_RX8_ACRD 0x4000a1bc +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0 +#define CYDEV_CAN0_RX9_SIZE 0x00000020 +#define CYDEV_CAN0_RX9_CMD 0x4000a1c0 +#define CYDEV_CAN0_RX9_ID 0x4000a1c4 +#define CYDEV_CAN0_RX9_DH 0x4000a1c8 +#define CYDEV_CAN0_RX9_DL 0x4000a1cc +#define CYDEV_CAN0_RX9_AMR 0x4000a1d0 +#define CYDEV_CAN0_RX9_ACR 0x4000a1d4 +#define CYDEV_CAN0_RX9_AMRD 0x4000a1d8 +#define CYDEV_CAN0_RX9_ACRD 0x4000a1dc +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0 +#define CYDEV_CAN0_RX10_SIZE 0x00000020 +#define CYDEV_CAN0_RX10_CMD 0x4000a1e0 +#define CYDEV_CAN0_RX10_ID 0x4000a1e4 +#define CYDEV_CAN0_RX10_DH 0x4000a1e8 +#define CYDEV_CAN0_RX10_DL 0x4000a1ec +#define CYDEV_CAN0_RX10_AMR 0x4000a1f0 +#define CYDEV_CAN0_RX10_ACR 0x4000a1f4 +#define CYDEV_CAN0_RX10_AMRD 0x4000a1f8 +#define CYDEV_CAN0_RX10_ACRD 0x4000a1fc +#define CYDEV_CAN0_RX11_BASE 0x4000a200 +#define CYDEV_CAN0_RX11_SIZE 0x00000020 +#define CYDEV_CAN0_RX11_CMD 0x4000a200 +#define CYDEV_CAN0_RX11_ID 0x4000a204 +#define CYDEV_CAN0_RX11_DH 0x4000a208 +#define CYDEV_CAN0_RX11_DL 0x4000a20c +#define CYDEV_CAN0_RX11_AMR 0x4000a210 +#define CYDEV_CAN0_RX11_ACR 0x4000a214 +#define CYDEV_CAN0_RX11_AMRD 0x4000a218 +#define CYDEV_CAN0_RX11_ACRD 0x4000a21c +#define CYDEV_CAN0_RX12_BASE 0x4000a220 +#define CYDEV_CAN0_RX12_SIZE 0x00000020 +#define CYDEV_CAN0_RX12_CMD 0x4000a220 +#define CYDEV_CAN0_RX12_ID 0x4000a224 +#define CYDEV_CAN0_RX12_DH 0x4000a228 +#define CYDEV_CAN0_RX12_DL 0x4000a22c +#define CYDEV_CAN0_RX12_AMR 0x4000a230 +#define CYDEV_CAN0_RX12_ACR 0x4000a234 +#define CYDEV_CAN0_RX12_AMRD 0x4000a238 +#define CYDEV_CAN0_RX12_ACRD 0x4000a23c +#define CYDEV_CAN0_RX13_BASE 0x4000a240 +#define CYDEV_CAN0_RX13_SIZE 0x00000020 +#define CYDEV_CAN0_RX13_CMD 0x4000a240 +#define CYDEV_CAN0_RX13_ID 0x4000a244 +#define CYDEV_CAN0_RX13_DH 0x4000a248 +#define CYDEV_CAN0_RX13_DL 0x4000a24c +#define CYDEV_CAN0_RX13_AMR 0x4000a250 +#define CYDEV_CAN0_RX13_ACR 0x4000a254 +#define CYDEV_CAN0_RX13_AMRD 0x4000a258 +#define CYDEV_CAN0_RX13_ACRD 0x4000a25c +#define CYDEV_CAN0_RX14_BASE 0x4000a260 +#define CYDEV_CAN0_RX14_SIZE 0x00000020 +#define CYDEV_CAN0_RX14_CMD 0x4000a260 +#define CYDEV_CAN0_RX14_ID 0x4000a264 +#define CYDEV_CAN0_RX14_DH 0x4000a268 +#define CYDEV_CAN0_RX14_DL 0x4000a26c +#define CYDEV_CAN0_RX14_AMR 0x4000a270 +#define CYDEV_CAN0_RX14_ACR 0x4000a274 +#define CYDEV_CAN0_RX14_AMRD 0x4000a278 +#define CYDEV_CAN0_RX14_ACRD 0x4000a27c +#define CYDEV_CAN0_RX15_BASE 0x4000a280 +#define CYDEV_CAN0_RX15_SIZE 0x00000020 +#define CYDEV_CAN0_RX15_CMD 0x4000a280 +#define CYDEV_CAN0_RX15_ID 0x4000a284 +#define CYDEV_CAN0_RX15_DH 0x4000a288 +#define CYDEV_CAN0_RX15_DL 0x4000a28c +#define CYDEV_CAN0_RX15_AMR 0x4000a290 +#define CYDEV_CAN0_RX15_ACR 0x4000a294 +#define CYDEV_CAN0_RX15_AMRD 0x4000a298 +#define CYDEV_CAN0_RX15_ACRD 0x4000a29c +#define CYDEV_DFB0_BASE 0x4000c000 +#define CYDEV_DFB0_SIZE 0x000007b5 +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000 +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200 +#define CYDEV_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000 +#define CYDEV_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200 +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200 +#define CYDEV_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200 +#define CYDEV_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400 +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100 +#define CYDEV_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400 +#define CYDEV_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500 +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100 +#define CYDEV_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500 +#define CYDEV_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600 +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100 +#define CYDEV_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600 +#define CYDEV_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700 +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040 +#define CYDEV_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700 +#define CYDEV_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040 +#define CYDEV_DFB0_CR 0x4000c780 +#define CYDEV_DFB0_SR 0x4000c784 +#define CYDEV_DFB0_RAM_EN 0x4000c788 +#define CYDEV_DFB0_RAM_DIR 0x4000c78c +#define CYDEV_DFB0_SEMA 0x4000c790 +#define CYDEV_DFB0_DSI_CTRL 0x4000c794 +#define CYDEV_DFB0_INT_CTRL 0x4000c798 +#define CYDEV_DFB0_DMA_CTRL 0x4000c79c +#define CYDEV_DFB0_STAGEA 0x4000c7a0 +#define CYDEV_DFB0_STAGEAM 0x4000c7a1 +#define CYDEV_DFB0_STAGEAH 0x4000c7a2 +#define CYDEV_DFB0_STAGEB 0x4000c7a4 +#define CYDEV_DFB0_STAGEBM 0x4000c7a5 +#define CYDEV_DFB0_STAGEBH 0x4000c7a6 +#define CYDEV_DFB0_HOLDA 0x4000c7a8 +#define CYDEV_DFB0_HOLDAM 0x4000c7a9 +#define CYDEV_DFB0_HOLDAH 0x4000c7aa +#define CYDEV_DFB0_HOLDAS 0x4000c7ab +#define CYDEV_DFB0_HOLDB 0x4000c7ac +#define CYDEV_DFB0_HOLDBM 0x4000c7ad +#define CYDEV_DFB0_HOLDBH 0x4000c7ae +#define CYDEV_DFB0_HOLDBS 0x4000c7af +#define CYDEV_DFB0_COHER 0x4000c7b0 +#define CYDEV_DFB0_DALIGN 0x4000c7b4 +#define CYDEV_UCFG_BASE 0x40010000 +#define CYDEV_UCFG_SIZE 0x00005040 +#define CYDEV_UCFG_B0_BASE 0x40010000 +#define CYDEV_UCFG_B0_SIZE 0x00000fef +#define CYDEV_UCFG_B0_P0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT0 0x40010000 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT1 0x40010004 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT2 0x40010008 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT3 0x4001000c +#define CYDEV_UCFG_B0_P0_U0_PLD_IT4 0x40010010 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT5 0x40010014 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT6 0x40010018 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT7 0x4001001c +#define CYDEV_UCFG_B0_P0_U0_PLD_IT8 0x40010020 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT9 0x40010024 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT10 0x40010028 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT11 0x4001002c +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT0 0x40010030 +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT1 0x40010032 +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT2 0x40010034 +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT3 0x40010036 +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038 +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB 0x4001003a +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003c +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS 0x4001003e +#define CYDEV_UCFG_B0_P0_U0_CFG0 0x40010040 +#define CYDEV_UCFG_B0_P0_U0_CFG1 0x40010041 +#define CYDEV_UCFG_B0_P0_U0_CFG2 0x40010042 +#define CYDEV_UCFG_B0_P0_U0_CFG3 0x40010043 +#define CYDEV_UCFG_B0_P0_U0_CFG4 0x40010044 +#define CYDEV_UCFG_B0_P0_U0_CFG5 0x40010045 +#define CYDEV_UCFG_B0_P0_U0_CFG6 0x40010046 +#define CYDEV_UCFG_B0_P0_U0_CFG7 0x40010047 +#define CYDEV_UCFG_B0_P0_U0_CFG8 0x40010048 +#define CYDEV_UCFG_B0_P0_U0_CFG9 0x40010049 +#define CYDEV_UCFG_B0_P0_U0_CFG10 0x4001004a +#define CYDEV_UCFG_B0_P0_U0_CFG11 0x4001004b +#define CYDEV_UCFG_B0_P0_U0_CFG12 0x4001004c +#define CYDEV_UCFG_B0_P0_U0_CFG13 0x4001004d +#define CYDEV_UCFG_B0_P0_U0_CFG14 0x4001004e +#define CYDEV_UCFG_B0_P0_U0_CFG15 0x4001004f +#define CYDEV_UCFG_B0_P0_U0_CFG16 0x40010050 +#define CYDEV_UCFG_B0_P0_U0_CFG17 0x40010051 +#define CYDEV_UCFG_B0_P0_U0_CFG18 0x40010052 +#define CYDEV_UCFG_B0_P0_U0_CFG19 0x40010053 +#define CYDEV_UCFG_B0_P0_U0_CFG20 0x40010054 +#define CYDEV_UCFG_B0_P0_U0_CFG21 0x40010055 +#define CYDEV_UCFG_B0_P0_U0_CFG22 0x40010056 +#define CYDEV_UCFG_B0_P0_U0_CFG23 0x40010057 +#define CYDEV_UCFG_B0_P0_U0_CFG24 0x40010058 +#define CYDEV_UCFG_B0_P0_U0_CFG25 0x40010059 +#define CYDEV_UCFG_B0_P0_U0_CFG26 0x4001005a +#define CYDEV_UCFG_B0_P0_U0_CFG27 0x4001005b +#define CYDEV_UCFG_B0_P0_U0_CFG28 0x4001005c +#define CYDEV_UCFG_B0_P0_U0_CFG29 0x4001005d +#define CYDEV_UCFG_B0_P0_U0_CFG30 0x4001005e +#define CYDEV_UCFG_B0_P0_U0_CFG31 0x4001005f +#define CYDEV_UCFG_B0_P0_U0_DCFG0 0x40010060 +#define CYDEV_UCFG_B0_P0_U0_DCFG1 0x40010062 +#define CYDEV_UCFG_B0_P0_U0_DCFG2 0x40010064 +#define CYDEV_UCFG_B0_P0_U0_DCFG3 0x40010066 +#define CYDEV_UCFG_B0_P0_U0_DCFG4 0x40010068 +#define CYDEV_UCFG_B0_P0_U0_DCFG5 0x4001006a +#define CYDEV_UCFG_B0_P0_U0_DCFG6 0x4001006c +#define CYDEV_UCFG_B0_P0_U0_DCFG7 0x4001006e +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080 +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT0 0x40010080 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT1 0x40010084 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT2 0x40010088 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT3 0x4001008c +#define CYDEV_UCFG_B0_P0_U1_PLD_IT4 0x40010090 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT5 0x40010094 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT6 0x40010098 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT7 0x4001009c +#define CYDEV_UCFG_B0_P0_U1_PLD_IT8 0x400100a0 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT9 0x400100a4 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT10 0x400100a8 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT11 0x400100ac +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT0 0x400100b0 +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT1 0x400100b2 +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT2 0x400100b4 +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT3 0x400100b6 +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8 +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB 0x400100ba +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bc +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS 0x400100be +#define CYDEV_UCFG_B0_P0_U1_CFG0 0x400100c0 +#define CYDEV_UCFG_B0_P0_U1_CFG1 0x400100c1 +#define CYDEV_UCFG_B0_P0_U1_CFG2 0x400100c2 +#define CYDEV_UCFG_B0_P0_U1_CFG3 0x400100c3 +#define CYDEV_UCFG_B0_P0_U1_CFG4 0x400100c4 +#define CYDEV_UCFG_B0_P0_U1_CFG5 0x400100c5 +#define CYDEV_UCFG_B0_P0_U1_CFG6 0x400100c6 +#define CYDEV_UCFG_B0_P0_U1_CFG7 0x400100c7 +#define CYDEV_UCFG_B0_P0_U1_CFG8 0x400100c8 +#define CYDEV_UCFG_B0_P0_U1_CFG9 0x400100c9 +#define CYDEV_UCFG_B0_P0_U1_CFG10 0x400100ca +#define CYDEV_UCFG_B0_P0_U1_CFG11 0x400100cb +#define CYDEV_UCFG_B0_P0_U1_CFG12 0x400100cc +#define CYDEV_UCFG_B0_P0_U1_CFG13 0x400100cd +#define CYDEV_UCFG_B0_P0_U1_CFG14 0x400100ce +#define CYDEV_UCFG_B0_P0_U1_CFG15 0x400100cf +#define CYDEV_UCFG_B0_P0_U1_CFG16 0x400100d0 +#define CYDEV_UCFG_B0_P0_U1_CFG17 0x400100d1 +#define CYDEV_UCFG_B0_P0_U1_CFG18 0x400100d2 +#define CYDEV_UCFG_B0_P0_U1_CFG19 0x400100d3 +#define CYDEV_UCFG_B0_P0_U1_CFG20 0x400100d4 +#define CYDEV_UCFG_B0_P0_U1_CFG21 0x400100d5 +#define CYDEV_UCFG_B0_P0_U1_CFG22 0x400100d6 +#define CYDEV_UCFG_B0_P0_U1_CFG23 0x400100d7 +#define CYDEV_UCFG_B0_P0_U1_CFG24 0x400100d8 +#define CYDEV_UCFG_B0_P0_U1_CFG25 0x400100d9 +#define CYDEV_UCFG_B0_P0_U1_CFG26 0x400100da +#define CYDEV_UCFG_B0_P0_U1_CFG27 0x400100db +#define CYDEV_UCFG_B0_P0_U1_CFG28 0x400100dc +#define CYDEV_UCFG_B0_P0_U1_CFG29 0x400100dd +#define CYDEV_UCFG_B0_P0_U1_CFG30 0x400100de +#define CYDEV_UCFG_B0_P0_U1_CFG31 0x400100df +#define CYDEV_UCFG_B0_P0_U1_DCFG0 0x400100e0 +#define CYDEV_UCFG_B0_P0_U1_DCFG1 0x400100e2 +#define CYDEV_UCFG_B0_P0_U1_DCFG2 0x400100e4 +#define CYDEV_UCFG_B0_P0_U1_DCFG3 0x400100e6 +#define CYDEV_UCFG_B0_P0_U1_DCFG4 0x400100e8 +#define CYDEV_UCFG_B0_P0_U1_DCFG5 0x400100ea +#define CYDEV_UCFG_B0_P0_U1_DCFG6 0x400100ec +#define CYDEV_UCFG_B0_P0_U1_DCFG7 0x400100ee +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100 +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P1_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT0 0x40010200 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT1 0x40010204 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT2 0x40010208 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT3 0x4001020c +#define CYDEV_UCFG_B0_P1_U0_PLD_IT4 0x40010210 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT5 0x40010214 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT6 0x40010218 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT7 0x4001021c +#define CYDEV_UCFG_B0_P1_U0_PLD_IT8 0x40010220 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT9 0x40010224 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT10 0x40010228 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT11 0x4001022c +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT0 0x40010230 +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT1 0x40010232 +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT2 0x40010234 +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT3 0x40010236 +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238 +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB 0x4001023a +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023c +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS 0x4001023e +#define CYDEV_UCFG_B0_P1_U0_CFG0 0x40010240 +#define CYDEV_UCFG_B0_P1_U0_CFG1 0x40010241 +#define CYDEV_UCFG_B0_P1_U0_CFG2 0x40010242 +#define CYDEV_UCFG_B0_P1_U0_CFG3 0x40010243 +#define CYDEV_UCFG_B0_P1_U0_CFG4 0x40010244 +#define CYDEV_UCFG_B0_P1_U0_CFG5 0x40010245 +#define CYDEV_UCFG_B0_P1_U0_CFG6 0x40010246 +#define CYDEV_UCFG_B0_P1_U0_CFG7 0x40010247 +#define CYDEV_UCFG_B0_P1_U0_CFG8 0x40010248 +#define CYDEV_UCFG_B0_P1_U0_CFG9 0x40010249 +#define CYDEV_UCFG_B0_P1_U0_CFG10 0x4001024a +#define CYDEV_UCFG_B0_P1_U0_CFG11 0x4001024b +#define CYDEV_UCFG_B0_P1_U0_CFG12 0x4001024c +#define CYDEV_UCFG_B0_P1_U0_CFG13 0x4001024d +#define CYDEV_UCFG_B0_P1_U0_CFG14 0x4001024e +#define CYDEV_UCFG_B0_P1_U0_CFG15 0x4001024f +#define CYDEV_UCFG_B0_P1_U0_CFG16 0x40010250 +#define CYDEV_UCFG_B0_P1_U0_CFG17 0x40010251 +#define CYDEV_UCFG_B0_P1_U0_CFG18 0x40010252 +#define CYDEV_UCFG_B0_P1_U0_CFG19 0x40010253 +#define CYDEV_UCFG_B0_P1_U0_CFG20 0x40010254 +#define CYDEV_UCFG_B0_P1_U0_CFG21 0x40010255 +#define CYDEV_UCFG_B0_P1_U0_CFG22 0x40010256 +#define CYDEV_UCFG_B0_P1_U0_CFG23 0x40010257 +#define CYDEV_UCFG_B0_P1_U0_CFG24 0x40010258 +#define CYDEV_UCFG_B0_P1_U0_CFG25 0x40010259 +#define CYDEV_UCFG_B0_P1_U0_CFG26 0x4001025a +#define CYDEV_UCFG_B0_P1_U0_CFG27 0x4001025b +#define CYDEV_UCFG_B0_P1_U0_CFG28 0x4001025c +#define CYDEV_UCFG_B0_P1_U0_CFG29 0x4001025d +#define CYDEV_UCFG_B0_P1_U0_CFG30 0x4001025e +#define CYDEV_UCFG_B0_P1_U0_CFG31 0x4001025f +#define CYDEV_UCFG_B0_P1_U0_DCFG0 0x40010260 +#define CYDEV_UCFG_B0_P1_U0_DCFG1 0x40010262 +#define CYDEV_UCFG_B0_P1_U0_DCFG2 0x40010264 +#define CYDEV_UCFG_B0_P1_U0_DCFG3 0x40010266 +#define CYDEV_UCFG_B0_P1_U0_DCFG4 0x40010268 +#define CYDEV_UCFG_B0_P1_U0_DCFG5 0x4001026a +#define CYDEV_UCFG_B0_P1_U0_DCFG6 0x4001026c +#define CYDEV_UCFG_B0_P1_U0_DCFG7 0x4001026e +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280 +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT0 0x40010280 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT1 0x40010284 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT2 0x40010288 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT3 0x4001028c +#define CYDEV_UCFG_B0_P1_U1_PLD_IT4 0x40010290 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT5 0x40010294 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT6 0x40010298 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT7 0x4001029c +#define CYDEV_UCFG_B0_P1_U1_PLD_IT8 0x400102a0 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT9 0x400102a4 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT10 0x400102a8 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT11 0x400102ac +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT0 0x400102b0 +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT1 0x400102b2 +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT2 0x400102b4 +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT3 0x400102b6 +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8 +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB 0x400102ba +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bc +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS 0x400102be +#define CYDEV_UCFG_B0_P1_U1_CFG0 0x400102c0 +#define CYDEV_UCFG_B0_P1_U1_CFG1 0x400102c1 +#define CYDEV_UCFG_B0_P1_U1_CFG2 0x400102c2 +#define CYDEV_UCFG_B0_P1_U1_CFG3 0x400102c3 +#define CYDEV_UCFG_B0_P1_U1_CFG4 0x400102c4 +#define CYDEV_UCFG_B0_P1_U1_CFG5 0x400102c5 +#define CYDEV_UCFG_B0_P1_U1_CFG6 0x400102c6 +#define CYDEV_UCFG_B0_P1_U1_CFG7 0x400102c7 +#define CYDEV_UCFG_B0_P1_U1_CFG8 0x400102c8 +#define CYDEV_UCFG_B0_P1_U1_CFG9 0x400102c9 +#define CYDEV_UCFG_B0_P1_U1_CFG10 0x400102ca +#define CYDEV_UCFG_B0_P1_U1_CFG11 0x400102cb +#define CYDEV_UCFG_B0_P1_U1_CFG12 0x400102cc +#define CYDEV_UCFG_B0_P1_U1_CFG13 0x400102cd +#define CYDEV_UCFG_B0_P1_U1_CFG14 0x400102ce +#define CYDEV_UCFG_B0_P1_U1_CFG15 0x400102cf +#define CYDEV_UCFG_B0_P1_U1_CFG16 0x400102d0 +#define CYDEV_UCFG_B0_P1_U1_CFG17 0x400102d1 +#define CYDEV_UCFG_B0_P1_U1_CFG18 0x400102d2 +#define CYDEV_UCFG_B0_P1_U1_CFG19 0x400102d3 +#define CYDEV_UCFG_B0_P1_U1_CFG20 0x400102d4 +#define CYDEV_UCFG_B0_P1_U1_CFG21 0x400102d5 +#define CYDEV_UCFG_B0_P1_U1_CFG22 0x400102d6 +#define CYDEV_UCFG_B0_P1_U1_CFG23 0x400102d7 +#define CYDEV_UCFG_B0_P1_U1_CFG24 0x400102d8 +#define CYDEV_UCFG_B0_P1_U1_CFG25 0x400102d9 +#define CYDEV_UCFG_B0_P1_U1_CFG26 0x400102da +#define CYDEV_UCFG_B0_P1_U1_CFG27 0x400102db +#define CYDEV_UCFG_B0_P1_U1_CFG28 0x400102dc +#define CYDEV_UCFG_B0_P1_U1_CFG29 0x400102dd +#define CYDEV_UCFG_B0_P1_U1_CFG30 0x400102de +#define CYDEV_UCFG_B0_P1_U1_CFG31 0x400102df +#define CYDEV_UCFG_B0_P1_U1_DCFG0 0x400102e0 +#define CYDEV_UCFG_B0_P1_U1_DCFG1 0x400102e2 +#define CYDEV_UCFG_B0_P1_U1_DCFG2 0x400102e4 +#define CYDEV_UCFG_B0_P1_U1_DCFG3 0x400102e6 +#define CYDEV_UCFG_B0_P1_U1_DCFG4 0x400102e8 +#define CYDEV_UCFG_B0_P1_U1_DCFG5 0x400102ea +#define CYDEV_UCFG_B0_P1_U1_DCFG6 0x400102ec +#define CYDEV_UCFG_B0_P1_U1_DCFG7 0x400102ee +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300 +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P2_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT0 0x40010400 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT1 0x40010404 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT2 0x40010408 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT3 0x4001040c +#define CYDEV_UCFG_B0_P2_U0_PLD_IT4 0x40010410 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT5 0x40010414 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT6 0x40010418 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT7 0x4001041c +#define CYDEV_UCFG_B0_P2_U0_PLD_IT8 0x40010420 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT9 0x40010424 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT10 0x40010428 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT11 0x4001042c +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT0 0x40010430 +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT1 0x40010432 +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT2 0x40010434 +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT3 0x40010436 +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438 +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB 0x4001043a +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043c +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS 0x4001043e +#define CYDEV_UCFG_B0_P2_U0_CFG0 0x40010440 +#define CYDEV_UCFG_B0_P2_U0_CFG1 0x40010441 +#define CYDEV_UCFG_B0_P2_U0_CFG2 0x40010442 +#define CYDEV_UCFG_B0_P2_U0_CFG3 0x40010443 +#define CYDEV_UCFG_B0_P2_U0_CFG4 0x40010444 +#define CYDEV_UCFG_B0_P2_U0_CFG5 0x40010445 +#define CYDEV_UCFG_B0_P2_U0_CFG6 0x40010446 +#define CYDEV_UCFG_B0_P2_U0_CFG7 0x40010447 +#define CYDEV_UCFG_B0_P2_U0_CFG8 0x40010448 +#define CYDEV_UCFG_B0_P2_U0_CFG9 0x40010449 +#define CYDEV_UCFG_B0_P2_U0_CFG10 0x4001044a +#define CYDEV_UCFG_B0_P2_U0_CFG11 0x4001044b +#define CYDEV_UCFG_B0_P2_U0_CFG12 0x4001044c +#define CYDEV_UCFG_B0_P2_U0_CFG13 0x4001044d +#define CYDEV_UCFG_B0_P2_U0_CFG14 0x4001044e +#define CYDEV_UCFG_B0_P2_U0_CFG15 0x4001044f +#define CYDEV_UCFG_B0_P2_U0_CFG16 0x40010450 +#define CYDEV_UCFG_B0_P2_U0_CFG17 0x40010451 +#define CYDEV_UCFG_B0_P2_U0_CFG18 0x40010452 +#define CYDEV_UCFG_B0_P2_U0_CFG19 0x40010453 +#define CYDEV_UCFG_B0_P2_U0_CFG20 0x40010454 +#define CYDEV_UCFG_B0_P2_U0_CFG21 0x40010455 +#define CYDEV_UCFG_B0_P2_U0_CFG22 0x40010456 +#define CYDEV_UCFG_B0_P2_U0_CFG23 0x40010457 +#define CYDEV_UCFG_B0_P2_U0_CFG24 0x40010458 +#define CYDEV_UCFG_B0_P2_U0_CFG25 0x40010459 +#define CYDEV_UCFG_B0_P2_U0_CFG26 0x4001045a +#define CYDEV_UCFG_B0_P2_U0_CFG27 0x4001045b +#define CYDEV_UCFG_B0_P2_U0_CFG28 0x4001045c +#define CYDEV_UCFG_B0_P2_U0_CFG29 0x4001045d +#define CYDEV_UCFG_B0_P2_U0_CFG30 0x4001045e +#define CYDEV_UCFG_B0_P2_U0_CFG31 0x4001045f +#define CYDEV_UCFG_B0_P2_U0_DCFG0 0x40010460 +#define CYDEV_UCFG_B0_P2_U0_DCFG1 0x40010462 +#define CYDEV_UCFG_B0_P2_U0_DCFG2 0x40010464 +#define CYDEV_UCFG_B0_P2_U0_DCFG3 0x40010466 +#define CYDEV_UCFG_B0_P2_U0_DCFG4 0x40010468 +#define CYDEV_UCFG_B0_P2_U0_DCFG5 0x4001046a +#define CYDEV_UCFG_B0_P2_U0_DCFG6 0x4001046c +#define CYDEV_UCFG_B0_P2_U0_DCFG7 0x4001046e +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480 +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT0 0x40010480 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT1 0x40010484 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT2 0x40010488 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT3 0x4001048c +#define CYDEV_UCFG_B0_P2_U1_PLD_IT4 0x40010490 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT5 0x40010494 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT6 0x40010498 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT7 0x4001049c +#define CYDEV_UCFG_B0_P2_U1_PLD_IT8 0x400104a0 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT9 0x400104a4 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT10 0x400104a8 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT11 0x400104ac +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT0 0x400104b0 +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT1 0x400104b2 +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT2 0x400104b4 +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT3 0x400104b6 +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8 +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB 0x400104ba +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bc +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS 0x400104be +#define CYDEV_UCFG_B0_P2_U1_CFG0 0x400104c0 +#define CYDEV_UCFG_B0_P2_U1_CFG1 0x400104c1 +#define CYDEV_UCFG_B0_P2_U1_CFG2 0x400104c2 +#define CYDEV_UCFG_B0_P2_U1_CFG3 0x400104c3 +#define CYDEV_UCFG_B0_P2_U1_CFG4 0x400104c4 +#define CYDEV_UCFG_B0_P2_U1_CFG5 0x400104c5 +#define CYDEV_UCFG_B0_P2_U1_CFG6 0x400104c6 +#define CYDEV_UCFG_B0_P2_U1_CFG7 0x400104c7 +#define CYDEV_UCFG_B0_P2_U1_CFG8 0x400104c8 +#define CYDEV_UCFG_B0_P2_U1_CFG9 0x400104c9 +#define CYDEV_UCFG_B0_P2_U1_CFG10 0x400104ca +#define CYDEV_UCFG_B0_P2_U1_CFG11 0x400104cb +#define CYDEV_UCFG_B0_P2_U1_CFG12 0x400104cc +#define CYDEV_UCFG_B0_P2_U1_CFG13 0x400104cd +#define CYDEV_UCFG_B0_P2_U1_CFG14 0x400104ce +#define CYDEV_UCFG_B0_P2_U1_CFG15 0x400104cf +#define CYDEV_UCFG_B0_P2_U1_CFG16 0x400104d0 +#define CYDEV_UCFG_B0_P2_U1_CFG17 0x400104d1 +#define CYDEV_UCFG_B0_P2_U1_CFG18 0x400104d2 +#define CYDEV_UCFG_B0_P2_U1_CFG19 0x400104d3 +#define CYDEV_UCFG_B0_P2_U1_CFG20 0x400104d4 +#define CYDEV_UCFG_B0_P2_U1_CFG21 0x400104d5 +#define CYDEV_UCFG_B0_P2_U1_CFG22 0x400104d6 +#define CYDEV_UCFG_B0_P2_U1_CFG23 0x400104d7 +#define CYDEV_UCFG_B0_P2_U1_CFG24 0x400104d8 +#define CYDEV_UCFG_B0_P2_U1_CFG25 0x400104d9 +#define CYDEV_UCFG_B0_P2_U1_CFG26 0x400104da +#define CYDEV_UCFG_B0_P2_U1_CFG27 0x400104db +#define CYDEV_UCFG_B0_P2_U1_CFG28 0x400104dc +#define CYDEV_UCFG_B0_P2_U1_CFG29 0x400104dd +#define CYDEV_UCFG_B0_P2_U1_CFG30 0x400104de +#define CYDEV_UCFG_B0_P2_U1_CFG31 0x400104df +#define CYDEV_UCFG_B0_P2_U1_DCFG0 0x400104e0 +#define CYDEV_UCFG_B0_P2_U1_DCFG1 0x400104e2 +#define CYDEV_UCFG_B0_P2_U1_DCFG2 0x400104e4 +#define CYDEV_UCFG_B0_P2_U1_DCFG3 0x400104e6 +#define CYDEV_UCFG_B0_P2_U1_DCFG4 0x400104e8 +#define CYDEV_UCFG_B0_P2_U1_DCFG5 0x400104ea +#define CYDEV_UCFG_B0_P2_U1_DCFG6 0x400104ec +#define CYDEV_UCFG_B0_P2_U1_DCFG7 0x400104ee +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500 +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P3_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT0 0x40010600 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT1 0x40010604 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT2 0x40010608 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT3 0x4001060c +#define CYDEV_UCFG_B0_P3_U0_PLD_IT4 0x40010610 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT5 0x40010614 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT6 0x40010618 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT7 0x4001061c +#define CYDEV_UCFG_B0_P3_U0_PLD_IT8 0x40010620 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT9 0x40010624 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT10 0x40010628 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT11 0x4001062c +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT0 0x40010630 +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT1 0x40010632 +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT2 0x40010634 +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT3 0x40010636 +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638 +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB 0x4001063a +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063c +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS 0x4001063e +#define CYDEV_UCFG_B0_P3_U0_CFG0 0x40010640 +#define CYDEV_UCFG_B0_P3_U0_CFG1 0x40010641 +#define CYDEV_UCFG_B0_P3_U0_CFG2 0x40010642 +#define CYDEV_UCFG_B0_P3_U0_CFG3 0x40010643 +#define CYDEV_UCFG_B0_P3_U0_CFG4 0x40010644 +#define CYDEV_UCFG_B0_P3_U0_CFG5 0x40010645 +#define CYDEV_UCFG_B0_P3_U0_CFG6 0x40010646 +#define CYDEV_UCFG_B0_P3_U0_CFG7 0x40010647 +#define CYDEV_UCFG_B0_P3_U0_CFG8 0x40010648 +#define CYDEV_UCFG_B0_P3_U0_CFG9 0x40010649 +#define CYDEV_UCFG_B0_P3_U0_CFG10 0x4001064a +#define CYDEV_UCFG_B0_P3_U0_CFG11 0x4001064b +#define CYDEV_UCFG_B0_P3_U0_CFG12 0x4001064c +#define CYDEV_UCFG_B0_P3_U0_CFG13 0x4001064d +#define CYDEV_UCFG_B0_P3_U0_CFG14 0x4001064e +#define CYDEV_UCFG_B0_P3_U0_CFG15 0x4001064f +#define CYDEV_UCFG_B0_P3_U0_CFG16 0x40010650 +#define CYDEV_UCFG_B0_P3_U0_CFG17 0x40010651 +#define CYDEV_UCFG_B0_P3_U0_CFG18 0x40010652 +#define CYDEV_UCFG_B0_P3_U0_CFG19 0x40010653 +#define CYDEV_UCFG_B0_P3_U0_CFG20 0x40010654 +#define CYDEV_UCFG_B0_P3_U0_CFG21 0x40010655 +#define CYDEV_UCFG_B0_P3_U0_CFG22 0x40010656 +#define CYDEV_UCFG_B0_P3_U0_CFG23 0x40010657 +#define CYDEV_UCFG_B0_P3_U0_CFG24 0x40010658 +#define CYDEV_UCFG_B0_P3_U0_CFG25 0x40010659 +#define CYDEV_UCFG_B0_P3_U0_CFG26 0x4001065a +#define CYDEV_UCFG_B0_P3_U0_CFG27 0x4001065b +#define CYDEV_UCFG_B0_P3_U0_CFG28 0x4001065c +#define CYDEV_UCFG_B0_P3_U0_CFG29 0x4001065d +#define CYDEV_UCFG_B0_P3_U0_CFG30 0x4001065e +#define CYDEV_UCFG_B0_P3_U0_CFG31 0x4001065f +#define CYDEV_UCFG_B0_P3_U0_DCFG0 0x40010660 +#define CYDEV_UCFG_B0_P3_U0_DCFG1 0x40010662 +#define CYDEV_UCFG_B0_P3_U0_DCFG2 0x40010664 +#define CYDEV_UCFG_B0_P3_U0_DCFG3 0x40010666 +#define CYDEV_UCFG_B0_P3_U0_DCFG4 0x40010668 +#define CYDEV_UCFG_B0_P3_U0_DCFG5 0x4001066a +#define CYDEV_UCFG_B0_P3_U0_DCFG6 0x4001066c +#define CYDEV_UCFG_B0_P3_U0_DCFG7 0x4001066e +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680 +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT0 0x40010680 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT1 0x40010684 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT2 0x40010688 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT3 0x4001068c +#define CYDEV_UCFG_B0_P3_U1_PLD_IT4 0x40010690 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT5 0x40010694 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT6 0x40010698 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT7 0x4001069c +#define CYDEV_UCFG_B0_P3_U1_PLD_IT8 0x400106a0 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT9 0x400106a4 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT10 0x400106a8 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT11 0x400106ac +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT0 0x400106b0 +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT1 0x400106b2 +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT2 0x400106b4 +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT3 0x400106b6 +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8 +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB 0x400106ba +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bc +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS 0x400106be +#define CYDEV_UCFG_B0_P3_U1_CFG0 0x400106c0 +#define CYDEV_UCFG_B0_P3_U1_CFG1 0x400106c1 +#define CYDEV_UCFG_B0_P3_U1_CFG2 0x400106c2 +#define CYDEV_UCFG_B0_P3_U1_CFG3 0x400106c3 +#define CYDEV_UCFG_B0_P3_U1_CFG4 0x400106c4 +#define CYDEV_UCFG_B0_P3_U1_CFG5 0x400106c5 +#define CYDEV_UCFG_B0_P3_U1_CFG6 0x400106c6 +#define CYDEV_UCFG_B0_P3_U1_CFG7 0x400106c7 +#define CYDEV_UCFG_B0_P3_U1_CFG8 0x400106c8 +#define CYDEV_UCFG_B0_P3_U1_CFG9 0x400106c9 +#define CYDEV_UCFG_B0_P3_U1_CFG10 0x400106ca +#define CYDEV_UCFG_B0_P3_U1_CFG11 0x400106cb +#define CYDEV_UCFG_B0_P3_U1_CFG12 0x400106cc +#define CYDEV_UCFG_B0_P3_U1_CFG13 0x400106cd +#define CYDEV_UCFG_B0_P3_U1_CFG14 0x400106ce +#define CYDEV_UCFG_B0_P3_U1_CFG15 0x400106cf +#define CYDEV_UCFG_B0_P3_U1_CFG16 0x400106d0 +#define CYDEV_UCFG_B0_P3_U1_CFG17 0x400106d1 +#define CYDEV_UCFG_B0_P3_U1_CFG18 0x400106d2 +#define CYDEV_UCFG_B0_P3_U1_CFG19 0x400106d3 +#define CYDEV_UCFG_B0_P3_U1_CFG20 0x400106d4 +#define CYDEV_UCFG_B0_P3_U1_CFG21 0x400106d5 +#define CYDEV_UCFG_B0_P3_U1_CFG22 0x400106d6 +#define CYDEV_UCFG_B0_P3_U1_CFG23 0x400106d7 +#define CYDEV_UCFG_B0_P3_U1_CFG24 0x400106d8 +#define CYDEV_UCFG_B0_P3_U1_CFG25 0x400106d9 +#define CYDEV_UCFG_B0_P3_U1_CFG26 0x400106da +#define CYDEV_UCFG_B0_P3_U1_CFG27 0x400106db +#define CYDEV_UCFG_B0_P3_U1_CFG28 0x400106dc +#define CYDEV_UCFG_B0_P3_U1_CFG29 0x400106dd +#define CYDEV_UCFG_B0_P3_U1_CFG30 0x400106de +#define CYDEV_UCFG_B0_P3_U1_CFG31 0x400106df +#define CYDEV_UCFG_B0_P3_U1_DCFG0 0x400106e0 +#define CYDEV_UCFG_B0_P3_U1_DCFG1 0x400106e2 +#define CYDEV_UCFG_B0_P3_U1_DCFG2 0x400106e4 +#define CYDEV_UCFG_B0_P3_U1_DCFG3 0x400106e6 +#define CYDEV_UCFG_B0_P3_U1_DCFG4 0x400106e8 +#define CYDEV_UCFG_B0_P3_U1_DCFG5 0x400106ea +#define CYDEV_UCFG_B0_P3_U1_DCFG6 0x400106ec +#define CYDEV_UCFG_B0_P3_U1_DCFG7 0x400106ee +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700 +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P4_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT0 0x40010800 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT1 0x40010804 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT2 0x40010808 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT3 0x4001080c +#define CYDEV_UCFG_B0_P4_U0_PLD_IT4 0x40010810 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT5 0x40010814 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT6 0x40010818 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT7 0x4001081c +#define CYDEV_UCFG_B0_P4_U0_PLD_IT8 0x40010820 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT9 0x40010824 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT10 0x40010828 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT11 0x4001082c +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT0 0x40010830 +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT1 0x40010832 +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT2 0x40010834 +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT3 0x40010836 +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838 +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB 0x4001083a +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083c +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS 0x4001083e +#define CYDEV_UCFG_B0_P4_U0_CFG0 0x40010840 +#define CYDEV_UCFG_B0_P4_U0_CFG1 0x40010841 +#define CYDEV_UCFG_B0_P4_U0_CFG2 0x40010842 +#define CYDEV_UCFG_B0_P4_U0_CFG3 0x40010843 +#define CYDEV_UCFG_B0_P4_U0_CFG4 0x40010844 +#define CYDEV_UCFG_B0_P4_U0_CFG5 0x40010845 +#define CYDEV_UCFG_B0_P4_U0_CFG6 0x40010846 +#define CYDEV_UCFG_B0_P4_U0_CFG7 0x40010847 +#define CYDEV_UCFG_B0_P4_U0_CFG8 0x40010848 +#define CYDEV_UCFG_B0_P4_U0_CFG9 0x40010849 +#define CYDEV_UCFG_B0_P4_U0_CFG10 0x4001084a +#define CYDEV_UCFG_B0_P4_U0_CFG11 0x4001084b +#define CYDEV_UCFG_B0_P4_U0_CFG12 0x4001084c +#define CYDEV_UCFG_B0_P4_U0_CFG13 0x4001084d +#define CYDEV_UCFG_B0_P4_U0_CFG14 0x4001084e +#define CYDEV_UCFG_B0_P4_U0_CFG15 0x4001084f +#define CYDEV_UCFG_B0_P4_U0_CFG16 0x40010850 +#define CYDEV_UCFG_B0_P4_U0_CFG17 0x40010851 +#define CYDEV_UCFG_B0_P4_U0_CFG18 0x40010852 +#define CYDEV_UCFG_B0_P4_U0_CFG19 0x40010853 +#define CYDEV_UCFG_B0_P4_U0_CFG20 0x40010854 +#define CYDEV_UCFG_B0_P4_U0_CFG21 0x40010855 +#define CYDEV_UCFG_B0_P4_U0_CFG22 0x40010856 +#define CYDEV_UCFG_B0_P4_U0_CFG23 0x40010857 +#define CYDEV_UCFG_B0_P4_U0_CFG24 0x40010858 +#define CYDEV_UCFG_B0_P4_U0_CFG25 0x40010859 +#define CYDEV_UCFG_B0_P4_U0_CFG26 0x4001085a +#define CYDEV_UCFG_B0_P4_U0_CFG27 0x4001085b +#define CYDEV_UCFG_B0_P4_U0_CFG28 0x4001085c +#define CYDEV_UCFG_B0_P4_U0_CFG29 0x4001085d +#define CYDEV_UCFG_B0_P4_U0_CFG30 0x4001085e +#define CYDEV_UCFG_B0_P4_U0_CFG31 0x4001085f +#define CYDEV_UCFG_B0_P4_U0_DCFG0 0x40010860 +#define CYDEV_UCFG_B0_P4_U0_DCFG1 0x40010862 +#define CYDEV_UCFG_B0_P4_U0_DCFG2 0x40010864 +#define CYDEV_UCFG_B0_P4_U0_DCFG3 0x40010866 +#define CYDEV_UCFG_B0_P4_U0_DCFG4 0x40010868 +#define CYDEV_UCFG_B0_P4_U0_DCFG5 0x4001086a +#define CYDEV_UCFG_B0_P4_U0_DCFG6 0x4001086c +#define CYDEV_UCFG_B0_P4_U0_DCFG7 0x4001086e +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880 +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT0 0x40010880 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT1 0x40010884 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT2 0x40010888 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT3 0x4001088c +#define CYDEV_UCFG_B0_P4_U1_PLD_IT4 0x40010890 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT5 0x40010894 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT6 0x40010898 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT7 0x4001089c +#define CYDEV_UCFG_B0_P4_U1_PLD_IT8 0x400108a0 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT9 0x400108a4 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT10 0x400108a8 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT11 0x400108ac +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT0 0x400108b0 +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT1 0x400108b2 +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT2 0x400108b4 +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT3 0x400108b6 +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8 +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB 0x400108ba +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bc +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS 0x400108be +#define CYDEV_UCFG_B0_P4_U1_CFG0 0x400108c0 +#define CYDEV_UCFG_B0_P4_U1_CFG1 0x400108c1 +#define CYDEV_UCFG_B0_P4_U1_CFG2 0x400108c2 +#define CYDEV_UCFG_B0_P4_U1_CFG3 0x400108c3 +#define CYDEV_UCFG_B0_P4_U1_CFG4 0x400108c4 +#define CYDEV_UCFG_B0_P4_U1_CFG5 0x400108c5 +#define CYDEV_UCFG_B0_P4_U1_CFG6 0x400108c6 +#define CYDEV_UCFG_B0_P4_U1_CFG7 0x400108c7 +#define CYDEV_UCFG_B0_P4_U1_CFG8 0x400108c8 +#define CYDEV_UCFG_B0_P4_U1_CFG9 0x400108c9 +#define CYDEV_UCFG_B0_P4_U1_CFG10 0x400108ca +#define CYDEV_UCFG_B0_P4_U1_CFG11 0x400108cb +#define CYDEV_UCFG_B0_P4_U1_CFG12 0x400108cc +#define CYDEV_UCFG_B0_P4_U1_CFG13 0x400108cd +#define CYDEV_UCFG_B0_P4_U1_CFG14 0x400108ce +#define CYDEV_UCFG_B0_P4_U1_CFG15 0x400108cf +#define CYDEV_UCFG_B0_P4_U1_CFG16 0x400108d0 +#define CYDEV_UCFG_B0_P4_U1_CFG17 0x400108d1 +#define CYDEV_UCFG_B0_P4_U1_CFG18 0x400108d2 +#define CYDEV_UCFG_B0_P4_U1_CFG19 0x400108d3 +#define CYDEV_UCFG_B0_P4_U1_CFG20 0x400108d4 +#define CYDEV_UCFG_B0_P4_U1_CFG21 0x400108d5 +#define CYDEV_UCFG_B0_P4_U1_CFG22 0x400108d6 +#define CYDEV_UCFG_B0_P4_U1_CFG23 0x400108d7 +#define CYDEV_UCFG_B0_P4_U1_CFG24 0x400108d8 +#define CYDEV_UCFG_B0_P4_U1_CFG25 0x400108d9 +#define CYDEV_UCFG_B0_P4_U1_CFG26 0x400108da +#define CYDEV_UCFG_B0_P4_U1_CFG27 0x400108db +#define CYDEV_UCFG_B0_P4_U1_CFG28 0x400108dc +#define CYDEV_UCFG_B0_P4_U1_CFG29 0x400108dd +#define CYDEV_UCFG_B0_P4_U1_CFG30 0x400108de +#define CYDEV_UCFG_B0_P4_U1_CFG31 0x400108df +#define CYDEV_UCFG_B0_P4_U1_DCFG0 0x400108e0 +#define CYDEV_UCFG_B0_P4_U1_DCFG1 0x400108e2 +#define CYDEV_UCFG_B0_P4_U1_DCFG2 0x400108e4 +#define CYDEV_UCFG_B0_P4_U1_DCFG3 0x400108e6 +#define CYDEV_UCFG_B0_P4_U1_DCFG4 0x400108e8 +#define CYDEV_UCFG_B0_P4_U1_DCFG5 0x400108ea +#define CYDEV_UCFG_B0_P4_U1_DCFG6 0x400108ec +#define CYDEV_UCFG_B0_P4_U1_DCFG7 0x400108ee +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900 +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT0 0x40010a00 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT1 0x40010a04 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT2 0x40010a08 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT3 0x40010a0c +#define CYDEV_UCFG_B0_P5_U0_PLD_IT4 0x40010a10 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT5 0x40010a14 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT6 0x40010a18 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT7 0x40010a1c +#define CYDEV_UCFG_B0_P5_U0_PLD_IT8 0x40010a20 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT9 0x40010a24 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT10 0x40010a28 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT11 0x40010a2c +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT0 0x40010a30 +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT1 0x40010a32 +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT2 0x40010a34 +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT3 0x40010a36 +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38 +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB 0x40010a3a +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3c +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3e +#define CYDEV_UCFG_B0_P5_U0_CFG0 0x40010a40 +#define CYDEV_UCFG_B0_P5_U0_CFG1 0x40010a41 +#define CYDEV_UCFG_B0_P5_U0_CFG2 0x40010a42 +#define CYDEV_UCFG_B0_P5_U0_CFG3 0x40010a43 +#define CYDEV_UCFG_B0_P5_U0_CFG4 0x40010a44 +#define CYDEV_UCFG_B0_P5_U0_CFG5 0x40010a45 +#define CYDEV_UCFG_B0_P5_U0_CFG6 0x40010a46 +#define CYDEV_UCFG_B0_P5_U0_CFG7 0x40010a47 +#define CYDEV_UCFG_B0_P5_U0_CFG8 0x40010a48 +#define CYDEV_UCFG_B0_P5_U0_CFG9 0x40010a49 +#define CYDEV_UCFG_B0_P5_U0_CFG10 0x40010a4a +#define CYDEV_UCFG_B0_P5_U0_CFG11 0x40010a4b +#define CYDEV_UCFG_B0_P5_U0_CFG12 0x40010a4c +#define CYDEV_UCFG_B0_P5_U0_CFG13 0x40010a4d +#define CYDEV_UCFG_B0_P5_U0_CFG14 0x40010a4e +#define CYDEV_UCFG_B0_P5_U0_CFG15 0x40010a4f +#define CYDEV_UCFG_B0_P5_U0_CFG16 0x40010a50 +#define CYDEV_UCFG_B0_P5_U0_CFG17 0x40010a51 +#define CYDEV_UCFG_B0_P5_U0_CFG18 0x40010a52 +#define CYDEV_UCFG_B0_P5_U0_CFG19 0x40010a53 +#define CYDEV_UCFG_B0_P5_U0_CFG20 0x40010a54 +#define CYDEV_UCFG_B0_P5_U0_CFG21 0x40010a55 +#define CYDEV_UCFG_B0_P5_U0_CFG22 0x40010a56 +#define CYDEV_UCFG_B0_P5_U0_CFG23 0x40010a57 +#define CYDEV_UCFG_B0_P5_U0_CFG24 0x40010a58 +#define CYDEV_UCFG_B0_P5_U0_CFG25 0x40010a59 +#define CYDEV_UCFG_B0_P5_U0_CFG26 0x40010a5a +#define CYDEV_UCFG_B0_P5_U0_CFG27 0x40010a5b +#define CYDEV_UCFG_B0_P5_U0_CFG28 0x40010a5c +#define CYDEV_UCFG_B0_P5_U0_CFG29 0x40010a5d +#define CYDEV_UCFG_B0_P5_U0_CFG30 0x40010a5e +#define CYDEV_UCFG_B0_P5_U0_CFG31 0x40010a5f +#define CYDEV_UCFG_B0_P5_U0_DCFG0 0x40010a60 +#define CYDEV_UCFG_B0_P5_U0_DCFG1 0x40010a62 +#define CYDEV_UCFG_B0_P5_U0_DCFG2 0x40010a64 +#define CYDEV_UCFG_B0_P5_U0_DCFG3 0x40010a66 +#define CYDEV_UCFG_B0_P5_U0_DCFG4 0x40010a68 +#define CYDEV_UCFG_B0_P5_U0_DCFG5 0x40010a6a +#define CYDEV_UCFG_B0_P5_U0_DCFG6 0x40010a6c +#define CYDEV_UCFG_B0_P5_U0_DCFG7 0x40010a6e +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80 +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT0 0x40010a80 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT1 0x40010a84 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT2 0x40010a88 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT3 0x40010a8c +#define CYDEV_UCFG_B0_P5_U1_PLD_IT4 0x40010a90 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT5 0x40010a94 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT6 0x40010a98 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT7 0x40010a9c +#define CYDEV_UCFG_B0_P5_U1_PLD_IT8 0x40010aa0 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT9 0x40010aa4 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT10 0x40010aa8 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT11 0x40010aac +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT0 0x40010ab0 +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT1 0x40010ab2 +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT2 0x40010ab4 +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT3 0x40010ab6 +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8 +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB 0x40010aba +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abc +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS 0x40010abe +#define CYDEV_UCFG_B0_P5_U1_CFG0 0x40010ac0 +#define CYDEV_UCFG_B0_P5_U1_CFG1 0x40010ac1 +#define CYDEV_UCFG_B0_P5_U1_CFG2 0x40010ac2 +#define CYDEV_UCFG_B0_P5_U1_CFG3 0x40010ac3 +#define CYDEV_UCFG_B0_P5_U1_CFG4 0x40010ac4 +#define CYDEV_UCFG_B0_P5_U1_CFG5 0x40010ac5 +#define CYDEV_UCFG_B0_P5_U1_CFG6 0x40010ac6 +#define CYDEV_UCFG_B0_P5_U1_CFG7 0x40010ac7 +#define CYDEV_UCFG_B0_P5_U1_CFG8 0x40010ac8 +#define CYDEV_UCFG_B0_P5_U1_CFG9 0x40010ac9 +#define CYDEV_UCFG_B0_P5_U1_CFG10 0x40010aca +#define CYDEV_UCFG_B0_P5_U1_CFG11 0x40010acb +#define CYDEV_UCFG_B0_P5_U1_CFG12 0x40010acc +#define CYDEV_UCFG_B0_P5_U1_CFG13 0x40010acd +#define CYDEV_UCFG_B0_P5_U1_CFG14 0x40010ace +#define CYDEV_UCFG_B0_P5_U1_CFG15 0x40010acf +#define CYDEV_UCFG_B0_P5_U1_CFG16 0x40010ad0 +#define CYDEV_UCFG_B0_P5_U1_CFG17 0x40010ad1 +#define CYDEV_UCFG_B0_P5_U1_CFG18 0x40010ad2 +#define CYDEV_UCFG_B0_P5_U1_CFG19 0x40010ad3 +#define CYDEV_UCFG_B0_P5_U1_CFG20 0x40010ad4 +#define CYDEV_UCFG_B0_P5_U1_CFG21 0x40010ad5 +#define CYDEV_UCFG_B0_P5_U1_CFG22 0x40010ad6 +#define CYDEV_UCFG_B0_P5_U1_CFG23 0x40010ad7 +#define CYDEV_UCFG_B0_P5_U1_CFG24 0x40010ad8 +#define CYDEV_UCFG_B0_P5_U1_CFG25 0x40010ad9 +#define CYDEV_UCFG_B0_P5_U1_CFG26 0x40010ada +#define CYDEV_UCFG_B0_P5_U1_CFG27 0x40010adb +#define CYDEV_UCFG_B0_P5_U1_CFG28 0x40010adc +#define CYDEV_UCFG_B0_P5_U1_CFG29 0x40010add +#define CYDEV_UCFG_B0_P5_U1_CFG30 0x40010ade +#define CYDEV_UCFG_B0_P5_U1_CFG31 0x40010adf +#define CYDEV_UCFG_B0_P5_U1_DCFG0 0x40010ae0 +#define CYDEV_UCFG_B0_P5_U1_DCFG1 0x40010ae2 +#define CYDEV_UCFG_B0_P5_U1_DCFG2 0x40010ae4 +#define CYDEV_UCFG_B0_P5_U1_DCFG3 0x40010ae6 +#define CYDEV_UCFG_B0_P5_U1_DCFG4 0x40010ae8 +#define CYDEV_UCFG_B0_P5_U1_DCFG5 0x40010aea +#define CYDEV_UCFG_B0_P5_U1_DCFG6 0x40010aec +#define CYDEV_UCFG_B0_P5_U1_DCFG7 0x40010aee +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00 +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT0 0x40010c00 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT1 0x40010c04 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT2 0x40010c08 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT3 0x40010c0c +#define CYDEV_UCFG_B0_P6_U0_PLD_IT4 0x40010c10 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT5 0x40010c14 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT6 0x40010c18 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT7 0x40010c1c +#define CYDEV_UCFG_B0_P6_U0_PLD_IT8 0x40010c20 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT9 0x40010c24 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT10 0x40010c28 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT11 0x40010c2c +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT0 0x40010c30 +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT1 0x40010c32 +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT2 0x40010c34 +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT3 0x40010c36 +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38 +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB 0x40010c3a +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3c +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3e +#define CYDEV_UCFG_B0_P6_U0_CFG0 0x40010c40 +#define CYDEV_UCFG_B0_P6_U0_CFG1 0x40010c41 +#define CYDEV_UCFG_B0_P6_U0_CFG2 0x40010c42 +#define CYDEV_UCFG_B0_P6_U0_CFG3 0x40010c43 +#define CYDEV_UCFG_B0_P6_U0_CFG4 0x40010c44 +#define CYDEV_UCFG_B0_P6_U0_CFG5 0x40010c45 +#define CYDEV_UCFG_B0_P6_U0_CFG6 0x40010c46 +#define CYDEV_UCFG_B0_P6_U0_CFG7 0x40010c47 +#define CYDEV_UCFG_B0_P6_U0_CFG8 0x40010c48 +#define CYDEV_UCFG_B0_P6_U0_CFG9 0x40010c49 +#define CYDEV_UCFG_B0_P6_U0_CFG10 0x40010c4a +#define CYDEV_UCFG_B0_P6_U0_CFG11 0x40010c4b +#define CYDEV_UCFG_B0_P6_U0_CFG12 0x40010c4c +#define CYDEV_UCFG_B0_P6_U0_CFG13 0x40010c4d +#define CYDEV_UCFG_B0_P6_U0_CFG14 0x40010c4e +#define CYDEV_UCFG_B0_P6_U0_CFG15 0x40010c4f +#define CYDEV_UCFG_B0_P6_U0_CFG16 0x40010c50 +#define CYDEV_UCFG_B0_P6_U0_CFG17 0x40010c51 +#define CYDEV_UCFG_B0_P6_U0_CFG18 0x40010c52 +#define CYDEV_UCFG_B0_P6_U0_CFG19 0x40010c53 +#define CYDEV_UCFG_B0_P6_U0_CFG20 0x40010c54 +#define CYDEV_UCFG_B0_P6_U0_CFG21 0x40010c55 +#define CYDEV_UCFG_B0_P6_U0_CFG22 0x40010c56 +#define CYDEV_UCFG_B0_P6_U0_CFG23 0x40010c57 +#define CYDEV_UCFG_B0_P6_U0_CFG24 0x40010c58 +#define CYDEV_UCFG_B0_P6_U0_CFG25 0x40010c59 +#define CYDEV_UCFG_B0_P6_U0_CFG26 0x40010c5a +#define CYDEV_UCFG_B0_P6_U0_CFG27 0x40010c5b +#define CYDEV_UCFG_B0_P6_U0_CFG28 0x40010c5c +#define CYDEV_UCFG_B0_P6_U0_CFG29 0x40010c5d +#define CYDEV_UCFG_B0_P6_U0_CFG30 0x40010c5e +#define CYDEV_UCFG_B0_P6_U0_CFG31 0x40010c5f +#define CYDEV_UCFG_B0_P6_U0_DCFG0 0x40010c60 +#define CYDEV_UCFG_B0_P6_U0_DCFG1 0x40010c62 +#define CYDEV_UCFG_B0_P6_U0_DCFG2 0x40010c64 +#define CYDEV_UCFG_B0_P6_U0_DCFG3 0x40010c66 +#define CYDEV_UCFG_B0_P6_U0_DCFG4 0x40010c68 +#define CYDEV_UCFG_B0_P6_U0_DCFG5 0x40010c6a +#define CYDEV_UCFG_B0_P6_U0_DCFG6 0x40010c6c +#define CYDEV_UCFG_B0_P6_U0_DCFG7 0x40010c6e +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80 +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT0 0x40010c80 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT1 0x40010c84 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT2 0x40010c88 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT3 0x40010c8c +#define CYDEV_UCFG_B0_P6_U1_PLD_IT4 0x40010c90 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT5 0x40010c94 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT6 0x40010c98 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT7 0x40010c9c +#define CYDEV_UCFG_B0_P6_U1_PLD_IT8 0x40010ca0 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT9 0x40010ca4 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT10 0x40010ca8 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT11 0x40010cac +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT0 0x40010cb0 +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT1 0x40010cb2 +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT2 0x40010cb4 +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT3 0x40010cb6 +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8 +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB 0x40010cba +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbc +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbe +#define CYDEV_UCFG_B0_P6_U1_CFG0 0x40010cc0 +#define CYDEV_UCFG_B0_P6_U1_CFG1 0x40010cc1 +#define CYDEV_UCFG_B0_P6_U1_CFG2 0x40010cc2 +#define CYDEV_UCFG_B0_P6_U1_CFG3 0x40010cc3 +#define CYDEV_UCFG_B0_P6_U1_CFG4 0x40010cc4 +#define CYDEV_UCFG_B0_P6_U1_CFG5 0x40010cc5 +#define CYDEV_UCFG_B0_P6_U1_CFG6 0x40010cc6 +#define CYDEV_UCFG_B0_P6_U1_CFG7 0x40010cc7 +#define CYDEV_UCFG_B0_P6_U1_CFG8 0x40010cc8 +#define CYDEV_UCFG_B0_P6_U1_CFG9 0x40010cc9 +#define CYDEV_UCFG_B0_P6_U1_CFG10 0x40010cca +#define CYDEV_UCFG_B0_P6_U1_CFG11 0x40010ccb +#define CYDEV_UCFG_B0_P6_U1_CFG12 0x40010ccc +#define CYDEV_UCFG_B0_P6_U1_CFG13 0x40010ccd +#define CYDEV_UCFG_B0_P6_U1_CFG14 0x40010cce +#define CYDEV_UCFG_B0_P6_U1_CFG15 0x40010ccf +#define CYDEV_UCFG_B0_P6_U1_CFG16 0x40010cd0 +#define CYDEV_UCFG_B0_P6_U1_CFG17 0x40010cd1 +#define CYDEV_UCFG_B0_P6_U1_CFG18 0x40010cd2 +#define CYDEV_UCFG_B0_P6_U1_CFG19 0x40010cd3 +#define CYDEV_UCFG_B0_P6_U1_CFG20 0x40010cd4 +#define CYDEV_UCFG_B0_P6_U1_CFG21 0x40010cd5 +#define CYDEV_UCFG_B0_P6_U1_CFG22 0x40010cd6 +#define CYDEV_UCFG_B0_P6_U1_CFG23 0x40010cd7 +#define CYDEV_UCFG_B0_P6_U1_CFG24 0x40010cd8 +#define CYDEV_UCFG_B0_P6_U1_CFG25 0x40010cd9 +#define CYDEV_UCFG_B0_P6_U1_CFG26 0x40010cda +#define CYDEV_UCFG_B0_P6_U1_CFG27 0x40010cdb +#define CYDEV_UCFG_B0_P6_U1_CFG28 0x40010cdc +#define CYDEV_UCFG_B0_P6_U1_CFG29 0x40010cdd +#define CYDEV_UCFG_B0_P6_U1_CFG30 0x40010cde +#define CYDEV_UCFG_B0_P6_U1_CFG31 0x40010cdf +#define CYDEV_UCFG_B0_P6_U1_DCFG0 0x40010ce0 +#define CYDEV_UCFG_B0_P6_U1_DCFG1 0x40010ce2 +#define CYDEV_UCFG_B0_P6_U1_DCFG2 0x40010ce4 +#define CYDEV_UCFG_B0_P6_U1_DCFG3 0x40010ce6 +#define CYDEV_UCFG_B0_P6_U1_DCFG4 0x40010ce8 +#define CYDEV_UCFG_B0_P6_U1_DCFG5 0x40010cea +#define CYDEV_UCFG_B0_P6_U1_DCFG6 0x40010cec +#define CYDEV_UCFG_B0_P6_U1_DCFG7 0x40010cee +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00 +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT0 0x40010e00 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT1 0x40010e04 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT2 0x40010e08 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT3 0x40010e0c +#define CYDEV_UCFG_B0_P7_U0_PLD_IT4 0x40010e10 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT5 0x40010e14 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT6 0x40010e18 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT7 0x40010e1c +#define CYDEV_UCFG_B0_P7_U0_PLD_IT8 0x40010e20 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT9 0x40010e24 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT10 0x40010e28 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT11 0x40010e2c +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT0 0x40010e30 +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT1 0x40010e32 +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT2 0x40010e34 +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT3 0x40010e36 +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38 +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB 0x40010e3a +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3c +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3e +#define CYDEV_UCFG_B0_P7_U0_CFG0 0x40010e40 +#define CYDEV_UCFG_B0_P7_U0_CFG1 0x40010e41 +#define CYDEV_UCFG_B0_P7_U0_CFG2 0x40010e42 +#define CYDEV_UCFG_B0_P7_U0_CFG3 0x40010e43 +#define CYDEV_UCFG_B0_P7_U0_CFG4 0x40010e44 +#define CYDEV_UCFG_B0_P7_U0_CFG5 0x40010e45 +#define CYDEV_UCFG_B0_P7_U0_CFG6 0x40010e46 +#define CYDEV_UCFG_B0_P7_U0_CFG7 0x40010e47 +#define CYDEV_UCFG_B0_P7_U0_CFG8 0x40010e48 +#define CYDEV_UCFG_B0_P7_U0_CFG9 0x40010e49 +#define CYDEV_UCFG_B0_P7_U0_CFG10 0x40010e4a +#define CYDEV_UCFG_B0_P7_U0_CFG11 0x40010e4b +#define CYDEV_UCFG_B0_P7_U0_CFG12 0x40010e4c +#define CYDEV_UCFG_B0_P7_U0_CFG13 0x40010e4d +#define CYDEV_UCFG_B0_P7_U0_CFG14 0x40010e4e +#define CYDEV_UCFG_B0_P7_U0_CFG15 0x40010e4f +#define CYDEV_UCFG_B0_P7_U0_CFG16 0x40010e50 +#define CYDEV_UCFG_B0_P7_U0_CFG17 0x40010e51 +#define CYDEV_UCFG_B0_P7_U0_CFG18 0x40010e52 +#define CYDEV_UCFG_B0_P7_U0_CFG19 0x40010e53 +#define CYDEV_UCFG_B0_P7_U0_CFG20 0x40010e54 +#define CYDEV_UCFG_B0_P7_U0_CFG21 0x40010e55 +#define CYDEV_UCFG_B0_P7_U0_CFG22 0x40010e56 +#define CYDEV_UCFG_B0_P7_U0_CFG23 0x40010e57 +#define CYDEV_UCFG_B0_P7_U0_CFG24 0x40010e58 +#define CYDEV_UCFG_B0_P7_U0_CFG25 0x40010e59 +#define CYDEV_UCFG_B0_P7_U0_CFG26 0x40010e5a +#define CYDEV_UCFG_B0_P7_U0_CFG27 0x40010e5b +#define CYDEV_UCFG_B0_P7_U0_CFG28 0x40010e5c +#define CYDEV_UCFG_B0_P7_U0_CFG29 0x40010e5d +#define CYDEV_UCFG_B0_P7_U0_CFG30 0x40010e5e +#define CYDEV_UCFG_B0_P7_U0_CFG31 0x40010e5f +#define CYDEV_UCFG_B0_P7_U0_DCFG0 0x40010e60 +#define CYDEV_UCFG_B0_P7_U0_DCFG1 0x40010e62 +#define CYDEV_UCFG_B0_P7_U0_DCFG2 0x40010e64 +#define CYDEV_UCFG_B0_P7_U0_DCFG3 0x40010e66 +#define CYDEV_UCFG_B0_P7_U0_DCFG4 0x40010e68 +#define CYDEV_UCFG_B0_P7_U0_DCFG5 0x40010e6a +#define CYDEV_UCFG_B0_P7_U0_DCFG6 0x40010e6c +#define CYDEV_UCFG_B0_P7_U0_DCFG7 0x40010e6e +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80 +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT0 0x40010e80 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT1 0x40010e84 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT2 0x40010e88 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT3 0x40010e8c +#define CYDEV_UCFG_B0_P7_U1_PLD_IT4 0x40010e90 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT5 0x40010e94 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT6 0x40010e98 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT7 0x40010e9c +#define CYDEV_UCFG_B0_P7_U1_PLD_IT8 0x40010ea0 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT9 0x40010ea4 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT10 0x40010ea8 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT11 0x40010eac +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT0 0x40010eb0 +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT1 0x40010eb2 +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT2 0x40010eb4 +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT3 0x40010eb6 +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8 +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB 0x40010eba +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebc +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebe +#define CYDEV_UCFG_B0_P7_U1_CFG0 0x40010ec0 +#define CYDEV_UCFG_B0_P7_U1_CFG1 0x40010ec1 +#define CYDEV_UCFG_B0_P7_U1_CFG2 0x40010ec2 +#define CYDEV_UCFG_B0_P7_U1_CFG3 0x40010ec3 +#define CYDEV_UCFG_B0_P7_U1_CFG4 0x40010ec4 +#define CYDEV_UCFG_B0_P7_U1_CFG5 0x40010ec5 +#define CYDEV_UCFG_B0_P7_U1_CFG6 0x40010ec6 +#define CYDEV_UCFG_B0_P7_U1_CFG7 0x40010ec7 +#define CYDEV_UCFG_B0_P7_U1_CFG8 0x40010ec8 +#define CYDEV_UCFG_B0_P7_U1_CFG9 0x40010ec9 +#define CYDEV_UCFG_B0_P7_U1_CFG10 0x40010eca +#define CYDEV_UCFG_B0_P7_U1_CFG11 0x40010ecb +#define CYDEV_UCFG_B0_P7_U1_CFG12 0x40010ecc +#define CYDEV_UCFG_B0_P7_U1_CFG13 0x40010ecd +#define CYDEV_UCFG_B0_P7_U1_CFG14 0x40010ece +#define CYDEV_UCFG_B0_P7_U1_CFG15 0x40010ecf +#define CYDEV_UCFG_B0_P7_U1_CFG16 0x40010ed0 +#define CYDEV_UCFG_B0_P7_U1_CFG17 0x40010ed1 +#define CYDEV_UCFG_B0_P7_U1_CFG18 0x40010ed2 +#define CYDEV_UCFG_B0_P7_U1_CFG19 0x40010ed3 +#define CYDEV_UCFG_B0_P7_U1_CFG20 0x40010ed4 +#define CYDEV_UCFG_B0_P7_U1_CFG21 0x40010ed5 +#define CYDEV_UCFG_B0_P7_U1_CFG22 0x40010ed6 +#define CYDEV_UCFG_B0_P7_U1_CFG23 0x40010ed7 +#define CYDEV_UCFG_B0_P7_U1_CFG24 0x40010ed8 +#define CYDEV_UCFG_B0_P7_U1_CFG25 0x40010ed9 +#define CYDEV_UCFG_B0_P7_U1_CFG26 0x40010eda +#define CYDEV_UCFG_B0_P7_U1_CFG27 0x40010edb +#define CYDEV_UCFG_B0_P7_U1_CFG28 0x40010edc +#define CYDEV_UCFG_B0_P7_U1_CFG29 0x40010edd +#define CYDEV_UCFG_B0_P7_U1_CFG30 0x40010ede +#define CYDEV_UCFG_B0_P7_U1_CFG31 0x40010edf +#define CYDEV_UCFG_B0_P7_U1_DCFG0 0x40010ee0 +#define CYDEV_UCFG_B0_P7_U1_DCFG1 0x40010ee2 +#define CYDEV_UCFG_B0_P7_U1_DCFG2 0x40010ee4 +#define CYDEV_UCFG_B0_P7_U1_DCFG3 0x40010ee6 +#define CYDEV_UCFG_B0_P7_U1_DCFG4 0x40010ee8 +#define CYDEV_UCFG_B0_P7_U1_DCFG5 0x40010eea +#define CYDEV_UCFG_B0_P7_U1_DCFG6 0x40010eec +#define CYDEV_UCFG_B0_P7_U1_DCFG7 0x40010eee +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00 +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_BASE 0x40011000 +#define CYDEV_UCFG_B1_SIZE 0x00000fef +#define CYDEV_UCFG_B1_P2_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT0 0x40011400 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT1 0x40011404 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT2 0x40011408 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT3 0x4001140c +#define CYDEV_UCFG_B1_P2_U0_PLD_IT4 0x40011410 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT5 0x40011414 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT6 0x40011418 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT7 0x4001141c +#define CYDEV_UCFG_B1_P2_U0_PLD_IT8 0x40011420 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT9 0x40011424 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT10 0x40011428 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT11 0x4001142c +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT0 0x40011430 +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT1 0x40011432 +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT2 0x40011434 +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT3 0x40011436 +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438 +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB 0x4001143a +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143c +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS 0x4001143e +#define CYDEV_UCFG_B1_P2_U0_CFG0 0x40011440 +#define CYDEV_UCFG_B1_P2_U0_CFG1 0x40011441 +#define CYDEV_UCFG_B1_P2_U0_CFG2 0x40011442 +#define CYDEV_UCFG_B1_P2_U0_CFG3 0x40011443 +#define CYDEV_UCFG_B1_P2_U0_CFG4 0x40011444 +#define CYDEV_UCFG_B1_P2_U0_CFG5 0x40011445 +#define CYDEV_UCFG_B1_P2_U0_CFG6 0x40011446 +#define CYDEV_UCFG_B1_P2_U0_CFG7 0x40011447 +#define CYDEV_UCFG_B1_P2_U0_CFG8 0x40011448 +#define CYDEV_UCFG_B1_P2_U0_CFG9 0x40011449 +#define CYDEV_UCFG_B1_P2_U0_CFG10 0x4001144a +#define CYDEV_UCFG_B1_P2_U0_CFG11 0x4001144b +#define CYDEV_UCFG_B1_P2_U0_CFG12 0x4001144c +#define CYDEV_UCFG_B1_P2_U0_CFG13 0x4001144d +#define CYDEV_UCFG_B1_P2_U0_CFG14 0x4001144e +#define CYDEV_UCFG_B1_P2_U0_CFG15 0x4001144f +#define CYDEV_UCFG_B1_P2_U0_CFG16 0x40011450 +#define CYDEV_UCFG_B1_P2_U0_CFG17 0x40011451 +#define CYDEV_UCFG_B1_P2_U0_CFG18 0x40011452 +#define CYDEV_UCFG_B1_P2_U0_CFG19 0x40011453 +#define CYDEV_UCFG_B1_P2_U0_CFG20 0x40011454 +#define CYDEV_UCFG_B1_P2_U0_CFG21 0x40011455 +#define CYDEV_UCFG_B1_P2_U0_CFG22 0x40011456 +#define CYDEV_UCFG_B1_P2_U0_CFG23 0x40011457 +#define CYDEV_UCFG_B1_P2_U0_CFG24 0x40011458 +#define CYDEV_UCFG_B1_P2_U0_CFG25 0x40011459 +#define CYDEV_UCFG_B1_P2_U0_CFG26 0x4001145a +#define CYDEV_UCFG_B1_P2_U0_CFG27 0x4001145b +#define CYDEV_UCFG_B1_P2_U0_CFG28 0x4001145c +#define CYDEV_UCFG_B1_P2_U0_CFG29 0x4001145d +#define CYDEV_UCFG_B1_P2_U0_CFG30 0x4001145e +#define CYDEV_UCFG_B1_P2_U0_CFG31 0x4001145f +#define CYDEV_UCFG_B1_P2_U0_DCFG0 0x40011460 +#define CYDEV_UCFG_B1_P2_U0_DCFG1 0x40011462 +#define CYDEV_UCFG_B1_P2_U0_DCFG2 0x40011464 +#define CYDEV_UCFG_B1_P2_U0_DCFG3 0x40011466 +#define CYDEV_UCFG_B1_P2_U0_DCFG4 0x40011468 +#define CYDEV_UCFG_B1_P2_U0_DCFG5 0x4001146a +#define CYDEV_UCFG_B1_P2_U0_DCFG6 0x4001146c +#define CYDEV_UCFG_B1_P2_U0_DCFG7 0x4001146e +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480 +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT0 0x40011480 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT1 0x40011484 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT2 0x40011488 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT3 0x4001148c +#define CYDEV_UCFG_B1_P2_U1_PLD_IT4 0x40011490 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT5 0x40011494 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT6 0x40011498 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT7 0x4001149c +#define CYDEV_UCFG_B1_P2_U1_PLD_IT8 0x400114a0 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT9 0x400114a4 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT10 0x400114a8 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT11 0x400114ac +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT0 0x400114b0 +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT1 0x400114b2 +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT2 0x400114b4 +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT3 0x400114b6 +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8 +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB 0x400114ba +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bc +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS 0x400114be +#define CYDEV_UCFG_B1_P2_U1_CFG0 0x400114c0 +#define CYDEV_UCFG_B1_P2_U1_CFG1 0x400114c1 +#define CYDEV_UCFG_B1_P2_U1_CFG2 0x400114c2 +#define CYDEV_UCFG_B1_P2_U1_CFG3 0x400114c3 +#define CYDEV_UCFG_B1_P2_U1_CFG4 0x400114c4 +#define CYDEV_UCFG_B1_P2_U1_CFG5 0x400114c5 +#define CYDEV_UCFG_B1_P2_U1_CFG6 0x400114c6 +#define CYDEV_UCFG_B1_P2_U1_CFG7 0x400114c7 +#define CYDEV_UCFG_B1_P2_U1_CFG8 0x400114c8 +#define CYDEV_UCFG_B1_P2_U1_CFG9 0x400114c9 +#define CYDEV_UCFG_B1_P2_U1_CFG10 0x400114ca +#define CYDEV_UCFG_B1_P2_U1_CFG11 0x400114cb +#define CYDEV_UCFG_B1_P2_U1_CFG12 0x400114cc +#define CYDEV_UCFG_B1_P2_U1_CFG13 0x400114cd +#define CYDEV_UCFG_B1_P2_U1_CFG14 0x400114ce +#define CYDEV_UCFG_B1_P2_U1_CFG15 0x400114cf +#define CYDEV_UCFG_B1_P2_U1_CFG16 0x400114d0 +#define CYDEV_UCFG_B1_P2_U1_CFG17 0x400114d1 +#define CYDEV_UCFG_B1_P2_U1_CFG18 0x400114d2 +#define CYDEV_UCFG_B1_P2_U1_CFG19 0x400114d3 +#define CYDEV_UCFG_B1_P2_U1_CFG20 0x400114d4 +#define CYDEV_UCFG_B1_P2_U1_CFG21 0x400114d5 +#define CYDEV_UCFG_B1_P2_U1_CFG22 0x400114d6 +#define CYDEV_UCFG_B1_P2_U1_CFG23 0x400114d7 +#define CYDEV_UCFG_B1_P2_U1_CFG24 0x400114d8 +#define CYDEV_UCFG_B1_P2_U1_CFG25 0x400114d9 +#define CYDEV_UCFG_B1_P2_U1_CFG26 0x400114da +#define CYDEV_UCFG_B1_P2_U1_CFG27 0x400114db +#define CYDEV_UCFG_B1_P2_U1_CFG28 0x400114dc +#define CYDEV_UCFG_B1_P2_U1_CFG29 0x400114dd +#define CYDEV_UCFG_B1_P2_U1_CFG30 0x400114de +#define CYDEV_UCFG_B1_P2_U1_CFG31 0x400114df +#define CYDEV_UCFG_B1_P2_U1_DCFG0 0x400114e0 +#define CYDEV_UCFG_B1_P2_U1_DCFG1 0x400114e2 +#define CYDEV_UCFG_B1_P2_U1_DCFG2 0x400114e4 +#define CYDEV_UCFG_B1_P2_U1_DCFG3 0x400114e6 +#define CYDEV_UCFG_B1_P2_U1_DCFG4 0x400114e8 +#define CYDEV_UCFG_B1_P2_U1_DCFG5 0x400114ea +#define CYDEV_UCFG_B1_P2_U1_DCFG6 0x400114ec +#define CYDEV_UCFG_B1_P2_U1_DCFG7 0x400114ee +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500 +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P3_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT0 0x40011600 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT1 0x40011604 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT2 0x40011608 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT3 0x4001160c +#define CYDEV_UCFG_B1_P3_U0_PLD_IT4 0x40011610 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT5 0x40011614 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT6 0x40011618 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT7 0x4001161c +#define CYDEV_UCFG_B1_P3_U0_PLD_IT8 0x40011620 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT9 0x40011624 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT10 0x40011628 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT11 0x4001162c +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT0 0x40011630 +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT1 0x40011632 +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT2 0x40011634 +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT3 0x40011636 +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638 +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB 0x4001163a +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163c +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS 0x4001163e +#define CYDEV_UCFG_B1_P3_U0_CFG0 0x40011640 +#define CYDEV_UCFG_B1_P3_U0_CFG1 0x40011641 +#define CYDEV_UCFG_B1_P3_U0_CFG2 0x40011642 +#define CYDEV_UCFG_B1_P3_U0_CFG3 0x40011643 +#define CYDEV_UCFG_B1_P3_U0_CFG4 0x40011644 +#define CYDEV_UCFG_B1_P3_U0_CFG5 0x40011645 +#define CYDEV_UCFG_B1_P3_U0_CFG6 0x40011646 +#define CYDEV_UCFG_B1_P3_U0_CFG7 0x40011647 +#define CYDEV_UCFG_B1_P3_U0_CFG8 0x40011648 +#define CYDEV_UCFG_B1_P3_U0_CFG9 0x40011649 +#define CYDEV_UCFG_B1_P3_U0_CFG10 0x4001164a +#define CYDEV_UCFG_B1_P3_U0_CFG11 0x4001164b +#define CYDEV_UCFG_B1_P3_U0_CFG12 0x4001164c +#define CYDEV_UCFG_B1_P3_U0_CFG13 0x4001164d +#define CYDEV_UCFG_B1_P3_U0_CFG14 0x4001164e +#define CYDEV_UCFG_B1_P3_U0_CFG15 0x4001164f +#define CYDEV_UCFG_B1_P3_U0_CFG16 0x40011650 +#define CYDEV_UCFG_B1_P3_U0_CFG17 0x40011651 +#define CYDEV_UCFG_B1_P3_U0_CFG18 0x40011652 +#define CYDEV_UCFG_B1_P3_U0_CFG19 0x40011653 +#define CYDEV_UCFG_B1_P3_U0_CFG20 0x40011654 +#define CYDEV_UCFG_B1_P3_U0_CFG21 0x40011655 +#define CYDEV_UCFG_B1_P3_U0_CFG22 0x40011656 +#define CYDEV_UCFG_B1_P3_U0_CFG23 0x40011657 +#define CYDEV_UCFG_B1_P3_U0_CFG24 0x40011658 +#define CYDEV_UCFG_B1_P3_U0_CFG25 0x40011659 +#define CYDEV_UCFG_B1_P3_U0_CFG26 0x4001165a +#define CYDEV_UCFG_B1_P3_U0_CFG27 0x4001165b +#define CYDEV_UCFG_B1_P3_U0_CFG28 0x4001165c +#define CYDEV_UCFG_B1_P3_U0_CFG29 0x4001165d +#define CYDEV_UCFG_B1_P3_U0_CFG30 0x4001165e +#define CYDEV_UCFG_B1_P3_U0_CFG31 0x4001165f +#define CYDEV_UCFG_B1_P3_U0_DCFG0 0x40011660 +#define CYDEV_UCFG_B1_P3_U0_DCFG1 0x40011662 +#define CYDEV_UCFG_B1_P3_U0_DCFG2 0x40011664 +#define CYDEV_UCFG_B1_P3_U0_DCFG3 0x40011666 +#define CYDEV_UCFG_B1_P3_U0_DCFG4 0x40011668 +#define CYDEV_UCFG_B1_P3_U0_DCFG5 0x4001166a +#define CYDEV_UCFG_B1_P3_U0_DCFG6 0x4001166c +#define CYDEV_UCFG_B1_P3_U0_DCFG7 0x4001166e +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680 +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT0 0x40011680 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT1 0x40011684 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT2 0x40011688 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT3 0x4001168c +#define CYDEV_UCFG_B1_P3_U1_PLD_IT4 0x40011690 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT5 0x40011694 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT6 0x40011698 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT7 0x4001169c +#define CYDEV_UCFG_B1_P3_U1_PLD_IT8 0x400116a0 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT9 0x400116a4 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT10 0x400116a8 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT11 0x400116ac +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT0 0x400116b0 +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT1 0x400116b2 +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT2 0x400116b4 +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT3 0x400116b6 +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8 +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB 0x400116ba +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bc +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS 0x400116be +#define CYDEV_UCFG_B1_P3_U1_CFG0 0x400116c0 +#define CYDEV_UCFG_B1_P3_U1_CFG1 0x400116c1 +#define CYDEV_UCFG_B1_P3_U1_CFG2 0x400116c2 +#define CYDEV_UCFG_B1_P3_U1_CFG3 0x400116c3 +#define CYDEV_UCFG_B1_P3_U1_CFG4 0x400116c4 +#define CYDEV_UCFG_B1_P3_U1_CFG5 0x400116c5 +#define CYDEV_UCFG_B1_P3_U1_CFG6 0x400116c6 +#define CYDEV_UCFG_B1_P3_U1_CFG7 0x400116c7 +#define CYDEV_UCFG_B1_P3_U1_CFG8 0x400116c8 +#define CYDEV_UCFG_B1_P3_U1_CFG9 0x400116c9 +#define CYDEV_UCFG_B1_P3_U1_CFG10 0x400116ca +#define CYDEV_UCFG_B1_P3_U1_CFG11 0x400116cb +#define CYDEV_UCFG_B1_P3_U1_CFG12 0x400116cc +#define CYDEV_UCFG_B1_P3_U1_CFG13 0x400116cd +#define CYDEV_UCFG_B1_P3_U1_CFG14 0x400116ce +#define CYDEV_UCFG_B1_P3_U1_CFG15 0x400116cf +#define CYDEV_UCFG_B1_P3_U1_CFG16 0x400116d0 +#define CYDEV_UCFG_B1_P3_U1_CFG17 0x400116d1 +#define CYDEV_UCFG_B1_P3_U1_CFG18 0x400116d2 +#define CYDEV_UCFG_B1_P3_U1_CFG19 0x400116d3 +#define CYDEV_UCFG_B1_P3_U1_CFG20 0x400116d4 +#define CYDEV_UCFG_B1_P3_U1_CFG21 0x400116d5 +#define CYDEV_UCFG_B1_P3_U1_CFG22 0x400116d6 +#define CYDEV_UCFG_B1_P3_U1_CFG23 0x400116d7 +#define CYDEV_UCFG_B1_P3_U1_CFG24 0x400116d8 +#define CYDEV_UCFG_B1_P3_U1_CFG25 0x400116d9 +#define CYDEV_UCFG_B1_P3_U1_CFG26 0x400116da +#define CYDEV_UCFG_B1_P3_U1_CFG27 0x400116db +#define CYDEV_UCFG_B1_P3_U1_CFG28 0x400116dc +#define CYDEV_UCFG_B1_P3_U1_CFG29 0x400116dd +#define CYDEV_UCFG_B1_P3_U1_CFG30 0x400116de +#define CYDEV_UCFG_B1_P3_U1_CFG31 0x400116df +#define CYDEV_UCFG_B1_P3_U1_DCFG0 0x400116e0 +#define CYDEV_UCFG_B1_P3_U1_DCFG1 0x400116e2 +#define CYDEV_UCFG_B1_P3_U1_DCFG2 0x400116e4 +#define CYDEV_UCFG_B1_P3_U1_DCFG3 0x400116e6 +#define CYDEV_UCFG_B1_P3_U1_DCFG4 0x400116e8 +#define CYDEV_UCFG_B1_P3_U1_DCFG5 0x400116ea +#define CYDEV_UCFG_B1_P3_U1_DCFG6 0x400116ec +#define CYDEV_UCFG_B1_P3_U1_DCFG7 0x400116ee +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700 +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P4_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT0 0x40011800 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT1 0x40011804 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT2 0x40011808 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT3 0x4001180c +#define CYDEV_UCFG_B1_P4_U0_PLD_IT4 0x40011810 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT5 0x40011814 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT6 0x40011818 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT7 0x4001181c +#define CYDEV_UCFG_B1_P4_U0_PLD_IT8 0x40011820 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT9 0x40011824 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT10 0x40011828 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT11 0x4001182c +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT0 0x40011830 +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT1 0x40011832 +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT2 0x40011834 +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT3 0x40011836 +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838 +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB 0x4001183a +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183c +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS 0x4001183e +#define CYDEV_UCFG_B1_P4_U0_CFG0 0x40011840 +#define CYDEV_UCFG_B1_P4_U0_CFG1 0x40011841 +#define CYDEV_UCFG_B1_P4_U0_CFG2 0x40011842 +#define CYDEV_UCFG_B1_P4_U0_CFG3 0x40011843 +#define CYDEV_UCFG_B1_P4_U0_CFG4 0x40011844 +#define CYDEV_UCFG_B1_P4_U0_CFG5 0x40011845 +#define CYDEV_UCFG_B1_P4_U0_CFG6 0x40011846 +#define CYDEV_UCFG_B1_P4_U0_CFG7 0x40011847 +#define CYDEV_UCFG_B1_P4_U0_CFG8 0x40011848 +#define CYDEV_UCFG_B1_P4_U0_CFG9 0x40011849 +#define CYDEV_UCFG_B1_P4_U0_CFG10 0x4001184a +#define CYDEV_UCFG_B1_P4_U0_CFG11 0x4001184b +#define CYDEV_UCFG_B1_P4_U0_CFG12 0x4001184c +#define CYDEV_UCFG_B1_P4_U0_CFG13 0x4001184d +#define CYDEV_UCFG_B1_P4_U0_CFG14 0x4001184e +#define CYDEV_UCFG_B1_P4_U0_CFG15 0x4001184f +#define CYDEV_UCFG_B1_P4_U0_CFG16 0x40011850 +#define CYDEV_UCFG_B1_P4_U0_CFG17 0x40011851 +#define CYDEV_UCFG_B1_P4_U0_CFG18 0x40011852 +#define CYDEV_UCFG_B1_P4_U0_CFG19 0x40011853 +#define CYDEV_UCFG_B1_P4_U0_CFG20 0x40011854 +#define CYDEV_UCFG_B1_P4_U0_CFG21 0x40011855 +#define CYDEV_UCFG_B1_P4_U0_CFG22 0x40011856 +#define CYDEV_UCFG_B1_P4_U0_CFG23 0x40011857 +#define CYDEV_UCFG_B1_P4_U0_CFG24 0x40011858 +#define CYDEV_UCFG_B1_P4_U0_CFG25 0x40011859 +#define CYDEV_UCFG_B1_P4_U0_CFG26 0x4001185a +#define CYDEV_UCFG_B1_P4_U0_CFG27 0x4001185b +#define CYDEV_UCFG_B1_P4_U0_CFG28 0x4001185c +#define CYDEV_UCFG_B1_P4_U0_CFG29 0x4001185d +#define CYDEV_UCFG_B1_P4_U0_CFG30 0x4001185e +#define CYDEV_UCFG_B1_P4_U0_CFG31 0x4001185f +#define CYDEV_UCFG_B1_P4_U0_DCFG0 0x40011860 +#define CYDEV_UCFG_B1_P4_U0_DCFG1 0x40011862 +#define CYDEV_UCFG_B1_P4_U0_DCFG2 0x40011864 +#define CYDEV_UCFG_B1_P4_U0_DCFG3 0x40011866 +#define CYDEV_UCFG_B1_P4_U0_DCFG4 0x40011868 +#define CYDEV_UCFG_B1_P4_U0_DCFG5 0x4001186a +#define CYDEV_UCFG_B1_P4_U0_DCFG6 0x4001186c +#define CYDEV_UCFG_B1_P4_U0_DCFG7 0x4001186e +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880 +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT0 0x40011880 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT1 0x40011884 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT2 0x40011888 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT3 0x4001188c +#define CYDEV_UCFG_B1_P4_U1_PLD_IT4 0x40011890 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT5 0x40011894 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT6 0x40011898 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT7 0x4001189c +#define CYDEV_UCFG_B1_P4_U1_PLD_IT8 0x400118a0 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT9 0x400118a4 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT10 0x400118a8 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT11 0x400118ac +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT0 0x400118b0 +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT1 0x400118b2 +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT2 0x400118b4 +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT3 0x400118b6 +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8 +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB 0x400118ba +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bc +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS 0x400118be +#define CYDEV_UCFG_B1_P4_U1_CFG0 0x400118c0 +#define CYDEV_UCFG_B1_P4_U1_CFG1 0x400118c1 +#define CYDEV_UCFG_B1_P4_U1_CFG2 0x400118c2 +#define CYDEV_UCFG_B1_P4_U1_CFG3 0x400118c3 +#define CYDEV_UCFG_B1_P4_U1_CFG4 0x400118c4 +#define CYDEV_UCFG_B1_P4_U1_CFG5 0x400118c5 +#define CYDEV_UCFG_B1_P4_U1_CFG6 0x400118c6 +#define CYDEV_UCFG_B1_P4_U1_CFG7 0x400118c7 +#define CYDEV_UCFG_B1_P4_U1_CFG8 0x400118c8 +#define CYDEV_UCFG_B1_P4_U1_CFG9 0x400118c9 +#define CYDEV_UCFG_B1_P4_U1_CFG10 0x400118ca +#define CYDEV_UCFG_B1_P4_U1_CFG11 0x400118cb +#define CYDEV_UCFG_B1_P4_U1_CFG12 0x400118cc +#define CYDEV_UCFG_B1_P4_U1_CFG13 0x400118cd +#define CYDEV_UCFG_B1_P4_U1_CFG14 0x400118ce +#define CYDEV_UCFG_B1_P4_U1_CFG15 0x400118cf +#define CYDEV_UCFG_B1_P4_U1_CFG16 0x400118d0 +#define CYDEV_UCFG_B1_P4_U1_CFG17 0x400118d1 +#define CYDEV_UCFG_B1_P4_U1_CFG18 0x400118d2 +#define CYDEV_UCFG_B1_P4_U1_CFG19 0x400118d3 +#define CYDEV_UCFG_B1_P4_U1_CFG20 0x400118d4 +#define CYDEV_UCFG_B1_P4_U1_CFG21 0x400118d5 +#define CYDEV_UCFG_B1_P4_U1_CFG22 0x400118d6 +#define CYDEV_UCFG_B1_P4_U1_CFG23 0x400118d7 +#define CYDEV_UCFG_B1_P4_U1_CFG24 0x400118d8 +#define CYDEV_UCFG_B1_P4_U1_CFG25 0x400118d9 +#define CYDEV_UCFG_B1_P4_U1_CFG26 0x400118da +#define CYDEV_UCFG_B1_P4_U1_CFG27 0x400118db +#define CYDEV_UCFG_B1_P4_U1_CFG28 0x400118dc +#define CYDEV_UCFG_B1_P4_U1_CFG29 0x400118dd +#define CYDEV_UCFG_B1_P4_U1_CFG30 0x400118de +#define CYDEV_UCFG_B1_P4_U1_CFG31 0x400118df +#define CYDEV_UCFG_B1_P4_U1_DCFG0 0x400118e0 +#define CYDEV_UCFG_B1_P4_U1_DCFG1 0x400118e2 +#define CYDEV_UCFG_B1_P4_U1_DCFG2 0x400118e4 +#define CYDEV_UCFG_B1_P4_U1_DCFG3 0x400118e6 +#define CYDEV_UCFG_B1_P4_U1_DCFG4 0x400118e8 +#define CYDEV_UCFG_B1_P4_U1_DCFG5 0x400118ea +#define CYDEV_UCFG_B1_P4_U1_DCFG6 0x400118ec +#define CYDEV_UCFG_B1_P4_U1_DCFG7 0x400118ee +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900 +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT0 0x40011a00 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT1 0x40011a04 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT2 0x40011a08 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT3 0x40011a0c +#define CYDEV_UCFG_B1_P5_U0_PLD_IT4 0x40011a10 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT5 0x40011a14 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT6 0x40011a18 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT7 0x40011a1c +#define CYDEV_UCFG_B1_P5_U0_PLD_IT8 0x40011a20 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT9 0x40011a24 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT10 0x40011a28 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT11 0x40011a2c +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT0 0x40011a30 +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT1 0x40011a32 +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT2 0x40011a34 +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT3 0x40011a36 +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38 +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB 0x40011a3a +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3c +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3e +#define CYDEV_UCFG_B1_P5_U0_CFG0 0x40011a40 +#define CYDEV_UCFG_B1_P5_U0_CFG1 0x40011a41 +#define CYDEV_UCFG_B1_P5_U0_CFG2 0x40011a42 +#define CYDEV_UCFG_B1_P5_U0_CFG3 0x40011a43 +#define CYDEV_UCFG_B1_P5_U0_CFG4 0x40011a44 +#define CYDEV_UCFG_B1_P5_U0_CFG5 0x40011a45 +#define CYDEV_UCFG_B1_P5_U0_CFG6 0x40011a46 +#define CYDEV_UCFG_B1_P5_U0_CFG7 0x40011a47 +#define CYDEV_UCFG_B1_P5_U0_CFG8 0x40011a48 +#define CYDEV_UCFG_B1_P5_U0_CFG9 0x40011a49 +#define CYDEV_UCFG_B1_P5_U0_CFG10 0x40011a4a +#define CYDEV_UCFG_B1_P5_U0_CFG11 0x40011a4b +#define CYDEV_UCFG_B1_P5_U0_CFG12 0x40011a4c +#define CYDEV_UCFG_B1_P5_U0_CFG13 0x40011a4d +#define CYDEV_UCFG_B1_P5_U0_CFG14 0x40011a4e +#define CYDEV_UCFG_B1_P5_U0_CFG15 0x40011a4f +#define CYDEV_UCFG_B1_P5_U0_CFG16 0x40011a50 +#define CYDEV_UCFG_B1_P5_U0_CFG17 0x40011a51 +#define CYDEV_UCFG_B1_P5_U0_CFG18 0x40011a52 +#define CYDEV_UCFG_B1_P5_U0_CFG19 0x40011a53 +#define CYDEV_UCFG_B1_P5_U0_CFG20 0x40011a54 +#define CYDEV_UCFG_B1_P5_U0_CFG21 0x40011a55 +#define CYDEV_UCFG_B1_P5_U0_CFG22 0x40011a56 +#define CYDEV_UCFG_B1_P5_U0_CFG23 0x40011a57 +#define CYDEV_UCFG_B1_P5_U0_CFG24 0x40011a58 +#define CYDEV_UCFG_B1_P5_U0_CFG25 0x40011a59 +#define CYDEV_UCFG_B1_P5_U0_CFG26 0x40011a5a +#define CYDEV_UCFG_B1_P5_U0_CFG27 0x40011a5b +#define CYDEV_UCFG_B1_P5_U0_CFG28 0x40011a5c +#define CYDEV_UCFG_B1_P5_U0_CFG29 0x40011a5d +#define CYDEV_UCFG_B1_P5_U0_CFG30 0x40011a5e +#define CYDEV_UCFG_B1_P5_U0_CFG31 0x40011a5f +#define CYDEV_UCFG_B1_P5_U0_DCFG0 0x40011a60 +#define CYDEV_UCFG_B1_P5_U0_DCFG1 0x40011a62 +#define CYDEV_UCFG_B1_P5_U0_DCFG2 0x40011a64 +#define CYDEV_UCFG_B1_P5_U0_DCFG3 0x40011a66 +#define CYDEV_UCFG_B1_P5_U0_DCFG4 0x40011a68 +#define CYDEV_UCFG_B1_P5_U0_DCFG5 0x40011a6a +#define CYDEV_UCFG_B1_P5_U0_DCFG6 0x40011a6c +#define CYDEV_UCFG_B1_P5_U0_DCFG7 0x40011a6e +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80 +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT0 0x40011a80 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT1 0x40011a84 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT2 0x40011a88 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT3 0x40011a8c +#define CYDEV_UCFG_B1_P5_U1_PLD_IT4 0x40011a90 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT5 0x40011a94 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT6 0x40011a98 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT7 0x40011a9c +#define CYDEV_UCFG_B1_P5_U1_PLD_IT8 0x40011aa0 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT9 0x40011aa4 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT10 0x40011aa8 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT11 0x40011aac +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT0 0x40011ab0 +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT1 0x40011ab2 +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT2 0x40011ab4 +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT3 0x40011ab6 +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8 +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB 0x40011aba +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abc +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS 0x40011abe +#define CYDEV_UCFG_B1_P5_U1_CFG0 0x40011ac0 +#define CYDEV_UCFG_B1_P5_U1_CFG1 0x40011ac1 +#define CYDEV_UCFG_B1_P5_U1_CFG2 0x40011ac2 +#define CYDEV_UCFG_B1_P5_U1_CFG3 0x40011ac3 +#define CYDEV_UCFG_B1_P5_U1_CFG4 0x40011ac4 +#define CYDEV_UCFG_B1_P5_U1_CFG5 0x40011ac5 +#define CYDEV_UCFG_B1_P5_U1_CFG6 0x40011ac6 +#define CYDEV_UCFG_B1_P5_U1_CFG7 0x40011ac7 +#define CYDEV_UCFG_B1_P5_U1_CFG8 0x40011ac8 +#define CYDEV_UCFG_B1_P5_U1_CFG9 0x40011ac9 +#define CYDEV_UCFG_B1_P5_U1_CFG10 0x40011aca +#define CYDEV_UCFG_B1_P5_U1_CFG11 0x40011acb +#define CYDEV_UCFG_B1_P5_U1_CFG12 0x40011acc +#define CYDEV_UCFG_B1_P5_U1_CFG13 0x40011acd +#define CYDEV_UCFG_B1_P5_U1_CFG14 0x40011ace +#define CYDEV_UCFG_B1_P5_U1_CFG15 0x40011acf +#define CYDEV_UCFG_B1_P5_U1_CFG16 0x40011ad0 +#define CYDEV_UCFG_B1_P5_U1_CFG17 0x40011ad1 +#define CYDEV_UCFG_B1_P5_U1_CFG18 0x40011ad2 +#define CYDEV_UCFG_B1_P5_U1_CFG19 0x40011ad3 +#define CYDEV_UCFG_B1_P5_U1_CFG20 0x40011ad4 +#define CYDEV_UCFG_B1_P5_U1_CFG21 0x40011ad5 +#define CYDEV_UCFG_B1_P5_U1_CFG22 0x40011ad6 +#define CYDEV_UCFG_B1_P5_U1_CFG23 0x40011ad7 +#define CYDEV_UCFG_B1_P5_U1_CFG24 0x40011ad8 +#define CYDEV_UCFG_B1_P5_U1_CFG25 0x40011ad9 +#define CYDEV_UCFG_B1_P5_U1_CFG26 0x40011ada +#define CYDEV_UCFG_B1_P5_U1_CFG27 0x40011adb +#define CYDEV_UCFG_B1_P5_U1_CFG28 0x40011adc +#define CYDEV_UCFG_B1_P5_U1_CFG29 0x40011add +#define CYDEV_UCFG_B1_P5_U1_CFG30 0x40011ade +#define CYDEV_UCFG_B1_P5_U1_CFG31 0x40011adf +#define CYDEV_UCFG_B1_P5_U1_DCFG0 0x40011ae0 +#define CYDEV_UCFG_B1_P5_U1_DCFG1 0x40011ae2 +#define CYDEV_UCFG_B1_P5_U1_DCFG2 0x40011ae4 +#define CYDEV_UCFG_B1_P5_U1_DCFG3 0x40011ae6 +#define CYDEV_UCFG_B1_P5_U1_DCFG4 0x40011ae8 +#define CYDEV_UCFG_B1_P5_U1_DCFG5 0x40011aea +#define CYDEV_UCFG_B1_P5_U1_DCFG6 0x40011aec +#define CYDEV_UCFG_B1_P5_U1_DCFG7 0x40011aee +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00 +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_DSI0_BASE 0x40014000 +#define CYDEV_UCFG_DSI0_SIZE 0x000000ef +#define CYDEV_UCFG_DSI1_BASE 0x40014100 +#define CYDEV_UCFG_DSI1_SIZE 0x000000ef +#define CYDEV_UCFG_DSI2_BASE 0x40014200 +#define CYDEV_UCFG_DSI2_SIZE 0x000000ef +#define CYDEV_UCFG_DSI3_BASE 0x40014300 +#define CYDEV_UCFG_DSI3_SIZE 0x000000ef +#define CYDEV_UCFG_DSI4_BASE 0x40014400 +#define CYDEV_UCFG_DSI4_SIZE 0x000000ef +#define CYDEV_UCFG_DSI5_BASE 0x40014500 +#define CYDEV_UCFG_DSI5_SIZE 0x000000ef +#define CYDEV_UCFG_DSI6_BASE 0x40014600 +#define CYDEV_UCFG_DSI6_SIZE 0x000000ef +#define CYDEV_UCFG_DSI7_BASE 0x40014700 +#define CYDEV_UCFG_DSI7_SIZE 0x000000ef +#define CYDEV_UCFG_DSI8_BASE 0x40014800 +#define CYDEV_UCFG_DSI8_SIZE 0x000000ef +#define CYDEV_UCFG_DSI9_BASE 0x40014900 +#define CYDEV_UCFG_DSI9_SIZE 0x000000ef +#define CYDEV_UCFG_DSI12_BASE 0x40014c00 +#define CYDEV_UCFG_DSI12_SIZE 0x000000ef +#define CYDEV_UCFG_DSI13_BASE 0x40014d00 +#define CYDEV_UCFG_DSI13_SIZE 0x000000ef +#define CYDEV_UCFG_BCTL0_BASE 0x40015000 +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010 +#define CYDEV_UCFG_BCTL0_MDCLK_EN 0x40015000 +#define CYDEV_UCFG_BCTL0_MBCLK_EN 0x40015001 +#define CYDEV_UCFG_BCTL0_WAIT_CFG 0x40015002 +#define CYDEV_UCFG_BCTL0_BANK_CTL 0x40015003 +#define CYDEV_UCFG_BCTL0_UDB_TEST_3 0x40015007 +#define CYDEV_UCFG_BCTL0_DCLK_EN0 0x40015008 +#define CYDEV_UCFG_BCTL0_BCLK_EN0 0x40015009 +#define CYDEV_UCFG_BCTL0_DCLK_EN1 0x4001500a +#define CYDEV_UCFG_BCTL0_BCLK_EN1 0x4001500b +#define CYDEV_UCFG_BCTL0_DCLK_EN2 0x4001500c +#define CYDEV_UCFG_BCTL0_BCLK_EN2 0x4001500d +#define CYDEV_UCFG_BCTL0_DCLK_EN3 0x4001500e +#define CYDEV_UCFG_BCTL0_BCLK_EN3 0x4001500f +#define CYDEV_UCFG_BCTL1_BASE 0x40015010 +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010 +#define CYDEV_UCFG_BCTL1_MDCLK_EN 0x40015010 +#define CYDEV_UCFG_BCTL1_MBCLK_EN 0x40015011 +#define CYDEV_UCFG_BCTL1_WAIT_CFG 0x40015012 +#define CYDEV_UCFG_BCTL1_BANK_CTL 0x40015013 +#define CYDEV_UCFG_BCTL1_UDB_TEST_3 0x40015017 +#define CYDEV_UCFG_BCTL1_DCLK_EN0 0x40015018 +#define CYDEV_UCFG_BCTL1_BCLK_EN0 0x40015019 +#define CYDEV_UCFG_BCTL1_DCLK_EN1 0x4001501a +#define CYDEV_UCFG_BCTL1_BCLK_EN1 0x4001501b +#define CYDEV_UCFG_BCTL1_DCLK_EN2 0x4001501c +#define CYDEV_UCFG_BCTL1_BCLK_EN2 0x4001501d +#define CYDEV_UCFG_BCTL1_DCLK_EN3 0x4001501e +#define CYDEV_UCFG_BCTL1_BCLK_EN3 0x4001501f +#define CYDEV_IDMUX_BASE 0x40015100 +#define CYDEV_IDMUX_SIZE 0x00000016 +#define CYDEV_IDMUX_IRQ_CTL0 0x40015100 +#define CYDEV_IDMUX_IRQ_CTL1 0x40015101 +#define CYDEV_IDMUX_IRQ_CTL2 0x40015102 +#define CYDEV_IDMUX_IRQ_CTL3 0x40015103 +#define CYDEV_IDMUX_IRQ_CTL4 0x40015104 +#define CYDEV_IDMUX_IRQ_CTL5 0x40015105 +#define CYDEV_IDMUX_IRQ_CTL6 0x40015106 +#define CYDEV_IDMUX_IRQ_CTL7 0x40015107 +#define CYDEV_IDMUX_DRQ_CTL0 0x40015110 +#define CYDEV_IDMUX_DRQ_CTL1 0x40015111 +#define CYDEV_IDMUX_DRQ_CTL2 0x40015112 +#define CYDEV_IDMUX_DRQ_CTL3 0x40015113 +#define CYDEV_IDMUX_DRQ_CTL4 0x40015114 +#define CYDEV_IDMUX_DRQ_CTL5 0x40015115 +#define CYDEV_CACHERAM_BASE 0x40030000 +#define CYDEV_CACHERAM_SIZE 0x00000400 +#define CYDEV_CACHERAM_DATA_MBASE 0x40030000 +#define CYDEV_CACHERAM_DATA_MSIZE 0x00000400 +#define CYDEV_SFR_BASE 0x40050100 +#define CYDEV_SFR_SIZE 0x000000fb +#define CYDEV_SFR_GPIO0 0x40050180 +#define CYDEV_SFR_GPIRD0 0x40050189 +#define CYDEV_SFR_GPIO0_SEL 0x4005018a +#define CYDEV_SFR_GPIO1 0x40050190 +#define CYDEV_SFR_GPIRD1 0x40050191 +#define CYDEV_SFR_GPIO2 0x40050198 +#define CYDEV_SFR_GPIRD2 0x40050199 +#define CYDEV_SFR_GPIO2_SEL 0x4005019a +#define CYDEV_SFR_GPIO1_SEL 0x400501a2 +#define CYDEV_SFR_GPIO3 0x400501b0 +#define CYDEV_SFR_GPIRD3 0x400501b1 +#define CYDEV_SFR_GPIO3_SEL 0x400501b2 +#define CYDEV_SFR_GPIO4 0x400501c0 +#define CYDEV_SFR_GPIRD4 0x400501c1 +#define CYDEV_SFR_GPIO4_SEL 0x400501c2 +#define CYDEV_SFR_GPIO5 0x400501c8 +#define CYDEV_SFR_GPIRD5 0x400501c9 +#define CYDEV_SFR_GPIO5_SEL 0x400501ca +#define CYDEV_SFR_GPIO6 0x400501d8 +#define CYDEV_SFR_GPIRD6 0x400501d9 +#define CYDEV_SFR_GPIO6_SEL 0x400501da +#define CYDEV_SFR_GPIO12 0x400501e8 +#define CYDEV_SFR_GPIRD12 0x400501e9 +#define CYDEV_SFR_GPIO12_SEL 0x400501f2 +#define CYDEV_SFR_GPIO15 0x400501f8 +#define CYDEV_SFR_GPIRD15 0x400501f9 +#define CYDEV_SFR_GPIO15_SEL 0x400501fa +#define CYDEV_P3BA_BASE 0x40050300 +#define CYDEV_P3BA_SIZE 0x0000002b +#define CYDEV_P3BA_Y_START 0x40050300 +#define CYDEV_P3BA_YROLL 0x40050301 +#define CYDEV_P3BA_YCFG 0x40050302 +#define CYDEV_P3BA_X_START1 0x40050303 +#define CYDEV_P3BA_X_START2 0x40050304 +#define CYDEV_P3BA_XROLL1 0x40050305 +#define CYDEV_P3BA_XROLL2 0x40050306 +#define CYDEV_P3BA_XINC 0x40050307 +#define CYDEV_P3BA_XCFG 0x40050308 +#define CYDEV_P3BA_OFFSETADDR1 0x40050309 +#define CYDEV_P3BA_OFFSETADDR2 0x4005030a +#define CYDEV_P3BA_OFFSETADDR3 0x4005030b +#define CYDEV_P3BA_ABSADDR1 0x4005030c +#define CYDEV_P3BA_ABSADDR2 0x4005030d +#define CYDEV_P3BA_ABSADDR3 0x4005030e +#define CYDEV_P3BA_ABSADDR4 0x4005030f +#define CYDEV_P3BA_DATCFG1 0x40050310 +#define CYDEV_P3BA_DATCFG2 0x40050311 +#define CYDEV_P3BA_CMP_RSLT1 0x40050314 +#define CYDEV_P3BA_CMP_RSLT2 0x40050315 +#define CYDEV_P3BA_CMP_RSLT3 0x40050316 +#define CYDEV_P3BA_CMP_RSLT4 0x40050317 +#define CYDEV_P3BA_DATA_REG1 0x40050318 +#define CYDEV_P3BA_DATA_REG2 0x40050319 +#define CYDEV_P3BA_DATA_REG3 0x4005031a +#define CYDEV_P3BA_DATA_REG4 0x4005031b +#define CYDEV_P3BA_EXP_DATA1 0x4005031c +#define CYDEV_P3BA_EXP_DATA2 0x4005031d +#define CYDEV_P3BA_EXP_DATA3 0x4005031e +#define CYDEV_P3BA_EXP_DATA4 0x4005031f +#define CYDEV_P3BA_MSTR_HRDATA1 0x40050320 +#define CYDEV_P3BA_MSTR_HRDATA2 0x40050321 +#define CYDEV_P3BA_MSTR_HRDATA3 0x40050322 +#define CYDEV_P3BA_MSTR_HRDATA4 0x40050323 +#define CYDEV_P3BA_BIST_EN 0x40050324 +#define CYDEV_P3BA_PHUB_MASTER_SSR 0x40050325 +#define CYDEV_P3BA_SEQCFG1 0x40050326 +#define CYDEV_P3BA_SEQCFG2 0x40050327 +#define CYDEV_P3BA_Y_CURR 0x40050328 +#define CYDEV_P3BA_X_CURR1 0x40050329 +#define CYDEV_P3BA_X_CURR2 0x4005032a +#define CYDEV_PANTHER_BASE 0x40080000 +#define CYDEV_PANTHER_SIZE 0x00000020 +#define CYDEV_PANTHER_STCALIB_CFG 0x40080000 +#define CYDEV_PANTHER_WAITPIPE 0x40080004 +#define CYDEV_PANTHER_TRACE_CFG 0x40080008 +#define CYDEV_PANTHER_DBG_CFG 0x4008000c +#define CYDEV_PANTHER_CM3_LCKRST_STAT 0x40080018 +#define CYDEV_PANTHER_DEVICE_ID 0x4008001c +#define CYDEV_FLSECC_BASE 0x48000000 +#define CYDEV_FLSECC_SIZE 0x00008000 +#define CYDEV_FLSECC_DATA_MBASE 0x48000000 +#define CYDEV_FLSECC_DATA_MSIZE 0x00008000 +#define CYDEV_FLSHID_BASE 0x49000000 +#define CYDEV_FLSHID_SIZE 0x00000200 +#define CYDEV_FLSHID_RSVD_MBASE 0x49000000 +#define CYDEV_FLSHID_RSVD_MSIZE 0x00000080 +#define CYDEV_FLSHID_CUST_MDATA_MBASE 0x49000080 +#define CYDEV_FLSHID_CUST_MDATA_MSIZE 0x00000080 +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100 +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040 +#define CYDEV_FLSHID_CUST_TABLES_Y_LOC 0x49000100 +#define CYDEV_FLSHID_CUST_TABLES_X_LOC 0x49000101 +#define CYDEV_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102 +#define CYDEV_FLSHID_CUST_TABLES_LOT_LSB 0x49000103 +#define CYDEV_FLSHID_CUST_TABLES_LOT_MSB 0x49000104 +#define CYDEV_FLSHID_CUST_TABLES_WRK_WK 0x49000105 +#define CYDEV_FLSHID_CUST_TABLES_FAB_YR 0x49000106 +#define CYDEV_FLSHID_CUST_TABLES_MINOR 0x49000107 +#define CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108 +#define CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109 +#define CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010a +#define CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010b +#define CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010c +#define CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010d +#define CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010e +#define CYDEV_FLSHID_CUST_TABLES_IMO_USB 0x4900010f +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110 +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111 +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112 +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113 +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114 +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115 +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116 +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117 +#define CYDEV_FLSHID_CUST_TABLES_DEC_M1 0x49000118 +#define CYDEV_FLSHID_CUST_TABLES_DEC_M2 0x49000119 +#define CYDEV_FLSHID_CUST_TABLES_DEC_M3 0x4900011a +#define CYDEV_FLSHID_CUST_TABLES_DEC_M4 0x4900011b +#define CYDEV_FLSHID_CUST_TABLES_DEC_M5 0x4900011c +#define CYDEV_FLSHID_CUST_TABLES_DEC_M6 0x4900011d +#define CYDEV_FLSHID_CUST_TABLES_DEC_M7 0x4900011e +#define CYDEV_FLSHID_CUST_TABLES_DEC_M8 0x4900011f +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M1 0x49000120 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M2 0x49000121 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M3 0x49000122 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M4 0x49000123 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M5 0x49000124 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M6 0x49000125 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M7 0x49000126 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M8 0x49000127 +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M1 0x49000128 +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M2 0x49000129 +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M3 0x4900012a +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M4 0x4900012b +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M5 0x4900012c +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M6 0x4900012d +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M7 0x4900012e +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M8 0x4900012f +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M1 0x49000130 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M2 0x49000131 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M3 0x49000132 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M4 0x49000133 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M5 0x49000134 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M6 0x49000135 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M7 0x49000136 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M8 0x49000137 +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M1 0x49000138 +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M2 0x49000139 +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M3 0x4900013a +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M4 0x4900013b +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M5 0x4900013c +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M6 0x4900013d +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M7 0x4900013e +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M8 0x4900013f +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180 +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080 +#define CYDEV_FLSHID_MFG_CFG_IMO_TR1 0x49000188 +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR0 0x490001ac +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR0 0x490001ae +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0 +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2 +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4 +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6 +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8 +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR1 0x490001ba +#define CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ce +#define CYDEV_EXTMEM_BASE 0x60000000 +#define CYDEV_EXTMEM_SIZE 0x00800000 +#define CYDEV_EXTMEM_DATA_MBASE 0x60000000 +#define CYDEV_EXTMEM_DATA_MSIZE 0x00800000 +#define CYDEV_ITM_BASE 0xe0000000 +#define CYDEV_ITM_SIZE 0x00001000 +#define CYDEV_ITM_TRACE_EN 0xe0000e00 +#define CYDEV_ITM_TRACE_PRIVILEGE 0xe0000e40 +#define CYDEV_ITM_TRACE_CTRL 0xe0000e80 +#define CYDEV_ITM_LOCK_ACCESS 0xe0000fb0 +#define CYDEV_ITM_LOCK_STATUS 0xe0000fb4 +#define CYDEV_ITM_PID4 0xe0000fd0 +#define CYDEV_ITM_PID5 0xe0000fd4 +#define CYDEV_ITM_PID6 0xe0000fd8 +#define CYDEV_ITM_PID7 0xe0000fdc +#define CYDEV_ITM_PID0 0xe0000fe0 +#define CYDEV_ITM_PID1 0xe0000fe4 +#define CYDEV_ITM_PID2 0xe0000fe8 +#define CYDEV_ITM_PID3 0xe0000fec +#define CYDEV_ITM_CID0 0xe0000ff0 +#define CYDEV_ITM_CID1 0xe0000ff4 +#define CYDEV_ITM_CID2 0xe0000ff8 +#define CYDEV_ITM_CID3 0xe0000ffc +#define CYDEV_DWT_BASE 0xe0001000 +#define CYDEV_DWT_SIZE 0x0000005c +#define CYDEV_DWT_CTRL 0xe0001000 +#define CYDEV_DWT_CYCLE_COUNT 0xe0001004 +#define CYDEV_DWT_CPI_COUNT 0xe0001008 +#define CYDEV_DWT_EXC_OVHD_COUNT 0xe000100c +#define CYDEV_DWT_SLEEP_COUNT 0xe0001010 +#define CYDEV_DWT_LSU_COUNT 0xe0001014 +#define CYDEV_DWT_FOLD_COUNT 0xe0001018 +#define CYDEV_DWT_PC_SAMPLE 0xe000101c +#define CYDEV_DWT_COMP_0 0xe0001020 +#define CYDEV_DWT_MASK_0 0xe0001024 +#define CYDEV_DWT_FUNCTION_0 0xe0001028 +#define CYDEV_DWT_COMP_1 0xe0001030 +#define CYDEV_DWT_MASK_1 0xe0001034 +#define CYDEV_DWT_FUNCTION_1 0xe0001038 +#define CYDEV_DWT_COMP_2 0xe0001040 +#define CYDEV_DWT_MASK_2 0xe0001044 +#define CYDEV_DWT_FUNCTION_2 0xe0001048 +#define CYDEV_DWT_COMP_3 0xe0001050 +#define CYDEV_DWT_MASK_3 0xe0001054 +#define CYDEV_DWT_FUNCTION_3 0xe0001058 +#define CYDEV_FPB_BASE 0xe0002000 +#define CYDEV_FPB_SIZE 0x00001000 +#define CYDEV_FPB_CTRL 0xe0002000 +#define CYDEV_FPB_REMAP 0xe0002004 +#define CYDEV_FPB_FP_COMP_0 0xe0002008 +#define CYDEV_FPB_FP_COMP_1 0xe000200c +#define CYDEV_FPB_FP_COMP_2 0xe0002010 +#define CYDEV_FPB_FP_COMP_3 0xe0002014 +#define CYDEV_FPB_FP_COMP_4 0xe0002018 +#define CYDEV_FPB_FP_COMP_5 0xe000201c +#define CYDEV_FPB_FP_COMP_6 0xe0002020 +#define CYDEV_FPB_FP_COMP_7 0xe0002024 +#define CYDEV_FPB_PID4 0xe0002fd0 +#define CYDEV_FPB_PID5 0xe0002fd4 +#define CYDEV_FPB_PID6 0xe0002fd8 +#define CYDEV_FPB_PID7 0xe0002fdc +#define CYDEV_FPB_PID0 0xe0002fe0 +#define CYDEV_FPB_PID1 0xe0002fe4 +#define CYDEV_FPB_PID2 0xe0002fe8 +#define CYDEV_FPB_PID3 0xe0002fec +#define CYDEV_FPB_CID0 0xe0002ff0 +#define CYDEV_FPB_CID1 0xe0002ff4 +#define CYDEV_FPB_CID2 0xe0002ff8 +#define CYDEV_FPB_CID3 0xe0002ffc +#define CYDEV_NVIC_BASE 0xe000e000 +#define CYDEV_NVIC_SIZE 0x00000d3c +#define CYDEV_NVIC_INT_CTL_TYPE 0xe000e004 +#define CYDEV_NVIC_SYSTICK_CTL 0xe000e010 +#define CYDEV_NVIC_SYSTICK_RELOAD 0xe000e014 +#define CYDEV_NVIC_SYSTICK_CURRENT 0xe000e018 +#define CYDEV_NVIC_SYSTICK_CAL 0xe000e01c +#define CYDEV_NVIC_SETENA0 0xe000e100 +#define CYDEV_NVIC_CLRENA0 0xe000e180 +#define CYDEV_NVIC_SETPEND0 0xe000e200 +#define CYDEV_NVIC_CLRPEND0 0xe000e280 +#define CYDEV_NVIC_ACTIVE0 0xe000e300 +#define CYDEV_NVIC_PRI_0 0xe000e400 +#define CYDEV_NVIC_PRI_1 0xe000e401 +#define CYDEV_NVIC_PRI_2 0xe000e402 +#define CYDEV_NVIC_PRI_3 0xe000e403 +#define CYDEV_NVIC_PRI_4 0xe000e404 +#define CYDEV_NVIC_PRI_5 0xe000e405 +#define CYDEV_NVIC_PRI_6 0xe000e406 +#define CYDEV_NVIC_PRI_7 0xe000e407 +#define CYDEV_NVIC_PRI_8 0xe000e408 +#define CYDEV_NVIC_PRI_9 0xe000e409 +#define CYDEV_NVIC_PRI_10 0xe000e40a +#define CYDEV_NVIC_PRI_11 0xe000e40b +#define CYDEV_NVIC_PRI_12 0xe000e40c +#define CYDEV_NVIC_PRI_13 0xe000e40d +#define CYDEV_NVIC_PRI_14 0xe000e40e +#define CYDEV_NVIC_PRI_15 0xe000e40f +#define CYDEV_NVIC_PRI_16 0xe000e410 +#define CYDEV_NVIC_PRI_17 0xe000e411 +#define CYDEV_NVIC_PRI_18 0xe000e412 +#define CYDEV_NVIC_PRI_19 0xe000e413 +#define CYDEV_NVIC_PRI_20 0xe000e414 +#define CYDEV_NVIC_PRI_21 0xe000e415 +#define CYDEV_NVIC_PRI_22 0xe000e416 +#define CYDEV_NVIC_PRI_23 0xe000e417 +#define CYDEV_NVIC_PRI_24 0xe000e418 +#define CYDEV_NVIC_PRI_25 0xe000e419 +#define CYDEV_NVIC_PRI_26 0xe000e41a +#define CYDEV_NVIC_PRI_27 0xe000e41b +#define CYDEV_NVIC_PRI_28 0xe000e41c +#define CYDEV_NVIC_PRI_29 0xe000e41d +#define CYDEV_NVIC_PRI_30 0xe000e41e +#define CYDEV_NVIC_PRI_31 0xe000e41f +#define CYDEV_NVIC_CPUID_BASE 0xe000ed00 +#define CYDEV_NVIC_INTR_CTRL_STATE 0xe000ed04 +#define CYDEV_NVIC_VECT_OFFSET 0xe000ed08 +#define CYDEV_NVIC_APPLN_INTR 0xe000ed0c +#define CYDEV_NVIC_SYSTEM_CONTROL 0xe000ed10 +#define CYDEV_NVIC_CFG_CONTROL 0xe000ed14 +#define CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18 +#define CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1c +#define CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20 +#define CYDEV_NVIC_SYS_HANDLER_CSR 0xe000ed24 +#define CYDEV_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28 +#define CYDEV_NVIC_BUS_FAULT_STATUS 0xe000ed29 +#define CYDEV_NVIC_USAGE_FAULT_STATUS 0xe000ed2a +#define CYDEV_NVIC_HARD_FAULT_STATUS 0xe000ed2c +#define CYDEV_NVIC_DEBUG_FAULT_STATUS 0xe000ed30 +#define CYDEV_NVIC_MEMMAN_FAULT_ADD 0xe000ed34 +#define CYDEV_NVIC_BUS_FAULT_ADD 0xe000ed38 +#define CYDEV_CORE_DBG_BASE 0xe000edf0 +#define CYDEV_CORE_DBG_SIZE 0x00000010 +#define CYDEV_CORE_DBG_DBG_HLT_CS 0xe000edf0 +#define CYDEV_CORE_DBG_DBG_REG_SEL 0xe000edf4 +#define CYDEV_CORE_DBG_DBG_REG_DATA 0xe000edf8 +#define CYDEV_CORE_DBG_EXC_MON_CTL 0xe000edfc +#define CYDEV_TPIU_BASE 0xe0040000 +#define CYDEV_TPIU_SIZE 0x00001000 +#define CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000 +#define CYDEV_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004 +#define CYDEV_TPIU_ASYNC_CLK_PRESCALER 0xe0040010 +#define CYDEV_TPIU_PROTOCOL 0xe00400f0 +#define CYDEV_TPIU_FORM_FLUSH_STAT 0xe0040300 +#define CYDEV_TPIU_FORM_FLUSH_CTRL 0xe0040304 +#define CYDEV_TPIU_TRIGGER 0xe0040ee8 +#define CYDEV_TPIU_ITETMDATA 0xe0040eec +#define CYDEV_TPIU_ITATBCTR2 0xe0040ef0 +#define CYDEV_TPIU_ITATBCTR0 0xe0040ef8 +#define CYDEV_TPIU_ITITMDATA 0xe0040efc +#define CYDEV_TPIU_ITCTRL 0xe0040f00 +#define CYDEV_TPIU_DEVID 0xe0040fc8 +#define CYDEV_TPIU_DEVTYPE 0xe0040fcc +#define CYDEV_TPIU_PID4 0xe0040fd0 +#define CYDEV_TPIU_PID5 0xe0040fd4 +#define CYDEV_TPIU_PID6 0xe0040fd8 +#define CYDEV_TPIU_PID7 0xe0040fdc +#define CYDEV_TPIU_PID0 0xe0040fe0 +#define CYDEV_TPIU_PID1 0xe0040fe4 +#define CYDEV_TPIU_PID2 0xe0040fe8 +#define CYDEV_TPIU_PID3 0xe0040fec +#define CYDEV_TPIU_CID0 0xe0040ff0 +#define CYDEV_TPIU_CID1 0xe0040ff4 +#define CYDEV_TPIU_CID2 0xe0040ff8 +#define CYDEV_TPIU_CID3 0xe0040ffc +#define CYDEV_ETM_BASE 0xe0041000 +#define CYDEV_ETM_SIZE 0x00001000 +#define CYDEV_ETM_CTL 0xe0041000 +#define CYDEV_ETM_CFG_CODE 0xe0041004 +#define CYDEV_ETM_TRIG_EVENT 0xe0041008 +#define CYDEV_ETM_STATUS 0xe0041010 +#define CYDEV_ETM_SYS_CFG 0xe0041014 +#define CYDEV_ETM_TRACE_ENB_EVENT 0xe0041020 +#define CYDEV_ETM_TRACE_EN_CTRL1 0xe0041024 +#define CYDEV_ETM_FIFOFULL_LEVEL 0xe004102c +#define CYDEV_ETM_SYNC_FREQ 0xe00411e0 +#define CYDEV_ETM_ETM_ID 0xe00411e4 +#define CYDEV_ETM_CFG_CODE_EXT 0xe00411e8 +#define CYDEV_ETM_TR_SS_EMBICE_CTRL 0xe00411f0 +#define CYDEV_ETM_CS_TRACE_ID 0xe0041200 +#define CYDEV_ETM_OS_LOCK_ACCESS 0xe0041300 +#define CYDEV_ETM_OS_LOCK_STATUS 0xe0041304 +#define CYDEV_ETM_PDSR 0xe0041314 +#define CYDEV_ETM_ITMISCIN 0xe0041ee0 +#define CYDEV_ETM_ITTRIGOUT 0xe0041ee8 +#define CYDEV_ETM_ITATBCTR2 0xe0041ef0 +#define CYDEV_ETM_ITATBCTR0 0xe0041ef8 +#define CYDEV_ETM_INT_MODE_CTRL 0xe0041f00 +#define CYDEV_ETM_CLM_TAG_SET 0xe0041fa0 +#define CYDEV_ETM_CLM_TAG_CLR 0xe0041fa4 +#define CYDEV_ETM_LOCK_ACCESS 0xe0041fb0 +#define CYDEV_ETM_LOCK_STATUS 0xe0041fb4 +#define CYDEV_ETM_AUTH_STATUS 0xe0041fb8 +#define CYDEV_ETM_DEV_TYPE 0xe0041fcc +#define CYDEV_ETM_PID4 0xe0041fd0 +#define CYDEV_ETM_PID5 0xe0041fd4 +#define CYDEV_ETM_PID6 0xe0041fd8 +#define CYDEV_ETM_PID7 0xe0041fdc +#define CYDEV_ETM_PID0 0xe0041fe0 +#define CYDEV_ETM_PID1 0xe0041fe4 +#define CYDEV_ETM_PID2 0xe0041fe8 +#define CYDEV_ETM_PID3 0xe0041fec +#define CYDEV_ETM_CID0 0xe0041ff0 +#define CYDEV_ETM_CID1 0xe0041ff4 +#define CYDEV_ETM_CID2 0xe0041ff8 +#define CYDEV_ETM_CID3 0xe0041ffc +#define CYDEV_ROM_TABLE_BASE 0xe00ff000 +#define CYDEV_ROM_TABLE_SIZE 0x00001000 +#define CYDEV_ROM_TABLE_NVIC 0xe00ff000 +#define CYDEV_ROM_TABLE_DWT 0xe00ff004 +#define CYDEV_ROM_TABLE_FPB 0xe00ff008 +#define CYDEV_ROM_TABLE_ITM 0xe00ff00c +#define CYDEV_ROM_TABLE_TPIU 0xe00ff010 +#define CYDEV_ROM_TABLE_ETM 0xe00ff014 +#define CYDEV_ROM_TABLE_END 0xe00ff018 +#define CYDEV_ROM_TABLE_MEMTYPE 0xe00fffcc +#define CYDEV_ROM_TABLE_PID4 0xe00fffd0 +#define CYDEV_ROM_TABLE_PID5 0xe00fffd4 +#define CYDEV_ROM_TABLE_PID6 0xe00fffd8 +#define CYDEV_ROM_TABLE_PID7 0xe00fffdc +#define CYDEV_ROM_TABLE_PID0 0xe00fffe0 +#define CYDEV_ROM_TABLE_PID1 0xe00fffe4 +#define CYDEV_ROM_TABLE_PID2 0xe00fffe8 +#define CYDEV_ROM_TABLE_PID3 0xe00fffec +#define CYDEV_ROM_TABLE_CID0 0xe00ffff0 +#define CYDEV_ROM_TABLE_CID1 0xe00ffff4 +#define CYDEV_ROM_TABLE_CID2 0xe00ffff8 +#define CYDEV_ROM_TABLE_CID3 0xe00ffffc +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000 +#define CYDEV_FLS_ROW_SIZE 0x00000100 +#define CYDEV_ECC_SECTOR_SIZE 0x00002000 +#define CYDEV_ECC_ROW_SIZE 0x00000020 +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400 +#define CYDEV_EEPROM_ROW_SIZE 0x00000010 +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004 +#define CYCLK_LD_SYNC_EN 0x00000002 +#define CYCLK_LD_LOAD 0x00000001 +#define CYCLK_PIPE 0x00000080 +#define CYCLK_SSS 0x00000040 +#define CYCLK_EARLY 0x00000020 +#define CYCLK_DUTY 0x00000010 +#define CYCLK_SYNC 0x00000008 +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar_trm.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar_trm.inc new file mode 100755 index 0000000..ea4e01d --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydeviceiar_trm.inc @@ -0,0 +1,5356 @@ +; +; FILENAME: cydeviceiar_trm.inc +; +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + +#define CYDEV_FLASH_BASE 0x00000000 +#define CYDEV_FLASH_SIZE 0x00020000 +#define CYREG_FLASH_DATA_MBASE 0x00000000 +#define CYREG_FLASH_DATA_MSIZE 0x00020000 +#define CYDEV_SRAM_BASE 0x1fffc000 +#define CYDEV_SRAM_SIZE 0x00008000 +#define CYREG_SRAM_CODE64K_MBASE 0x1fff8000 +#define CYREG_SRAM_CODE64K_MSIZE 0x00004000 +#define CYREG_SRAM_CODE32K_MBASE 0x1fffc000 +#define CYREG_SRAM_CODE32K_MSIZE 0x00002000 +#define CYREG_SRAM_CODE16K_MBASE 0x1fffe000 +#define CYREG_SRAM_CODE16K_MSIZE 0x00001000 +#define CYREG_SRAM_CODE_MBASE 0x1fffc000 +#define CYREG_SRAM_CODE_MSIZE 0x00004000 +#define CYREG_SRAM_DATA_MBASE 0x20000000 +#define CYREG_SRAM_DATA_MSIZE 0x00004000 +#define CYREG_SRAM_DATA16K_MBASE 0x20001000 +#define CYREG_SRAM_DATA16K_MSIZE 0x00001000 +#define CYREG_SRAM_DATA32K_MBASE 0x20002000 +#define CYREG_SRAM_DATA32K_MSIZE 0x00002000 +#define CYREG_SRAM_DATA64K_MBASE 0x20004000 +#define CYREG_SRAM_DATA64K_MSIZE 0x00004000 +#define CYDEV_DMA_BASE 0x20008000 +#define CYDEV_DMA_SIZE 0x00008000 +#define CYREG_DMA_SRAM64K_MBASE 0x20008000 +#define CYREG_DMA_SRAM64K_MSIZE 0x00004000 +#define CYREG_DMA_SRAM32K_MBASE 0x2000c000 +#define CYREG_DMA_SRAM32K_MSIZE 0x00002000 +#define CYREG_DMA_SRAM16K_MBASE 0x2000e000 +#define CYREG_DMA_SRAM16K_MSIZE 0x00001000 +#define CYREG_DMA_SRAM_MBASE 0x2000f000 +#define CYREG_DMA_SRAM_MSIZE 0x00001000 +#define CYDEV_CLKDIST_BASE 0x40004000 +#define CYDEV_CLKDIST_SIZE 0x00000110 +#define CYREG_CLKDIST_CR 0x40004000 +#define CYREG_CLKDIST_LD 0x40004001 +#define CYREG_CLKDIST_WRK0 0x40004002 +#define CYREG_CLKDIST_WRK1 0x40004003 +#define CYREG_CLKDIST_MSTR0 0x40004004 +#define CYREG_CLKDIST_MSTR1 0x40004005 +#define CYREG_CLKDIST_BCFG0 0x40004006 +#define CYREG_CLKDIST_BCFG1 0x40004007 +#define CYREG_CLKDIST_BCFG2 0x40004008 +#define CYREG_CLKDIST_UCFG 0x40004009 +#define CYREG_CLKDIST_DLY0 0x4000400a +#define CYREG_CLKDIST_DLY1 0x4000400b +#define CYREG_CLKDIST_DMASK 0x40004010 +#define CYREG_CLKDIST_AMASK 0x40004014 +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080 +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG0_CFG0 0x40004080 +#define CYREG_CLKDIST_DCFG0_CFG1 0x40004081 +#define CYREG_CLKDIST_DCFG0_CFG2 0x40004082 +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084 +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG1_CFG0 0x40004084 +#define CYREG_CLKDIST_DCFG1_CFG1 0x40004085 +#define CYREG_CLKDIST_DCFG1_CFG2 0x40004086 +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088 +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG2_CFG0 0x40004088 +#define CYREG_CLKDIST_DCFG2_CFG1 0x40004089 +#define CYREG_CLKDIST_DCFG2_CFG2 0x4000408a +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408c +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG3_CFG0 0x4000408c +#define CYREG_CLKDIST_DCFG3_CFG1 0x4000408d +#define CYREG_CLKDIST_DCFG3_CFG2 0x4000408e +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090 +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG4_CFG0 0x40004090 +#define CYREG_CLKDIST_DCFG4_CFG1 0x40004091 +#define CYREG_CLKDIST_DCFG4_CFG2 0x40004092 +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094 +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG5_CFG0 0x40004094 +#define CYREG_CLKDIST_DCFG5_CFG1 0x40004095 +#define CYREG_CLKDIST_DCFG5_CFG2 0x40004096 +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098 +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG6_CFG0 0x40004098 +#define CYREG_CLKDIST_DCFG6_CFG1 0x40004099 +#define CYREG_CLKDIST_DCFG6_CFG2 0x4000409a +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409c +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG7_CFG0 0x4000409c +#define CYREG_CLKDIST_DCFG7_CFG1 0x4000409d +#define CYREG_CLKDIST_DCFG7_CFG2 0x4000409e +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100 +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG0_CFG0 0x40004100 +#define CYREG_CLKDIST_ACFG0_CFG1 0x40004101 +#define CYREG_CLKDIST_ACFG0_CFG2 0x40004102 +#define CYREG_CLKDIST_ACFG0_CFG3 0x40004103 +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104 +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG1_CFG0 0x40004104 +#define CYREG_CLKDIST_ACFG1_CFG1 0x40004105 +#define CYREG_CLKDIST_ACFG1_CFG2 0x40004106 +#define CYREG_CLKDIST_ACFG1_CFG3 0x40004107 +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108 +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG2_CFG0 0x40004108 +#define CYREG_CLKDIST_ACFG2_CFG1 0x40004109 +#define CYREG_CLKDIST_ACFG2_CFG2 0x4000410a +#define CYREG_CLKDIST_ACFG2_CFG3 0x4000410b +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410c +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG3_CFG0 0x4000410c +#define CYREG_CLKDIST_ACFG3_CFG1 0x4000410d +#define CYREG_CLKDIST_ACFG3_CFG2 0x4000410e +#define CYREG_CLKDIST_ACFG3_CFG3 0x4000410f +#define CYDEV_FASTCLK_BASE 0x40004200 +#define CYDEV_FASTCLK_SIZE 0x00000026 +#define CYDEV_FASTCLK_IMO_BASE 0x40004200 +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001 +#define CYREG_FASTCLK_IMO_CR 0x40004200 +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210 +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004 +#define CYREG_FASTCLK_XMHZ_CSR 0x40004210 +#define CYREG_FASTCLK_XMHZ_CFG0 0x40004212 +#define CYREG_FASTCLK_XMHZ_CFG1 0x40004213 +#define CYDEV_FASTCLK_PLL_BASE 0x40004220 +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006 +#define CYREG_FASTCLK_PLL_CFG0 0x40004220 +#define CYREG_FASTCLK_PLL_CFG1 0x40004221 +#define CYREG_FASTCLK_PLL_P 0x40004222 +#define CYREG_FASTCLK_PLL_Q 0x40004223 +#define CYREG_FASTCLK_PLL_SR 0x40004225 +#define CYDEV_SLOWCLK_BASE 0x40004300 +#define CYDEV_SLOWCLK_SIZE 0x0000000b +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300 +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002 +#define CYREG_SLOWCLK_ILO_CR0 0x40004300 +#define CYREG_SLOWCLK_ILO_CR1 0x40004301 +#define CYDEV_SLOWCLK_X32_BASE 0x40004308 +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003 +#define CYREG_SLOWCLK_X32_CR 0x40004308 +#define CYREG_SLOWCLK_X32_CFG 0x40004309 +#define CYREG_SLOWCLK_X32_TST 0x4000430a +#define CYDEV_BOOST_BASE 0x40004320 +#define CYDEV_BOOST_SIZE 0x00000007 +#define CYREG_BOOST_CR0 0x40004320 +#define CYREG_BOOST_CR1 0x40004321 +#define CYREG_BOOST_CR2 0x40004322 +#define CYREG_BOOST_CR3 0x40004323 +#define CYREG_BOOST_SR 0x40004324 +#define CYREG_BOOST_CR4 0x40004325 +#define CYREG_BOOST_SR2 0x40004326 +#define CYDEV_PWRSYS_BASE 0x40004330 +#define CYDEV_PWRSYS_SIZE 0x00000002 +#define CYREG_PWRSYS_CR0 0x40004330 +#define CYREG_PWRSYS_CR1 0x40004331 +#define CYDEV_PM_BASE 0x40004380 +#define CYDEV_PM_SIZE 0x00000057 +#define CYREG_PM_TW_CFG0 0x40004380 +#define CYREG_PM_TW_CFG1 0x40004381 +#define CYREG_PM_TW_CFG2 0x40004382 +#define CYREG_PM_WDT_CFG 0x40004383 +#define CYREG_PM_WDT_CR 0x40004384 +#define CYREG_PM_INT_SR 0x40004390 +#define CYREG_PM_MODE_CFG0 0x40004391 +#define CYREG_PM_MODE_CFG1 0x40004392 +#define CYREG_PM_MODE_CSR 0x40004393 +#define CYREG_PM_USB_CR0 0x40004394 +#define CYREG_PM_WAKEUP_CFG0 0x40004398 +#define CYREG_PM_WAKEUP_CFG1 0x40004399 +#define CYREG_PM_WAKEUP_CFG2 0x4000439a +#define CYDEV_PM_ACT_BASE 0x400043a0 +#define CYDEV_PM_ACT_SIZE 0x0000000e +#define CYREG_PM_ACT_CFG0 0x400043a0 +#define CYREG_PM_ACT_CFG1 0x400043a1 +#define CYREG_PM_ACT_CFG2 0x400043a2 +#define CYREG_PM_ACT_CFG3 0x400043a3 +#define CYREG_PM_ACT_CFG4 0x400043a4 +#define CYREG_PM_ACT_CFG5 0x400043a5 +#define CYREG_PM_ACT_CFG6 0x400043a6 +#define CYREG_PM_ACT_CFG7 0x400043a7 +#define CYREG_PM_ACT_CFG8 0x400043a8 +#define CYREG_PM_ACT_CFG9 0x400043a9 +#define CYREG_PM_ACT_CFG10 0x400043aa +#define CYREG_PM_ACT_CFG11 0x400043ab +#define CYREG_PM_ACT_CFG12 0x400043ac +#define CYREG_PM_ACT_CFG13 0x400043ad +#define CYDEV_PM_STBY_BASE 0x400043b0 +#define CYDEV_PM_STBY_SIZE 0x0000000e +#define CYREG_PM_STBY_CFG0 0x400043b0 +#define CYREG_PM_STBY_CFG1 0x400043b1 +#define CYREG_PM_STBY_CFG2 0x400043b2 +#define CYREG_PM_STBY_CFG3 0x400043b3 +#define CYREG_PM_STBY_CFG4 0x400043b4 +#define CYREG_PM_STBY_CFG5 0x400043b5 +#define CYREG_PM_STBY_CFG6 0x400043b6 +#define CYREG_PM_STBY_CFG7 0x400043b7 +#define CYREG_PM_STBY_CFG8 0x400043b8 +#define CYREG_PM_STBY_CFG9 0x400043b9 +#define CYREG_PM_STBY_CFG10 0x400043ba +#define CYREG_PM_STBY_CFG11 0x400043bb +#define CYREG_PM_STBY_CFG12 0x400043bc +#define CYREG_PM_STBY_CFG13 0x400043bd +#define CYDEV_PM_AVAIL_BASE 0x400043c0 +#define CYDEV_PM_AVAIL_SIZE 0x00000017 +#define CYREG_PM_AVAIL_CR0 0x400043c0 +#define CYREG_PM_AVAIL_CR1 0x400043c1 +#define CYREG_PM_AVAIL_CR2 0x400043c2 +#define CYREG_PM_AVAIL_CR3 0x400043c3 +#define CYREG_PM_AVAIL_CR4 0x400043c4 +#define CYREG_PM_AVAIL_CR5 0x400043c5 +#define CYREG_PM_AVAIL_CR6 0x400043c6 +#define CYREG_PM_AVAIL_SR0 0x400043d0 +#define CYREG_PM_AVAIL_SR1 0x400043d1 +#define CYREG_PM_AVAIL_SR2 0x400043d2 +#define CYREG_PM_AVAIL_SR3 0x400043d3 +#define CYREG_PM_AVAIL_SR4 0x400043d4 +#define CYREG_PM_AVAIL_SR5 0x400043d5 +#define CYREG_PM_AVAIL_SR6 0x400043d6 +#define CYDEV_PICU_BASE 0x40004500 +#define CYDEV_PICU_SIZE 0x000000b0 +#define CYDEV_PICU_INTTYPE_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080 +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008 +#define CYREG_PICU0_INTTYPE0 0x40004500 +#define CYREG_PICU0_INTTYPE1 0x40004501 +#define CYREG_PICU0_INTTYPE2 0x40004502 +#define CYREG_PICU0_INTTYPE3 0x40004503 +#define CYREG_PICU0_INTTYPE4 0x40004504 +#define CYREG_PICU0_INTTYPE5 0x40004505 +#define CYREG_PICU0_INTTYPE6 0x40004506 +#define CYREG_PICU0_INTTYPE7 0x40004507 +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508 +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008 +#define CYREG_PICU1_INTTYPE0 0x40004508 +#define CYREG_PICU1_INTTYPE1 0x40004509 +#define CYREG_PICU1_INTTYPE2 0x4000450a +#define CYREG_PICU1_INTTYPE3 0x4000450b +#define CYREG_PICU1_INTTYPE4 0x4000450c +#define CYREG_PICU1_INTTYPE5 0x4000450d +#define CYREG_PICU1_INTTYPE6 0x4000450e +#define CYREG_PICU1_INTTYPE7 0x4000450f +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510 +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008 +#define CYREG_PICU2_INTTYPE0 0x40004510 +#define CYREG_PICU2_INTTYPE1 0x40004511 +#define CYREG_PICU2_INTTYPE2 0x40004512 +#define CYREG_PICU2_INTTYPE3 0x40004513 +#define CYREG_PICU2_INTTYPE4 0x40004514 +#define CYREG_PICU2_INTTYPE5 0x40004515 +#define CYREG_PICU2_INTTYPE6 0x40004516 +#define CYREG_PICU2_INTTYPE7 0x40004517 +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518 +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008 +#define CYREG_PICU3_INTTYPE0 0x40004518 +#define CYREG_PICU3_INTTYPE1 0x40004519 +#define CYREG_PICU3_INTTYPE2 0x4000451a +#define CYREG_PICU3_INTTYPE3 0x4000451b +#define CYREG_PICU3_INTTYPE4 0x4000451c +#define CYREG_PICU3_INTTYPE5 0x4000451d +#define CYREG_PICU3_INTTYPE6 0x4000451e +#define CYREG_PICU3_INTTYPE7 0x4000451f +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520 +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008 +#define CYREG_PICU4_INTTYPE0 0x40004520 +#define CYREG_PICU4_INTTYPE1 0x40004521 +#define CYREG_PICU4_INTTYPE2 0x40004522 +#define CYREG_PICU4_INTTYPE3 0x40004523 +#define CYREG_PICU4_INTTYPE4 0x40004524 +#define CYREG_PICU4_INTTYPE5 0x40004525 +#define CYREG_PICU4_INTTYPE6 0x40004526 +#define CYREG_PICU4_INTTYPE7 0x40004527 +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528 +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008 +#define CYREG_PICU5_INTTYPE0 0x40004528 +#define CYREG_PICU5_INTTYPE1 0x40004529 +#define CYREG_PICU5_INTTYPE2 0x4000452a +#define CYREG_PICU5_INTTYPE3 0x4000452b +#define CYREG_PICU5_INTTYPE4 0x4000452c +#define CYREG_PICU5_INTTYPE5 0x4000452d +#define CYREG_PICU5_INTTYPE6 0x4000452e +#define CYREG_PICU5_INTTYPE7 0x4000452f +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530 +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008 +#define CYREG_PICU6_INTTYPE0 0x40004530 +#define CYREG_PICU6_INTTYPE1 0x40004531 +#define CYREG_PICU6_INTTYPE2 0x40004532 +#define CYREG_PICU6_INTTYPE3 0x40004533 +#define CYREG_PICU6_INTTYPE4 0x40004534 +#define CYREG_PICU6_INTTYPE5 0x40004535 +#define CYREG_PICU6_INTTYPE6 0x40004536 +#define CYREG_PICU6_INTTYPE7 0x40004537 +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560 +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008 +#define CYREG_PICU12_INTTYPE0 0x40004560 +#define CYREG_PICU12_INTTYPE1 0x40004561 +#define CYREG_PICU12_INTTYPE2 0x40004562 +#define CYREG_PICU12_INTTYPE3 0x40004563 +#define CYREG_PICU12_INTTYPE4 0x40004564 +#define CYREG_PICU12_INTTYPE5 0x40004565 +#define CYREG_PICU12_INTTYPE6 0x40004566 +#define CYREG_PICU12_INTTYPE7 0x40004567 +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578 +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008 +#define CYREG_PICU15_INTTYPE0 0x40004578 +#define CYREG_PICU15_INTTYPE1 0x40004579 +#define CYREG_PICU15_INTTYPE2 0x4000457a +#define CYREG_PICU15_INTTYPE3 0x4000457b +#define CYREG_PICU15_INTTYPE4 0x4000457c +#define CYREG_PICU15_INTTYPE5 0x4000457d +#define CYREG_PICU15_INTTYPE6 0x4000457e +#define CYREG_PICU15_INTTYPE7 0x4000457f +#define CYDEV_PICU_STAT_BASE 0x40004580 +#define CYDEV_PICU_STAT_SIZE 0x00000010 +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580 +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001 +#define CYREG_PICU0_INTSTAT 0x40004580 +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581 +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001 +#define CYREG_PICU1_INTSTAT 0x40004581 +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582 +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001 +#define CYREG_PICU2_INTSTAT 0x40004582 +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583 +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001 +#define CYREG_PICU3_INTSTAT 0x40004583 +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584 +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001 +#define CYREG_PICU4_INTSTAT 0x40004584 +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585 +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001 +#define CYREG_PICU5_INTSTAT 0x40004585 +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586 +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001 +#define CYREG_PICU6_INTSTAT 0x40004586 +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458c +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001 +#define CYREG_PICU12_INTSTAT 0x4000458c +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458f +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001 +#define CYREG_PICU15_INTSTAT 0x4000458f +#define CYDEV_PICU_SNAP_BASE 0x40004590 +#define CYDEV_PICU_SNAP_SIZE 0x00000010 +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590 +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001 +#define CYREG_PICU0_SNAP 0x40004590 +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591 +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001 +#define CYREG_PICU1_SNAP 0x40004591 +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592 +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001 +#define CYREG_PICU2_SNAP 0x40004592 +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593 +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001 +#define CYREG_PICU3_SNAP 0x40004593 +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594 +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001 +#define CYREG_PICU4_SNAP 0x40004594 +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595 +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001 +#define CYREG_PICU5_SNAP 0x40004595 +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596 +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001 +#define CYREG_PICU6_SNAP 0x40004596 +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459c +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001 +#define CYREG_PICU12_SNAP 0x4000459c +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459f +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001 +#define CYREG_PICU_15_SNAP_15 0x4000459f +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010 +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001 +#define CYREG_PICU0_DISABLE_COR 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001 +#define CYREG_PICU1_DISABLE_COR 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001 +#define CYREG_PICU2_DISABLE_COR 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001 +#define CYREG_PICU3_DISABLE_COR 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001 +#define CYREG_PICU4_DISABLE_COR 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001 +#define CYREG_PICU5_DISABLE_COR 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001 +#define CYREG_PICU6_DISABLE_COR 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001 +#define CYREG_PICU12_DISABLE_COR 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045af +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001 +#define CYREG_PICU15_DISABLE_COR 0x400045af +#define CYDEV_MFGCFG_BASE 0x40004600 +#define CYDEV_MFGCFG_SIZE 0x000000ed +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600 +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038 +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001 +#define CYREG_DAC0_TR 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001 +#define CYREG_DAC1_TR 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001 +#define CYREG_DAC2_TR 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460b +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001 +#define CYREG_DAC3_TR 0x4000460b +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001 +#define CYREG_NPUMP_DSM_TR0 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001 +#define CYREG_NPUMP_SC_TR0 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001 +#define CYREG_NPUMP_OPAMP_TR0 0x40004612 +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001 +#define CYREG_SAR0_TR0 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616 +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001 +#define CYREG_SAR1_TR0 0x40004616 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002 +#define CYREG_OPAMP0_TR0 0x40004620 +#define CYREG_OPAMP0_TR1 0x40004621 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002 +#define CYREG_OPAMP1_TR0 0x40004622 +#define CYREG_OPAMP1_TR1 0x40004623 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002 +#define CYREG_OPAMP2_TR0 0x40004624 +#define CYREG_OPAMP2_TR1 0x40004625 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002 +#define CYREG_OPAMP3_TR0 0x40004626 +#define CYREG_OPAMP3_TR1 0x40004627 +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630 +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002 +#define CYREG_CMP0_TR0 0x40004630 +#define CYREG_CMP0_TR1 0x40004631 +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632 +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002 +#define CYREG_CMP1_TR0 0x40004632 +#define CYREG_CMP1_TR1 0x40004633 +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634 +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002 +#define CYREG_CMP2_TR0 0x40004634 +#define CYREG_CMP2_TR1 0x40004635 +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636 +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002 +#define CYREG_CMP3_TR0 0x40004636 +#define CYREG_CMP3_TR1 0x40004637 +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680 +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000b +#define CYREG_PWRSYS_HIB_TR0 0x40004680 +#define CYREG_PWRSYS_HIB_TR1 0x40004681 +#define CYREG_PWRSYS_I2C_TR 0x40004682 +#define CYREG_PWRSYS_SLP_TR 0x40004683 +#define CYREG_PWRSYS_BUZZ_TR 0x40004684 +#define CYREG_PWRSYS_WAKE_TR0 0x40004685 +#define CYREG_PWRSYS_WAKE_TR1 0x40004686 +#define CYREG_PWRSYS_BREF_TR 0x40004687 +#define CYREG_PWRSYS_BG_TR 0x40004688 +#define CYREG_PWRSYS_WAKE_TR2 0x40004689 +#define CYREG_PWRSYS_WAKE_TR3 0x4000468a +#define CYDEV_MFGCFG_ILO_BASE 0x40004690 +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002 +#define CYREG_ILO_TR0 0x40004690 +#define CYREG_ILO_TR1 0x40004691 +#define CYDEV_MFGCFG_X32_BASE 0x40004698 +#define CYDEV_MFGCFG_X32_SIZE 0x00000001 +#define CYREG_X32_TR 0x40004698 +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0 +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005 +#define CYREG_IMO_TR0 0x400046a0 +#define CYREG_IMO_TR1 0x400046a1 +#define CYREG_IMO_GAIN 0x400046a2 +#define CYREG_IMO_C36M 0x400046a3 +#define CYREG_IMO_TR2 0x400046a4 +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8 +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001 +#define CYREG_XMHZ_TR 0x400046a8 +#define CYREG_MFGCFG_DLY 0x400046c0 +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0 +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000d +#define CYREG_MLOGIC_DMPSTR 0x400046e2 +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4 +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002 +#define CYREG_MLOGIC_SEG_CR 0x400046e4 +#define CYREG_MLOGIC_SEG_CFG0 0x400046e5 +#define CYREG_MLOGIC_DEBUG 0x400046e8 +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046ea +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001 +#define CYREG_MLOGIC_CPU_SCR_CPU_SCR 0x400046ea +#define CYREG_MLOGIC_REV_ID 0x400046ec +#define CYDEV_RESET_BASE 0x400046f0 +#define CYDEV_RESET_SIZE 0x0000000f +#define CYREG_RESET_IPOR_CR0 0x400046f0 +#define CYREG_RESET_IPOR_CR1 0x400046f1 +#define CYREG_RESET_IPOR_CR2 0x400046f2 +#define CYREG_RESET_IPOR_CR3 0x400046f3 +#define CYREG_RESET_CR0 0x400046f4 +#define CYREG_RESET_CR1 0x400046f5 +#define CYREG_RESET_CR2 0x400046f6 +#define CYREG_RESET_CR3 0x400046f7 +#define CYREG_RESET_CR4 0x400046f8 +#define CYREG_RESET_CR5 0x400046f9 +#define CYREG_RESET_SR0 0x400046fa +#define CYREG_RESET_SR1 0x400046fb +#define CYREG_RESET_SR2 0x400046fc +#define CYREG_RESET_SR3 0x400046fd +#define CYREG_RESET_TR 0x400046fe +#define CYDEV_SPC_BASE 0x40004700 +#define CYDEV_SPC_SIZE 0x00000100 +#define CYREG_SPC_FM_EE_CR 0x40004700 +#define CYREG_SPC_FM_EE_WAKE_CNT 0x40004701 +#define CYREG_SPC_EE_SCR 0x40004702 +#define CYREG_SPC_EE_ERR 0x40004703 +#define CYREG_SPC_CPU_DATA 0x40004720 +#define CYREG_SPC_DMA_DATA 0x40004721 +#define CYREG_SPC_SR 0x40004722 +#define CYREG_SPC_CR 0x40004723 +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780 +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080 +#define CYREG_SPC_DMM_MAP_SRAM_MBASE 0x40004780 +#define CYREG_SPC_DMM_MAP_SRAM_MSIZE 0x00000080 +#define CYDEV_CACHE_BASE 0x40004800 +#define CYDEV_CACHE_SIZE 0x0000009c +#define CYREG_CACHE_CC_CTL 0x40004800 +#define CYREG_CACHE_ECC_CORR 0x40004880 +#define CYREG_CACHE_ECC_ERR 0x40004888 +#define CYREG_CACHE_FLASH_ERR 0x40004890 +#define CYREG_CACHE_HITMISS 0x40004898 +#define CYDEV_I2C_BASE 0x40004900 +#define CYDEV_I2C_SIZE 0x000000e1 +#define CYREG_I2C_XCFG 0x400049c8 +#define CYREG_I2C_ADR 0x400049ca +#define CYREG_I2C_CFG 0x400049d6 +#define CYREG_I2C_CSR 0x400049d7 +#define CYREG_I2C_D 0x400049d8 +#define CYREG_I2C_MCSR 0x400049d9 +#define CYREG_I2C_CLK_DIV1 0x400049db +#define CYREG_I2C_CLK_DIV2 0x400049dc +#define CYREG_I2C_TMOUT_CSR 0x400049dd +#define CYREG_I2C_TMOUT_SR 0x400049de +#define CYREG_I2C_TMOUT_CFG0 0x400049df +#define CYREG_I2C_TMOUT_CFG1 0x400049e0 +#define CYDEV_DEC_BASE 0x40004e00 +#define CYDEV_DEC_SIZE 0x00000015 +#define CYREG_DEC_CR 0x40004e00 +#define CYREG_DEC_SR 0x40004e01 +#define CYREG_DEC_SHIFT1 0x40004e02 +#define CYREG_DEC_SHIFT2 0x40004e03 +#define CYREG_DEC_DR2 0x40004e04 +#define CYREG_DEC_DR2H 0x40004e05 +#define CYREG_DEC_DR1 0x40004e06 +#define CYREG_DEC_OCOR 0x40004e08 +#define CYREG_DEC_OCORM 0x40004e09 +#define CYREG_DEC_OCORH 0x40004e0a +#define CYREG_DEC_GCOR 0x40004e0c +#define CYREG_DEC_GCORH 0x40004e0d +#define CYREG_DEC_GVAL 0x40004e0e +#define CYREG_DEC_OUTSAMP 0x40004e10 +#define CYREG_DEC_OUTSAMPM 0x40004e11 +#define CYREG_DEC_OUTSAMPH 0x40004e12 +#define CYREG_DEC_OUTSAMPS 0x40004e13 +#define CYREG_DEC_COHER 0x40004e14 +#define CYDEV_TMR0_BASE 0x40004f00 +#define CYDEV_TMR0_SIZE 0x0000000c +#define CYREG_TMR0_CFG0 0x40004f00 +#define CYREG_TMR0_CFG1 0x40004f01 +#define CYREG_TMR0_CFG2 0x40004f02 +#define CYREG_TMR0_SR0 0x40004f03 +#define CYREG_TMR0_PER0 0x40004f04 +#define CYREG_TMR0_PER1 0x40004f05 +#define CYREG_TMR0_CNT_CMP0 0x40004f06 +#define CYREG_TMR0_CNT_CMP1 0x40004f07 +#define CYREG_TMR0_CAP0 0x40004f08 +#define CYREG_TMR0_CAP1 0x40004f09 +#define CYREG_TMR0_RT0 0x40004f0a +#define CYREG_TMR0_RT1 0x40004f0b +#define CYDEV_TMR1_BASE 0x40004f0c +#define CYDEV_TMR1_SIZE 0x0000000c +#define CYREG_TMR1_CFG0 0x40004f0c +#define CYREG_TMR1_CFG1 0x40004f0d +#define CYREG_TMR1_CFG2 0x40004f0e +#define CYREG_TMR1_SR0 0x40004f0f +#define CYREG_TMR1_PER0 0x40004f10 +#define CYREG_TMR1_PER1 0x40004f11 +#define CYREG_TMR1_CNT_CMP0 0x40004f12 +#define CYREG_TMR1_CNT_CMP1 0x40004f13 +#define CYREG_TMR1_CAP0 0x40004f14 +#define CYREG_TMR1_CAP1 0x40004f15 +#define CYREG_TMR1_RT0 0x40004f16 +#define CYREG_TMR1_RT1 0x40004f17 +#define CYDEV_TMR2_BASE 0x40004f18 +#define CYDEV_TMR2_SIZE 0x0000000c +#define CYREG_TMR2_CFG0 0x40004f18 +#define CYREG_TMR2_CFG1 0x40004f19 +#define CYREG_TMR2_CFG2 0x40004f1a +#define CYREG_TMR2_SR0 0x40004f1b +#define CYREG_TMR2_PER0 0x40004f1c +#define CYREG_TMR2_PER1 0x40004f1d +#define CYREG_TMR2_CNT_CMP0 0x40004f1e +#define CYREG_TMR2_CNT_CMP1 0x40004f1f +#define CYREG_TMR2_CAP0 0x40004f20 +#define CYREG_TMR2_CAP1 0x40004f21 +#define CYREG_TMR2_RT0 0x40004f22 +#define CYREG_TMR2_RT1 0x40004f23 +#define CYDEV_TMR3_BASE 0x40004f24 +#define CYDEV_TMR3_SIZE 0x0000000c +#define CYREG_TMR3_CFG0 0x40004f24 +#define CYREG_TMR3_CFG1 0x40004f25 +#define CYREG_TMR3_CFG2 0x40004f26 +#define CYREG_TMR3_SR0 0x40004f27 +#define CYREG_TMR3_PER0 0x40004f28 +#define CYREG_TMR3_PER1 0x40004f29 +#define CYREG_TMR3_CNT_CMP0 0x40004f2a +#define CYREG_TMR3_CNT_CMP1 0x40004f2b +#define CYREG_TMR3_CAP0 0x40004f2c +#define CYREG_TMR3_CAP1 0x40004f2d +#define CYREG_TMR3_RT0 0x40004f2e +#define CYREG_TMR3_RT1 0x40004f2f +#define CYDEV_IO_BASE 0x40005000 +#define CYDEV_IO_SIZE 0x00000200 +#define CYDEV_IO_PC_BASE 0x40005000 +#define CYDEV_IO_PC_SIZE 0x00000080 +#define CYDEV_IO_PC_PRT0_BASE 0x40005000 +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008 +#define CYREG_PRT0_PC0 0x40005000 +#define CYREG_PRT0_PC1 0x40005001 +#define CYREG_PRT0_PC2 0x40005002 +#define CYREG_PRT0_PC3 0x40005003 +#define CYREG_PRT0_PC4 0x40005004 +#define CYREG_PRT0_PC5 0x40005005 +#define CYREG_PRT0_PC6 0x40005006 +#define CYREG_PRT0_PC7 0x40005007 +#define CYDEV_IO_PC_PRT1_BASE 0x40005008 +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008 +#define CYREG_PRT1_PC0 0x40005008 +#define CYREG_PRT1_PC1 0x40005009 +#define CYREG_PRT1_PC2 0x4000500a +#define CYREG_PRT1_PC3 0x4000500b +#define CYREG_PRT1_PC4 0x4000500c +#define CYREG_PRT1_PC5 0x4000500d +#define CYREG_PRT1_PC6 0x4000500e +#define CYREG_PRT1_PC7 0x4000500f +#define CYDEV_IO_PC_PRT2_BASE 0x40005010 +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008 +#define CYREG_PRT2_PC0 0x40005010 +#define CYREG_PRT2_PC1 0x40005011 +#define CYREG_PRT2_PC2 0x40005012 +#define CYREG_PRT2_PC3 0x40005013 +#define CYREG_PRT2_PC4 0x40005014 +#define CYREG_PRT2_PC5 0x40005015 +#define CYREG_PRT2_PC6 0x40005016 +#define CYREG_PRT2_PC7 0x40005017 +#define CYDEV_IO_PC_PRT3_BASE 0x40005018 +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008 +#define CYREG_PRT3_PC0 0x40005018 +#define CYREG_PRT3_PC1 0x40005019 +#define CYREG_PRT3_PC2 0x4000501a +#define CYREG_PRT3_PC3 0x4000501b +#define CYREG_PRT3_PC4 0x4000501c +#define CYREG_PRT3_PC5 0x4000501d +#define CYREG_PRT3_PC6 0x4000501e +#define CYREG_PRT3_PC7 0x4000501f +#define CYDEV_IO_PC_PRT4_BASE 0x40005020 +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008 +#define CYREG_PRT4_PC0 0x40005020 +#define CYREG_PRT4_PC1 0x40005021 +#define CYREG_PRT4_PC2 0x40005022 +#define CYREG_PRT4_PC3 0x40005023 +#define CYREG_PRT4_PC4 0x40005024 +#define CYREG_PRT4_PC5 0x40005025 +#define CYREG_PRT4_PC6 0x40005026 +#define CYREG_PRT4_PC7 0x40005027 +#define CYDEV_IO_PC_PRT5_BASE 0x40005028 +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008 +#define CYREG_PRT5_PC0 0x40005028 +#define CYREG_PRT5_PC1 0x40005029 +#define CYREG_PRT5_PC2 0x4000502a +#define CYREG_PRT5_PC3 0x4000502b +#define CYREG_PRT5_PC4 0x4000502c +#define CYREG_PRT5_PC5 0x4000502d +#define CYREG_PRT5_PC6 0x4000502e +#define CYREG_PRT5_PC7 0x4000502f +#define CYDEV_IO_PC_PRT6_BASE 0x40005030 +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008 +#define CYREG_PRT6_PC0 0x40005030 +#define CYREG_PRT6_PC1 0x40005031 +#define CYREG_PRT6_PC2 0x40005032 +#define CYREG_PRT6_PC3 0x40005033 +#define CYREG_PRT6_PC4 0x40005034 +#define CYREG_PRT6_PC5 0x40005035 +#define CYREG_PRT6_PC6 0x40005036 +#define CYREG_PRT6_PC7 0x40005037 +#define CYDEV_IO_PC_PRT12_BASE 0x40005060 +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008 +#define CYREG_PRT12_PC0 0x40005060 +#define CYREG_PRT12_PC1 0x40005061 +#define CYREG_PRT12_PC2 0x40005062 +#define CYREG_PRT12_PC3 0x40005063 +#define CYREG_PRT12_PC4 0x40005064 +#define CYREG_PRT12_PC5 0x40005065 +#define CYREG_PRT12_PC6 0x40005066 +#define CYREG_PRT12_PC7 0x40005067 +#define CYDEV_IO_PC_PRT15_BASE 0x40005078 +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006 +#define CYREG_IO_PC_PRT15_PC0 0x40005078 +#define CYREG_IO_PC_PRT15_PC1 0x40005079 +#define CYREG_IO_PC_PRT15_PC2 0x4000507a +#define CYREG_IO_PC_PRT15_PC3 0x4000507b +#define CYREG_IO_PC_PRT15_PC4 0x4000507c +#define CYREG_IO_PC_PRT15_PC5 0x4000507d +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507e +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002 +#define CYREG_IO_PC_PRT15_7_6_PC0 0x4000507e +#define CYREG_IO_PC_PRT15_7_6_PC1 0x4000507f +#define CYDEV_IO_DR_BASE 0x40005080 +#define CYDEV_IO_DR_SIZE 0x00000010 +#define CYDEV_IO_DR_PRT0_BASE 0x40005080 +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001 +#define CYREG_PRT0_DR_ALIAS 0x40005080 +#define CYDEV_IO_DR_PRT1_BASE 0x40005081 +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001 +#define CYREG_PRT1_DR_ALIAS 0x40005081 +#define CYDEV_IO_DR_PRT2_BASE 0x40005082 +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001 +#define CYREG_PRT2_DR_ALIAS 0x40005082 +#define CYDEV_IO_DR_PRT3_BASE 0x40005083 +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001 +#define CYREG_PRT3_DR_ALIAS 0x40005083 +#define CYDEV_IO_DR_PRT4_BASE 0x40005084 +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001 +#define CYREG_PRT4_DR_ALIAS 0x40005084 +#define CYDEV_IO_DR_PRT5_BASE 0x40005085 +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001 +#define CYREG_PRT5_DR_ALIAS 0x40005085 +#define CYDEV_IO_DR_PRT6_BASE 0x40005086 +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001 +#define CYREG_PRT6_DR_ALIAS 0x40005086 +#define CYDEV_IO_DR_PRT12_BASE 0x4000508c +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001 +#define CYREG_PRT12_DR_ALIAS 0x4000508c +#define CYDEV_IO_DR_PRT15_BASE 0x4000508f +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001 +#define CYREG_PRT15_DR_15_ALIAS 0x4000508f +#define CYDEV_IO_PS_BASE 0x40005090 +#define CYDEV_IO_PS_SIZE 0x00000010 +#define CYDEV_IO_PS_PRT0_BASE 0x40005090 +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001 +#define CYREG_PRT0_PS_ALIAS 0x40005090 +#define CYDEV_IO_PS_PRT1_BASE 0x40005091 +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001 +#define CYREG_PRT1_PS_ALIAS 0x40005091 +#define CYDEV_IO_PS_PRT2_BASE 0x40005092 +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001 +#define CYREG_PRT2_PS_ALIAS 0x40005092 +#define CYDEV_IO_PS_PRT3_BASE 0x40005093 +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001 +#define CYREG_PRT3_PS_ALIAS 0x40005093 +#define CYDEV_IO_PS_PRT4_BASE 0x40005094 +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001 +#define CYREG_PRT4_PS_ALIAS 0x40005094 +#define CYDEV_IO_PS_PRT5_BASE 0x40005095 +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001 +#define CYREG_PRT5_PS_ALIAS 0x40005095 +#define CYDEV_IO_PS_PRT6_BASE 0x40005096 +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001 +#define CYREG_PRT6_PS_ALIAS 0x40005096 +#define CYDEV_IO_PS_PRT12_BASE 0x4000509c +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001 +#define CYREG_PRT12_PS_ALIAS 0x4000509c +#define CYDEV_IO_PS_PRT15_BASE 0x4000509f +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001 +#define CYREG_PRT15_PS15_ALIAS 0x4000509f +#define CYDEV_IO_PRT_BASE 0x40005100 +#define CYDEV_IO_PRT_SIZE 0x00000100 +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100 +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010 +#define CYREG_PRT0_DR 0x40005100 +#define CYREG_PRT0_PS 0x40005101 +#define CYREG_PRT0_DM0 0x40005102 +#define CYREG_PRT0_DM1 0x40005103 +#define CYREG_PRT0_DM2 0x40005104 +#define CYREG_PRT0_SLW 0x40005105 +#define CYREG_PRT0_BYP 0x40005106 +#define CYREG_PRT0_BIE 0x40005107 +#define CYREG_PRT0_INP_DIS 0x40005108 +#define CYREG_PRT0_CTL 0x40005109 +#define CYREG_PRT0_PRT 0x4000510a +#define CYREG_PRT0_BIT_MASK 0x4000510b +#define CYREG_PRT0_AMUX 0x4000510c +#define CYREG_PRT0_AG 0x4000510d +#define CYREG_PRT0_LCD_COM_SEG 0x4000510e +#define CYREG_PRT0_LCD_EN 0x4000510f +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110 +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010 +#define CYREG_PRT1_DR 0x40005110 +#define CYREG_PRT1_PS 0x40005111 +#define CYREG_PRT1_DM0 0x40005112 +#define CYREG_PRT1_DM1 0x40005113 +#define CYREG_PRT1_DM2 0x40005114 +#define CYREG_PRT1_SLW 0x40005115 +#define CYREG_PRT1_BYP 0x40005116 +#define CYREG_PRT1_BIE 0x40005117 +#define CYREG_PRT1_INP_DIS 0x40005118 +#define CYREG_PRT1_CTL 0x40005119 +#define CYREG_PRT1_PRT 0x4000511a +#define CYREG_PRT1_BIT_MASK 0x4000511b +#define CYREG_PRT1_AMUX 0x4000511c +#define CYREG_PRT1_AG 0x4000511d +#define CYREG_PRT1_LCD_COM_SEG 0x4000511e +#define CYREG_PRT1_LCD_EN 0x4000511f +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120 +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010 +#define CYREG_PRT2_DR 0x40005120 +#define CYREG_PRT2_PS 0x40005121 +#define CYREG_PRT2_DM0 0x40005122 +#define CYREG_PRT2_DM1 0x40005123 +#define CYREG_PRT2_DM2 0x40005124 +#define CYREG_PRT2_SLW 0x40005125 +#define CYREG_PRT2_BYP 0x40005126 +#define CYREG_PRT2_BIE 0x40005127 +#define CYREG_PRT2_INP_DIS 0x40005128 +#define CYREG_PRT2_CTL 0x40005129 +#define CYREG_PRT2_PRT 0x4000512a +#define CYREG_PRT2_BIT_MASK 0x4000512b +#define CYREG_PRT2_AMUX 0x4000512c +#define CYREG_PRT2_AG 0x4000512d +#define CYREG_PRT2_LCD_COM_SEG 0x4000512e +#define CYREG_PRT2_LCD_EN 0x4000512f +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130 +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010 +#define CYREG_PRT3_DR 0x40005130 +#define CYREG_PRT3_PS 0x40005131 +#define CYREG_PRT3_DM0 0x40005132 +#define CYREG_PRT3_DM1 0x40005133 +#define CYREG_PRT3_DM2 0x40005134 +#define CYREG_PRT3_SLW 0x40005135 +#define CYREG_PRT3_BYP 0x40005136 +#define CYREG_PRT3_BIE 0x40005137 +#define CYREG_PRT3_INP_DIS 0x40005138 +#define CYREG_PRT3_CTL 0x40005139 +#define CYREG_PRT3_PRT 0x4000513a +#define CYREG_PRT3_BIT_MASK 0x4000513b +#define CYREG_PRT3_AMUX 0x4000513c +#define CYREG_PRT3_AG 0x4000513d +#define CYREG_PRT3_LCD_COM_SEG 0x4000513e +#define CYREG_PRT3_LCD_EN 0x4000513f +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140 +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010 +#define CYREG_PRT4_DR 0x40005140 +#define CYREG_PRT4_PS 0x40005141 +#define CYREG_PRT4_DM0 0x40005142 +#define CYREG_PRT4_DM1 0x40005143 +#define CYREG_PRT4_DM2 0x40005144 +#define CYREG_PRT4_SLW 0x40005145 +#define CYREG_PRT4_BYP 0x40005146 +#define CYREG_PRT4_BIE 0x40005147 +#define CYREG_PRT4_INP_DIS 0x40005148 +#define CYREG_PRT4_CTL 0x40005149 +#define CYREG_PRT4_PRT 0x4000514a +#define CYREG_PRT4_BIT_MASK 0x4000514b +#define CYREG_PRT4_AMUX 0x4000514c +#define CYREG_PRT4_AG 0x4000514d +#define CYREG_PRT4_LCD_COM_SEG 0x4000514e +#define CYREG_PRT4_LCD_EN 0x4000514f +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150 +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010 +#define CYREG_PRT5_DR 0x40005150 +#define CYREG_PRT5_PS 0x40005151 +#define CYREG_PRT5_DM0 0x40005152 +#define CYREG_PRT5_DM1 0x40005153 +#define CYREG_PRT5_DM2 0x40005154 +#define CYREG_PRT5_SLW 0x40005155 +#define CYREG_PRT5_BYP 0x40005156 +#define CYREG_PRT5_BIE 0x40005157 +#define CYREG_PRT5_INP_DIS 0x40005158 +#define CYREG_PRT5_CTL 0x40005159 +#define CYREG_PRT5_PRT 0x4000515a +#define CYREG_PRT5_BIT_MASK 0x4000515b +#define CYREG_PRT5_AMUX 0x4000515c +#define CYREG_PRT5_AG 0x4000515d +#define CYREG_PRT5_LCD_COM_SEG 0x4000515e +#define CYREG_PRT5_LCD_EN 0x4000515f +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160 +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010 +#define CYREG_PRT6_DR 0x40005160 +#define CYREG_PRT6_PS 0x40005161 +#define CYREG_PRT6_DM0 0x40005162 +#define CYREG_PRT6_DM1 0x40005163 +#define CYREG_PRT6_DM2 0x40005164 +#define CYREG_PRT6_SLW 0x40005165 +#define CYREG_PRT6_BYP 0x40005166 +#define CYREG_PRT6_BIE 0x40005167 +#define CYREG_PRT6_INP_DIS 0x40005168 +#define CYREG_PRT6_CTL 0x40005169 +#define CYREG_PRT6_PRT 0x4000516a +#define CYREG_PRT6_BIT_MASK 0x4000516b +#define CYREG_PRT6_AMUX 0x4000516c +#define CYREG_PRT6_AG 0x4000516d +#define CYREG_PRT6_LCD_COM_SEG 0x4000516e +#define CYREG_PRT6_LCD_EN 0x4000516f +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0 +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010 +#define CYREG_PRT12_DR 0x400051c0 +#define CYREG_PRT12_PS 0x400051c1 +#define CYREG_PRT12_DM0 0x400051c2 +#define CYREG_PRT12_DM1 0x400051c3 +#define CYREG_PRT12_DM2 0x400051c4 +#define CYREG_PRT12_SLW 0x400051c5 +#define CYREG_PRT12_BYP 0x400051c6 +#define CYREG_PRT12_BIE 0x400051c7 +#define CYREG_PRT12_INP_DIS 0x400051c8 +#define CYREG_PRT12_SIO_HYST_EN 0x400051c9 +#define CYREG_PRT12_PRT 0x400051ca +#define CYREG_PRT12_BIT_MASK 0x400051cb +#define CYREG_PRT12_SIO_REG_HIFREQ 0x400051cc +#define CYREG_PRT12_AG 0x400051cd +#define CYREG_PRT12_SIO_CFG 0x400051ce +#define CYREG_PRT12_SIO_DIFF 0x400051cf +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0 +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010 +#define CYREG_PRT15_DR 0x400051f0 +#define CYREG_PRT15_PS 0x400051f1 +#define CYREG_PRT15_DM0 0x400051f2 +#define CYREG_PRT15_DM1 0x400051f3 +#define CYREG_PRT15_DM2 0x400051f4 +#define CYREG_PRT15_SLW 0x400051f5 +#define CYREG_PRT15_BYP 0x400051f6 +#define CYREG_PRT15_BIE 0x400051f7 +#define CYREG_PRT15_INP_DIS 0x400051f8 +#define CYREG_PRT15_CTL 0x400051f9 +#define CYREG_PRT15_PRT 0x400051fa +#define CYREG_PRT15_BIT_MASK 0x400051fb +#define CYREG_PRT15_AMUX 0x400051fc +#define CYREG_PRT15_AG 0x400051fd +#define CYREG_PRT15_LCD_COM_SEG 0x400051fe +#define CYREG_PRT15_LCD_EN 0x400051ff +#define CYDEV_PRTDSI_BASE 0x40005200 +#define CYDEV_PRTDSI_SIZE 0x0000007f +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200 +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007 +#define CYREG_PRT0_OUT_SEL0 0x40005200 +#define CYREG_PRT0_OUT_SEL1 0x40005201 +#define CYREG_PRT0_OE_SEL0 0x40005202 +#define CYREG_PRT0_OE_SEL1 0x40005203 +#define CYREG_PRT0_DBL_SYNC_IN 0x40005204 +#define CYREG_PRT0_SYNC_OUT 0x40005205 +#define CYREG_PRT0_CAPS_SEL 0x40005206 +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208 +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007 +#define CYREG_PRT1_OUT_SEL0 0x40005208 +#define CYREG_PRT1_OUT_SEL1 0x40005209 +#define CYREG_PRT1_OE_SEL0 0x4000520a +#define CYREG_PRT1_OE_SEL1 0x4000520b +#define CYREG_PRT1_DBL_SYNC_IN 0x4000520c +#define CYREG_PRT1_SYNC_OUT 0x4000520d +#define CYREG_PRT1_CAPS_SEL 0x4000520e +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210 +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007 +#define CYREG_PRT2_OUT_SEL0 0x40005210 +#define CYREG_PRT2_OUT_SEL1 0x40005211 +#define CYREG_PRT2_OE_SEL0 0x40005212 +#define CYREG_PRT2_OE_SEL1 0x40005213 +#define CYREG_PRT2_DBL_SYNC_IN 0x40005214 +#define CYREG_PRT2_SYNC_OUT 0x40005215 +#define CYREG_PRT2_CAPS_SEL 0x40005216 +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218 +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007 +#define CYREG_PRT3_OUT_SEL0 0x40005218 +#define CYREG_PRT3_OUT_SEL1 0x40005219 +#define CYREG_PRT3_OE_SEL0 0x4000521a +#define CYREG_PRT3_OE_SEL1 0x4000521b +#define CYREG_PRT3_DBL_SYNC_IN 0x4000521c +#define CYREG_PRT3_SYNC_OUT 0x4000521d +#define CYREG_PRT3_CAPS_SEL 0x4000521e +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220 +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007 +#define CYREG_PRT4_OUT_SEL0 0x40005220 +#define CYREG_PRT4_OUT_SEL1 0x40005221 +#define CYREG_PRT4_OE_SEL0 0x40005222 +#define CYREG_PRT4_OE_SEL1 0x40005223 +#define CYREG_PRT4_DBL_SYNC_IN 0x40005224 +#define CYREG_PRT4_SYNC_OUT 0x40005225 +#define CYREG_PRT4_CAPS_SEL 0x40005226 +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228 +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007 +#define CYREG_PRT5_OUT_SEL0 0x40005228 +#define CYREG_PRT5_OUT_SEL1 0x40005229 +#define CYREG_PRT5_OE_SEL0 0x4000522a +#define CYREG_PRT5_OE_SEL1 0x4000522b +#define CYREG_PRT5_DBL_SYNC_IN 0x4000522c +#define CYREG_PRT5_SYNC_OUT 0x4000522d +#define CYREG_PRT5_CAPS_SEL 0x4000522e +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230 +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007 +#define CYREG_PRT6_OUT_SEL0 0x40005230 +#define CYREG_PRT6_OUT_SEL1 0x40005231 +#define CYREG_PRT6_OE_SEL0 0x40005232 +#define CYREG_PRT6_OE_SEL1 0x40005233 +#define CYREG_PRT6_DBL_SYNC_IN 0x40005234 +#define CYREG_PRT6_SYNC_OUT 0x40005235 +#define CYREG_PRT6_CAPS_SEL 0x40005236 +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260 +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006 +#define CYREG_PRT12_OUT_SEL0 0x40005260 +#define CYREG_PRT12_OUT_SEL1 0x40005261 +#define CYREG_PRT12_OE_SEL0 0x40005262 +#define CYREG_PRT12_OE_SEL1 0x40005263 +#define CYREG_PRT12_DBL_SYNC_IN 0x40005264 +#define CYREG_PRT12_SYNC_OUT 0x40005265 +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278 +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007 +#define CYREG_PRT15_OUT_SEL0 0x40005278 +#define CYREG_PRT15_OUT_SEL1 0x40005279 +#define CYREG_PRT15_OE_SEL0 0x4000527a +#define CYREG_PRT15_OE_SEL1 0x4000527b +#define CYREG_PRT15_DBL_SYNC_IN 0x4000527c +#define CYREG_PRT15_SYNC_OUT 0x4000527d +#define CYREG_PRT15_CAPS_SEL 0x4000527e +#define CYDEV_EMIF_BASE 0x40005400 +#define CYDEV_EMIF_SIZE 0x00000007 +#define CYREG_EMIF_NO_UDB 0x40005400 +#define CYREG_EMIF_RP_WAIT_STATES 0x40005401 +#define CYREG_EMIF_MEM_DWN 0x40005402 +#define CYREG_EMIF_MEMCLK_DIV 0x40005403 +#define CYREG_EMIF_CLOCK_EN 0x40005404 +#define CYREG_EMIF_EM_TYPE 0x40005405 +#define CYREG_EMIF_WP_WAIT_STATES 0x40005406 +#define CYDEV_ANAIF_BASE 0x40005800 +#define CYDEV_ANAIF_SIZE 0x000003a9 +#define CYDEV_ANAIF_CFG_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SIZE 0x0000010f +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003 +#define CYREG_SC0_CR0 0x40005800 +#define CYREG_SC0_CR1 0x40005801 +#define CYREG_SC0_CR2 0x40005802 +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804 +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003 +#define CYREG_SC1_CR0 0x40005804 +#define CYREG_SC1_CR1 0x40005805 +#define CYREG_SC1_CR2 0x40005806 +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808 +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003 +#define CYREG_SC2_CR0 0x40005808 +#define CYREG_SC2_CR1 0x40005809 +#define CYREG_SC2_CR2 0x4000580a +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580c +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003 +#define CYREG_SC3_CR0 0x4000580c +#define CYREG_SC3_CR1 0x4000580d +#define CYREG_SC3_CR2 0x4000580e +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820 +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003 +#define CYREG_DAC0_CR0 0x40005820 +#define CYREG_DAC0_CR1 0x40005821 +#define CYREG_DAC0_TST 0x40005822 +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824 +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003 +#define CYREG_DAC1_CR0 0x40005824 +#define CYREG_DAC1_CR1 0x40005825 +#define CYREG_DAC1_TST 0x40005826 +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828 +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003 +#define CYREG_DAC2_CR0 0x40005828 +#define CYREG_DAC2_CR1 0x40005829 +#define CYREG_DAC2_TST 0x4000582a +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582c +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003 +#define CYREG_DAC3_CR0 0x4000582c +#define CYREG_DAC3_CR1 0x4000582d +#define CYREG_DAC3_TST 0x4000582e +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840 +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001 +#define CYREG_CMP0_CR 0x40005840 +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841 +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001 +#define CYREG_CMP1_CR 0x40005841 +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842 +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001 +#define CYREG_CMP2_CR 0x40005842 +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843 +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001 +#define CYREG_CMP3_CR 0x40005843 +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848 +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002 +#define CYREG_LUT0_CR 0x40005848 +#define CYREG_LUT0_MX 0x40005849 +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584a +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002 +#define CYREG_LUT1_CR 0x4000584a +#define CYREG_LUT1_MX 0x4000584b +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584c +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002 +#define CYREG_LUT2_CR 0x4000584c +#define CYREG_LUT2_MX 0x4000584d +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584e +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002 +#define CYREG_LUT3_CR 0x4000584e +#define CYREG_LUT3_MX 0x4000584f +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858 +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002 +#define CYREG_OPAMP0_CR 0x40005858 +#define CYREG_OPAMP0_RSVD 0x40005859 +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585a +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002 +#define CYREG_OPAMP1_CR 0x4000585a +#define CYREG_OPAMP1_RSVD 0x4000585b +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585c +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002 +#define CYREG_OPAMP2_CR 0x4000585c +#define CYREG_OPAMP2_RSVD 0x4000585d +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585e +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002 +#define CYREG_OPAMP3_CR 0x4000585e +#define CYREG_OPAMP3_RSVD 0x4000585f +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868 +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002 +#define CYREG_LCDDAC_CR0 0x40005868 +#define CYREG_LCDDAC_CR1 0x40005869 +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586a +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001 +#define CYREG_LCDDRV_CR 0x4000586a +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586b +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001 +#define CYREG_LCDTMR_CFG 0x4000586b +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586c +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004 +#define CYREG_BG_CR0 0x4000586c +#define CYREG_BG_RSVD 0x4000586d +#define CYREG_BG_DFT0 0x4000586e +#define CYREG_BG_DFT1 0x4000586f +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870 +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002 +#define CYREG_CAPSL_CFG0 0x40005870 +#define CYREG_CAPSL_CFG1 0x40005871 +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872 +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002 +#define CYREG_CAPSR_CFG0 0x40005872 +#define CYREG_CAPSR_CFG1 0x40005873 +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876 +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002 +#define CYREG_PUMP_CR0 0x40005876 +#define CYREG_PUMP_CR1 0x40005877 +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878 +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002 +#define CYREG_LPF0_CR0 0x40005878 +#define CYREG_LPF0_RSVD 0x40005879 +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587a +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002 +#define CYREG_LPF1_CR0 0x4000587a +#define CYREG_LPF1_RSVD 0x4000587b +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587c +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001 +#define CYREG_ANAIF_CFG_MISC_CR0 0x4000587c +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880 +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020 +#define CYREG_DSM0_CR0 0x40005880 +#define CYREG_DSM0_CR1 0x40005881 +#define CYREG_DSM0_CR2 0x40005882 +#define CYREG_DSM0_CR3 0x40005883 +#define CYREG_DSM0_CR4 0x40005884 +#define CYREG_DSM0_CR5 0x40005885 +#define CYREG_DSM0_CR6 0x40005886 +#define CYREG_DSM0_CR7 0x40005887 +#define CYREG_DSM0_CR8 0x40005888 +#define CYREG_DSM0_CR9 0x40005889 +#define CYREG_DSM0_CR10 0x4000588a +#define CYREG_DSM0_CR11 0x4000588b +#define CYREG_DSM0_CR12 0x4000588c +#define CYREG_DSM0_CR13 0x4000588d +#define CYREG_DSM0_CR14 0x4000588e +#define CYREG_DSM0_CR15 0x4000588f +#define CYREG_DSM0_CR16 0x40005890 +#define CYREG_DSM0_CR17 0x40005891 +#define CYREG_DSM0_REF0 0x40005892 +#define CYREG_DSM0_REF1 0x40005893 +#define CYREG_DSM0_REF2 0x40005894 +#define CYREG_DSM0_REF3 0x40005895 +#define CYREG_DSM0_DEM0 0x40005896 +#define CYREG_DSM0_DEM1 0x40005897 +#define CYREG_DSM0_TST0 0x40005898 +#define CYREG_DSM0_TST1 0x40005899 +#define CYREG_DSM0_BUF0 0x4000589a +#define CYREG_DSM0_BUF1 0x4000589b +#define CYREG_DSM0_BUF2 0x4000589c +#define CYREG_DSM0_BUF3 0x4000589d +#define CYREG_DSM0_MISC 0x4000589e +#define CYREG_DSM0_RSVD1 0x4000589f +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900 +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007 +#define CYREG_SAR0_CSR0 0x40005900 +#define CYREG_SAR0_CSR1 0x40005901 +#define CYREG_SAR0_CSR2 0x40005902 +#define CYREG_SAR0_CSR3 0x40005903 +#define CYREG_SAR0_CSR4 0x40005904 +#define CYREG_SAR0_CSR5 0x40005905 +#define CYREG_SAR0_CSR6 0x40005906 +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908 +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007 +#define CYREG_SAR1_CSR0 0x40005908 +#define CYREG_SAR1_CSR1 0x40005909 +#define CYREG_SAR1_CSR2 0x4000590a +#define CYREG_SAR1_CSR3 0x4000590b +#define CYREG_SAR1_CSR4 0x4000590c +#define CYREG_SAR1_CSR5 0x4000590d +#define CYREG_SAR1_CSR6 0x4000590e +#define CYDEV_ANAIF_RT_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SIZE 0x00000162 +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000d +#define CYREG_SC0_SW0 0x40005a00 +#define CYREG_SC0_SW2 0x40005a02 +#define CYREG_SC0_SW3 0x40005a03 +#define CYREG_SC0_SW4 0x40005a04 +#define CYREG_SC0_SW6 0x40005a06 +#define CYREG_SC0_SW7 0x40005a07 +#define CYREG_SC0_SW8 0x40005a08 +#define CYREG_SC0_SW10 0x40005a0a +#define CYREG_SC0_CLK 0x40005a0b +#define CYREG_SC0_BST 0x40005a0c +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10 +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000d +#define CYREG_SC1_SW0 0x40005a10 +#define CYREG_SC1_SW2 0x40005a12 +#define CYREG_SC1_SW3 0x40005a13 +#define CYREG_SC1_SW4 0x40005a14 +#define CYREG_SC1_SW6 0x40005a16 +#define CYREG_SC1_SW7 0x40005a17 +#define CYREG_SC1_SW8 0x40005a18 +#define CYREG_SC1_SW10 0x40005a1a +#define CYREG_SC1_CLK 0x40005a1b +#define CYREG_SC1_BST 0x40005a1c +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20 +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000d +#define CYREG_SC2_SW0 0x40005a20 +#define CYREG_SC2_SW2 0x40005a22 +#define CYREG_SC2_SW3 0x40005a23 +#define CYREG_SC2_SW4 0x40005a24 +#define CYREG_SC2_SW6 0x40005a26 +#define CYREG_SC2_SW7 0x40005a27 +#define CYREG_SC2_SW8 0x40005a28 +#define CYREG_SC2_SW10 0x40005a2a +#define CYREG_SC2_CLK 0x40005a2b +#define CYREG_SC2_BST 0x40005a2c +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30 +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000d +#define CYREG_SC3_SW0 0x40005a30 +#define CYREG_SC3_SW2 0x40005a32 +#define CYREG_SC3_SW3 0x40005a33 +#define CYREG_SC3_SW4 0x40005a34 +#define CYREG_SC3_SW6 0x40005a36 +#define CYREG_SC3_SW7 0x40005a37 +#define CYREG_SC3_SW8 0x40005a38 +#define CYREG_SC3_SW10 0x40005a3a +#define CYREG_SC3_CLK 0x40005a3b +#define CYREG_SC3_BST 0x40005a3c +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80 +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008 +#define CYREG_DAC0_SW0 0x40005a80 +#define CYREG_DAC0_SW2 0x40005a82 +#define CYREG_DAC0_SW3 0x40005a83 +#define CYREG_DAC0_SW4 0x40005a84 +#define CYREG_DAC0_STROBE 0x40005a87 +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88 +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008 +#define CYREG_DAC1_SW0 0x40005a88 +#define CYREG_DAC1_SW2 0x40005a8a +#define CYREG_DAC1_SW3 0x40005a8b +#define CYREG_DAC1_SW4 0x40005a8c +#define CYREG_DAC1_STROBE 0x40005a8f +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90 +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008 +#define CYREG_DAC2_SW0 0x40005a90 +#define CYREG_DAC2_SW2 0x40005a92 +#define CYREG_DAC2_SW3 0x40005a93 +#define CYREG_DAC2_SW4 0x40005a94 +#define CYREG_DAC2_STROBE 0x40005a97 +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98 +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008 +#define CYREG_DAC3_SW0 0x40005a98 +#define CYREG_DAC3_SW2 0x40005a9a +#define CYREG_DAC3_SW3 0x40005a9b +#define CYREG_DAC3_SW4 0x40005a9c +#define CYREG_DAC3_STROBE 0x40005a9f +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0 +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008 +#define CYREG_CMP0_SW0 0x40005ac0 +#define CYREG_CMP0_SW2 0x40005ac2 +#define CYREG_CMP0_SW3 0x40005ac3 +#define CYREG_CMP0_SW4 0x40005ac4 +#define CYREG_CMP0_SW6 0x40005ac6 +#define CYREG_CMP0_CLK 0x40005ac7 +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8 +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008 +#define CYREG_CMP1_SW0 0x40005ac8 +#define CYREG_CMP1_SW2 0x40005aca +#define CYREG_CMP1_SW3 0x40005acb +#define CYREG_CMP1_SW4 0x40005acc +#define CYREG_CMP1_SW6 0x40005ace +#define CYREG_CMP1_CLK 0x40005acf +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0 +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008 +#define CYREG_CMP2_SW0 0x40005ad0 +#define CYREG_CMP2_SW2 0x40005ad2 +#define CYREG_CMP2_SW3 0x40005ad3 +#define CYREG_CMP2_SW4 0x40005ad4 +#define CYREG_CMP2_SW6 0x40005ad6 +#define CYREG_CMP2_CLK 0x40005ad7 +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8 +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008 +#define CYREG_CMP3_SW0 0x40005ad8 +#define CYREG_CMP3_SW2 0x40005ada +#define CYREG_CMP3_SW3 0x40005adb +#define CYREG_CMP3_SW4 0x40005adc +#define CYREG_CMP3_SW6 0x40005ade +#define CYREG_CMP3_CLK 0x40005adf +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00 +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008 +#define CYREG_DSM0_SW0 0x40005b00 +#define CYREG_DSM0_SW2 0x40005b02 +#define CYREG_DSM0_SW3 0x40005b03 +#define CYREG_DSM0_SW4 0x40005b04 +#define CYREG_DSM0_SW6 0x40005b06 +#define CYREG_DSM0_CLK 0x40005b07 +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20 +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008 +#define CYREG_SAR0_SW0 0x40005b20 +#define CYREG_SAR0_SW2 0x40005b22 +#define CYREG_SAR0_SW3 0x40005b23 +#define CYREG_SAR0_SW4 0x40005b24 +#define CYREG_SAR0_SW6 0x40005b26 +#define CYREG_SAR0_CLK 0x40005b27 +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28 +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008 +#define CYREG_SAR1_SW0 0x40005b28 +#define CYREG_SAR1_SW2 0x40005b2a +#define CYREG_SAR1_SW3 0x40005b2b +#define CYREG_SAR1_SW4 0x40005b2c +#define CYREG_SAR1_SW6 0x40005b2e +#define CYREG_SAR1_CLK 0x40005b2f +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40 +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002 +#define CYREG_OPAMP0_MX 0x40005b40 +#define CYREG_OPAMP0_SW 0x40005b41 +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42 +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002 +#define CYREG_OPAMP1_MX 0x40005b42 +#define CYREG_OPAMP1_SW 0x40005b43 +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44 +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002 +#define CYREG_OPAMP2_MX 0x40005b44 +#define CYREG_OPAMP2_SW 0x40005b45 +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46 +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002 +#define CYREG_OPAMP3_MX 0x40005b46 +#define CYREG_OPAMP3_SW 0x40005b47 +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50 +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005 +#define CYREG_LCDDAC_SW0 0x40005b50 +#define CYREG_LCDDAC_SW1 0x40005b51 +#define CYREG_LCDDAC_SW2 0x40005b52 +#define CYREG_LCDDAC_SW3 0x40005b53 +#define CYREG_LCDDAC_SW4 0x40005b54 +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56 +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001 +#define CYREG_SC_MISC 0x40005b56 +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58 +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004 +#define CYREG_BUS_SW0 0x40005b58 +#define CYREG_BUS_SW2 0x40005b5a +#define CYREG_BUS_SW3 0x40005b5b +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5c +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006 +#define CYREG_DFT_CR0 0x40005b5c +#define CYREG_DFT_CR1 0x40005b5d +#define CYREG_DFT_CR2 0x40005b5e +#define CYREG_DFT_CR3 0x40005b5f +#define CYREG_DFT_CR4 0x40005b60 +#define CYREG_DFT_CR5 0x40005b61 +#define CYDEV_ANAIF_WRK_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_SIZE 0x00000029 +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001 +#define CYREG_DAC0_D 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001 +#define CYREG_DAC1_D 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001 +#define CYREG_DAC2_D 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83 +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001 +#define CYREG_DAC3_D 0x40005b83 +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88 +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002 +#define CYREG_DSM0_OUT0 0x40005b88 +#define CYREG_DSM0_OUT1 0x40005b89 +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90 +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005 +#define CYREG_LUT_SR 0x40005b90 +#define CYREG_LUT_WRK1 0x40005b91 +#define CYREG_LUT_MSK 0x40005b92 +#define CYREG_LUT_CLK 0x40005b93 +#define CYREG_LUT_CPTR 0x40005b94 +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96 +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002 +#define CYREG_CMP_WRK 0x40005b96 +#define CYREG_CMP_TST 0x40005b97 +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98 +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005 +#define CYREG_SC_SR 0x40005b98 +#define CYREG_SC_WRK1 0x40005b99 +#define CYREG_SC_MSK 0x40005b9a +#define CYREG_SC_CMPINV 0x40005b9b +#define CYREG_SC_CPTR 0x40005b9c +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0 +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002 +#define CYREG_SAR0_WRK0 0x40005ba0 +#define CYREG_SAR0_WRK1 0x40005ba1 +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2 +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002 +#define CYREG_SAR1_WRK0 0x40005ba2 +#define CYREG_SAR1_WRK1 0x40005ba3 +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8 +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001 +#define CYREG_ANAIF_WRK_SARS_SOF 0x40005ba8 +#define CYDEV_USB_BASE 0x40006000 +#define CYDEV_USB_SIZE 0x00000300 +#define CYREG_USB_EP0_DR0 0x40006000 +#define CYREG_USB_EP0_DR1 0x40006001 +#define CYREG_USB_EP0_DR2 0x40006002 +#define CYREG_USB_EP0_DR3 0x40006003 +#define CYREG_USB_EP0_DR4 0x40006004 +#define CYREG_USB_EP0_DR5 0x40006005 +#define CYREG_USB_EP0_DR6 0x40006006 +#define CYREG_USB_EP0_DR7 0x40006007 +#define CYREG_USB_CR0 0x40006008 +#define CYREG_USB_CR1 0x40006009 +#define CYREG_USB_SIE_EP_INT_EN 0x4000600a +#define CYREG_USB_SIE_EP_INT_SR 0x4000600b +#define CYDEV_USB_SIE_EP1_BASE 0x4000600c +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003 +#define CYREG_USB_SIE_EP1_CNT0 0x4000600c +#define CYREG_USB_SIE_EP1_CNT1 0x4000600d +#define CYREG_USB_SIE_EP1_CR0 0x4000600e +#define CYREG_USB_USBIO_CR0 0x40006010 +#define CYREG_USB_USBIO_CR1 0x40006012 +#define CYREG_USB_DYN_RECONFIG 0x40006014 +#define CYREG_USB_SOF0 0x40006018 +#define CYREG_USB_SOF1 0x40006019 +#define CYDEV_USB_SIE_EP2_BASE 0x4000601c +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003 +#define CYREG_USB_SIE_EP2_CNT0 0x4000601c +#define CYREG_USB_SIE_EP2_CNT1 0x4000601d +#define CYREG_USB_SIE_EP2_CR0 0x4000601e +#define CYREG_USB_EP0_CR 0x40006028 +#define CYREG_USB_EP0_CNT 0x40006029 +#define CYDEV_USB_SIE_EP3_BASE 0x4000602c +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003 +#define CYREG_USB_SIE_EP3_CNT0 0x4000602c +#define CYREG_USB_SIE_EP3_CNT1 0x4000602d +#define CYREG_USB_SIE_EP3_CR0 0x4000602e +#define CYDEV_USB_SIE_EP4_BASE 0x4000603c +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003 +#define CYREG_USB_SIE_EP4_CNT0 0x4000603c +#define CYREG_USB_SIE_EP4_CNT1 0x4000603d +#define CYREG_USB_SIE_EP4_CR0 0x4000603e +#define CYDEV_USB_SIE_EP5_BASE 0x4000604c +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003 +#define CYREG_USB_SIE_EP5_CNT0 0x4000604c +#define CYREG_USB_SIE_EP5_CNT1 0x4000604d +#define CYREG_USB_SIE_EP5_CR0 0x4000604e +#define CYDEV_USB_SIE_EP6_BASE 0x4000605c +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003 +#define CYREG_USB_SIE_EP6_CNT0 0x4000605c +#define CYREG_USB_SIE_EP6_CNT1 0x4000605d +#define CYREG_USB_SIE_EP6_CR0 0x4000605e +#define CYDEV_USB_SIE_EP7_BASE 0x4000606c +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003 +#define CYREG_USB_SIE_EP7_CNT0 0x4000606c +#define CYREG_USB_SIE_EP7_CNT1 0x4000606d +#define CYREG_USB_SIE_EP7_CR0 0x4000606e +#define CYDEV_USB_SIE_EP8_BASE 0x4000607c +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003 +#define CYREG_USB_SIE_EP8_CNT0 0x4000607c +#define CYREG_USB_SIE_EP8_CNT1 0x4000607d +#define CYREG_USB_SIE_EP8_CR0 0x4000607e +#define CYDEV_USB_ARB_EP1_BASE 0x40006080 +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003 +#define CYREG_USB_ARB_EP1_CFG 0x40006080 +#define CYREG_USB_ARB_EP1_INT_EN 0x40006081 +#define CYREG_USB_ARB_EP1_SR 0x40006082 +#define CYDEV_USB_ARB_RW1_BASE 0x40006084 +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005 +#define CYREG_USB_ARB_RW1_WA 0x40006084 +#define CYREG_USB_ARB_RW1_WA_MSB 0x40006085 +#define CYREG_USB_ARB_RW1_RA 0x40006086 +#define CYREG_USB_ARB_RW1_RA_MSB 0x40006087 +#define CYREG_USB_ARB_RW1_DR 0x40006088 +#define CYREG_USB_BUF_SIZE 0x4000608c +#define CYREG_USB_EP_ACTIVE 0x4000608e +#define CYREG_USB_EP_TYPE 0x4000608f +#define CYDEV_USB_ARB_EP2_BASE 0x40006090 +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003 +#define CYREG_USB_ARB_EP2_CFG 0x40006090 +#define CYREG_USB_ARB_EP2_INT_EN 0x40006091 +#define CYREG_USB_ARB_EP2_SR 0x40006092 +#define CYDEV_USB_ARB_RW2_BASE 0x40006094 +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005 +#define CYREG_USB_ARB_RW2_WA 0x40006094 +#define CYREG_USB_ARB_RW2_WA_MSB 0x40006095 +#define CYREG_USB_ARB_RW2_RA 0x40006096 +#define CYREG_USB_ARB_RW2_RA_MSB 0x40006097 +#define CYREG_USB_ARB_RW2_DR 0x40006098 +#define CYREG_USB_ARB_CFG 0x4000609c +#define CYREG_USB_USB_CLK_EN 0x4000609d +#define CYREG_USB_ARB_INT_EN 0x4000609e +#define CYREG_USB_ARB_INT_SR 0x4000609f +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0 +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003 +#define CYREG_USB_ARB_EP3_CFG 0x400060a0 +#define CYREG_USB_ARB_EP3_INT_EN 0x400060a1 +#define CYREG_USB_ARB_EP3_SR 0x400060a2 +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4 +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005 +#define CYREG_USB_ARB_RW3_WA 0x400060a4 +#define CYREG_USB_ARB_RW3_WA_MSB 0x400060a5 +#define CYREG_USB_ARB_RW3_RA 0x400060a6 +#define CYREG_USB_ARB_RW3_RA_MSB 0x400060a7 +#define CYREG_USB_ARB_RW3_DR 0x400060a8 +#define CYREG_USB_CWA 0x400060ac +#define CYREG_USB_CWA_MSB 0x400060ad +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0 +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003 +#define CYREG_USB_ARB_EP4_CFG 0x400060b0 +#define CYREG_USB_ARB_EP4_INT_EN 0x400060b1 +#define CYREG_USB_ARB_EP4_SR 0x400060b2 +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4 +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005 +#define CYREG_USB_ARB_RW4_WA 0x400060b4 +#define CYREG_USB_ARB_RW4_WA_MSB 0x400060b5 +#define CYREG_USB_ARB_RW4_RA 0x400060b6 +#define CYREG_USB_ARB_RW4_RA_MSB 0x400060b7 +#define CYREG_USB_ARB_RW4_DR 0x400060b8 +#define CYREG_USB_DMA_THRES 0x400060bc +#define CYREG_USB_DMA_THRES_MSB 0x400060bd +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0 +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003 +#define CYREG_USB_ARB_EP5_CFG 0x400060c0 +#define CYREG_USB_ARB_EP5_INT_EN 0x400060c1 +#define CYREG_USB_ARB_EP5_SR 0x400060c2 +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4 +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005 +#define CYREG_USB_ARB_RW5_WA 0x400060c4 +#define CYREG_USB_ARB_RW5_WA_MSB 0x400060c5 +#define CYREG_USB_ARB_RW5_RA 0x400060c6 +#define CYREG_USB_ARB_RW5_RA_MSB 0x400060c7 +#define CYREG_USB_ARB_RW5_DR 0x400060c8 +#define CYREG_USB_BUS_RST_CNT 0x400060cc +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0 +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003 +#define CYREG_USB_ARB_EP6_CFG 0x400060d0 +#define CYREG_USB_ARB_EP6_INT_EN 0x400060d1 +#define CYREG_USB_ARB_EP6_SR 0x400060d2 +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4 +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005 +#define CYREG_USB_ARB_RW6_WA 0x400060d4 +#define CYREG_USB_ARB_RW6_WA_MSB 0x400060d5 +#define CYREG_USB_ARB_RW6_RA 0x400060d6 +#define CYREG_USB_ARB_RW6_RA_MSB 0x400060d7 +#define CYREG_USB_ARB_RW6_DR 0x400060d8 +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0 +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003 +#define CYREG_USB_ARB_EP7_CFG 0x400060e0 +#define CYREG_USB_ARB_EP7_INT_EN 0x400060e1 +#define CYREG_USB_ARB_EP7_SR 0x400060e2 +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4 +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005 +#define CYREG_USB_ARB_RW7_WA 0x400060e4 +#define CYREG_USB_ARB_RW7_WA_MSB 0x400060e5 +#define CYREG_USB_ARB_RW7_RA 0x400060e6 +#define CYREG_USB_ARB_RW7_RA_MSB 0x400060e7 +#define CYREG_USB_ARB_RW7_DR 0x400060e8 +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0 +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003 +#define CYREG_USB_ARB_EP8_CFG 0x400060f0 +#define CYREG_USB_ARB_EP8_INT_EN 0x400060f1 +#define CYREG_USB_ARB_EP8_SR 0x400060f2 +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4 +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005 +#define CYREG_USB_ARB_RW8_WA 0x400060f4 +#define CYREG_USB_ARB_RW8_WA_MSB 0x400060f5 +#define CYREG_USB_ARB_RW8_RA 0x400060f6 +#define CYREG_USB_ARB_RW8_RA_MSB 0x400060f7 +#define CYREG_USB_ARB_RW8_DR 0x400060f8 +#define CYDEV_USB_MEM_BASE 0x40006100 +#define CYDEV_USB_MEM_SIZE 0x00000200 +#define CYREG_USB_MEM_DATA_MBASE 0x40006100 +#define CYREG_USB_MEM_DATA_MSIZE 0x00000200 +#define CYDEV_UWRK_BASE 0x40006400 +#define CYDEV_UWRK_SIZE 0x00000b60 +#define CYDEV_UWRK_UWRK8_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0 +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0 +#define CYREG_B0_UDB00_A0 0x40006400 +#define CYREG_B0_UDB01_A0 0x40006401 +#define CYREG_B0_UDB02_A0 0x40006402 +#define CYREG_B0_UDB03_A0 0x40006403 +#define CYREG_B0_UDB04_A0 0x40006404 +#define CYREG_B0_UDB05_A0 0x40006405 +#define CYREG_B0_UDB06_A0 0x40006406 +#define CYREG_B0_UDB07_A0 0x40006407 +#define CYREG_B0_UDB08_A0 0x40006408 +#define CYREG_B0_UDB09_A0 0x40006409 +#define CYREG_B0_UDB10_A0 0x4000640a +#define CYREG_B0_UDB11_A0 0x4000640b +#define CYREG_B0_UDB12_A0 0x4000640c +#define CYREG_B0_UDB13_A0 0x4000640d +#define CYREG_B0_UDB14_A0 0x4000640e +#define CYREG_B0_UDB15_A0 0x4000640f +#define CYREG_B0_UDB00_A1 0x40006410 +#define CYREG_B0_UDB01_A1 0x40006411 +#define CYREG_B0_UDB02_A1 0x40006412 +#define CYREG_B0_UDB03_A1 0x40006413 +#define CYREG_B0_UDB04_A1 0x40006414 +#define CYREG_B0_UDB05_A1 0x40006415 +#define CYREG_B0_UDB06_A1 0x40006416 +#define CYREG_B0_UDB07_A1 0x40006417 +#define CYREG_B0_UDB08_A1 0x40006418 +#define CYREG_B0_UDB09_A1 0x40006419 +#define CYREG_B0_UDB10_A1 0x4000641a +#define CYREG_B0_UDB11_A1 0x4000641b +#define CYREG_B0_UDB12_A1 0x4000641c +#define CYREG_B0_UDB13_A1 0x4000641d +#define CYREG_B0_UDB14_A1 0x4000641e +#define CYREG_B0_UDB15_A1 0x4000641f +#define CYREG_B0_UDB00_D0 0x40006420 +#define CYREG_B0_UDB01_D0 0x40006421 +#define CYREG_B0_UDB02_D0 0x40006422 +#define CYREG_B0_UDB03_D0 0x40006423 +#define CYREG_B0_UDB04_D0 0x40006424 +#define CYREG_B0_UDB05_D0 0x40006425 +#define CYREG_B0_UDB06_D0 0x40006426 +#define CYREG_B0_UDB07_D0 0x40006427 +#define CYREG_B0_UDB08_D0 0x40006428 +#define CYREG_B0_UDB09_D0 0x40006429 +#define CYREG_B0_UDB10_D0 0x4000642a +#define CYREG_B0_UDB11_D0 0x4000642b +#define CYREG_B0_UDB12_D0 0x4000642c +#define CYREG_B0_UDB13_D0 0x4000642d +#define CYREG_B0_UDB14_D0 0x4000642e +#define CYREG_B0_UDB15_D0 0x4000642f +#define CYREG_B0_UDB00_D1 0x40006430 +#define CYREG_B0_UDB01_D1 0x40006431 +#define CYREG_B0_UDB02_D1 0x40006432 +#define CYREG_B0_UDB03_D1 0x40006433 +#define CYREG_B0_UDB04_D1 0x40006434 +#define CYREG_B0_UDB05_D1 0x40006435 +#define CYREG_B0_UDB06_D1 0x40006436 +#define CYREG_B0_UDB07_D1 0x40006437 +#define CYREG_B0_UDB08_D1 0x40006438 +#define CYREG_B0_UDB09_D1 0x40006439 +#define CYREG_B0_UDB10_D1 0x4000643a +#define CYREG_B0_UDB11_D1 0x4000643b +#define CYREG_B0_UDB12_D1 0x4000643c +#define CYREG_B0_UDB13_D1 0x4000643d +#define CYREG_B0_UDB14_D1 0x4000643e +#define CYREG_B0_UDB15_D1 0x4000643f +#define CYREG_B0_UDB00_F0 0x40006440 +#define CYREG_B0_UDB01_F0 0x40006441 +#define CYREG_B0_UDB02_F0 0x40006442 +#define CYREG_B0_UDB03_F0 0x40006443 +#define CYREG_B0_UDB04_F0 0x40006444 +#define CYREG_B0_UDB05_F0 0x40006445 +#define CYREG_B0_UDB06_F0 0x40006446 +#define CYREG_B0_UDB07_F0 0x40006447 +#define CYREG_B0_UDB08_F0 0x40006448 +#define CYREG_B0_UDB09_F0 0x40006449 +#define CYREG_B0_UDB10_F0 0x4000644a +#define CYREG_B0_UDB11_F0 0x4000644b +#define CYREG_B0_UDB12_F0 0x4000644c +#define CYREG_B0_UDB13_F0 0x4000644d +#define CYREG_B0_UDB14_F0 0x4000644e +#define CYREG_B0_UDB15_F0 0x4000644f +#define CYREG_B0_UDB00_F1 0x40006450 +#define CYREG_B0_UDB01_F1 0x40006451 +#define CYREG_B0_UDB02_F1 0x40006452 +#define CYREG_B0_UDB03_F1 0x40006453 +#define CYREG_B0_UDB04_F1 0x40006454 +#define CYREG_B0_UDB05_F1 0x40006455 +#define CYREG_B0_UDB06_F1 0x40006456 +#define CYREG_B0_UDB07_F1 0x40006457 +#define CYREG_B0_UDB08_F1 0x40006458 +#define CYREG_B0_UDB09_F1 0x40006459 +#define CYREG_B0_UDB10_F1 0x4000645a +#define CYREG_B0_UDB11_F1 0x4000645b +#define CYREG_B0_UDB12_F1 0x4000645c +#define CYREG_B0_UDB13_F1 0x4000645d +#define CYREG_B0_UDB14_F1 0x4000645e +#define CYREG_B0_UDB15_F1 0x4000645f +#define CYREG_B0_UDB00_ST 0x40006460 +#define CYREG_B0_UDB01_ST 0x40006461 +#define CYREG_B0_UDB02_ST 0x40006462 +#define CYREG_B0_UDB03_ST 0x40006463 +#define CYREG_B0_UDB04_ST 0x40006464 +#define CYREG_B0_UDB05_ST 0x40006465 +#define CYREG_B0_UDB06_ST 0x40006466 +#define CYREG_B0_UDB07_ST 0x40006467 +#define CYREG_B0_UDB08_ST 0x40006468 +#define CYREG_B0_UDB09_ST 0x40006469 +#define CYREG_B0_UDB10_ST 0x4000646a +#define CYREG_B0_UDB11_ST 0x4000646b +#define CYREG_B0_UDB12_ST 0x4000646c +#define CYREG_B0_UDB13_ST 0x4000646d +#define CYREG_B0_UDB14_ST 0x4000646e +#define CYREG_B0_UDB15_ST 0x4000646f +#define CYREG_B0_UDB00_CTL 0x40006470 +#define CYREG_B0_UDB01_CTL 0x40006471 +#define CYREG_B0_UDB02_CTL 0x40006472 +#define CYREG_B0_UDB03_CTL 0x40006473 +#define CYREG_B0_UDB04_CTL 0x40006474 +#define CYREG_B0_UDB05_CTL 0x40006475 +#define CYREG_B0_UDB06_CTL 0x40006476 +#define CYREG_B0_UDB07_CTL 0x40006477 +#define CYREG_B0_UDB08_CTL 0x40006478 +#define CYREG_B0_UDB09_CTL 0x40006479 +#define CYREG_B0_UDB10_CTL 0x4000647a +#define CYREG_B0_UDB11_CTL 0x4000647b +#define CYREG_B0_UDB12_CTL 0x4000647c +#define CYREG_B0_UDB13_CTL 0x4000647d +#define CYREG_B0_UDB14_CTL 0x4000647e +#define CYREG_B0_UDB15_CTL 0x4000647f +#define CYREG_B0_UDB00_MSK 0x40006480 +#define CYREG_B0_UDB01_MSK 0x40006481 +#define CYREG_B0_UDB02_MSK 0x40006482 +#define CYREG_B0_UDB03_MSK 0x40006483 +#define CYREG_B0_UDB04_MSK 0x40006484 +#define CYREG_B0_UDB05_MSK 0x40006485 +#define CYREG_B0_UDB06_MSK 0x40006486 +#define CYREG_B0_UDB07_MSK 0x40006487 +#define CYREG_B0_UDB08_MSK 0x40006488 +#define CYREG_B0_UDB09_MSK 0x40006489 +#define CYREG_B0_UDB10_MSK 0x4000648a +#define CYREG_B0_UDB11_MSK 0x4000648b +#define CYREG_B0_UDB12_MSK 0x4000648c +#define CYREG_B0_UDB13_MSK 0x4000648d +#define CYREG_B0_UDB14_MSK 0x4000648e +#define CYREG_B0_UDB15_MSK 0x4000648f +#define CYREG_B0_UDB00_ACTL 0x40006490 +#define CYREG_B0_UDB01_ACTL 0x40006491 +#define CYREG_B0_UDB02_ACTL 0x40006492 +#define CYREG_B0_UDB03_ACTL 0x40006493 +#define CYREG_B0_UDB04_ACTL 0x40006494 +#define CYREG_B0_UDB05_ACTL 0x40006495 +#define CYREG_B0_UDB06_ACTL 0x40006496 +#define CYREG_B0_UDB07_ACTL 0x40006497 +#define CYREG_B0_UDB08_ACTL 0x40006498 +#define CYREG_B0_UDB09_ACTL 0x40006499 +#define CYREG_B0_UDB10_ACTL 0x4000649a +#define CYREG_B0_UDB11_ACTL 0x4000649b +#define CYREG_B0_UDB12_ACTL 0x4000649c +#define CYREG_B0_UDB13_ACTL 0x4000649d +#define CYREG_B0_UDB14_ACTL 0x4000649e +#define CYREG_B0_UDB15_ACTL 0x4000649f +#define CYREG_B0_UDB00_MC 0x400064a0 +#define CYREG_B0_UDB01_MC 0x400064a1 +#define CYREG_B0_UDB02_MC 0x400064a2 +#define CYREG_B0_UDB03_MC 0x400064a3 +#define CYREG_B0_UDB04_MC 0x400064a4 +#define CYREG_B0_UDB05_MC 0x400064a5 +#define CYREG_B0_UDB06_MC 0x400064a6 +#define CYREG_B0_UDB07_MC 0x400064a7 +#define CYREG_B0_UDB08_MC 0x400064a8 +#define CYREG_B0_UDB09_MC 0x400064a9 +#define CYREG_B0_UDB10_MC 0x400064aa +#define CYREG_B0_UDB11_MC 0x400064ab +#define CYREG_B0_UDB12_MC 0x400064ac +#define CYREG_B0_UDB13_MC 0x400064ad +#define CYREG_B0_UDB14_MC 0x400064ae +#define CYREG_B0_UDB15_MC 0x400064af +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500 +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0 +#define CYREG_B1_UDB04_A0 0x40006504 +#define CYREG_B1_UDB05_A0 0x40006505 +#define CYREG_B1_UDB06_A0 0x40006506 +#define CYREG_B1_UDB07_A0 0x40006507 +#define CYREG_B1_UDB08_A0 0x40006508 +#define CYREG_B1_UDB09_A0 0x40006509 +#define CYREG_B1_UDB10_A0 0x4000650a +#define CYREG_B1_UDB11_A0 0x4000650b +#define CYREG_B1_UDB04_A1 0x40006514 +#define CYREG_B1_UDB05_A1 0x40006515 +#define CYREG_B1_UDB06_A1 0x40006516 +#define CYREG_B1_UDB07_A1 0x40006517 +#define CYREG_B1_UDB08_A1 0x40006518 +#define CYREG_B1_UDB09_A1 0x40006519 +#define CYREG_B1_UDB10_A1 0x4000651a +#define CYREG_B1_UDB11_A1 0x4000651b +#define CYREG_B1_UDB04_D0 0x40006524 +#define CYREG_B1_UDB05_D0 0x40006525 +#define CYREG_B1_UDB06_D0 0x40006526 +#define CYREG_B1_UDB07_D0 0x40006527 +#define CYREG_B1_UDB08_D0 0x40006528 +#define CYREG_B1_UDB09_D0 0x40006529 +#define CYREG_B1_UDB10_D0 0x4000652a +#define CYREG_B1_UDB11_D0 0x4000652b +#define CYREG_B1_UDB04_D1 0x40006534 +#define CYREG_B1_UDB05_D1 0x40006535 +#define CYREG_B1_UDB06_D1 0x40006536 +#define CYREG_B1_UDB07_D1 0x40006537 +#define CYREG_B1_UDB08_D1 0x40006538 +#define CYREG_B1_UDB09_D1 0x40006539 +#define CYREG_B1_UDB10_D1 0x4000653a +#define CYREG_B1_UDB11_D1 0x4000653b +#define CYREG_B1_UDB04_F0 0x40006544 +#define CYREG_B1_UDB05_F0 0x40006545 +#define CYREG_B1_UDB06_F0 0x40006546 +#define CYREG_B1_UDB07_F0 0x40006547 +#define CYREG_B1_UDB08_F0 0x40006548 +#define CYREG_B1_UDB09_F0 0x40006549 +#define CYREG_B1_UDB10_F0 0x4000654a +#define CYREG_B1_UDB11_F0 0x4000654b +#define CYREG_B1_UDB04_F1 0x40006554 +#define CYREG_B1_UDB05_F1 0x40006555 +#define CYREG_B1_UDB06_F1 0x40006556 +#define CYREG_B1_UDB07_F1 0x40006557 +#define CYREG_B1_UDB08_F1 0x40006558 +#define CYREG_B1_UDB09_F1 0x40006559 +#define CYREG_B1_UDB10_F1 0x4000655a +#define CYREG_B1_UDB11_F1 0x4000655b +#define CYREG_B1_UDB04_ST 0x40006564 +#define CYREG_B1_UDB05_ST 0x40006565 +#define CYREG_B1_UDB06_ST 0x40006566 +#define CYREG_B1_UDB07_ST 0x40006567 +#define CYREG_B1_UDB08_ST 0x40006568 +#define CYREG_B1_UDB09_ST 0x40006569 +#define CYREG_B1_UDB10_ST 0x4000656a +#define CYREG_B1_UDB11_ST 0x4000656b +#define CYREG_B1_UDB04_CTL 0x40006574 +#define CYREG_B1_UDB05_CTL 0x40006575 +#define CYREG_B1_UDB06_CTL 0x40006576 +#define CYREG_B1_UDB07_CTL 0x40006577 +#define CYREG_B1_UDB08_CTL 0x40006578 +#define CYREG_B1_UDB09_CTL 0x40006579 +#define CYREG_B1_UDB10_CTL 0x4000657a +#define CYREG_B1_UDB11_CTL 0x4000657b +#define CYREG_B1_UDB04_MSK 0x40006584 +#define CYREG_B1_UDB05_MSK 0x40006585 +#define CYREG_B1_UDB06_MSK 0x40006586 +#define CYREG_B1_UDB07_MSK 0x40006587 +#define CYREG_B1_UDB08_MSK 0x40006588 +#define CYREG_B1_UDB09_MSK 0x40006589 +#define CYREG_B1_UDB10_MSK 0x4000658a +#define CYREG_B1_UDB11_MSK 0x4000658b +#define CYREG_B1_UDB04_ACTL 0x40006594 +#define CYREG_B1_UDB05_ACTL 0x40006595 +#define CYREG_B1_UDB06_ACTL 0x40006596 +#define CYREG_B1_UDB07_ACTL 0x40006597 +#define CYREG_B1_UDB08_ACTL 0x40006598 +#define CYREG_B1_UDB09_ACTL 0x40006599 +#define CYREG_B1_UDB10_ACTL 0x4000659a +#define CYREG_B1_UDB11_ACTL 0x4000659b +#define CYREG_B1_UDB04_MC 0x400065a4 +#define CYREG_B1_UDB05_MC 0x400065a5 +#define CYREG_B1_UDB06_MC 0x400065a6 +#define CYREG_B1_UDB07_MC 0x400065a7 +#define CYREG_B1_UDB08_MC 0x400065a8 +#define CYREG_B1_UDB09_MC 0x400065a9 +#define CYREG_B1_UDB10_MC 0x400065aa +#define CYREG_B1_UDB11_MC 0x400065ab +#define CYDEV_UWRK_UWRK16_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160 +#define CYREG_B0_UDB00_A0_A1 0x40006800 +#define CYREG_B0_UDB01_A0_A1 0x40006802 +#define CYREG_B0_UDB02_A0_A1 0x40006804 +#define CYREG_B0_UDB03_A0_A1 0x40006806 +#define CYREG_B0_UDB04_A0_A1 0x40006808 +#define CYREG_B0_UDB05_A0_A1 0x4000680a +#define CYREG_B0_UDB06_A0_A1 0x4000680c +#define CYREG_B0_UDB07_A0_A1 0x4000680e +#define CYREG_B0_UDB08_A0_A1 0x40006810 +#define CYREG_B0_UDB09_A0_A1 0x40006812 +#define CYREG_B0_UDB10_A0_A1 0x40006814 +#define CYREG_B0_UDB11_A0_A1 0x40006816 +#define CYREG_B0_UDB12_A0_A1 0x40006818 +#define CYREG_B0_UDB13_A0_A1 0x4000681a +#define CYREG_B0_UDB14_A0_A1 0x4000681c +#define CYREG_B0_UDB15_A0_A1 0x4000681e +#define CYREG_B0_UDB00_D0_D1 0x40006840 +#define CYREG_B0_UDB01_D0_D1 0x40006842 +#define CYREG_B0_UDB02_D0_D1 0x40006844 +#define CYREG_B0_UDB03_D0_D1 0x40006846 +#define CYREG_B0_UDB04_D0_D1 0x40006848 +#define CYREG_B0_UDB05_D0_D1 0x4000684a +#define CYREG_B0_UDB06_D0_D1 0x4000684c +#define CYREG_B0_UDB07_D0_D1 0x4000684e +#define CYREG_B0_UDB08_D0_D1 0x40006850 +#define CYREG_B0_UDB09_D0_D1 0x40006852 +#define CYREG_B0_UDB10_D0_D1 0x40006854 +#define CYREG_B0_UDB11_D0_D1 0x40006856 +#define CYREG_B0_UDB12_D0_D1 0x40006858 +#define CYREG_B0_UDB13_D0_D1 0x4000685a +#define CYREG_B0_UDB14_D0_D1 0x4000685c +#define CYREG_B0_UDB15_D0_D1 0x4000685e +#define CYREG_B0_UDB00_F0_F1 0x40006880 +#define CYREG_B0_UDB01_F0_F1 0x40006882 +#define CYREG_B0_UDB02_F0_F1 0x40006884 +#define CYREG_B0_UDB03_F0_F1 0x40006886 +#define CYREG_B0_UDB04_F0_F1 0x40006888 +#define CYREG_B0_UDB05_F0_F1 0x4000688a +#define CYREG_B0_UDB06_F0_F1 0x4000688c +#define CYREG_B0_UDB07_F0_F1 0x4000688e +#define CYREG_B0_UDB08_F0_F1 0x40006890 +#define CYREG_B0_UDB09_F0_F1 0x40006892 +#define CYREG_B0_UDB10_F0_F1 0x40006894 +#define CYREG_B0_UDB11_F0_F1 0x40006896 +#define CYREG_B0_UDB12_F0_F1 0x40006898 +#define CYREG_B0_UDB13_F0_F1 0x4000689a +#define CYREG_B0_UDB14_F0_F1 0x4000689c +#define CYREG_B0_UDB15_F0_F1 0x4000689e +#define CYREG_B0_UDB00_ST_CTL 0x400068c0 +#define CYREG_B0_UDB01_ST_CTL 0x400068c2 +#define CYREG_B0_UDB02_ST_CTL 0x400068c4 +#define CYREG_B0_UDB03_ST_CTL 0x400068c6 +#define CYREG_B0_UDB04_ST_CTL 0x400068c8 +#define CYREG_B0_UDB05_ST_CTL 0x400068ca +#define CYREG_B0_UDB06_ST_CTL 0x400068cc +#define CYREG_B0_UDB07_ST_CTL 0x400068ce +#define CYREG_B0_UDB08_ST_CTL 0x400068d0 +#define CYREG_B0_UDB09_ST_CTL 0x400068d2 +#define CYREG_B0_UDB10_ST_CTL 0x400068d4 +#define CYREG_B0_UDB11_ST_CTL 0x400068d6 +#define CYREG_B0_UDB12_ST_CTL 0x400068d8 +#define CYREG_B0_UDB13_ST_CTL 0x400068da +#define CYREG_B0_UDB14_ST_CTL 0x400068dc +#define CYREG_B0_UDB15_ST_CTL 0x400068de +#define CYREG_B0_UDB00_MSK_ACTL 0x40006900 +#define CYREG_B0_UDB01_MSK_ACTL 0x40006902 +#define CYREG_B0_UDB02_MSK_ACTL 0x40006904 +#define CYREG_B0_UDB03_MSK_ACTL 0x40006906 +#define CYREG_B0_UDB04_MSK_ACTL 0x40006908 +#define CYREG_B0_UDB05_MSK_ACTL 0x4000690a +#define CYREG_B0_UDB06_MSK_ACTL 0x4000690c +#define CYREG_B0_UDB07_MSK_ACTL 0x4000690e +#define CYREG_B0_UDB08_MSK_ACTL 0x40006910 +#define CYREG_B0_UDB09_MSK_ACTL 0x40006912 +#define CYREG_B0_UDB10_MSK_ACTL 0x40006914 +#define CYREG_B0_UDB11_MSK_ACTL 0x40006916 +#define CYREG_B0_UDB12_MSK_ACTL 0x40006918 +#define CYREG_B0_UDB13_MSK_ACTL 0x4000691a +#define CYREG_B0_UDB14_MSK_ACTL 0x4000691c +#define CYREG_B0_UDB15_MSK_ACTL 0x4000691e +#define CYREG_B0_UDB00_MC_00 0x40006940 +#define CYREG_B0_UDB01_MC_00 0x40006942 +#define CYREG_B0_UDB02_MC_00 0x40006944 +#define CYREG_B0_UDB03_MC_00 0x40006946 +#define CYREG_B0_UDB04_MC_00 0x40006948 +#define CYREG_B0_UDB05_MC_00 0x4000694a +#define CYREG_B0_UDB06_MC_00 0x4000694c +#define CYREG_B0_UDB07_MC_00 0x4000694e +#define CYREG_B0_UDB08_MC_00 0x40006950 +#define CYREG_B0_UDB09_MC_00 0x40006952 +#define CYREG_B0_UDB10_MC_00 0x40006954 +#define CYREG_B0_UDB11_MC_00 0x40006956 +#define CYREG_B0_UDB12_MC_00 0x40006958 +#define CYREG_B0_UDB13_MC_00 0x4000695a +#define CYREG_B0_UDB14_MC_00 0x4000695c +#define CYREG_B0_UDB15_MC_00 0x4000695e +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160 +#define CYREG_B1_UDB04_A0_A1 0x40006a08 +#define CYREG_B1_UDB05_A0_A1 0x40006a0a +#define CYREG_B1_UDB06_A0_A1 0x40006a0c +#define CYREG_B1_UDB07_A0_A1 0x40006a0e +#define CYREG_B1_UDB08_A0_A1 0x40006a10 +#define CYREG_B1_UDB09_A0_A1 0x40006a12 +#define CYREG_B1_UDB10_A0_A1 0x40006a14 +#define CYREG_B1_UDB11_A0_A1 0x40006a16 +#define CYREG_B1_UDB04_D0_D1 0x40006a48 +#define CYREG_B1_UDB05_D0_D1 0x40006a4a +#define CYREG_B1_UDB06_D0_D1 0x40006a4c +#define CYREG_B1_UDB07_D0_D1 0x40006a4e +#define CYREG_B1_UDB08_D0_D1 0x40006a50 +#define CYREG_B1_UDB09_D0_D1 0x40006a52 +#define CYREG_B1_UDB10_D0_D1 0x40006a54 +#define CYREG_B1_UDB11_D0_D1 0x40006a56 +#define CYREG_B1_UDB04_F0_F1 0x40006a88 +#define CYREG_B1_UDB05_F0_F1 0x40006a8a +#define CYREG_B1_UDB06_F0_F1 0x40006a8c +#define CYREG_B1_UDB07_F0_F1 0x40006a8e +#define CYREG_B1_UDB08_F0_F1 0x40006a90 +#define CYREG_B1_UDB09_F0_F1 0x40006a92 +#define CYREG_B1_UDB10_F0_F1 0x40006a94 +#define CYREG_B1_UDB11_F0_F1 0x40006a96 +#define CYREG_B1_UDB04_ST_CTL 0x40006ac8 +#define CYREG_B1_UDB05_ST_CTL 0x40006aca +#define CYREG_B1_UDB06_ST_CTL 0x40006acc +#define CYREG_B1_UDB07_ST_CTL 0x40006ace +#define CYREG_B1_UDB08_ST_CTL 0x40006ad0 +#define CYREG_B1_UDB09_ST_CTL 0x40006ad2 +#define CYREG_B1_UDB10_ST_CTL 0x40006ad4 +#define CYREG_B1_UDB11_ST_CTL 0x40006ad6 +#define CYREG_B1_UDB04_MSK_ACTL 0x40006b08 +#define CYREG_B1_UDB05_MSK_ACTL 0x40006b0a +#define CYREG_B1_UDB06_MSK_ACTL 0x40006b0c +#define CYREG_B1_UDB07_MSK_ACTL 0x40006b0e +#define CYREG_B1_UDB08_MSK_ACTL 0x40006b10 +#define CYREG_B1_UDB09_MSK_ACTL 0x40006b12 +#define CYREG_B1_UDB10_MSK_ACTL 0x40006b14 +#define CYREG_B1_UDB11_MSK_ACTL 0x40006b16 +#define CYREG_B1_UDB04_MC_00 0x40006b48 +#define CYREG_B1_UDB05_MC_00 0x40006b4a +#define CYREG_B1_UDB06_MC_00 0x40006b4c +#define CYREG_B1_UDB07_MC_00 0x40006b4e +#define CYREG_B1_UDB08_MC_00 0x40006b50 +#define CYREG_B1_UDB09_MC_00 0x40006b52 +#define CYREG_B1_UDB10_MC_00 0x40006b54 +#define CYREG_B1_UDB11_MC_00 0x40006b56 +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075e +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015e +#define CYREG_B0_UDB00_01_A0 0x40006800 +#define CYREG_B0_UDB01_02_A0 0x40006802 +#define CYREG_B0_UDB02_03_A0 0x40006804 +#define CYREG_B0_UDB03_04_A0 0x40006806 +#define CYREG_B0_UDB04_05_A0 0x40006808 +#define CYREG_B0_UDB05_06_A0 0x4000680a +#define CYREG_B0_UDB06_07_A0 0x4000680c +#define CYREG_B0_UDB07_08_A0 0x4000680e +#define CYREG_B0_UDB08_09_A0 0x40006810 +#define CYREG_B0_UDB09_10_A0 0x40006812 +#define CYREG_B0_UDB10_11_A0 0x40006814 +#define CYREG_B0_UDB11_12_A0 0x40006816 +#define CYREG_B0_UDB12_13_A0 0x40006818 +#define CYREG_B0_UDB13_14_A0 0x4000681a +#define CYREG_B0_UDB14_15_A0 0x4000681c +#define CYREG_B0_UDB00_01_A1 0x40006820 +#define CYREG_B0_UDB01_02_A1 0x40006822 +#define CYREG_B0_UDB02_03_A1 0x40006824 +#define CYREG_B0_UDB03_04_A1 0x40006826 +#define CYREG_B0_UDB04_05_A1 0x40006828 +#define CYREG_B0_UDB05_06_A1 0x4000682a +#define CYREG_B0_UDB06_07_A1 0x4000682c +#define CYREG_B0_UDB07_08_A1 0x4000682e +#define CYREG_B0_UDB08_09_A1 0x40006830 +#define CYREG_B0_UDB09_10_A1 0x40006832 +#define CYREG_B0_UDB10_11_A1 0x40006834 +#define CYREG_B0_UDB11_12_A1 0x40006836 +#define CYREG_B0_UDB12_13_A1 0x40006838 +#define CYREG_B0_UDB13_14_A1 0x4000683a +#define CYREG_B0_UDB14_15_A1 0x4000683c +#define CYREG_B0_UDB00_01_D0 0x40006840 +#define CYREG_B0_UDB01_02_D0 0x40006842 +#define CYREG_B0_UDB02_03_D0 0x40006844 +#define CYREG_B0_UDB03_04_D0 0x40006846 +#define CYREG_B0_UDB04_05_D0 0x40006848 +#define CYREG_B0_UDB05_06_D0 0x4000684a +#define CYREG_B0_UDB06_07_D0 0x4000684c +#define CYREG_B0_UDB07_08_D0 0x4000684e +#define CYREG_B0_UDB08_09_D0 0x40006850 +#define CYREG_B0_UDB09_10_D0 0x40006852 +#define CYREG_B0_UDB10_11_D0 0x40006854 +#define CYREG_B0_UDB11_12_D0 0x40006856 +#define CYREG_B0_UDB12_13_D0 0x40006858 +#define CYREG_B0_UDB13_14_D0 0x4000685a +#define CYREG_B0_UDB14_15_D0 0x4000685c +#define CYREG_B0_UDB00_01_D1 0x40006860 +#define CYREG_B0_UDB01_02_D1 0x40006862 +#define CYREG_B0_UDB02_03_D1 0x40006864 +#define CYREG_B0_UDB03_04_D1 0x40006866 +#define CYREG_B0_UDB04_05_D1 0x40006868 +#define CYREG_B0_UDB05_06_D1 0x4000686a +#define CYREG_B0_UDB06_07_D1 0x4000686c +#define CYREG_B0_UDB07_08_D1 0x4000686e +#define CYREG_B0_UDB08_09_D1 0x40006870 +#define CYREG_B0_UDB09_10_D1 0x40006872 +#define CYREG_B0_UDB10_11_D1 0x40006874 +#define CYREG_B0_UDB11_12_D1 0x40006876 +#define CYREG_B0_UDB12_13_D1 0x40006878 +#define CYREG_B0_UDB13_14_D1 0x4000687a +#define CYREG_B0_UDB14_15_D1 0x4000687c +#define CYREG_B0_UDB00_01_F0 0x40006880 +#define CYREG_B0_UDB01_02_F0 0x40006882 +#define CYREG_B0_UDB02_03_F0 0x40006884 +#define CYREG_B0_UDB03_04_F0 0x40006886 +#define CYREG_B0_UDB04_05_F0 0x40006888 +#define CYREG_B0_UDB05_06_F0 0x4000688a +#define CYREG_B0_UDB06_07_F0 0x4000688c +#define CYREG_B0_UDB07_08_F0 0x4000688e +#define CYREG_B0_UDB08_09_F0 0x40006890 +#define CYREG_B0_UDB09_10_F0 0x40006892 +#define CYREG_B0_UDB10_11_F0 0x40006894 +#define CYREG_B0_UDB11_12_F0 0x40006896 +#define CYREG_B0_UDB12_13_F0 0x40006898 +#define CYREG_B0_UDB13_14_F0 0x4000689a +#define CYREG_B0_UDB14_15_F0 0x4000689c +#define CYREG_B0_UDB00_01_F1 0x400068a0 +#define CYREG_B0_UDB01_02_F1 0x400068a2 +#define CYREG_B0_UDB02_03_F1 0x400068a4 +#define CYREG_B0_UDB03_04_F1 0x400068a6 +#define CYREG_B0_UDB04_05_F1 0x400068a8 +#define CYREG_B0_UDB05_06_F1 0x400068aa +#define CYREG_B0_UDB06_07_F1 0x400068ac +#define CYREG_B0_UDB07_08_F1 0x400068ae +#define CYREG_B0_UDB08_09_F1 0x400068b0 +#define CYREG_B0_UDB09_10_F1 0x400068b2 +#define CYREG_B0_UDB10_11_F1 0x400068b4 +#define CYREG_B0_UDB11_12_F1 0x400068b6 +#define CYREG_B0_UDB12_13_F1 0x400068b8 +#define CYREG_B0_UDB13_14_F1 0x400068ba +#define CYREG_B0_UDB14_15_F1 0x400068bc +#define CYREG_B0_UDB00_01_ST 0x400068c0 +#define CYREG_B0_UDB01_02_ST 0x400068c2 +#define CYREG_B0_UDB02_03_ST 0x400068c4 +#define CYREG_B0_UDB03_04_ST 0x400068c6 +#define CYREG_B0_UDB04_05_ST 0x400068c8 +#define CYREG_B0_UDB05_06_ST 0x400068ca +#define CYREG_B0_UDB06_07_ST 0x400068cc +#define CYREG_B0_UDB07_08_ST 0x400068ce +#define CYREG_B0_UDB08_09_ST 0x400068d0 +#define CYREG_B0_UDB09_10_ST 0x400068d2 +#define CYREG_B0_UDB10_11_ST 0x400068d4 +#define CYREG_B0_UDB11_12_ST 0x400068d6 +#define CYREG_B0_UDB12_13_ST 0x400068d8 +#define CYREG_B0_UDB13_14_ST 0x400068da +#define CYREG_B0_UDB14_15_ST 0x400068dc +#define CYREG_B0_UDB00_01_CTL 0x400068e0 +#define CYREG_B0_UDB01_02_CTL 0x400068e2 +#define CYREG_B0_UDB02_03_CTL 0x400068e4 +#define CYREG_B0_UDB03_04_CTL 0x400068e6 +#define CYREG_B0_UDB04_05_CTL 0x400068e8 +#define CYREG_B0_UDB05_06_CTL 0x400068ea +#define CYREG_B0_UDB06_07_CTL 0x400068ec +#define CYREG_B0_UDB07_08_CTL 0x400068ee +#define CYREG_B0_UDB08_09_CTL 0x400068f0 +#define CYREG_B0_UDB09_10_CTL 0x400068f2 +#define CYREG_B0_UDB10_11_CTL 0x400068f4 +#define CYREG_B0_UDB11_12_CTL 0x400068f6 +#define CYREG_B0_UDB12_13_CTL 0x400068f8 +#define CYREG_B0_UDB13_14_CTL 0x400068fa +#define CYREG_B0_UDB14_15_CTL 0x400068fc +#define CYREG_B0_UDB00_01_MSK 0x40006900 +#define CYREG_B0_UDB01_02_MSK 0x40006902 +#define CYREG_B0_UDB02_03_MSK 0x40006904 +#define CYREG_B0_UDB03_04_MSK 0x40006906 +#define CYREG_B0_UDB04_05_MSK 0x40006908 +#define CYREG_B0_UDB05_06_MSK 0x4000690a +#define CYREG_B0_UDB06_07_MSK 0x4000690c +#define CYREG_B0_UDB07_08_MSK 0x4000690e +#define CYREG_B0_UDB08_09_MSK 0x40006910 +#define CYREG_B0_UDB09_10_MSK 0x40006912 +#define CYREG_B0_UDB10_11_MSK 0x40006914 +#define CYREG_B0_UDB11_12_MSK 0x40006916 +#define CYREG_B0_UDB12_13_MSK 0x40006918 +#define CYREG_B0_UDB13_14_MSK 0x4000691a +#define CYREG_B0_UDB14_15_MSK 0x4000691c +#define CYREG_B0_UDB00_01_ACTL 0x40006920 +#define CYREG_B0_UDB01_02_ACTL 0x40006922 +#define CYREG_B0_UDB02_03_ACTL 0x40006924 +#define CYREG_B0_UDB03_04_ACTL 0x40006926 +#define CYREG_B0_UDB04_05_ACTL 0x40006928 +#define CYREG_B0_UDB05_06_ACTL 0x4000692a +#define CYREG_B0_UDB06_07_ACTL 0x4000692c +#define CYREG_B0_UDB07_08_ACTL 0x4000692e +#define CYREG_B0_UDB08_09_ACTL 0x40006930 +#define CYREG_B0_UDB09_10_ACTL 0x40006932 +#define CYREG_B0_UDB10_11_ACTL 0x40006934 +#define CYREG_B0_UDB11_12_ACTL 0x40006936 +#define CYREG_B0_UDB12_13_ACTL 0x40006938 +#define CYREG_B0_UDB13_14_ACTL 0x4000693a +#define CYREG_B0_UDB14_15_ACTL 0x4000693c +#define CYREG_B0_UDB00_01_MC 0x40006940 +#define CYREG_B0_UDB01_02_MC 0x40006942 +#define CYREG_B0_UDB02_03_MC 0x40006944 +#define CYREG_B0_UDB03_04_MC 0x40006946 +#define CYREG_B0_UDB04_05_MC 0x40006948 +#define CYREG_B0_UDB05_06_MC 0x4000694a +#define CYREG_B0_UDB06_07_MC 0x4000694c +#define CYREG_B0_UDB07_08_MC 0x4000694e +#define CYREG_B0_UDB08_09_MC 0x40006950 +#define CYREG_B0_UDB09_10_MC 0x40006952 +#define CYREG_B0_UDB10_11_MC 0x40006954 +#define CYREG_B0_UDB11_12_MC 0x40006956 +#define CYREG_B0_UDB12_13_MC 0x40006958 +#define CYREG_B0_UDB13_14_MC 0x4000695a +#define CYREG_B0_UDB14_15_MC 0x4000695c +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015e +#define CYREG_B1_UDB04_05_A0 0x40006a08 +#define CYREG_B1_UDB05_06_A0 0x40006a0a +#define CYREG_B1_UDB06_07_A0 0x40006a0c +#define CYREG_B1_UDB07_08_A0 0x40006a0e +#define CYREG_B1_UDB08_09_A0 0x40006a10 +#define CYREG_B1_UDB09_10_A0 0x40006a12 +#define CYREG_B1_UDB10_11_A0 0x40006a14 +#define CYREG_B1_UDB11_12_A0 0x40006a16 +#define CYREG_B1_UDB04_05_A1 0x40006a28 +#define CYREG_B1_UDB05_06_A1 0x40006a2a +#define CYREG_B1_UDB06_07_A1 0x40006a2c +#define CYREG_B1_UDB07_08_A1 0x40006a2e +#define CYREG_B1_UDB08_09_A1 0x40006a30 +#define CYREG_B1_UDB09_10_A1 0x40006a32 +#define CYREG_B1_UDB10_11_A1 0x40006a34 +#define CYREG_B1_UDB11_12_A1 0x40006a36 +#define CYREG_B1_UDB04_05_D0 0x40006a48 +#define CYREG_B1_UDB05_06_D0 0x40006a4a +#define CYREG_B1_UDB06_07_D0 0x40006a4c +#define CYREG_B1_UDB07_08_D0 0x40006a4e +#define CYREG_B1_UDB08_09_D0 0x40006a50 +#define CYREG_B1_UDB09_10_D0 0x40006a52 +#define CYREG_B1_UDB10_11_D0 0x40006a54 +#define CYREG_B1_UDB11_12_D0 0x40006a56 +#define CYREG_B1_UDB04_05_D1 0x40006a68 +#define CYREG_B1_UDB05_06_D1 0x40006a6a +#define CYREG_B1_UDB06_07_D1 0x40006a6c +#define CYREG_B1_UDB07_08_D1 0x40006a6e +#define CYREG_B1_UDB08_09_D1 0x40006a70 +#define CYREG_B1_UDB09_10_D1 0x40006a72 +#define CYREG_B1_UDB10_11_D1 0x40006a74 +#define CYREG_B1_UDB11_12_D1 0x40006a76 +#define CYREG_B1_UDB04_05_F0 0x40006a88 +#define CYREG_B1_UDB05_06_F0 0x40006a8a +#define CYREG_B1_UDB06_07_F0 0x40006a8c +#define CYREG_B1_UDB07_08_F0 0x40006a8e +#define CYREG_B1_UDB08_09_F0 0x40006a90 +#define CYREG_B1_UDB09_10_F0 0x40006a92 +#define CYREG_B1_UDB10_11_F0 0x40006a94 +#define CYREG_B1_UDB11_12_F0 0x40006a96 +#define CYREG_B1_UDB04_05_F1 0x40006aa8 +#define CYREG_B1_UDB05_06_F1 0x40006aaa +#define CYREG_B1_UDB06_07_F1 0x40006aac +#define CYREG_B1_UDB07_08_F1 0x40006aae +#define CYREG_B1_UDB08_09_F1 0x40006ab0 +#define CYREG_B1_UDB09_10_F1 0x40006ab2 +#define CYREG_B1_UDB10_11_F1 0x40006ab4 +#define CYREG_B1_UDB11_12_F1 0x40006ab6 +#define CYREG_B1_UDB04_05_ST 0x40006ac8 +#define CYREG_B1_UDB05_06_ST 0x40006aca +#define CYREG_B1_UDB06_07_ST 0x40006acc +#define CYREG_B1_UDB07_08_ST 0x40006ace +#define CYREG_B1_UDB08_09_ST 0x40006ad0 +#define CYREG_B1_UDB09_10_ST 0x40006ad2 +#define CYREG_B1_UDB10_11_ST 0x40006ad4 +#define CYREG_B1_UDB11_12_ST 0x40006ad6 +#define CYREG_B1_UDB04_05_CTL 0x40006ae8 +#define CYREG_B1_UDB05_06_CTL 0x40006aea +#define CYREG_B1_UDB06_07_CTL 0x40006aec +#define CYREG_B1_UDB07_08_CTL 0x40006aee +#define CYREG_B1_UDB08_09_CTL 0x40006af0 +#define CYREG_B1_UDB09_10_CTL 0x40006af2 +#define CYREG_B1_UDB10_11_CTL 0x40006af4 +#define CYREG_B1_UDB11_12_CTL 0x40006af6 +#define CYREG_B1_UDB04_05_MSK 0x40006b08 +#define CYREG_B1_UDB05_06_MSK 0x40006b0a +#define CYREG_B1_UDB06_07_MSK 0x40006b0c +#define CYREG_B1_UDB07_08_MSK 0x40006b0e +#define CYREG_B1_UDB08_09_MSK 0x40006b10 +#define CYREG_B1_UDB09_10_MSK 0x40006b12 +#define CYREG_B1_UDB10_11_MSK 0x40006b14 +#define CYREG_B1_UDB11_12_MSK 0x40006b16 +#define CYREG_B1_UDB04_05_ACTL 0x40006b28 +#define CYREG_B1_UDB05_06_ACTL 0x40006b2a +#define CYREG_B1_UDB06_07_ACTL 0x40006b2c +#define CYREG_B1_UDB07_08_ACTL 0x40006b2e +#define CYREG_B1_UDB08_09_ACTL 0x40006b30 +#define CYREG_B1_UDB09_10_ACTL 0x40006b32 +#define CYREG_B1_UDB10_11_ACTL 0x40006b34 +#define CYREG_B1_UDB11_12_ACTL 0x40006b36 +#define CYREG_B1_UDB04_05_MC 0x40006b48 +#define CYREG_B1_UDB05_06_MC 0x40006b4a +#define CYREG_B1_UDB06_07_MC 0x40006b4c +#define CYREG_B1_UDB07_08_MC 0x40006b4e +#define CYREG_B1_UDB08_09_MC 0x40006b50 +#define CYREG_B1_UDB09_10_MC 0x40006b52 +#define CYREG_B1_UDB10_11_MC 0x40006b54 +#define CYREG_B1_UDB11_12_MC 0x40006b56 +#define CYDEV_PHUB_BASE 0x40007000 +#define CYDEV_PHUB_SIZE 0x00000c00 +#define CYREG_PHUB_CFG 0x40007000 +#define CYREG_PHUB_ERR 0x40007004 +#define CYREG_PHUB_ERR_ADR 0x40007008 +#define CYDEV_PHUB_CH0_BASE 0x40007010 +#define CYDEV_PHUB_CH0_SIZE 0x0000000c +#define CYREG_PHUB_CH0_BASIC_CFG 0x40007010 +#define CYREG_PHUB_CH0_ACTION 0x40007014 +#define CYREG_PHUB_CH0_BASIC_STATUS 0x40007018 +#define CYDEV_PHUB_CH1_BASE 0x40007020 +#define CYDEV_PHUB_CH1_SIZE 0x0000000c +#define CYREG_PHUB_CH1_BASIC_CFG 0x40007020 +#define CYREG_PHUB_CH1_ACTION 0x40007024 +#define CYREG_PHUB_CH1_BASIC_STATUS 0x40007028 +#define CYDEV_PHUB_CH2_BASE 0x40007030 +#define CYDEV_PHUB_CH2_SIZE 0x0000000c +#define CYREG_PHUB_CH2_BASIC_CFG 0x40007030 +#define CYREG_PHUB_CH2_ACTION 0x40007034 +#define CYREG_PHUB_CH2_BASIC_STATUS 0x40007038 +#define CYDEV_PHUB_CH3_BASE 0x40007040 +#define CYDEV_PHUB_CH3_SIZE 0x0000000c +#define CYREG_PHUB_CH3_BASIC_CFG 0x40007040 +#define CYREG_PHUB_CH3_ACTION 0x40007044 +#define CYREG_PHUB_CH3_BASIC_STATUS 0x40007048 +#define CYDEV_PHUB_CH4_BASE 0x40007050 +#define CYDEV_PHUB_CH4_SIZE 0x0000000c +#define CYREG_PHUB_CH4_BASIC_CFG 0x40007050 +#define CYREG_PHUB_CH4_ACTION 0x40007054 +#define CYREG_PHUB_CH4_BASIC_STATUS 0x40007058 +#define CYDEV_PHUB_CH5_BASE 0x40007060 +#define CYDEV_PHUB_CH5_SIZE 0x0000000c +#define CYREG_PHUB_CH5_BASIC_CFG 0x40007060 +#define CYREG_PHUB_CH5_ACTION 0x40007064 +#define CYREG_PHUB_CH5_BASIC_STATUS 0x40007068 +#define CYDEV_PHUB_CH6_BASE 0x40007070 +#define CYDEV_PHUB_CH6_SIZE 0x0000000c +#define CYREG_PHUB_CH6_BASIC_CFG 0x40007070 +#define CYREG_PHUB_CH6_ACTION 0x40007074 +#define CYREG_PHUB_CH6_BASIC_STATUS 0x40007078 +#define CYDEV_PHUB_CH7_BASE 0x40007080 +#define CYDEV_PHUB_CH7_SIZE 0x0000000c +#define CYREG_PHUB_CH7_BASIC_CFG 0x40007080 +#define CYREG_PHUB_CH7_ACTION 0x40007084 +#define CYREG_PHUB_CH7_BASIC_STATUS 0x40007088 +#define CYDEV_PHUB_CH8_BASE 0x40007090 +#define CYDEV_PHUB_CH8_SIZE 0x0000000c +#define CYREG_PHUB_CH8_BASIC_CFG 0x40007090 +#define CYREG_PHUB_CH8_ACTION 0x40007094 +#define CYREG_PHUB_CH8_BASIC_STATUS 0x40007098 +#define CYDEV_PHUB_CH9_BASE 0x400070a0 +#define CYDEV_PHUB_CH9_SIZE 0x0000000c +#define CYREG_PHUB_CH9_BASIC_CFG 0x400070a0 +#define CYREG_PHUB_CH9_ACTION 0x400070a4 +#define CYREG_PHUB_CH9_BASIC_STATUS 0x400070a8 +#define CYDEV_PHUB_CH10_BASE 0x400070b0 +#define CYDEV_PHUB_CH10_SIZE 0x0000000c +#define CYREG_PHUB_CH10_BASIC_CFG 0x400070b0 +#define CYREG_PHUB_CH10_ACTION 0x400070b4 +#define CYREG_PHUB_CH10_BASIC_STATUS 0x400070b8 +#define CYDEV_PHUB_CH11_BASE 0x400070c0 +#define CYDEV_PHUB_CH11_SIZE 0x0000000c +#define CYREG_PHUB_CH11_BASIC_CFG 0x400070c0 +#define CYREG_PHUB_CH11_ACTION 0x400070c4 +#define CYREG_PHUB_CH11_BASIC_STATUS 0x400070c8 +#define CYDEV_PHUB_CH12_BASE 0x400070d0 +#define CYDEV_PHUB_CH12_SIZE 0x0000000c +#define CYREG_PHUB_CH12_BASIC_CFG 0x400070d0 +#define CYREG_PHUB_CH12_ACTION 0x400070d4 +#define CYREG_PHUB_CH12_BASIC_STATUS 0x400070d8 +#define CYDEV_PHUB_CH13_BASE 0x400070e0 +#define CYDEV_PHUB_CH13_SIZE 0x0000000c +#define CYREG_PHUB_CH13_BASIC_CFG 0x400070e0 +#define CYREG_PHUB_CH13_ACTION 0x400070e4 +#define CYREG_PHUB_CH13_BASIC_STATUS 0x400070e8 +#define CYDEV_PHUB_CH14_BASE 0x400070f0 +#define CYDEV_PHUB_CH14_SIZE 0x0000000c +#define CYREG_PHUB_CH14_BASIC_CFG 0x400070f0 +#define CYREG_PHUB_CH14_ACTION 0x400070f4 +#define CYREG_PHUB_CH14_BASIC_STATUS 0x400070f8 +#define CYDEV_PHUB_CH15_BASE 0x40007100 +#define CYDEV_PHUB_CH15_SIZE 0x0000000c +#define CYREG_PHUB_CH15_BASIC_CFG 0x40007100 +#define CYREG_PHUB_CH15_ACTION 0x40007104 +#define CYREG_PHUB_CH15_BASIC_STATUS 0x40007108 +#define CYDEV_PHUB_CH16_BASE 0x40007110 +#define CYDEV_PHUB_CH16_SIZE 0x0000000c +#define CYREG_PHUB_CH16_BASIC_CFG 0x40007110 +#define CYREG_PHUB_CH16_ACTION 0x40007114 +#define CYREG_PHUB_CH16_BASIC_STATUS 0x40007118 +#define CYDEV_PHUB_CH17_BASE 0x40007120 +#define CYDEV_PHUB_CH17_SIZE 0x0000000c +#define CYREG_PHUB_CH17_BASIC_CFG 0x40007120 +#define CYREG_PHUB_CH17_ACTION 0x40007124 +#define CYREG_PHUB_CH17_BASIC_STATUS 0x40007128 +#define CYDEV_PHUB_CH18_BASE 0x40007130 +#define CYDEV_PHUB_CH18_SIZE 0x0000000c +#define CYREG_PHUB_CH18_BASIC_CFG 0x40007130 +#define CYREG_PHUB_CH18_ACTION 0x40007134 +#define CYREG_PHUB_CH18_BASIC_STATUS 0x40007138 +#define CYDEV_PHUB_CH19_BASE 0x40007140 +#define CYDEV_PHUB_CH19_SIZE 0x0000000c +#define CYREG_PHUB_CH19_BASIC_CFG 0x40007140 +#define CYREG_PHUB_CH19_ACTION 0x40007144 +#define CYREG_PHUB_CH19_BASIC_STATUS 0x40007148 +#define CYDEV_PHUB_CH20_BASE 0x40007150 +#define CYDEV_PHUB_CH20_SIZE 0x0000000c +#define CYREG_PHUB_CH20_BASIC_CFG 0x40007150 +#define CYREG_PHUB_CH20_ACTION 0x40007154 +#define CYREG_PHUB_CH20_BASIC_STATUS 0x40007158 +#define CYDEV_PHUB_CH21_BASE 0x40007160 +#define CYDEV_PHUB_CH21_SIZE 0x0000000c +#define CYREG_PHUB_CH21_BASIC_CFG 0x40007160 +#define CYREG_PHUB_CH21_ACTION 0x40007164 +#define CYREG_PHUB_CH21_BASIC_STATUS 0x40007168 +#define CYDEV_PHUB_CH22_BASE 0x40007170 +#define CYDEV_PHUB_CH22_SIZE 0x0000000c +#define CYREG_PHUB_CH22_BASIC_CFG 0x40007170 +#define CYREG_PHUB_CH22_ACTION 0x40007174 +#define CYREG_PHUB_CH22_BASIC_STATUS 0x40007178 +#define CYDEV_PHUB_CH23_BASE 0x40007180 +#define CYDEV_PHUB_CH23_SIZE 0x0000000c +#define CYREG_PHUB_CH23_BASIC_CFG 0x40007180 +#define CYREG_PHUB_CH23_ACTION 0x40007184 +#define CYREG_PHUB_CH23_BASIC_STATUS 0x40007188 +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600 +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM0_CFG0 0x40007600 +#define CYREG_PHUB_CFGMEM0_CFG1 0x40007604 +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608 +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM1_CFG0 0x40007608 +#define CYREG_PHUB_CFGMEM1_CFG1 0x4000760c +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610 +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM2_CFG0 0x40007610 +#define CYREG_PHUB_CFGMEM2_CFG1 0x40007614 +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618 +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM3_CFG0 0x40007618 +#define CYREG_PHUB_CFGMEM3_CFG1 0x4000761c +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620 +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM4_CFG0 0x40007620 +#define CYREG_PHUB_CFGMEM4_CFG1 0x40007624 +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628 +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM5_CFG0 0x40007628 +#define CYREG_PHUB_CFGMEM5_CFG1 0x4000762c +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630 +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM6_CFG0 0x40007630 +#define CYREG_PHUB_CFGMEM6_CFG1 0x40007634 +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638 +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM7_CFG0 0x40007638 +#define CYREG_PHUB_CFGMEM7_CFG1 0x4000763c +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640 +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM8_CFG0 0x40007640 +#define CYREG_PHUB_CFGMEM8_CFG1 0x40007644 +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648 +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM9_CFG0 0x40007648 +#define CYREG_PHUB_CFGMEM9_CFG1 0x4000764c +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650 +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM10_CFG0 0x40007650 +#define CYREG_PHUB_CFGMEM10_CFG1 0x40007654 +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658 +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM11_CFG0 0x40007658 +#define CYREG_PHUB_CFGMEM11_CFG1 0x4000765c +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660 +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM12_CFG0 0x40007660 +#define CYREG_PHUB_CFGMEM12_CFG1 0x40007664 +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668 +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM13_CFG0 0x40007668 +#define CYREG_PHUB_CFGMEM13_CFG1 0x4000766c +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670 +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM14_CFG0 0x40007670 +#define CYREG_PHUB_CFGMEM14_CFG1 0x40007674 +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678 +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM15_CFG0 0x40007678 +#define CYREG_PHUB_CFGMEM15_CFG1 0x4000767c +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680 +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM16_CFG0 0x40007680 +#define CYREG_PHUB_CFGMEM16_CFG1 0x40007684 +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688 +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM17_CFG0 0x40007688 +#define CYREG_PHUB_CFGMEM17_CFG1 0x4000768c +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690 +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM18_CFG0 0x40007690 +#define CYREG_PHUB_CFGMEM18_CFG1 0x40007694 +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698 +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM19_CFG0 0x40007698 +#define CYREG_PHUB_CFGMEM19_CFG1 0x4000769c +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0 +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM20_CFG0 0x400076a0 +#define CYREG_PHUB_CFGMEM20_CFG1 0x400076a4 +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8 +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM21_CFG0 0x400076a8 +#define CYREG_PHUB_CFGMEM21_CFG1 0x400076ac +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0 +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM22_CFG0 0x400076b0 +#define CYREG_PHUB_CFGMEM22_CFG1 0x400076b4 +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8 +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM23_CFG0 0x400076b8 +#define CYREG_PHUB_CFGMEM23_CFG1 0x400076bc +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800 +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM0_ORIG_TD0 0x40007800 +#define CYREG_PHUB_TDMEM0_ORIG_TD1 0x40007804 +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808 +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM1_ORIG_TD0 0x40007808 +#define CYREG_PHUB_TDMEM1_ORIG_TD1 0x4000780c +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810 +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM2_ORIG_TD0 0x40007810 +#define CYREG_PHUB_TDMEM2_ORIG_TD1 0x40007814 +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818 +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM3_ORIG_TD0 0x40007818 +#define CYREG_PHUB_TDMEM3_ORIG_TD1 0x4000781c +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820 +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM4_ORIG_TD0 0x40007820 +#define CYREG_PHUB_TDMEM4_ORIG_TD1 0x40007824 +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828 +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM5_ORIG_TD0 0x40007828 +#define CYREG_PHUB_TDMEM5_ORIG_TD1 0x4000782c +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830 +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM6_ORIG_TD0 0x40007830 +#define CYREG_PHUB_TDMEM6_ORIG_TD1 0x40007834 +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838 +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM7_ORIG_TD0 0x40007838 +#define CYREG_PHUB_TDMEM7_ORIG_TD1 0x4000783c +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840 +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM8_ORIG_TD0 0x40007840 +#define CYREG_PHUB_TDMEM8_ORIG_TD1 0x40007844 +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848 +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM9_ORIG_TD0 0x40007848 +#define CYREG_PHUB_TDMEM9_ORIG_TD1 0x4000784c +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850 +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM10_ORIG_TD0 0x40007850 +#define CYREG_PHUB_TDMEM10_ORIG_TD1 0x40007854 +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858 +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM11_ORIG_TD0 0x40007858 +#define CYREG_PHUB_TDMEM11_ORIG_TD1 0x4000785c +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860 +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM12_ORIG_TD0 0x40007860 +#define CYREG_PHUB_TDMEM12_ORIG_TD1 0x40007864 +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868 +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM13_ORIG_TD0 0x40007868 +#define CYREG_PHUB_TDMEM13_ORIG_TD1 0x4000786c +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870 +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM14_ORIG_TD0 0x40007870 +#define CYREG_PHUB_TDMEM14_ORIG_TD1 0x40007874 +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878 +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM15_ORIG_TD0 0x40007878 +#define CYREG_PHUB_TDMEM15_ORIG_TD1 0x4000787c +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880 +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM16_ORIG_TD0 0x40007880 +#define CYREG_PHUB_TDMEM16_ORIG_TD1 0x40007884 +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888 +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM17_ORIG_TD0 0x40007888 +#define CYREG_PHUB_TDMEM17_ORIG_TD1 0x4000788c +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890 +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM18_ORIG_TD0 0x40007890 +#define CYREG_PHUB_TDMEM18_ORIG_TD1 0x40007894 +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898 +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM19_ORIG_TD0 0x40007898 +#define CYREG_PHUB_TDMEM19_ORIG_TD1 0x4000789c +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0 +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM20_ORIG_TD0 0x400078a0 +#define CYREG_PHUB_TDMEM20_ORIG_TD1 0x400078a4 +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8 +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM21_ORIG_TD0 0x400078a8 +#define CYREG_PHUB_TDMEM21_ORIG_TD1 0x400078ac +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0 +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM22_ORIG_TD0 0x400078b0 +#define CYREG_PHUB_TDMEM22_ORIG_TD1 0x400078b4 +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8 +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM23_ORIG_TD0 0x400078b8 +#define CYREG_PHUB_TDMEM23_ORIG_TD1 0x400078bc +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0 +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM24_ORIG_TD0 0x400078c0 +#define CYREG_PHUB_TDMEM24_ORIG_TD1 0x400078c4 +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8 +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM25_ORIG_TD0 0x400078c8 +#define CYREG_PHUB_TDMEM25_ORIG_TD1 0x400078cc +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0 +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM26_ORIG_TD0 0x400078d0 +#define CYREG_PHUB_TDMEM26_ORIG_TD1 0x400078d4 +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8 +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM27_ORIG_TD0 0x400078d8 +#define CYREG_PHUB_TDMEM27_ORIG_TD1 0x400078dc +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0 +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM28_ORIG_TD0 0x400078e0 +#define CYREG_PHUB_TDMEM28_ORIG_TD1 0x400078e4 +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8 +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM29_ORIG_TD0 0x400078e8 +#define CYREG_PHUB_TDMEM29_ORIG_TD1 0x400078ec +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0 +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM30_ORIG_TD0 0x400078f0 +#define CYREG_PHUB_TDMEM30_ORIG_TD1 0x400078f4 +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8 +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM31_ORIG_TD0 0x400078f8 +#define CYREG_PHUB_TDMEM31_ORIG_TD1 0x400078fc +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900 +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM32_ORIG_TD0 0x40007900 +#define CYREG_PHUB_TDMEM32_ORIG_TD1 0x40007904 +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908 +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM33_ORIG_TD0 0x40007908 +#define CYREG_PHUB_TDMEM33_ORIG_TD1 0x4000790c +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910 +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM34_ORIG_TD0 0x40007910 +#define CYREG_PHUB_TDMEM34_ORIG_TD1 0x40007914 +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918 +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM35_ORIG_TD0 0x40007918 +#define CYREG_PHUB_TDMEM35_ORIG_TD1 0x4000791c +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920 +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM36_ORIG_TD0 0x40007920 +#define CYREG_PHUB_TDMEM36_ORIG_TD1 0x40007924 +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928 +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM37_ORIG_TD0 0x40007928 +#define CYREG_PHUB_TDMEM37_ORIG_TD1 0x4000792c +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930 +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM38_ORIG_TD0 0x40007930 +#define CYREG_PHUB_TDMEM38_ORIG_TD1 0x40007934 +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938 +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM39_ORIG_TD0 0x40007938 +#define CYREG_PHUB_TDMEM39_ORIG_TD1 0x4000793c +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940 +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM40_ORIG_TD0 0x40007940 +#define CYREG_PHUB_TDMEM40_ORIG_TD1 0x40007944 +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948 +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM41_ORIG_TD0 0x40007948 +#define CYREG_PHUB_TDMEM41_ORIG_TD1 0x4000794c +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950 +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM42_ORIG_TD0 0x40007950 +#define CYREG_PHUB_TDMEM42_ORIG_TD1 0x40007954 +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958 +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM43_ORIG_TD0 0x40007958 +#define CYREG_PHUB_TDMEM43_ORIG_TD1 0x4000795c +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960 +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM44_ORIG_TD0 0x40007960 +#define CYREG_PHUB_TDMEM44_ORIG_TD1 0x40007964 +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968 +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM45_ORIG_TD0 0x40007968 +#define CYREG_PHUB_TDMEM45_ORIG_TD1 0x4000796c +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970 +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM46_ORIG_TD0 0x40007970 +#define CYREG_PHUB_TDMEM46_ORIG_TD1 0x40007974 +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978 +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM47_ORIG_TD0 0x40007978 +#define CYREG_PHUB_TDMEM47_ORIG_TD1 0x4000797c +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980 +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM48_ORIG_TD0 0x40007980 +#define CYREG_PHUB_TDMEM48_ORIG_TD1 0x40007984 +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988 +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM49_ORIG_TD0 0x40007988 +#define CYREG_PHUB_TDMEM49_ORIG_TD1 0x4000798c +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990 +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM50_ORIG_TD0 0x40007990 +#define CYREG_PHUB_TDMEM50_ORIG_TD1 0x40007994 +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998 +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM51_ORIG_TD0 0x40007998 +#define CYREG_PHUB_TDMEM51_ORIG_TD1 0x4000799c +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0 +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM52_ORIG_TD0 0x400079a0 +#define CYREG_PHUB_TDMEM52_ORIG_TD1 0x400079a4 +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8 +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM53_ORIG_TD0 0x400079a8 +#define CYREG_PHUB_TDMEM53_ORIG_TD1 0x400079ac +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0 +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM54_ORIG_TD0 0x400079b0 +#define CYREG_PHUB_TDMEM54_ORIG_TD1 0x400079b4 +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8 +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM55_ORIG_TD0 0x400079b8 +#define CYREG_PHUB_TDMEM55_ORIG_TD1 0x400079bc +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0 +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM56_ORIG_TD0 0x400079c0 +#define CYREG_PHUB_TDMEM56_ORIG_TD1 0x400079c4 +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8 +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM57_ORIG_TD0 0x400079c8 +#define CYREG_PHUB_TDMEM57_ORIG_TD1 0x400079cc +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0 +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM58_ORIG_TD0 0x400079d0 +#define CYREG_PHUB_TDMEM58_ORIG_TD1 0x400079d4 +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8 +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM59_ORIG_TD0 0x400079d8 +#define CYREG_PHUB_TDMEM59_ORIG_TD1 0x400079dc +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0 +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM60_ORIG_TD0 0x400079e0 +#define CYREG_PHUB_TDMEM60_ORIG_TD1 0x400079e4 +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8 +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM61_ORIG_TD0 0x400079e8 +#define CYREG_PHUB_TDMEM61_ORIG_TD1 0x400079ec +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0 +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM62_ORIG_TD0 0x400079f0 +#define CYREG_PHUB_TDMEM62_ORIG_TD1 0x400079f4 +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8 +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM63_ORIG_TD0 0x400079f8 +#define CYREG_PHUB_TDMEM63_ORIG_TD1 0x400079fc +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00 +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM64_ORIG_TD0 0x40007a00 +#define CYREG_PHUB_TDMEM64_ORIG_TD1 0x40007a04 +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08 +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM65_ORIG_TD0 0x40007a08 +#define CYREG_PHUB_TDMEM65_ORIG_TD1 0x40007a0c +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10 +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM66_ORIG_TD0 0x40007a10 +#define CYREG_PHUB_TDMEM66_ORIG_TD1 0x40007a14 +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18 +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM67_ORIG_TD0 0x40007a18 +#define CYREG_PHUB_TDMEM67_ORIG_TD1 0x40007a1c +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20 +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM68_ORIG_TD0 0x40007a20 +#define CYREG_PHUB_TDMEM68_ORIG_TD1 0x40007a24 +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28 +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM69_ORIG_TD0 0x40007a28 +#define CYREG_PHUB_TDMEM69_ORIG_TD1 0x40007a2c +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30 +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM70_ORIG_TD0 0x40007a30 +#define CYREG_PHUB_TDMEM70_ORIG_TD1 0x40007a34 +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38 +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM71_ORIG_TD0 0x40007a38 +#define CYREG_PHUB_TDMEM71_ORIG_TD1 0x40007a3c +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40 +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM72_ORIG_TD0 0x40007a40 +#define CYREG_PHUB_TDMEM72_ORIG_TD1 0x40007a44 +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48 +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM73_ORIG_TD0 0x40007a48 +#define CYREG_PHUB_TDMEM73_ORIG_TD1 0x40007a4c +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50 +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM74_ORIG_TD0 0x40007a50 +#define CYREG_PHUB_TDMEM74_ORIG_TD1 0x40007a54 +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58 +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM75_ORIG_TD0 0x40007a58 +#define CYREG_PHUB_TDMEM75_ORIG_TD1 0x40007a5c +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60 +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM76_ORIG_TD0 0x40007a60 +#define CYREG_PHUB_TDMEM76_ORIG_TD1 0x40007a64 +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68 +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM77_ORIG_TD0 0x40007a68 +#define CYREG_PHUB_TDMEM77_ORIG_TD1 0x40007a6c +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70 +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM78_ORIG_TD0 0x40007a70 +#define CYREG_PHUB_TDMEM78_ORIG_TD1 0x40007a74 +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78 +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM79_ORIG_TD0 0x40007a78 +#define CYREG_PHUB_TDMEM79_ORIG_TD1 0x40007a7c +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80 +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM80_ORIG_TD0 0x40007a80 +#define CYREG_PHUB_TDMEM80_ORIG_TD1 0x40007a84 +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88 +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM81_ORIG_TD0 0x40007a88 +#define CYREG_PHUB_TDMEM81_ORIG_TD1 0x40007a8c +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90 +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM82_ORIG_TD0 0x40007a90 +#define CYREG_PHUB_TDMEM82_ORIG_TD1 0x40007a94 +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98 +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM83_ORIG_TD0 0x40007a98 +#define CYREG_PHUB_TDMEM83_ORIG_TD1 0x40007a9c +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0 +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM84_ORIG_TD0 0x40007aa0 +#define CYREG_PHUB_TDMEM84_ORIG_TD1 0x40007aa4 +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8 +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM85_ORIG_TD0 0x40007aa8 +#define CYREG_PHUB_TDMEM85_ORIG_TD1 0x40007aac +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0 +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM86_ORIG_TD0 0x40007ab0 +#define CYREG_PHUB_TDMEM86_ORIG_TD1 0x40007ab4 +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8 +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM87_ORIG_TD0 0x40007ab8 +#define CYREG_PHUB_TDMEM87_ORIG_TD1 0x40007abc +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0 +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM88_ORIG_TD0 0x40007ac0 +#define CYREG_PHUB_TDMEM88_ORIG_TD1 0x40007ac4 +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8 +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM89_ORIG_TD0 0x40007ac8 +#define CYREG_PHUB_TDMEM89_ORIG_TD1 0x40007acc +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0 +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM90_ORIG_TD0 0x40007ad0 +#define CYREG_PHUB_TDMEM90_ORIG_TD1 0x40007ad4 +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8 +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM91_ORIG_TD0 0x40007ad8 +#define CYREG_PHUB_TDMEM91_ORIG_TD1 0x40007adc +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0 +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM92_ORIG_TD0 0x40007ae0 +#define CYREG_PHUB_TDMEM92_ORIG_TD1 0x40007ae4 +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8 +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM93_ORIG_TD0 0x40007ae8 +#define CYREG_PHUB_TDMEM93_ORIG_TD1 0x40007aec +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0 +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM94_ORIG_TD0 0x40007af0 +#define CYREG_PHUB_TDMEM94_ORIG_TD1 0x40007af4 +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8 +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM95_ORIG_TD0 0x40007af8 +#define CYREG_PHUB_TDMEM95_ORIG_TD1 0x40007afc +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00 +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM96_ORIG_TD0 0x40007b00 +#define CYREG_PHUB_TDMEM96_ORIG_TD1 0x40007b04 +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08 +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM97_ORIG_TD0 0x40007b08 +#define CYREG_PHUB_TDMEM97_ORIG_TD1 0x40007b0c +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10 +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM98_ORIG_TD0 0x40007b10 +#define CYREG_PHUB_TDMEM98_ORIG_TD1 0x40007b14 +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18 +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM99_ORIG_TD0 0x40007b18 +#define CYREG_PHUB_TDMEM99_ORIG_TD1 0x40007b1c +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20 +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM100_ORIG_TD0 0x40007b20 +#define CYREG_PHUB_TDMEM100_ORIG_TD1 0x40007b24 +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28 +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM101_ORIG_TD0 0x40007b28 +#define CYREG_PHUB_TDMEM101_ORIG_TD1 0x40007b2c +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30 +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM102_ORIG_TD0 0x40007b30 +#define CYREG_PHUB_TDMEM102_ORIG_TD1 0x40007b34 +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38 +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM103_ORIG_TD0 0x40007b38 +#define CYREG_PHUB_TDMEM103_ORIG_TD1 0x40007b3c +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40 +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM104_ORIG_TD0 0x40007b40 +#define CYREG_PHUB_TDMEM104_ORIG_TD1 0x40007b44 +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48 +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM105_ORIG_TD0 0x40007b48 +#define CYREG_PHUB_TDMEM105_ORIG_TD1 0x40007b4c +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50 +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM106_ORIG_TD0 0x40007b50 +#define CYREG_PHUB_TDMEM106_ORIG_TD1 0x40007b54 +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58 +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM107_ORIG_TD0 0x40007b58 +#define CYREG_PHUB_TDMEM107_ORIG_TD1 0x40007b5c +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60 +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM108_ORIG_TD0 0x40007b60 +#define CYREG_PHUB_TDMEM108_ORIG_TD1 0x40007b64 +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68 +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM109_ORIG_TD0 0x40007b68 +#define CYREG_PHUB_TDMEM109_ORIG_TD1 0x40007b6c +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70 +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM110_ORIG_TD0 0x40007b70 +#define CYREG_PHUB_TDMEM110_ORIG_TD1 0x40007b74 +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78 +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM111_ORIG_TD0 0x40007b78 +#define CYREG_PHUB_TDMEM111_ORIG_TD1 0x40007b7c +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80 +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM112_ORIG_TD0 0x40007b80 +#define CYREG_PHUB_TDMEM112_ORIG_TD1 0x40007b84 +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88 +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM113_ORIG_TD0 0x40007b88 +#define CYREG_PHUB_TDMEM113_ORIG_TD1 0x40007b8c +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90 +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM114_ORIG_TD0 0x40007b90 +#define CYREG_PHUB_TDMEM114_ORIG_TD1 0x40007b94 +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98 +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM115_ORIG_TD0 0x40007b98 +#define CYREG_PHUB_TDMEM115_ORIG_TD1 0x40007b9c +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0 +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM116_ORIG_TD0 0x40007ba0 +#define CYREG_PHUB_TDMEM116_ORIG_TD1 0x40007ba4 +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8 +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM117_ORIG_TD0 0x40007ba8 +#define CYREG_PHUB_TDMEM117_ORIG_TD1 0x40007bac +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0 +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM118_ORIG_TD0 0x40007bb0 +#define CYREG_PHUB_TDMEM118_ORIG_TD1 0x40007bb4 +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8 +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM119_ORIG_TD0 0x40007bb8 +#define CYREG_PHUB_TDMEM119_ORIG_TD1 0x40007bbc +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0 +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM120_ORIG_TD0 0x40007bc0 +#define CYREG_PHUB_TDMEM120_ORIG_TD1 0x40007bc4 +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8 +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM121_ORIG_TD0 0x40007bc8 +#define CYREG_PHUB_TDMEM121_ORIG_TD1 0x40007bcc +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0 +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM122_ORIG_TD0 0x40007bd0 +#define CYREG_PHUB_TDMEM122_ORIG_TD1 0x40007bd4 +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8 +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM123_ORIG_TD0 0x40007bd8 +#define CYREG_PHUB_TDMEM123_ORIG_TD1 0x40007bdc +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0 +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM124_ORIG_TD0 0x40007be0 +#define CYREG_PHUB_TDMEM124_ORIG_TD1 0x40007be4 +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8 +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM125_ORIG_TD0 0x40007be8 +#define CYREG_PHUB_TDMEM125_ORIG_TD1 0x40007bec +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0 +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM126_ORIG_TD0 0x40007bf0 +#define CYREG_PHUB_TDMEM126_ORIG_TD1 0x40007bf4 +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8 +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM127_ORIG_TD0 0x40007bf8 +#define CYREG_PHUB_TDMEM127_ORIG_TD1 0x40007bfc +#define CYDEV_EE_BASE 0x40008000 +#define CYDEV_EE_SIZE 0x00000800 +#define CYREG_EE_DATA_MBASE 0x40008000 +#define CYREG_EE_DATA_MSIZE 0x00000800 +#define CYDEV_CAN0_BASE 0x4000a000 +#define CYDEV_CAN0_SIZE 0x000002a0 +#define CYDEV_CAN0_CSR_BASE 0x4000a000 +#define CYDEV_CAN0_CSR_SIZE 0x00000018 +#define CYREG_CAN0_CSR_INT_SR 0x4000a000 +#define CYREG_CAN0_CSR_INT_EN 0x4000a004 +#define CYREG_CAN0_CSR_BUF_SR 0x4000a008 +#define CYREG_CAN0_CSR_ERR_SR 0x4000a00c +#define CYREG_CAN0_CSR_CMD 0x4000a010 +#define CYREG_CAN0_CSR_CFG 0x4000a014 +#define CYDEV_CAN0_TX0_BASE 0x4000a020 +#define CYDEV_CAN0_TX0_SIZE 0x00000010 +#define CYREG_CAN0_TX0_CMD 0x4000a020 +#define CYREG_CAN0_TX0_ID 0x4000a024 +#define CYREG_CAN0_TX0_DH 0x4000a028 +#define CYREG_CAN0_TX0_DL 0x4000a02c +#define CYDEV_CAN0_TX1_BASE 0x4000a030 +#define CYDEV_CAN0_TX1_SIZE 0x00000010 +#define CYREG_CAN0_TX1_CMD 0x4000a030 +#define CYREG_CAN0_TX1_ID 0x4000a034 +#define CYREG_CAN0_TX1_DH 0x4000a038 +#define CYREG_CAN0_TX1_DL 0x4000a03c +#define CYDEV_CAN0_TX2_BASE 0x4000a040 +#define CYDEV_CAN0_TX2_SIZE 0x00000010 +#define CYREG_CAN0_TX2_CMD 0x4000a040 +#define CYREG_CAN0_TX2_ID 0x4000a044 +#define CYREG_CAN0_TX2_DH 0x4000a048 +#define CYREG_CAN0_TX2_DL 0x4000a04c +#define CYDEV_CAN0_TX3_BASE 0x4000a050 +#define CYDEV_CAN0_TX3_SIZE 0x00000010 +#define CYREG_CAN0_TX3_CMD 0x4000a050 +#define CYREG_CAN0_TX3_ID 0x4000a054 +#define CYREG_CAN0_TX3_DH 0x4000a058 +#define CYREG_CAN0_TX3_DL 0x4000a05c +#define CYDEV_CAN0_TX4_BASE 0x4000a060 +#define CYDEV_CAN0_TX4_SIZE 0x00000010 +#define CYREG_CAN0_TX4_CMD 0x4000a060 +#define CYREG_CAN0_TX4_ID 0x4000a064 +#define CYREG_CAN0_TX4_DH 0x4000a068 +#define CYREG_CAN0_TX4_DL 0x4000a06c +#define CYDEV_CAN0_TX5_BASE 0x4000a070 +#define CYDEV_CAN0_TX5_SIZE 0x00000010 +#define CYREG_CAN0_TX5_CMD 0x4000a070 +#define CYREG_CAN0_TX5_ID 0x4000a074 +#define CYREG_CAN0_TX5_DH 0x4000a078 +#define CYREG_CAN0_TX5_DL 0x4000a07c +#define CYDEV_CAN0_TX6_BASE 0x4000a080 +#define CYDEV_CAN0_TX6_SIZE 0x00000010 +#define CYREG_CAN0_TX6_CMD 0x4000a080 +#define CYREG_CAN0_TX6_ID 0x4000a084 +#define CYREG_CAN0_TX6_DH 0x4000a088 +#define CYREG_CAN0_TX6_DL 0x4000a08c +#define CYDEV_CAN0_TX7_BASE 0x4000a090 +#define CYDEV_CAN0_TX7_SIZE 0x00000010 +#define CYREG_CAN0_TX7_CMD 0x4000a090 +#define CYREG_CAN0_TX7_ID 0x4000a094 +#define CYREG_CAN0_TX7_DH 0x4000a098 +#define CYREG_CAN0_TX7_DL 0x4000a09c +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0 +#define CYDEV_CAN0_RX0_SIZE 0x00000020 +#define CYREG_CAN0_RX0_CMD 0x4000a0a0 +#define CYREG_CAN0_RX0_ID 0x4000a0a4 +#define CYREG_CAN0_RX0_DH 0x4000a0a8 +#define CYREG_CAN0_RX0_DL 0x4000a0ac +#define CYREG_CAN0_RX0_AMR 0x4000a0b0 +#define CYREG_CAN0_RX0_ACR 0x4000a0b4 +#define CYREG_CAN0_RX0_AMRD 0x4000a0b8 +#define CYREG_CAN0_RX0_ACRD 0x4000a0bc +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0 +#define CYDEV_CAN0_RX1_SIZE 0x00000020 +#define CYREG_CAN0_RX1_CMD 0x4000a0c0 +#define CYREG_CAN0_RX1_ID 0x4000a0c4 +#define CYREG_CAN0_RX1_DH 0x4000a0c8 +#define CYREG_CAN0_RX1_DL 0x4000a0cc +#define CYREG_CAN0_RX1_AMR 0x4000a0d0 +#define CYREG_CAN0_RX1_ACR 0x4000a0d4 +#define CYREG_CAN0_RX1_AMRD 0x4000a0d8 +#define CYREG_CAN0_RX1_ACRD 0x4000a0dc +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0 +#define CYDEV_CAN0_RX2_SIZE 0x00000020 +#define CYREG_CAN0_RX2_CMD 0x4000a0e0 +#define CYREG_CAN0_RX2_ID 0x4000a0e4 +#define CYREG_CAN0_RX2_DH 0x4000a0e8 +#define CYREG_CAN0_RX2_DL 0x4000a0ec +#define CYREG_CAN0_RX2_AMR 0x4000a0f0 +#define CYREG_CAN0_RX2_ACR 0x4000a0f4 +#define CYREG_CAN0_RX2_AMRD 0x4000a0f8 +#define CYREG_CAN0_RX2_ACRD 0x4000a0fc +#define CYDEV_CAN0_RX3_BASE 0x4000a100 +#define CYDEV_CAN0_RX3_SIZE 0x00000020 +#define CYREG_CAN0_RX3_CMD 0x4000a100 +#define CYREG_CAN0_RX3_ID 0x4000a104 +#define CYREG_CAN0_RX3_DH 0x4000a108 +#define CYREG_CAN0_RX3_DL 0x4000a10c +#define CYREG_CAN0_RX3_AMR 0x4000a110 +#define CYREG_CAN0_RX3_ACR 0x4000a114 +#define CYREG_CAN0_RX3_AMRD 0x4000a118 +#define CYREG_CAN0_RX3_ACRD 0x4000a11c +#define CYDEV_CAN0_RX4_BASE 0x4000a120 +#define CYDEV_CAN0_RX4_SIZE 0x00000020 +#define CYREG_CAN0_RX4_CMD 0x4000a120 +#define CYREG_CAN0_RX4_ID 0x4000a124 +#define CYREG_CAN0_RX4_DH 0x4000a128 +#define CYREG_CAN0_RX4_DL 0x4000a12c +#define CYREG_CAN0_RX4_AMR 0x4000a130 +#define CYREG_CAN0_RX4_ACR 0x4000a134 +#define CYREG_CAN0_RX4_AMRD 0x4000a138 +#define CYREG_CAN0_RX4_ACRD 0x4000a13c +#define CYDEV_CAN0_RX5_BASE 0x4000a140 +#define CYDEV_CAN0_RX5_SIZE 0x00000020 +#define CYREG_CAN0_RX5_CMD 0x4000a140 +#define CYREG_CAN0_RX5_ID 0x4000a144 +#define CYREG_CAN0_RX5_DH 0x4000a148 +#define CYREG_CAN0_RX5_DL 0x4000a14c +#define CYREG_CAN0_RX5_AMR 0x4000a150 +#define CYREG_CAN0_RX5_ACR 0x4000a154 +#define CYREG_CAN0_RX5_AMRD 0x4000a158 +#define CYREG_CAN0_RX5_ACRD 0x4000a15c +#define CYDEV_CAN0_RX6_BASE 0x4000a160 +#define CYDEV_CAN0_RX6_SIZE 0x00000020 +#define CYREG_CAN0_RX6_CMD 0x4000a160 +#define CYREG_CAN0_RX6_ID 0x4000a164 +#define CYREG_CAN0_RX6_DH 0x4000a168 +#define CYREG_CAN0_RX6_DL 0x4000a16c +#define CYREG_CAN0_RX6_AMR 0x4000a170 +#define CYREG_CAN0_RX6_ACR 0x4000a174 +#define CYREG_CAN0_RX6_AMRD 0x4000a178 +#define CYREG_CAN0_RX6_ACRD 0x4000a17c +#define CYDEV_CAN0_RX7_BASE 0x4000a180 +#define CYDEV_CAN0_RX7_SIZE 0x00000020 +#define CYREG_CAN0_RX7_CMD 0x4000a180 +#define CYREG_CAN0_RX7_ID 0x4000a184 +#define CYREG_CAN0_RX7_DH 0x4000a188 +#define CYREG_CAN0_RX7_DL 0x4000a18c +#define CYREG_CAN0_RX7_AMR 0x4000a190 +#define CYREG_CAN0_RX7_ACR 0x4000a194 +#define CYREG_CAN0_RX7_AMRD 0x4000a198 +#define CYREG_CAN0_RX7_ACRD 0x4000a19c +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0 +#define CYDEV_CAN0_RX8_SIZE 0x00000020 +#define CYREG_CAN0_RX8_CMD 0x4000a1a0 +#define CYREG_CAN0_RX8_ID 0x4000a1a4 +#define CYREG_CAN0_RX8_DH 0x4000a1a8 +#define CYREG_CAN0_RX8_DL 0x4000a1ac +#define CYREG_CAN0_RX8_AMR 0x4000a1b0 +#define CYREG_CAN0_RX8_ACR 0x4000a1b4 +#define CYREG_CAN0_RX8_AMRD 0x4000a1b8 +#define CYREG_CAN0_RX8_ACRD 0x4000a1bc +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0 +#define CYDEV_CAN0_RX9_SIZE 0x00000020 +#define CYREG_CAN0_RX9_CMD 0x4000a1c0 +#define CYREG_CAN0_RX9_ID 0x4000a1c4 +#define CYREG_CAN0_RX9_DH 0x4000a1c8 +#define CYREG_CAN0_RX9_DL 0x4000a1cc +#define CYREG_CAN0_RX9_AMR 0x4000a1d0 +#define CYREG_CAN0_RX9_ACR 0x4000a1d4 +#define CYREG_CAN0_RX9_AMRD 0x4000a1d8 +#define CYREG_CAN0_RX9_ACRD 0x4000a1dc +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0 +#define CYDEV_CAN0_RX10_SIZE 0x00000020 +#define CYREG_CAN0_RX10_CMD 0x4000a1e0 +#define CYREG_CAN0_RX10_ID 0x4000a1e4 +#define CYREG_CAN0_RX10_DH 0x4000a1e8 +#define CYREG_CAN0_RX10_DL 0x4000a1ec +#define CYREG_CAN0_RX10_AMR 0x4000a1f0 +#define CYREG_CAN0_RX10_ACR 0x4000a1f4 +#define CYREG_CAN0_RX10_AMRD 0x4000a1f8 +#define CYREG_CAN0_RX10_ACRD 0x4000a1fc +#define CYDEV_CAN0_RX11_BASE 0x4000a200 +#define CYDEV_CAN0_RX11_SIZE 0x00000020 +#define CYREG_CAN0_RX11_CMD 0x4000a200 +#define CYREG_CAN0_RX11_ID 0x4000a204 +#define CYREG_CAN0_RX11_DH 0x4000a208 +#define CYREG_CAN0_RX11_DL 0x4000a20c +#define CYREG_CAN0_RX11_AMR 0x4000a210 +#define CYREG_CAN0_RX11_ACR 0x4000a214 +#define CYREG_CAN0_RX11_AMRD 0x4000a218 +#define CYREG_CAN0_RX11_ACRD 0x4000a21c +#define CYDEV_CAN0_RX12_BASE 0x4000a220 +#define CYDEV_CAN0_RX12_SIZE 0x00000020 +#define CYREG_CAN0_RX12_CMD 0x4000a220 +#define CYREG_CAN0_RX12_ID 0x4000a224 +#define CYREG_CAN0_RX12_DH 0x4000a228 +#define CYREG_CAN0_RX12_DL 0x4000a22c +#define CYREG_CAN0_RX12_AMR 0x4000a230 +#define CYREG_CAN0_RX12_ACR 0x4000a234 +#define CYREG_CAN0_RX12_AMRD 0x4000a238 +#define CYREG_CAN0_RX12_ACRD 0x4000a23c +#define CYDEV_CAN0_RX13_BASE 0x4000a240 +#define CYDEV_CAN0_RX13_SIZE 0x00000020 +#define CYREG_CAN0_RX13_CMD 0x4000a240 +#define CYREG_CAN0_RX13_ID 0x4000a244 +#define CYREG_CAN0_RX13_DH 0x4000a248 +#define CYREG_CAN0_RX13_DL 0x4000a24c +#define CYREG_CAN0_RX13_AMR 0x4000a250 +#define CYREG_CAN0_RX13_ACR 0x4000a254 +#define CYREG_CAN0_RX13_AMRD 0x4000a258 +#define CYREG_CAN0_RX13_ACRD 0x4000a25c +#define CYDEV_CAN0_RX14_BASE 0x4000a260 +#define CYDEV_CAN0_RX14_SIZE 0x00000020 +#define CYREG_CAN0_RX14_CMD 0x4000a260 +#define CYREG_CAN0_RX14_ID 0x4000a264 +#define CYREG_CAN0_RX14_DH 0x4000a268 +#define CYREG_CAN0_RX14_DL 0x4000a26c +#define CYREG_CAN0_RX14_AMR 0x4000a270 +#define CYREG_CAN0_RX14_ACR 0x4000a274 +#define CYREG_CAN0_RX14_AMRD 0x4000a278 +#define CYREG_CAN0_RX14_ACRD 0x4000a27c +#define CYDEV_CAN0_RX15_BASE 0x4000a280 +#define CYDEV_CAN0_RX15_SIZE 0x00000020 +#define CYREG_CAN0_RX15_CMD 0x4000a280 +#define CYREG_CAN0_RX15_ID 0x4000a284 +#define CYREG_CAN0_RX15_DH 0x4000a288 +#define CYREG_CAN0_RX15_DL 0x4000a28c +#define CYREG_CAN0_RX15_AMR 0x4000a290 +#define CYREG_CAN0_RX15_ACR 0x4000a294 +#define CYREG_CAN0_RX15_AMRD 0x4000a298 +#define CYREG_CAN0_RX15_ACRD 0x4000a29c +#define CYDEV_DFB0_BASE 0x4000c000 +#define CYDEV_DFB0_SIZE 0x000007b5 +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000 +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200 +#define CYREG_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000 +#define CYREG_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200 +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200 +#define CYREG_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200 +#define CYREG_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400 +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100 +#define CYREG_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400 +#define CYREG_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500 +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100 +#define CYREG_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500 +#define CYREG_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600 +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100 +#define CYREG_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600 +#define CYREG_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700 +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040 +#define CYREG_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700 +#define CYREG_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040 +#define CYREG_DFB0_CR 0x4000c780 +#define CYREG_DFB0_SR 0x4000c784 +#define CYREG_DFB0_RAM_EN 0x4000c788 +#define CYREG_DFB0_RAM_DIR 0x4000c78c +#define CYREG_DFB0_SEMA 0x4000c790 +#define CYREG_DFB0_DSI_CTRL 0x4000c794 +#define CYREG_DFB0_INT_CTRL 0x4000c798 +#define CYREG_DFB0_DMA_CTRL 0x4000c79c +#define CYREG_DFB0_STAGEA 0x4000c7a0 +#define CYREG_DFB0_STAGEAM 0x4000c7a1 +#define CYREG_DFB0_STAGEAH 0x4000c7a2 +#define CYREG_DFB0_STAGEB 0x4000c7a4 +#define CYREG_DFB0_STAGEBM 0x4000c7a5 +#define CYREG_DFB0_STAGEBH 0x4000c7a6 +#define CYREG_DFB0_HOLDA 0x4000c7a8 +#define CYREG_DFB0_HOLDAM 0x4000c7a9 +#define CYREG_DFB0_HOLDAH 0x4000c7aa +#define CYREG_DFB0_HOLDAS 0x4000c7ab +#define CYREG_DFB0_HOLDB 0x4000c7ac +#define CYREG_DFB0_HOLDBM 0x4000c7ad +#define CYREG_DFB0_HOLDBH 0x4000c7ae +#define CYREG_DFB0_HOLDBS 0x4000c7af +#define CYREG_DFB0_COHER 0x4000c7b0 +#define CYREG_DFB0_DALIGN 0x4000c7b4 +#define CYDEV_UCFG_BASE 0x40010000 +#define CYDEV_UCFG_SIZE 0x00005040 +#define CYDEV_UCFG_B0_BASE 0x40010000 +#define CYDEV_UCFG_B0_SIZE 0x00000fef +#define CYDEV_UCFG_B0_P0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070 +#define CYREG_B0_P0_U0_PLD_IT0 0x40010000 +#define CYREG_B0_P0_U0_PLD_IT1 0x40010004 +#define CYREG_B0_P0_U0_PLD_IT2 0x40010008 +#define CYREG_B0_P0_U0_PLD_IT3 0x4001000c +#define CYREG_B0_P0_U0_PLD_IT4 0x40010010 +#define CYREG_B0_P0_U0_PLD_IT5 0x40010014 +#define CYREG_B0_P0_U0_PLD_IT6 0x40010018 +#define CYREG_B0_P0_U0_PLD_IT7 0x4001001c +#define CYREG_B0_P0_U0_PLD_IT8 0x40010020 +#define CYREG_B0_P0_U0_PLD_IT9 0x40010024 +#define CYREG_B0_P0_U0_PLD_IT10 0x40010028 +#define CYREG_B0_P0_U0_PLD_IT11 0x4001002c +#define CYREG_B0_P0_U0_PLD_ORT0 0x40010030 +#define CYREG_B0_P0_U0_PLD_ORT1 0x40010032 +#define CYREG_B0_P0_U0_PLD_ORT2 0x40010034 +#define CYREG_B0_P0_U0_PLD_ORT3 0x40010036 +#define CYREG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038 +#define CYREG_B0_P0_U0_MC_CFG_XORFB 0x4001003a +#define CYREG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003c +#define CYREG_B0_P0_U0_MC_CFG_BYPASS 0x4001003e +#define CYREG_B0_P0_U0_CFG0 0x40010040 +#define CYREG_B0_P0_U0_CFG1 0x40010041 +#define CYREG_B0_P0_U0_CFG2 0x40010042 +#define CYREG_B0_P0_U0_CFG3 0x40010043 +#define CYREG_B0_P0_U0_CFG4 0x40010044 +#define CYREG_B0_P0_U0_CFG5 0x40010045 +#define CYREG_B0_P0_U0_CFG6 0x40010046 +#define CYREG_B0_P0_U0_CFG7 0x40010047 +#define CYREG_B0_P0_U0_CFG8 0x40010048 +#define CYREG_B0_P0_U0_CFG9 0x40010049 +#define CYREG_B0_P0_U0_CFG10 0x4001004a +#define CYREG_B0_P0_U0_CFG11 0x4001004b +#define CYREG_B0_P0_U0_CFG12 0x4001004c +#define CYREG_B0_P0_U0_CFG13 0x4001004d +#define CYREG_B0_P0_U0_CFG14 0x4001004e +#define CYREG_B0_P0_U0_CFG15 0x4001004f +#define CYREG_B0_P0_U0_CFG16 0x40010050 +#define CYREG_B0_P0_U0_CFG17 0x40010051 +#define CYREG_B0_P0_U0_CFG18 0x40010052 +#define CYREG_B0_P0_U0_CFG19 0x40010053 +#define CYREG_B0_P0_U0_CFG20 0x40010054 +#define CYREG_B0_P0_U0_CFG21 0x40010055 +#define CYREG_B0_P0_U0_CFG22 0x40010056 +#define CYREG_B0_P0_U0_CFG23 0x40010057 +#define CYREG_B0_P0_U0_CFG24 0x40010058 +#define CYREG_B0_P0_U0_CFG25 0x40010059 +#define CYREG_B0_P0_U0_CFG26 0x4001005a +#define CYREG_B0_P0_U0_CFG27 0x4001005b +#define CYREG_B0_P0_U0_CFG28 0x4001005c +#define CYREG_B0_P0_U0_CFG29 0x4001005d +#define CYREG_B0_P0_U0_CFG30 0x4001005e +#define CYREG_B0_P0_U0_CFG31 0x4001005f +#define CYREG_B0_P0_U0_DCFG0 0x40010060 +#define CYREG_B0_P0_U0_DCFG1 0x40010062 +#define CYREG_B0_P0_U0_DCFG2 0x40010064 +#define CYREG_B0_P0_U0_DCFG3 0x40010066 +#define CYREG_B0_P0_U0_DCFG4 0x40010068 +#define CYREG_B0_P0_U0_DCFG5 0x4001006a +#define CYREG_B0_P0_U0_DCFG6 0x4001006c +#define CYREG_B0_P0_U0_DCFG7 0x4001006e +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080 +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070 +#define CYREG_B0_P0_U1_PLD_IT0 0x40010080 +#define CYREG_B0_P0_U1_PLD_IT1 0x40010084 +#define CYREG_B0_P0_U1_PLD_IT2 0x40010088 +#define CYREG_B0_P0_U1_PLD_IT3 0x4001008c +#define CYREG_B0_P0_U1_PLD_IT4 0x40010090 +#define CYREG_B0_P0_U1_PLD_IT5 0x40010094 +#define CYREG_B0_P0_U1_PLD_IT6 0x40010098 +#define CYREG_B0_P0_U1_PLD_IT7 0x4001009c +#define CYREG_B0_P0_U1_PLD_IT8 0x400100a0 +#define CYREG_B0_P0_U1_PLD_IT9 0x400100a4 +#define CYREG_B0_P0_U1_PLD_IT10 0x400100a8 +#define CYREG_B0_P0_U1_PLD_IT11 0x400100ac +#define CYREG_B0_P0_U1_PLD_ORT0 0x400100b0 +#define CYREG_B0_P0_U1_PLD_ORT1 0x400100b2 +#define CYREG_B0_P0_U1_PLD_ORT2 0x400100b4 +#define CYREG_B0_P0_U1_PLD_ORT3 0x400100b6 +#define CYREG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8 +#define CYREG_B0_P0_U1_MC_CFG_XORFB 0x400100ba +#define CYREG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bc +#define CYREG_B0_P0_U1_MC_CFG_BYPASS 0x400100be +#define CYREG_B0_P0_U1_CFG0 0x400100c0 +#define CYREG_B0_P0_U1_CFG1 0x400100c1 +#define CYREG_B0_P0_U1_CFG2 0x400100c2 +#define CYREG_B0_P0_U1_CFG3 0x400100c3 +#define CYREG_B0_P0_U1_CFG4 0x400100c4 +#define CYREG_B0_P0_U1_CFG5 0x400100c5 +#define CYREG_B0_P0_U1_CFG6 0x400100c6 +#define CYREG_B0_P0_U1_CFG7 0x400100c7 +#define CYREG_B0_P0_U1_CFG8 0x400100c8 +#define CYREG_B0_P0_U1_CFG9 0x400100c9 +#define CYREG_B0_P0_U1_CFG10 0x400100ca +#define CYREG_B0_P0_U1_CFG11 0x400100cb +#define CYREG_B0_P0_U1_CFG12 0x400100cc +#define CYREG_B0_P0_U1_CFG13 0x400100cd +#define CYREG_B0_P0_U1_CFG14 0x400100ce +#define CYREG_B0_P0_U1_CFG15 0x400100cf +#define CYREG_B0_P0_U1_CFG16 0x400100d0 +#define CYREG_B0_P0_U1_CFG17 0x400100d1 +#define CYREG_B0_P0_U1_CFG18 0x400100d2 +#define CYREG_B0_P0_U1_CFG19 0x400100d3 +#define CYREG_B0_P0_U1_CFG20 0x400100d4 +#define CYREG_B0_P0_U1_CFG21 0x400100d5 +#define CYREG_B0_P0_U1_CFG22 0x400100d6 +#define CYREG_B0_P0_U1_CFG23 0x400100d7 +#define CYREG_B0_P0_U1_CFG24 0x400100d8 +#define CYREG_B0_P0_U1_CFG25 0x400100d9 +#define CYREG_B0_P0_U1_CFG26 0x400100da +#define CYREG_B0_P0_U1_CFG27 0x400100db +#define CYREG_B0_P0_U1_CFG28 0x400100dc +#define CYREG_B0_P0_U1_CFG29 0x400100dd +#define CYREG_B0_P0_U1_CFG30 0x400100de +#define CYREG_B0_P0_U1_CFG31 0x400100df +#define CYREG_B0_P0_U1_DCFG0 0x400100e0 +#define CYREG_B0_P0_U1_DCFG1 0x400100e2 +#define CYREG_B0_P0_U1_DCFG2 0x400100e4 +#define CYREG_B0_P0_U1_DCFG3 0x400100e6 +#define CYREG_B0_P0_U1_DCFG4 0x400100e8 +#define CYREG_B0_P0_U1_DCFG5 0x400100ea +#define CYREG_B0_P0_U1_DCFG6 0x400100ec +#define CYREG_B0_P0_U1_DCFG7 0x400100ee +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100 +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P1_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070 +#define CYREG_B0_P1_U0_PLD_IT0 0x40010200 +#define CYREG_B0_P1_U0_PLD_IT1 0x40010204 +#define CYREG_B0_P1_U0_PLD_IT2 0x40010208 +#define CYREG_B0_P1_U0_PLD_IT3 0x4001020c +#define CYREG_B0_P1_U0_PLD_IT4 0x40010210 +#define CYREG_B0_P1_U0_PLD_IT5 0x40010214 +#define CYREG_B0_P1_U0_PLD_IT6 0x40010218 +#define CYREG_B0_P1_U0_PLD_IT7 0x4001021c +#define CYREG_B0_P1_U0_PLD_IT8 0x40010220 +#define CYREG_B0_P1_U0_PLD_IT9 0x40010224 +#define CYREG_B0_P1_U0_PLD_IT10 0x40010228 +#define CYREG_B0_P1_U0_PLD_IT11 0x4001022c +#define CYREG_B0_P1_U0_PLD_ORT0 0x40010230 +#define CYREG_B0_P1_U0_PLD_ORT1 0x40010232 +#define CYREG_B0_P1_U0_PLD_ORT2 0x40010234 +#define CYREG_B0_P1_U0_PLD_ORT3 0x40010236 +#define CYREG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238 +#define CYREG_B0_P1_U0_MC_CFG_XORFB 0x4001023a +#define CYREG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023c +#define CYREG_B0_P1_U0_MC_CFG_BYPASS 0x4001023e +#define CYREG_B0_P1_U0_CFG0 0x40010240 +#define CYREG_B0_P1_U0_CFG1 0x40010241 +#define CYREG_B0_P1_U0_CFG2 0x40010242 +#define CYREG_B0_P1_U0_CFG3 0x40010243 +#define CYREG_B0_P1_U0_CFG4 0x40010244 +#define CYREG_B0_P1_U0_CFG5 0x40010245 +#define CYREG_B0_P1_U0_CFG6 0x40010246 +#define CYREG_B0_P1_U0_CFG7 0x40010247 +#define CYREG_B0_P1_U0_CFG8 0x40010248 +#define CYREG_B0_P1_U0_CFG9 0x40010249 +#define CYREG_B0_P1_U0_CFG10 0x4001024a +#define CYREG_B0_P1_U0_CFG11 0x4001024b +#define CYREG_B0_P1_U0_CFG12 0x4001024c +#define CYREG_B0_P1_U0_CFG13 0x4001024d +#define CYREG_B0_P1_U0_CFG14 0x4001024e +#define CYREG_B0_P1_U0_CFG15 0x4001024f +#define CYREG_B0_P1_U0_CFG16 0x40010250 +#define CYREG_B0_P1_U0_CFG17 0x40010251 +#define CYREG_B0_P1_U0_CFG18 0x40010252 +#define CYREG_B0_P1_U0_CFG19 0x40010253 +#define CYREG_B0_P1_U0_CFG20 0x40010254 +#define CYREG_B0_P1_U0_CFG21 0x40010255 +#define CYREG_B0_P1_U0_CFG22 0x40010256 +#define CYREG_B0_P1_U0_CFG23 0x40010257 +#define CYREG_B0_P1_U0_CFG24 0x40010258 +#define CYREG_B0_P1_U0_CFG25 0x40010259 +#define CYREG_B0_P1_U0_CFG26 0x4001025a +#define CYREG_B0_P1_U0_CFG27 0x4001025b +#define CYREG_B0_P1_U0_CFG28 0x4001025c +#define CYREG_B0_P1_U0_CFG29 0x4001025d +#define CYREG_B0_P1_U0_CFG30 0x4001025e +#define CYREG_B0_P1_U0_CFG31 0x4001025f +#define CYREG_B0_P1_U0_DCFG0 0x40010260 +#define CYREG_B0_P1_U0_DCFG1 0x40010262 +#define CYREG_B0_P1_U0_DCFG2 0x40010264 +#define CYREG_B0_P1_U0_DCFG3 0x40010266 +#define CYREG_B0_P1_U0_DCFG4 0x40010268 +#define CYREG_B0_P1_U0_DCFG5 0x4001026a +#define CYREG_B0_P1_U0_DCFG6 0x4001026c +#define CYREG_B0_P1_U0_DCFG7 0x4001026e +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280 +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070 +#define CYREG_B0_P1_U1_PLD_IT0 0x40010280 +#define CYREG_B0_P1_U1_PLD_IT1 0x40010284 +#define CYREG_B0_P1_U1_PLD_IT2 0x40010288 +#define CYREG_B0_P1_U1_PLD_IT3 0x4001028c +#define CYREG_B0_P1_U1_PLD_IT4 0x40010290 +#define CYREG_B0_P1_U1_PLD_IT5 0x40010294 +#define CYREG_B0_P1_U1_PLD_IT6 0x40010298 +#define CYREG_B0_P1_U1_PLD_IT7 0x4001029c +#define CYREG_B0_P1_U1_PLD_IT8 0x400102a0 +#define CYREG_B0_P1_U1_PLD_IT9 0x400102a4 +#define CYREG_B0_P1_U1_PLD_IT10 0x400102a8 +#define CYREG_B0_P1_U1_PLD_IT11 0x400102ac +#define CYREG_B0_P1_U1_PLD_ORT0 0x400102b0 +#define CYREG_B0_P1_U1_PLD_ORT1 0x400102b2 +#define CYREG_B0_P1_U1_PLD_ORT2 0x400102b4 +#define CYREG_B0_P1_U1_PLD_ORT3 0x400102b6 +#define CYREG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8 +#define CYREG_B0_P1_U1_MC_CFG_XORFB 0x400102ba +#define CYREG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bc +#define CYREG_B0_P1_U1_MC_CFG_BYPASS 0x400102be +#define CYREG_B0_P1_U1_CFG0 0x400102c0 +#define CYREG_B0_P1_U1_CFG1 0x400102c1 +#define CYREG_B0_P1_U1_CFG2 0x400102c2 +#define CYREG_B0_P1_U1_CFG3 0x400102c3 +#define CYREG_B0_P1_U1_CFG4 0x400102c4 +#define CYREG_B0_P1_U1_CFG5 0x400102c5 +#define CYREG_B0_P1_U1_CFG6 0x400102c6 +#define CYREG_B0_P1_U1_CFG7 0x400102c7 +#define CYREG_B0_P1_U1_CFG8 0x400102c8 +#define CYREG_B0_P1_U1_CFG9 0x400102c9 +#define CYREG_B0_P1_U1_CFG10 0x400102ca +#define CYREG_B0_P1_U1_CFG11 0x400102cb +#define CYREG_B0_P1_U1_CFG12 0x400102cc +#define CYREG_B0_P1_U1_CFG13 0x400102cd +#define CYREG_B0_P1_U1_CFG14 0x400102ce +#define CYREG_B0_P1_U1_CFG15 0x400102cf +#define CYREG_B0_P1_U1_CFG16 0x400102d0 +#define CYREG_B0_P1_U1_CFG17 0x400102d1 +#define CYREG_B0_P1_U1_CFG18 0x400102d2 +#define CYREG_B0_P1_U1_CFG19 0x400102d3 +#define CYREG_B0_P1_U1_CFG20 0x400102d4 +#define CYREG_B0_P1_U1_CFG21 0x400102d5 +#define CYREG_B0_P1_U1_CFG22 0x400102d6 +#define CYREG_B0_P1_U1_CFG23 0x400102d7 +#define CYREG_B0_P1_U1_CFG24 0x400102d8 +#define CYREG_B0_P1_U1_CFG25 0x400102d9 +#define CYREG_B0_P1_U1_CFG26 0x400102da +#define CYREG_B0_P1_U1_CFG27 0x400102db +#define CYREG_B0_P1_U1_CFG28 0x400102dc +#define CYREG_B0_P1_U1_CFG29 0x400102dd +#define CYREG_B0_P1_U1_CFG30 0x400102de +#define CYREG_B0_P1_U1_CFG31 0x400102df +#define CYREG_B0_P1_U1_DCFG0 0x400102e0 +#define CYREG_B0_P1_U1_DCFG1 0x400102e2 +#define CYREG_B0_P1_U1_DCFG2 0x400102e4 +#define CYREG_B0_P1_U1_DCFG3 0x400102e6 +#define CYREG_B0_P1_U1_DCFG4 0x400102e8 +#define CYREG_B0_P1_U1_DCFG5 0x400102ea +#define CYREG_B0_P1_U1_DCFG6 0x400102ec +#define CYREG_B0_P1_U1_DCFG7 0x400102ee +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300 +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P2_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070 +#define CYREG_B0_P2_U0_PLD_IT0 0x40010400 +#define CYREG_B0_P2_U0_PLD_IT1 0x40010404 +#define CYREG_B0_P2_U0_PLD_IT2 0x40010408 +#define CYREG_B0_P2_U0_PLD_IT3 0x4001040c +#define CYREG_B0_P2_U0_PLD_IT4 0x40010410 +#define CYREG_B0_P2_U0_PLD_IT5 0x40010414 +#define CYREG_B0_P2_U0_PLD_IT6 0x40010418 +#define CYREG_B0_P2_U0_PLD_IT7 0x4001041c +#define CYREG_B0_P2_U0_PLD_IT8 0x40010420 +#define CYREG_B0_P2_U0_PLD_IT9 0x40010424 +#define CYREG_B0_P2_U0_PLD_IT10 0x40010428 +#define CYREG_B0_P2_U0_PLD_IT11 0x4001042c +#define CYREG_B0_P2_U0_PLD_ORT0 0x40010430 +#define CYREG_B0_P2_U0_PLD_ORT1 0x40010432 +#define CYREG_B0_P2_U0_PLD_ORT2 0x40010434 +#define CYREG_B0_P2_U0_PLD_ORT3 0x40010436 +#define CYREG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438 +#define CYREG_B0_P2_U0_MC_CFG_XORFB 0x4001043a +#define CYREG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043c +#define CYREG_B0_P2_U0_MC_CFG_BYPASS 0x4001043e +#define CYREG_B0_P2_U0_CFG0 0x40010440 +#define CYREG_B0_P2_U0_CFG1 0x40010441 +#define CYREG_B0_P2_U0_CFG2 0x40010442 +#define CYREG_B0_P2_U0_CFG3 0x40010443 +#define CYREG_B0_P2_U0_CFG4 0x40010444 +#define CYREG_B0_P2_U0_CFG5 0x40010445 +#define CYREG_B0_P2_U0_CFG6 0x40010446 +#define CYREG_B0_P2_U0_CFG7 0x40010447 +#define CYREG_B0_P2_U0_CFG8 0x40010448 +#define CYREG_B0_P2_U0_CFG9 0x40010449 +#define CYREG_B0_P2_U0_CFG10 0x4001044a +#define CYREG_B0_P2_U0_CFG11 0x4001044b +#define CYREG_B0_P2_U0_CFG12 0x4001044c +#define CYREG_B0_P2_U0_CFG13 0x4001044d +#define CYREG_B0_P2_U0_CFG14 0x4001044e +#define CYREG_B0_P2_U0_CFG15 0x4001044f +#define CYREG_B0_P2_U0_CFG16 0x40010450 +#define CYREG_B0_P2_U0_CFG17 0x40010451 +#define CYREG_B0_P2_U0_CFG18 0x40010452 +#define CYREG_B0_P2_U0_CFG19 0x40010453 +#define CYREG_B0_P2_U0_CFG20 0x40010454 +#define CYREG_B0_P2_U0_CFG21 0x40010455 +#define CYREG_B0_P2_U0_CFG22 0x40010456 +#define CYREG_B0_P2_U0_CFG23 0x40010457 +#define CYREG_B0_P2_U0_CFG24 0x40010458 +#define CYREG_B0_P2_U0_CFG25 0x40010459 +#define CYREG_B0_P2_U0_CFG26 0x4001045a +#define CYREG_B0_P2_U0_CFG27 0x4001045b +#define CYREG_B0_P2_U0_CFG28 0x4001045c +#define CYREG_B0_P2_U0_CFG29 0x4001045d +#define CYREG_B0_P2_U0_CFG30 0x4001045e +#define CYREG_B0_P2_U0_CFG31 0x4001045f +#define CYREG_B0_P2_U0_DCFG0 0x40010460 +#define CYREG_B0_P2_U0_DCFG1 0x40010462 +#define CYREG_B0_P2_U0_DCFG2 0x40010464 +#define CYREG_B0_P2_U0_DCFG3 0x40010466 +#define CYREG_B0_P2_U0_DCFG4 0x40010468 +#define CYREG_B0_P2_U0_DCFG5 0x4001046a +#define CYREG_B0_P2_U0_DCFG6 0x4001046c +#define CYREG_B0_P2_U0_DCFG7 0x4001046e +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480 +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070 +#define CYREG_B0_P2_U1_PLD_IT0 0x40010480 +#define CYREG_B0_P2_U1_PLD_IT1 0x40010484 +#define CYREG_B0_P2_U1_PLD_IT2 0x40010488 +#define CYREG_B0_P2_U1_PLD_IT3 0x4001048c +#define CYREG_B0_P2_U1_PLD_IT4 0x40010490 +#define CYREG_B0_P2_U1_PLD_IT5 0x40010494 +#define CYREG_B0_P2_U1_PLD_IT6 0x40010498 +#define CYREG_B0_P2_U1_PLD_IT7 0x4001049c +#define CYREG_B0_P2_U1_PLD_IT8 0x400104a0 +#define CYREG_B0_P2_U1_PLD_IT9 0x400104a4 +#define CYREG_B0_P2_U1_PLD_IT10 0x400104a8 +#define CYREG_B0_P2_U1_PLD_IT11 0x400104ac +#define CYREG_B0_P2_U1_PLD_ORT0 0x400104b0 +#define CYREG_B0_P2_U1_PLD_ORT1 0x400104b2 +#define CYREG_B0_P2_U1_PLD_ORT2 0x400104b4 +#define CYREG_B0_P2_U1_PLD_ORT3 0x400104b6 +#define CYREG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8 +#define CYREG_B0_P2_U1_MC_CFG_XORFB 0x400104ba +#define CYREG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bc +#define CYREG_B0_P2_U1_MC_CFG_BYPASS 0x400104be +#define CYREG_B0_P2_U1_CFG0 0x400104c0 +#define CYREG_B0_P2_U1_CFG1 0x400104c1 +#define CYREG_B0_P2_U1_CFG2 0x400104c2 +#define CYREG_B0_P2_U1_CFG3 0x400104c3 +#define CYREG_B0_P2_U1_CFG4 0x400104c4 +#define CYREG_B0_P2_U1_CFG5 0x400104c5 +#define CYREG_B0_P2_U1_CFG6 0x400104c6 +#define CYREG_B0_P2_U1_CFG7 0x400104c7 +#define CYREG_B0_P2_U1_CFG8 0x400104c8 +#define CYREG_B0_P2_U1_CFG9 0x400104c9 +#define CYREG_B0_P2_U1_CFG10 0x400104ca +#define CYREG_B0_P2_U1_CFG11 0x400104cb +#define CYREG_B0_P2_U1_CFG12 0x400104cc +#define CYREG_B0_P2_U1_CFG13 0x400104cd +#define CYREG_B0_P2_U1_CFG14 0x400104ce +#define CYREG_B0_P2_U1_CFG15 0x400104cf +#define CYREG_B0_P2_U1_CFG16 0x400104d0 +#define CYREG_B0_P2_U1_CFG17 0x400104d1 +#define CYREG_B0_P2_U1_CFG18 0x400104d2 +#define CYREG_B0_P2_U1_CFG19 0x400104d3 +#define CYREG_B0_P2_U1_CFG20 0x400104d4 +#define CYREG_B0_P2_U1_CFG21 0x400104d5 +#define CYREG_B0_P2_U1_CFG22 0x400104d6 +#define CYREG_B0_P2_U1_CFG23 0x400104d7 +#define CYREG_B0_P2_U1_CFG24 0x400104d8 +#define CYREG_B0_P2_U1_CFG25 0x400104d9 +#define CYREG_B0_P2_U1_CFG26 0x400104da +#define CYREG_B0_P2_U1_CFG27 0x400104db +#define CYREG_B0_P2_U1_CFG28 0x400104dc +#define CYREG_B0_P2_U1_CFG29 0x400104dd +#define CYREG_B0_P2_U1_CFG30 0x400104de +#define CYREG_B0_P2_U1_CFG31 0x400104df +#define CYREG_B0_P2_U1_DCFG0 0x400104e0 +#define CYREG_B0_P2_U1_DCFG1 0x400104e2 +#define CYREG_B0_P2_U1_DCFG2 0x400104e4 +#define CYREG_B0_P2_U1_DCFG3 0x400104e6 +#define CYREG_B0_P2_U1_DCFG4 0x400104e8 +#define CYREG_B0_P2_U1_DCFG5 0x400104ea +#define CYREG_B0_P2_U1_DCFG6 0x400104ec +#define CYREG_B0_P2_U1_DCFG7 0x400104ee +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500 +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P3_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070 +#define CYREG_B0_P3_U0_PLD_IT0 0x40010600 +#define CYREG_B0_P3_U0_PLD_IT1 0x40010604 +#define CYREG_B0_P3_U0_PLD_IT2 0x40010608 +#define CYREG_B0_P3_U0_PLD_IT3 0x4001060c +#define CYREG_B0_P3_U0_PLD_IT4 0x40010610 +#define CYREG_B0_P3_U0_PLD_IT5 0x40010614 +#define CYREG_B0_P3_U0_PLD_IT6 0x40010618 +#define CYREG_B0_P3_U0_PLD_IT7 0x4001061c +#define CYREG_B0_P3_U0_PLD_IT8 0x40010620 +#define CYREG_B0_P3_U0_PLD_IT9 0x40010624 +#define CYREG_B0_P3_U0_PLD_IT10 0x40010628 +#define CYREG_B0_P3_U0_PLD_IT11 0x4001062c +#define CYREG_B0_P3_U0_PLD_ORT0 0x40010630 +#define CYREG_B0_P3_U0_PLD_ORT1 0x40010632 +#define CYREG_B0_P3_U0_PLD_ORT2 0x40010634 +#define CYREG_B0_P3_U0_PLD_ORT3 0x40010636 +#define CYREG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638 +#define CYREG_B0_P3_U0_MC_CFG_XORFB 0x4001063a +#define CYREG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063c +#define CYREG_B0_P3_U0_MC_CFG_BYPASS 0x4001063e +#define CYREG_B0_P3_U0_CFG0 0x40010640 +#define CYREG_B0_P3_U0_CFG1 0x40010641 +#define CYREG_B0_P3_U0_CFG2 0x40010642 +#define CYREG_B0_P3_U0_CFG3 0x40010643 +#define CYREG_B0_P3_U0_CFG4 0x40010644 +#define CYREG_B0_P3_U0_CFG5 0x40010645 +#define CYREG_B0_P3_U0_CFG6 0x40010646 +#define CYREG_B0_P3_U0_CFG7 0x40010647 +#define CYREG_B0_P3_U0_CFG8 0x40010648 +#define CYREG_B0_P3_U0_CFG9 0x40010649 +#define CYREG_B0_P3_U0_CFG10 0x4001064a +#define CYREG_B0_P3_U0_CFG11 0x4001064b +#define CYREG_B0_P3_U0_CFG12 0x4001064c +#define CYREG_B0_P3_U0_CFG13 0x4001064d +#define CYREG_B0_P3_U0_CFG14 0x4001064e +#define CYREG_B0_P3_U0_CFG15 0x4001064f +#define CYREG_B0_P3_U0_CFG16 0x40010650 +#define CYREG_B0_P3_U0_CFG17 0x40010651 +#define CYREG_B0_P3_U0_CFG18 0x40010652 +#define CYREG_B0_P3_U0_CFG19 0x40010653 +#define CYREG_B0_P3_U0_CFG20 0x40010654 +#define CYREG_B0_P3_U0_CFG21 0x40010655 +#define CYREG_B0_P3_U0_CFG22 0x40010656 +#define CYREG_B0_P3_U0_CFG23 0x40010657 +#define CYREG_B0_P3_U0_CFG24 0x40010658 +#define CYREG_B0_P3_U0_CFG25 0x40010659 +#define CYREG_B0_P3_U0_CFG26 0x4001065a +#define CYREG_B0_P3_U0_CFG27 0x4001065b +#define CYREG_B0_P3_U0_CFG28 0x4001065c +#define CYREG_B0_P3_U0_CFG29 0x4001065d +#define CYREG_B0_P3_U0_CFG30 0x4001065e +#define CYREG_B0_P3_U0_CFG31 0x4001065f +#define CYREG_B0_P3_U0_DCFG0 0x40010660 +#define CYREG_B0_P3_U0_DCFG1 0x40010662 +#define CYREG_B0_P3_U0_DCFG2 0x40010664 +#define CYREG_B0_P3_U0_DCFG3 0x40010666 +#define CYREG_B0_P3_U0_DCFG4 0x40010668 +#define CYREG_B0_P3_U0_DCFG5 0x4001066a +#define CYREG_B0_P3_U0_DCFG6 0x4001066c +#define CYREG_B0_P3_U0_DCFG7 0x4001066e +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680 +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070 +#define CYREG_B0_P3_U1_PLD_IT0 0x40010680 +#define CYREG_B0_P3_U1_PLD_IT1 0x40010684 +#define CYREG_B0_P3_U1_PLD_IT2 0x40010688 +#define CYREG_B0_P3_U1_PLD_IT3 0x4001068c +#define CYREG_B0_P3_U1_PLD_IT4 0x40010690 +#define CYREG_B0_P3_U1_PLD_IT5 0x40010694 +#define CYREG_B0_P3_U1_PLD_IT6 0x40010698 +#define CYREG_B0_P3_U1_PLD_IT7 0x4001069c +#define CYREG_B0_P3_U1_PLD_IT8 0x400106a0 +#define CYREG_B0_P3_U1_PLD_IT9 0x400106a4 +#define CYREG_B0_P3_U1_PLD_IT10 0x400106a8 +#define CYREG_B0_P3_U1_PLD_IT11 0x400106ac +#define CYREG_B0_P3_U1_PLD_ORT0 0x400106b0 +#define CYREG_B0_P3_U1_PLD_ORT1 0x400106b2 +#define CYREG_B0_P3_U1_PLD_ORT2 0x400106b4 +#define CYREG_B0_P3_U1_PLD_ORT3 0x400106b6 +#define CYREG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8 +#define CYREG_B0_P3_U1_MC_CFG_XORFB 0x400106ba +#define CYREG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bc +#define CYREG_B0_P3_U1_MC_CFG_BYPASS 0x400106be +#define CYREG_B0_P3_U1_CFG0 0x400106c0 +#define CYREG_B0_P3_U1_CFG1 0x400106c1 +#define CYREG_B0_P3_U1_CFG2 0x400106c2 +#define CYREG_B0_P3_U1_CFG3 0x400106c3 +#define CYREG_B0_P3_U1_CFG4 0x400106c4 +#define CYREG_B0_P3_U1_CFG5 0x400106c5 +#define CYREG_B0_P3_U1_CFG6 0x400106c6 +#define CYREG_B0_P3_U1_CFG7 0x400106c7 +#define CYREG_B0_P3_U1_CFG8 0x400106c8 +#define CYREG_B0_P3_U1_CFG9 0x400106c9 +#define CYREG_B0_P3_U1_CFG10 0x400106ca +#define CYREG_B0_P3_U1_CFG11 0x400106cb +#define CYREG_B0_P3_U1_CFG12 0x400106cc +#define CYREG_B0_P3_U1_CFG13 0x400106cd +#define CYREG_B0_P3_U1_CFG14 0x400106ce +#define CYREG_B0_P3_U1_CFG15 0x400106cf +#define CYREG_B0_P3_U1_CFG16 0x400106d0 +#define CYREG_B0_P3_U1_CFG17 0x400106d1 +#define CYREG_B0_P3_U1_CFG18 0x400106d2 +#define CYREG_B0_P3_U1_CFG19 0x400106d3 +#define CYREG_B0_P3_U1_CFG20 0x400106d4 +#define CYREG_B0_P3_U1_CFG21 0x400106d5 +#define CYREG_B0_P3_U1_CFG22 0x400106d6 +#define CYREG_B0_P3_U1_CFG23 0x400106d7 +#define CYREG_B0_P3_U1_CFG24 0x400106d8 +#define CYREG_B0_P3_U1_CFG25 0x400106d9 +#define CYREG_B0_P3_U1_CFG26 0x400106da +#define CYREG_B0_P3_U1_CFG27 0x400106db +#define CYREG_B0_P3_U1_CFG28 0x400106dc +#define CYREG_B0_P3_U1_CFG29 0x400106dd +#define CYREG_B0_P3_U1_CFG30 0x400106de +#define CYREG_B0_P3_U1_CFG31 0x400106df +#define CYREG_B0_P3_U1_DCFG0 0x400106e0 +#define CYREG_B0_P3_U1_DCFG1 0x400106e2 +#define CYREG_B0_P3_U1_DCFG2 0x400106e4 +#define CYREG_B0_P3_U1_DCFG3 0x400106e6 +#define CYREG_B0_P3_U1_DCFG4 0x400106e8 +#define CYREG_B0_P3_U1_DCFG5 0x400106ea +#define CYREG_B0_P3_U1_DCFG6 0x400106ec +#define CYREG_B0_P3_U1_DCFG7 0x400106ee +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700 +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P4_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070 +#define CYREG_B0_P4_U0_PLD_IT0 0x40010800 +#define CYREG_B0_P4_U0_PLD_IT1 0x40010804 +#define CYREG_B0_P4_U0_PLD_IT2 0x40010808 +#define CYREG_B0_P4_U0_PLD_IT3 0x4001080c +#define CYREG_B0_P4_U0_PLD_IT4 0x40010810 +#define CYREG_B0_P4_U0_PLD_IT5 0x40010814 +#define CYREG_B0_P4_U0_PLD_IT6 0x40010818 +#define CYREG_B0_P4_U0_PLD_IT7 0x4001081c +#define CYREG_B0_P4_U0_PLD_IT8 0x40010820 +#define CYREG_B0_P4_U0_PLD_IT9 0x40010824 +#define CYREG_B0_P4_U0_PLD_IT10 0x40010828 +#define CYREG_B0_P4_U0_PLD_IT11 0x4001082c +#define CYREG_B0_P4_U0_PLD_ORT0 0x40010830 +#define CYREG_B0_P4_U0_PLD_ORT1 0x40010832 +#define CYREG_B0_P4_U0_PLD_ORT2 0x40010834 +#define CYREG_B0_P4_U0_PLD_ORT3 0x40010836 +#define CYREG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838 +#define CYREG_B0_P4_U0_MC_CFG_XORFB 0x4001083a +#define CYREG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083c +#define CYREG_B0_P4_U0_MC_CFG_BYPASS 0x4001083e +#define CYREG_B0_P4_U0_CFG0 0x40010840 +#define CYREG_B0_P4_U0_CFG1 0x40010841 +#define CYREG_B0_P4_U0_CFG2 0x40010842 +#define CYREG_B0_P4_U0_CFG3 0x40010843 +#define CYREG_B0_P4_U0_CFG4 0x40010844 +#define CYREG_B0_P4_U0_CFG5 0x40010845 +#define CYREG_B0_P4_U0_CFG6 0x40010846 +#define CYREG_B0_P4_U0_CFG7 0x40010847 +#define CYREG_B0_P4_U0_CFG8 0x40010848 +#define CYREG_B0_P4_U0_CFG9 0x40010849 +#define CYREG_B0_P4_U0_CFG10 0x4001084a +#define CYREG_B0_P4_U0_CFG11 0x4001084b +#define CYREG_B0_P4_U0_CFG12 0x4001084c +#define CYREG_B0_P4_U0_CFG13 0x4001084d +#define CYREG_B0_P4_U0_CFG14 0x4001084e +#define CYREG_B0_P4_U0_CFG15 0x4001084f +#define CYREG_B0_P4_U0_CFG16 0x40010850 +#define CYREG_B0_P4_U0_CFG17 0x40010851 +#define CYREG_B0_P4_U0_CFG18 0x40010852 +#define CYREG_B0_P4_U0_CFG19 0x40010853 +#define CYREG_B0_P4_U0_CFG20 0x40010854 +#define CYREG_B0_P4_U0_CFG21 0x40010855 +#define CYREG_B0_P4_U0_CFG22 0x40010856 +#define CYREG_B0_P4_U0_CFG23 0x40010857 +#define CYREG_B0_P4_U0_CFG24 0x40010858 +#define CYREG_B0_P4_U0_CFG25 0x40010859 +#define CYREG_B0_P4_U0_CFG26 0x4001085a +#define CYREG_B0_P4_U0_CFG27 0x4001085b +#define CYREG_B0_P4_U0_CFG28 0x4001085c +#define CYREG_B0_P4_U0_CFG29 0x4001085d +#define CYREG_B0_P4_U0_CFG30 0x4001085e +#define CYREG_B0_P4_U0_CFG31 0x4001085f +#define CYREG_B0_P4_U0_DCFG0 0x40010860 +#define CYREG_B0_P4_U0_DCFG1 0x40010862 +#define CYREG_B0_P4_U0_DCFG2 0x40010864 +#define CYREG_B0_P4_U0_DCFG3 0x40010866 +#define CYREG_B0_P4_U0_DCFG4 0x40010868 +#define CYREG_B0_P4_U0_DCFG5 0x4001086a +#define CYREG_B0_P4_U0_DCFG6 0x4001086c +#define CYREG_B0_P4_U0_DCFG7 0x4001086e +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880 +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070 +#define CYREG_B0_P4_U1_PLD_IT0 0x40010880 +#define CYREG_B0_P4_U1_PLD_IT1 0x40010884 +#define CYREG_B0_P4_U1_PLD_IT2 0x40010888 +#define CYREG_B0_P4_U1_PLD_IT3 0x4001088c +#define CYREG_B0_P4_U1_PLD_IT4 0x40010890 +#define CYREG_B0_P4_U1_PLD_IT5 0x40010894 +#define CYREG_B0_P4_U1_PLD_IT6 0x40010898 +#define CYREG_B0_P4_U1_PLD_IT7 0x4001089c +#define CYREG_B0_P4_U1_PLD_IT8 0x400108a0 +#define CYREG_B0_P4_U1_PLD_IT9 0x400108a4 +#define CYREG_B0_P4_U1_PLD_IT10 0x400108a8 +#define CYREG_B0_P4_U1_PLD_IT11 0x400108ac +#define CYREG_B0_P4_U1_PLD_ORT0 0x400108b0 +#define CYREG_B0_P4_U1_PLD_ORT1 0x400108b2 +#define CYREG_B0_P4_U1_PLD_ORT2 0x400108b4 +#define CYREG_B0_P4_U1_PLD_ORT3 0x400108b6 +#define CYREG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8 +#define CYREG_B0_P4_U1_MC_CFG_XORFB 0x400108ba +#define CYREG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bc +#define CYREG_B0_P4_U1_MC_CFG_BYPASS 0x400108be +#define CYREG_B0_P4_U1_CFG0 0x400108c0 +#define CYREG_B0_P4_U1_CFG1 0x400108c1 +#define CYREG_B0_P4_U1_CFG2 0x400108c2 +#define CYREG_B0_P4_U1_CFG3 0x400108c3 +#define CYREG_B0_P4_U1_CFG4 0x400108c4 +#define CYREG_B0_P4_U1_CFG5 0x400108c5 +#define CYREG_B0_P4_U1_CFG6 0x400108c6 +#define CYREG_B0_P4_U1_CFG7 0x400108c7 +#define CYREG_B0_P4_U1_CFG8 0x400108c8 +#define CYREG_B0_P4_U1_CFG9 0x400108c9 +#define CYREG_B0_P4_U1_CFG10 0x400108ca +#define CYREG_B0_P4_U1_CFG11 0x400108cb +#define CYREG_B0_P4_U1_CFG12 0x400108cc +#define CYREG_B0_P4_U1_CFG13 0x400108cd +#define CYREG_B0_P4_U1_CFG14 0x400108ce +#define CYREG_B0_P4_U1_CFG15 0x400108cf +#define CYREG_B0_P4_U1_CFG16 0x400108d0 +#define CYREG_B0_P4_U1_CFG17 0x400108d1 +#define CYREG_B0_P4_U1_CFG18 0x400108d2 +#define CYREG_B0_P4_U1_CFG19 0x400108d3 +#define CYREG_B0_P4_U1_CFG20 0x400108d4 +#define CYREG_B0_P4_U1_CFG21 0x400108d5 +#define CYREG_B0_P4_U1_CFG22 0x400108d6 +#define CYREG_B0_P4_U1_CFG23 0x400108d7 +#define CYREG_B0_P4_U1_CFG24 0x400108d8 +#define CYREG_B0_P4_U1_CFG25 0x400108d9 +#define CYREG_B0_P4_U1_CFG26 0x400108da +#define CYREG_B0_P4_U1_CFG27 0x400108db +#define CYREG_B0_P4_U1_CFG28 0x400108dc +#define CYREG_B0_P4_U1_CFG29 0x400108dd +#define CYREG_B0_P4_U1_CFG30 0x400108de +#define CYREG_B0_P4_U1_CFG31 0x400108df +#define CYREG_B0_P4_U1_DCFG0 0x400108e0 +#define CYREG_B0_P4_U1_DCFG1 0x400108e2 +#define CYREG_B0_P4_U1_DCFG2 0x400108e4 +#define CYREG_B0_P4_U1_DCFG3 0x400108e6 +#define CYREG_B0_P4_U1_DCFG4 0x400108e8 +#define CYREG_B0_P4_U1_DCFG5 0x400108ea +#define CYREG_B0_P4_U1_DCFG6 0x400108ec +#define CYREG_B0_P4_U1_DCFG7 0x400108ee +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900 +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070 +#define CYREG_B0_P5_U0_PLD_IT0 0x40010a00 +#define CYREG_B0_P5_U0_PLD_IT1 0x40010a04 +#define CYREG_B0_P5_U0_PLD_IT2 0x40010a08 +#define CYREG_B0_P5_U0_PLD_IT3 0x40010a0c +#define CYREG_B0_P5_U0_PLD_IT4 0x40010a10 +#define CYREG_B0_P5_U0_PLD_IT5 0x40010a14 +#define CYREG_B0_P5_U0_PLD_IT6 0x40010a18 +#define CYREG_B0_P5_U0_PLD_IT7 0x40010a1c +#define CYREG_B0_P5_U0_PLD_IT8 0x40010a20 +#define CYREG_B0_P5_U0_PLD_IT9 0x40010a24 +#define CYREG_B0_P5_U0_PLD_IT10 0x40010a28 +#define CYREG_B0_P5_U0_PLD_IT11 0x40010a2c +#define CYREG_B0_P5_U0_PLD_ORT0 0x40010a30 +#define CYREG_B0_P5_U0_PLD_ORT1 0x40010a32 +#define CYREG_B0_P5_U0_PLD_ORT2 0x40010a34 +#define CYREG_B0_P5_U0_PLD_ORT3 0x40010a36 +#define CYREG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38 +#define CYREG_B0_P5_U0_MC_CFG_XORFB 0x40010a3a +#define CYREG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3c +#define CYREG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3e +#define CYREG_B0_P5_U0_CFG0 0x40010a40 +#define CYREG_B0_P5_U0_CFG1 0x40010a41 +#define CYREG_B0_P5_U0_CFG2 0x40010a42 +#define CYREG_B0_P5_U0_CFG3 0x40010a43 +#define CYREG_B0_P5_U0_CFG4 0x40010a44 +#define CYREG_B0_P5_U0_CFG5 0x40010a45 +#define CYREG_B0_P5_U0_CFG6 0x40010a46 +#define CYREG_B0_P5_U0_CFG7 0x40010a47 +#define CYREG_B0_P5_U0_CFG8 0x40010a48 +#define CYREG_B0_P5_U0_CFG9 0x40010a49 +#define CYREG_B0_P5_U0_CFG10 0x40010a4a +#define CYREG_B0_P5_U0_CFG11 0x40010a4b +#define CYREG_B0_P5_U0_CFG12 0x40010a4c +#define CYREG_B0_P5_U0_CFG13 0x40010a4d +#define CYREG_B0_P5_U0_CFG14 0x40010a4e +#define CYREG_B0_P5_U0_CFG15 0x40010a4f +#define CYREG_B0_P5_U0_CFG16 0x40010a50 +#define CYREG_B0_P5_U0_CFG17 0x40010a51 +#define CYREG_B0_P5_U0_CFG18 0x40010a52 +#define CYREG_B0_P5_U0_CFG19 0x40010a53 +#define CYREG_B0_P5_U0_CFG20 0x40010a54 +#define CYREG_B0_P5_U0_CFG21 0x40010a55 +#define CYREG_B0_P5_U0_CFG22 0x40010a56 +#define CYREG_B0_P5_U0_CFG23 0x40010a57 +#define CYREG_B0_P5_U0_CFG24 0x40010a58 +#define CYREG_B0_P5_U0_CFG25 0x40010a59 +#define CYREG_B0_P5_U0_CFG26 0x40010a5a +#define CYREG_B0_P5_U0_CFG27 0x40010a5b +#define CYREG_B0_P5_U0_CFG28 0x40010a5c +#define CYREG_B0_P5_U0_CFG29 0x40010a5d +#define CYREG_B0_P5_U0_CFG30 0x40010a5e +#define CYREG_B0_P5_U0_CFG31 0x40010a5f +#define CYREG_B0_P5_U0_DCFG0 0x40010a60 +#define CYREG_B0_P5_U0_DCFG1 0x40010a62 +#define CYREG_B0_P5_U0_DCFG2 0x40010a64 +#define CYREG_B0_P5_U0_DCFG3 0x40010a66 +#define CYREG_B0_P5_U0_DCFG4 0x40010a68 +#define CYREG_B0_P5_U0_DCFG5 0x40010a6a +#define CYREG_B0_P5_U0_DCFG6 0x40010a6c +#define CYREG_B0_P5_U0_DCFG7 0x40010a6e +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80 +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070 +#define CYREG_B0_P5_U1_PLD_IT0 0x40010a80 +#define CYREG_B0_P5_U1_PLD_IT1 0x40010a84 +#define CYREG_B0_P5_U1_PLD_IT2 0x40010a88 +#define CYREG_B0_P5_U1_PLD_IT3 0x40010a8c +#define CYREG_B0_P5_U1_PLD_IT4 0x40010a90 +#define CYREG_B0_P5_U1_PLD_IT5 0x40010a94 +#define CYREG_B0_P5_U1_PLD_IT6 0x40010a98 +#define CYREG_B0_P5_U1_PLD_IT7 0x40010a9c +#define CYREG_B0_P5_U1_PLD_IT8 0x40010aa0 +#define CYREG_B0_P5_U1_PLD_IT9 0x40010aa4 +#define CYREG_B0_P5_U1_PLD_IT10 0x40010aa8 +#define CYREG_B0_P5_U1_PLD_IT11 0x40010aac +#define CYREG_B0_P5_U1_PLD_ORT0 0x40010ab0 +#define CYREG_B0_P5_U1_PLD_ORT1 0x40010ab2 +#define CYREG_B0_P5_U1_PLD_ORT2 0x40010ab4 +#define CYREG_B0_P5_U1_PLD_ORT3 0x40010ab6 +#define CYREG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8 +#define CYREG_B0_P5_U1_MC_CFG_XORFB 0x40010aba +#define CYREG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abc +#define CYREG_B0_P5_U1_MC_CFG_BYPASS 0x40010abe +#define CYREG_B0_P5_U1_CFG0 0x40010ac0 +#define CYREG_B0_P5_U1_CFG1 0x40010ac1 +#define CYREG_B0_P5_U1_CFG2 0x40010ac2 +#define CYREG_B0_P5_U1_CFG3 0x40010ac3 +#define CYREG_B0_P5_U1_CFG4 0x40010ac4 +#define CYREG_B0_P5_U1_CFG5 0x40010ac5 +#define CYREG_B0_P5_U1_CFG6 0x40010ac6 +#define CYREG_B0_P5_U1_CFG7 0x40010ac7 +#define CYREG_B0_P5_U1_CFG8 0x40010ac8 +#define CYREG_B0_P5_U1_CFG9 0x40010ac9 +#define CYREG_B0_P5_U1_CFG10 0x40010aca +#define CYREG_B0_P5_U1_CFG11 0x40010acb +#define CYREG_B0_P5_U1_CFG12 0x40010acc +#define CYREG_B0_P5_U1_CFG13 0x40010acd +#define CYREG_B0_P5_U1_CFG14 0x40010ace +#define CYREG_B0_P5_U1_CFG15 0x40010acf +#define CYREG_B0_P5_U1_CFG16 0x40010ad0 +#define CYREG_B0_P5_U1_CFG17 0x40010ad1 +#define CYREG_B0_P5_U1_CFG18 0x40010ad2 +#define CYREG_B0_P5_U1_CFG19 0x40010ad3 +#define CYREG_B0_P5_U1_CFG20 0x40010ad4 +#define CYREG_B0_P5_U1_CFG21 0x40010ad5 +#define CYREG_B0_P5_U1_CFG22 0x40010ad6 +#define CYREG_B0_P5_U1_CFG23 0x40010ad7 +#define CYREG_B0_P5_U1_CFG24 0x40010ad8 +#define CYREG_B0_P5_U1_CFG25 0x40010ad9 +#define CYREG_B0_P5_U1_CFG26 0x40010ada +#define CYREG_B0_P5_U1_CFG27 0x40010adb +#define CYREG_B0_P5_U1_CFG28 0x40010adc +#define CYREG_B0_P5_U1_CFG29 0x40010add +#define CYREG_B0_P5_U1_CFG30 0x40010ade +#define CYREG_B0_P5_U1_CFG31 0x40010adf +#define CYREG_B0_P5_U1_DCFG0 0x40010ae0 +#define CYREG_B0_P5_U1_DCFG1 0x40010ae2 +#define CYREG_B0_P5_U1_DCFG2 0x40010ae4 +#define CYREG_B0_P5_U1_DCFG3 0x40010ae6 +#define CYREG_B0_P5_U1_DCFG4 0x40010ae8 +#define CYREG_B0_P5_U1_DCFG5 0x40010aea +#define CYREG_B0_P5_U1_DCFG6 0x40010aec +#define CYREG_B0_P5_U1_DCFG7 0x40010aee +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00 +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070 +#define CYREG_B0_P6_U0_PLD_IT0 0x40010c00 +#define CYREG_B0_P6_U0_PLD_IT1 0x40010c04 +#define CYREG_B0_P6_U0_PLD_IT2 0x40010c08 +#define CYREG_B0_P6_U0_PLD_IT3 0x40010c0c +#define CYREG_B0_P6_U0_PLD_IT4 0x40010c10 +#define CYREG_B0_P6_U0_PLD_IT5 0x40010c14 +#define CYREG_B0_P6_U0_PLD_IT6 0x40010c18 +#define CYREG_B0_P6_U0_PLD_IT7 0x40010c1c +#define CYREG_B0_P6_U0_PLD_IT8 0x40010c20 +#define CYREG_B0_P6_U0_PLD_IT9 0x40010c24 +#define CYREG_B0_P6_U0_PLD_IT10 0x40010c28 +#define CYREG_B0_P6_U0_PLD_IT11 0x40010c2c +#define CYREG_B0_P6_U0_PLD_ORT0 0x40010c30 +#define CYREG_B0_P6_U0_PLD_ORT1 0x40010c32 +#define CYREG_B0_P6_U0_PLD_ORT2 0x40010c34 +#define CYREG_B0_P6_U0_PLD_ORT3 0x40010c36 +#define CYREG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38 +#define CYREG_B0_P6_U0_MC_CFG_XORFB 0x40010c3a +#define CYREG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3c +#define CYREG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3e +#define CYREG_B0_P6_U0_CFG0 0x40010c40 +#define CYREG_B0_P6_U0_CFG1 0x40010c41 +#define CYREG_B0_P6_U0_CFG2 0x40010c42 +#define CYREG_B0_P6_U0_CFG3 0x40010c43 +#define CYREG_B0_P6_U0_CFG4 0x40010c44 +#define CYREG_B0_P6_U0_CFG5 0x40010c45 +#define CYREG_B0_P6_U0_CFG6 0x40010c46 +#define CYREG_B0_P6_U0_CFG7 0x40010c47 +#define CYREG_B0_P6_U0_CFG8 0x40010c48 +#define CYREG_B0_P6_U0_CFG9 0x40010c49 +#define CYREG_B0_P6_U0_CFG10 0x40010c4a +#define CYREG_B0_P6_U0_CFG11 0x40010c4b +#define CYREG_B0_P6_U0_CFG12 0x40010c4c +#define CYREG_B0_P6_U0_CFG13 0x40010c4d +#define CYREG_B0_P6_U0_CFG14 0x40010c4e +#define CYREG_B0_P6_U0_CFG15 0x40010c4f +#define CYREG_B0_P6_U0_CFG16 0x40010c50 +#define CYREG_B0_P6_U0_CFG17 0x40010c51 +#define CYREG_B0_P6_U0_CFG18 0x40010c52 +#define CYREG_B0_P6_U0_CFG19 0x40010c53 +#define CYREG_B0_P6_U0_CFG20 0x40010c54 +#define CYREG_B0_P6_U0_CFG21 0x40010c55 +#define CYREG_B0_P6_U0_CFG22 0x40010c56 +#define CYREG_B0_P6_U0_CFG23 0x40010c57 +#define CYREG_B0_P6_U0_CFG24 0x40010c58 +#define CYREG_B0_P6_U0_CFG25 0x40010c59 +#define CYREG_B0_P6_U0_CFG26 0x40010c5a +#define CYREG_B0_P6_U0_CFG27 0x40010c5b +#define CYREG_B0_P6_U0_CFG28 0x40010c5c +#define CYREG_B0_P6_U0_CFG29 0x40010c5d +#define CYREG_B0_P6_U0_CFG30 0x40010c5e +#define CYREG_B0_P6_U0_CFG31 0x40010c5f +#define CYREG_B0_P6_U0_DCFG0 0x40010c60 +#define CYREG_B0_P6_U0_DCFG1 0x40010c62 +#define CYREG_B0_P6_U0_DCFG2 0x40010c64 +#define CYREG_B0_P6_U0_DCFG3 0x40010c66 +#define CYREG_B0_P6_U0_DCFG4 0x40010c68 +#define CYREG_B0_P6_U0_DCFG5 0x40010c6a +#define CYREG_B0_P6_U0_DCFG6 0x40010c6c +#define CYREG_B0_P6_U0_DCFG7 0x40010c6e +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80 +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070 +#define CYREG_B0_P6_U1_PLD_IT0 0x40010c80 +#define CYREG_B0_P6_U1_PLD_IT1 0x40010c84 +#define CYREG_B0_P6_U1_PLD_IT2 0x40010c88 +#define CYREG_B0_P6_U1_PLD_IT3 0x40010c8c +#define CYREG_B0_P6_U1_PLD_IT4 0x40010c90 +#define CYREG_B0_P6_U1_PLD_IT5 0x40010c94 +#define CYREG_B0_P6_U1_PLD_IT6 0x40010c98 +#define CYREG_B0_P6_U1_PLD_IT7 0x40010c9c +#define CYREG_B0_P6_U1_PLD_IT8 0x40010ca0 +#define CYREG_B0_P6_U1_PLD_IT9 0x40010ca4 +#define CYREG_B0_P6_U1_PLD_IT10 0x40010ca8 +#define CYREG_B0_P6_U1_PLD_IT11 0x40010cac +#define CYREG_B0_P6_U1_PLD_ORT0 0x40010cb0 +#define CYREG_B0_P6_U1_PLD_ORT1 0x40010cb2 +#define CYREG_B0_P6_U1_PLD_ORT2 0x40010cb4 +#define CYREG_B0_P6_U1_PLD_ORT3 0x40010cb6 +#define CYREG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8 +#define CYREG_B0_P6_U1_MC_CFG_XORFB 0x40010cba +#define CYREG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbc +#define CYREG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbe +#define CYREG_B0_P6_U1_CFG0 0x40010cc0 +#define CYREG_B0_P6_U1_CFG1 0x40010cc1 +#define CYREG_B0_P6_U1_CFG2 0x40010cc2 +#define CYREG_B0_P6_U1_CFG3 0x40010cc3 +#define CYREG_B0_P6_U1_CFG4 0x40010cc4 +#define CYREG_B0_P6_U1_CFG5 0x40010cc5 +#define CYREG_B0_P6_U1_CFG6 0x40010cc6 +#define CYREG_B0_P6_U1_CFG7 0x40010cc7 +#define CYREG_B0_P6_U1_CFG8 0x40010cc8 +#define CYREG_B0_P6_U1_CFG9 0x40010cc9 +#define CYREG_B0_P6_U1_CFG10 0x40010cca +#define CYREG_B0_P6_U1_CFG11 0x40010ccb +#define CYREG_B0_P6_U1_CFG12 0x40010ccc +#define CYREG_B0_P6_U1_CFG13 0x40010ccd +#define CYREG_B0_P6_U1_CFG14 0x40010cce +#define CYREG_B0_P6_U1_CFG15 0x40010ccf +#define CYREG_B0_P6_U1_CFG16 0x40010cd0 +#define CYREG_B0_P6_U1_CFG17 0x40010cd1 +#define CYREG_B0_P6_U1_CFG18 0x40010cd2 +#define CYREG_B0_P6_U1_CFG19 0x40010cd3 +#define CYREG_B0_P6_U1_CFG20 0x40010cd4 +#define CYREG_B0_P6_U1_CFG21 0x40010cd5 +#define CYREG_B0_P6_U1_CFG22 0x40010cd6 +#define CYREG_B0_P6_U1_CFG23 0x40010cd7 +#define CYREG_B0_P6_U1_CFG24 0x40010cd8 +#define CYREG_B0_P6_U1_CFG25 0x40010cd9 +#define CYREG_B0_P6_U1_CFG26 0x40010cda +#define CYREG_B0_P6_U1_CFG27 0x40010cdb +#define CYREG_B0_P6_U1_CFG28 0x40010cdc +#define CYREG_B0_P6_U1_CFG29 0x40010cdd +#define CYREG_B0_P6_U1_CFG30 0x40010cde +#define CYREG_B0_P6_U1_CFG31 0x40010cdf +#define CYREG_B0_P6_U1_DCFG0 0x40010ce0 +#define CYREG_B0_P6_U1_DCFG1 0x40010ce2 +#define CYREG_B0_P6_U1_DCFG2 0x40010ce4 +#define CYREG_B0_P6_U1_DCFG3 0x40010ce6 +#define CYREG_B0_P6_U1_DCFG4 0x40010ce8 +#define CYREG_B0_P6_U1_DCFG5 0x40010cea +#define CYREG_B0_P6_U1_DCFG6 0x40010cec +#define CYREG_B0_P6_U1_DCFG7 0x40010cee +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00 +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070 +#define CYREG_B0_P7_U0_PLD_IT0 0x40010e00 +#define CYREG_B0_P7_U0_PLD_IT1 0x40010e04 +#define CYREG_B0_P7_U0_PLD_IT2 0x40010e08 +#define CYREG_B0_P7_U0_PLD_IT3 0x40010e0c +#define CYREG_B0_P7_U0_PLD_IT4 0x40010e10 +#define CYREG_B0_P7_U0_PLD_IT5 0x40010e14 +#define CYREG_B0_P7_U0_PLD_IT6 0x40010e18 +#define CYREG_B0_P7_U0_PLD_IT7 0x40010e1c +#define CYREG_B0_P7_U0_PLD_IT8 0x40010e20 +#define CYREG_B0_P7_U0_PLD_IT9 0x40010e24 +#define CYREG_B0_P7_U0_PLD_IT10 0x40010e28 +#define CYREG_B0_P7_U0_PLD_IT11 0x40010e2c +#define CYREG_B0_P7_U0_PLD_ORT0 0x40010e30 +#define CYREG_B0_P7_U0_PLD_ORT1 0x40010e32 +#define CYREG_B0_P7_U0_PLD_ORT2 0x40010e34 +#define CYREG_B0_P7_U0_PLD_ORT3 0x40010e36 +#define CYREG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38 +#define CYREG_B0_P7_U0_MC_CFG_XORFB 0x40010e3a +#define CYREG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3c +#define CYREG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3e +#define CYREG_B0_P7_U0_CFG0 0x40010e40 +#define CYREG_B0_P7_U0_CFG1 0x40010e41 +#define CYREG_B0_P7_U0_CFG2 0x40010e42 +#define CYREG_B0_P7_U0_CFG3 0x40010e43 +#define CYREG_B0_P7_U0_CFG4 0x40010e44 +#define CYREG_B0_P7_U0_CFG5 0x40010e45 +#define CYREG_B0_P7_U0_CFG6 0x40010e46 +#define CYREG_B0_P7_U0_CFG7 0x40010e47 +#define CYREG_B0_P7_U0_CFG8 0x40010e48 +#define CYREG_B0_P7_U0_CFG9 0x40010e49 +#define CYREG_B0_P7_U0_CFG10 0x40010e4a +#define CYREG_B0_P7_U0_CFG11 0x40010e4b +#define CYREG_B0_P7_U0_CFG12 0x40010e4c +#define CYREG_B0_P7_U0_CFG13 0x40010e4d +#define CYREG_B0_P7_U0_CFG14 0x40010e4e +#define CYREG_B0_P7_U0_CFG15 0x40010e4f +#define CYREG_B0_P7_U0_CFG16 0x40010e50 +#define CYREG_B0_P7_U0_CFG17 0x40010e51 +#define CYREG_B0_P7_U0_CFG18 0x40010e52 +#define CYREG_B0_P7_U0_CFG19 0x40010e53 +#define CYREG_B0_P7_U0_CFG20 0x40010e54 +#define CYREG_B0_P7_U0_CFG21 0x40010e55 +#define CYREG_B0_P7_U0_CFG22 0x40010e56 +#define CYREG_B0_P7_U0_CFG23 0x40010e57 +#define CYREG_B0_P7_U0_CFG24 0x40010e58 +#define CYREG_B0_P7_U0_CFG25 0x40010e59 +#define CYREG_B0_P7_U0_CFG26 0x40010e5a +#define CYREG_B0_P7_U0_CFG27 0x40010e5b +#define CYREG_B0_P7_U0_CFG28 0x40010e5c +#define CYREG_B0_P7_U0_CFG29 0x40010e5d +#define CYREG_B0_P7_U0_CFG30 0x40010e5e +#define CYREG_B0_P7_U0_CFG31 0x40010e5f +#define CYREG_B0_P7_U0_DCFG0 0x40010e60 +#define CYREG_B0_P7_U0_DCFG1 0x40010e62 +#define CYREG_B0_P7_U0_DCFG2 0x40010e64 +#define CYREG_B0_P7_U0_DCFG3 0x40010e66 +#define CYREG_B0_P7_U0_DCFG4 0x40010e68 +#define CYREG_B0_P7_U0_DCFG5 0x40010e6a +#define CYREG_B0_P7_U0_DCFG6 0x40010e6c +#define CYREG_B0_P7_U0_DCFG7 0x40010e6e +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80 +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070 +#define CYREG_B0_P7_U1_PLD_IT0 0x40010e80 +#define CYREG_B0_P7_U1_PLD_IT1 0x40010e84 +#define CYREG_B0_P7_U1_PLD_IT2 0x40010e88 +#define CYREG_B0_P7_U1_PLD_IT3 0x40010e8c +#define CYREG_B0_P7_U1_PLD_IT4 0x40010e90 +#define CYREG_B0_P7_U1_PLD_IT5 0x40010e94 +#define CYREG_B0_P7_U1_PLD_IT6 0x40010e98 +#define CYREG_B0_P7_U1_PLD_IT7 0x40010e9c +#define CYREG_B0_P7_U1_PLD_IT8 0x40010ea0 +#define CYREG_B0_P7_U1_PLD_IT9 0x40010ea4 +#define CYREG_B0_P7_U1_PLD_IT10 0x40010ea8 +#define CYREG_B0_P7_U1_PLD_IT11 0x40010eac +#define CYREG_B0_P7_U1_PLD_ORT0 0x40010eb0 +#define CYREG_B0_P7_U1_PLD_ORT1 0x40010eb2 +#define CYREG_B0_P7_U1_PLD_ORT2 0x40010eb4 +#define CYREG_B0_P7_U1_PLD_ORT3 0x40010eb6 +#define CYREG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8 +#define CYREG_B0_P7_U1_MC_CFG_XORFB 0x40010eba +#define CYREG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebc +#define CYREG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebe +#define CYREG_B0_P7_U1_CFG0 0x40010ec0 +#define CYREG_B0_P7_U1_CFG1 0x40010ec1 +#define CYREG_B0_P7_U1_CFG2 0x40010ec2 +#define CYREG_B0_P7_U1_CFG3 0x40010ec3 +#define CYREG_B0_P7_U1_CFG4 0x40010ec4 +#define CYREG_B0_P7_U1_CFG5 0x40010ec5 +#define CYREG_B0_P7_U1_CFG6 0x40010ec6 +#define CYREG_B0_P7_U1_CFG7 0x40010ec7 +#define CYREG_B0_P7_U1_CFG8 0x40010ec8 +#define CYREG_B0_P7_U1_CFG9 0x40010ec9 +#define CYREG_B0_P7_U1_CFG10 0x40010eca +#define CYREG_B0_P7_U1_CFG11 0x40010ecb +#define CYREG_B0_P7_U1_CFG12 0x40010ecc +#define CYREG_B0_P7_U1_CFG13 0x40010ecd +#define CYREG_B0_P7_U1_CFG14 0x40010ece +#define CYREG_B0_P7_U1_CFG15 0x40010ecf +#define CYREG_B0_P7_U1_CFG16 0x40010ed0 +#define CYREG_B0_P7_U1_CFG17 0x40010ed1 +#define CYREG_B0_P7_U1_CFG18 0x40010ed2 +#define CYREG_B0_P7_U1_CFG19 0x40010ed3 +#define CYREG_B0_P7_U1_CFG20 0x40010ed4 +#define CYREG_B0_P7_U1_CFG21 0x40010ed5 +#define CYREG_B0_P7_U1_CFG22 0x40010ed6 +#define CYREG_B0_P7_U1_CFG23 0x40010ed7 +#define CYREG_B0_P7_U1_CFG24 0x40010ed8 +#define CYREG_B0_P7_U1_CFG25 0x40010ed9 +#define CYREG_B0_P7_U1_CFG26 0x40010eda +#define CYREG_B0_P7_U1_CFG27 0x40010edb +#define CYREG_B0_P7_U1_CFG28 0x40010edc +#define CYREG_B0_P7_U1_CFG29 0x40010edd +#define CYREG_B0_P7_U1_CFG30 0x40010ede +#define CYREG_B0_P7_U1_CFG31 0x40010edf +#define CYREG_B0_P7_U1_DCFG0 0x40010ee0 +#define CYREG_B0_P7_U1_DCFG1 0x40010ee2 +#define CYREG_B0_P7_U1_DCFG2 0x40010ee4 +#define CYREG_B0_P7_U1_DCFG3 0x40010ee6 +#define CYREG_B0_P7_U1_DCFG4 0x40010ee8 +#define CYREG_B0_P7_U1_DCFG5 0x40010eea +#define CYREG_B0_P7_U1_DCFG6 0x40010eec +#define CYREG_B0_P7_U1_DCFG7 0x40010eee +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00 +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_BASE 0x40011000 +#define CYDEV_UCFG_B1_SIZE 0x00000fef +#define CYDEV_UCFG_B1_P2_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070 +#define CYREG_B1_P2_U0_PLD_IT0 0x40011400 +#define CYREG_B1_P2_U0_PLD_IT1 0x40011404 +#define CYREG_B1_P2_U0_PLD_IT2 0x40011408 +#define CYREG_B1_P2_U0_PLD_IT3 0x4001140c +#define CYREG_B1_P2_U0_PLD_IT4 0x40011410 +#define CYREG_B1_P2_U0_PLD_IT5 0x40011414 +#define CYREG_B1_P2_U0_PLD_IT6 0x40011418 +#define CYREG_B1_P2_U0_PLD_IT7 0x4001141c +#define CYREG_B1_P2_U0_PLD_IT8 0x40011420 +#define CYREG_B1_P2_U0_PLD_IT9 0x40011424 +#define CYREG_B1_P2_U0_PLD_IT10 0x40011428 +#define CYREG_B1_P2_U0_PLD_IT11 0x4001142c +#define CYREG_B1_P2_U0_PLD_ORT0 0x40011430 +#define CYREG_B1_P2_U0_PLD_ORT1 0x40011432 +#define CYREG_B1_P2_U0_PLD_ORT2 0x40011434 +#define CYREG_B1_P2_U0_PLD_ORT3 0x40011436 +#define CYREG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438 +#define CYREG_B1_P2_U0_MC_CFG_XORFB 0x4001143a +#define CYREG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143c +#define CYREG_B1_P2_U0_MC_CFG_BYPASS 0x4001143e +#define CYREG_B1_P2_U0_CFG0 0x40011440 +#define CYREG_B1_P2_U0_CFG1 0x40011441 +#define CYREG_B1_P2_U0_CFG2 0x40011442 +#define CYREG_B1_P2_U0_CFG3 0x40011443 +#define CYREG_B1_P2_U0_CFG4 0x40011444 +#define CYREG_B1_P2_U0_CFG5 0x40011445 +#define CYREG_B1_P2_U0_CFG6 0x40011446 +#define CYREG_B1_P2_U0_CFG7 0x40011447 +#define CYREG_B1_P2_U0_CFG8 0x40011448 +#define CYREG_B1_P2_U0_CFG9 0x40011449 +#define CYREG_B1_P2_U0_CFG10 0x4001144a +#define CYREG_B1_P2_U0_CFG11 0x4001144b +#define CYREG_B1_P2_U0_CFG12 0x4001144c +#define CYREG_B1_P2_U0_CFG13 0x4001144d +#define CYREG_B1_P2_U0_CFG14 0x4001144e +#define CYREG_B1_P2_U0_CFG15 0x4001144f +#define CYREG_B1_P2_U0_CFG16 0x40011450 +#define CYREG_B1_P2_U0_CFG17 0x40011451 +#define CYREG_B1_P2_U0_CFG18 0x40011452 +#define CYREG_B1_P2_U0_CFG19 0x40011453 +#define CYREG_B1_P2_U0_CFG20 0x40011454 +#define CYREG_B1_P2_U0_CFG21 0x40011455 +#define CYREG_B1_P2_U0_CFG22 0x40011456 +#define CYREG_B1_P2_U0_CFG23 0x40011457 +#define CYREG_B1_P2_U0_CFG24 0x40011458 +#define CYREG_B1_P2_U0_CFG25 0x40011459 +#define CYREG_B1_P2_U0_CFG26 0x4001145a +#define CYREG_B1_P2_U0_CFG27 0x4001145b +#define CYREG_B1_P2_U0_CFG28 0x4001145c +#define CYREG_B1_P2_U0_CFG29 0x4001145d +#define CYREG_B1_P2_U0_CFG30 0x4001145e +#define CYREG_B1_P2_U0_CFG31 0x4001145f +#define CYREG_B1_P2_U0_DCFG0 0x40011460 +#define CYREG_B1_P2_U0_DCFG1 0x40011462 +#define CYREG_B1_P2_U0_DCFG2 0x40011464 +#define CYREG_B1_P2_U0_DCFG3 0x40011466 +#define CYREG_B1_P2_U0_DCFG4 0x40011468 +#define CYREG_B1_P2_U0_DCFG5 0x4001146a +#define CYREG_B1_P2_U0_DCFG6 0x4001146c +#define CYREG_B1_P2_U0_DCFG7 0x4001146e +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480 +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070 +#define CYREG_B1_P2_U1_PLD_IT0 0x40011480 +#define CYREG_B1_P2_U1_PLD_IT1 0x40011484 +#define CYREG_B1_P2_U1_PLD_IT2 0x40011488 +#define CYREG_B1_P2_U1_PLD_IT3 0x4001148c +#define CYREG_B1_P2_U1_PLD_IT4 0x40011490 +#define CYREG_B1_P2_U1_PLD_IT5 0x40011494 +#define CYREG_B1_P2_U1_PLD_IT6 0x40011498 +#define CYREG_B1_P2_U1_PLD_IT7 0x4001149c +#define CYREG_B1_P2_U1_PLD_IT8 0x400114a0 +#define CYREG_B1_P2_U1_PLD_IT9 0x400114a4 +#define CYREG_B1_P2_U1_PLD_IT10 0x400114a8 +#define CYREG_B1_P2_U1_PLD_IT11 0x400114ac +#define CYREG_B1_P2_U1_PLD_ORT0 0x400114b0 +#define CYREG_B1_P2_U1_PLD_ORT1 0x400114b2 +#define CYREG_B1_P2_U1_PLD_ORT2 0x400114b4 +#define CYREG_B1_P2_U1_PLD_ORT3 0x400114b6 +#define CYREG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8 +#define CYREG_B1_P2_U1_MC_CFG_XORFB 0x400114ba +#define CYREG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bc +#define CYREG_B1_P2_U1_MC_CFG_BYPASS 0x400114be +#define CYREG_B1_P2_U1_CFG0 0x400114c0 +#define CYREG_B1_P2_U1_CFG1 0x400114c1 +#define CYREG_B1_P2_U1_CFG2 0x400114c2 +#define CYREG_B1_P2_U1_CFG3 0x400114c3 +#define CYREG_B1_P2_U1_CFG4 0x400114c4 +#define CYREG_B1_P2_U1_CFG5 0x400114c5 +#define CYREG_B1_P2_U1_CFG6 0x400114c6 +#define CYREG_B1_P2_U1_CFG7 0x400114c7 +#define CYREG_B1_P2_U1_CFG8 0x400114c8 +#define CYREG_B1_P2_U1_CFG9 0x400114c9 +#define CYREG_B1_P2_U1_CFG10 0x400114ca +#define CYREG_B1_P2_U1_CFG11 0x400114cb +#define CYREG_B1_P2_U1_CFG12 0x400114cc +#define CYREG_B1_P2_U1_CFG13 0x400114cd +#define CYREG_B1_P2_U1_CFG14 0x400114ce +#define CYREG_B1_P2_U1_CFG15 0x400114cf +#define CYREG_B1_P2_U1_CFG16 0x400114d0 +#define CYREG_B1_P2_U1_CFG17 0x400114d1 +#define CYREG_B1_P2_U1_CFG18 0x400114d2 +#define CYREG_B1_P2_U1_CFG19 0x400114d3 +#define CYREG_B1_P2_U1_CFG20 0x400114d4 +#define CYREG_B1_P2_U1_CFG21 0x400114d5 +#define CYREG_B1_P2_U1_CFG22 0x400114d6 +#define CYREG_B1_P2_U1_CFG23 0x400114d7 +#define CYREG_B1_P2_U1_CFG24 0x400114d8 +#define CYREG_B1_P2_U1_CFG25 0x400114d9 +#define CYREG_B1_P2_U1_CFG26 0x400114da +#define CYREG_B1_P2_U1_CFG27 0x400114db +#define CYREG_B1_P2_U1_CFG28 0x400114dc +#define CYREG_B1_P2_U1_CFG29 0x400114dd +#define CYREG_B1_P2_U1_CFG30 0x400114de +#define CYREG_B1_P2_U1_CFG31 0x400114df +#define CYREG_B1_P2_U1_DCFG0 0x400114e0 +#define CYREG_B1_P2_U1_DCFG1 0x400114e2 +#define CYREG_B1_P2_U1_DCFG2 0x400114e4 +#define CYREG_B1_P2_U1_DCFG3 0x400114e6 +#define CYREG_B1_P2_U1_DCFG4 0x400114e8 +#define CYREG_B1_P2_U1_DCFG5 0x400114ea +#define CYREG_B1_P2_U1_DCFG6 0x400114ec +#define CYREG_B1_P2_U1_DCFG7 0x400114ee +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500 +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P3_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070 +#define CYREG_B1_P3_U0_PLD_IT0 0x40011600 +#define CYREG_B1_P3_U0_PLD_IT1 0x40011604 +#define CYREG_B1_P3_U0_PLD_IT2 0x40011608 +#define CYREG_B1_P3_U0_PLD_IT3 0x4001160c +#define CYREG_B1_P3_U0_PLD_IT4 0x40011610 +#define CYREG_B1_P3_U0_PLD_IT5 0x40011614 +#define CYREG_B1_P3_U0_PLD_IT6 0x40011618 +#define CYREG_B1_P3_U0_PLD_IT7 0x4001161c +#define CYREG_B1_P3_U0_PLD_IT8 0x40011620 +#define CYREG_B1_P3_U0_PLD_IT9 0x40011624 +#define CYREG_B1_P3_U0_PLD_IT10 0x40011628 +#define CYREG_B1_P3_U0_PLD_IT11 0x4001162c +#define CYREG_B1_P3_U0_PLD_ORT0 0x40011630 +#define CYREG_B1_P3_U0_PLD_ORT1 0x40011632 +#define CYREG_B1_P3_U0_PLD_ORT2 0x40011634 +#define CYREG_B1_P3_U0_PLD_ORT3 0x40011636 +#define CYREG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638 +#define CYREG_B1_P3_U0_MC_CFG_XORFB 0x4001163a +#define CYREG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163c +#define CYREG_B1_P3_U0_MC_CFG_BYPASS 0x4001163e +#define CYREG_B1_P3_U0_CFG0 0x40011640 +#define CYREG_B1_P3_U0_CFG1 0x40011641 +#define CYREG_B1_P3_U0_CFG2 0x40011642 +#define CYREG_B1_P3_U0_CFG3 0x40011643 +#define CYREG_B1_P3_U0_CFG4 0x40011644 +#define CYREG_B1_P3_U0_CFG5 0x40011645 +#define CYREG_B1_P3_U0_CFG6 0x40011646 +#define CYREG_B1_P3_U0_CFG7 0x40011647 +#define CYREG_B1_P3_U0_CFG8 0x40011648 +#define CYREG_B1_P3_U0_CFG9 0x40011649 +#define CYREG_B1_P3_U0_CFG10 0x4001164a +#define CYREG_B1_P3_U0_CFG11 0x4001164b +#define CYREG_B1_P3_U0_CFG12 0x4001164c +#define CYREG_B1_P3_U0_CFG13 0x4001164d +#define CYREG_B1_P3_U0_CFG14 0x4001164e +#define CYREG_B1_P3_U0_CFG15 0x4001164f +#define CYREG_B1_P3_U0_CFG16 0x40011650 +#define CYREG_B1_P3_U0_CFG17 0x40011651 +#define CYREG_B1_P3_U0_CFG18 0x40011652 +#define CYREG_B1_P3_U0_CFG19 0x40011653 +#define CYREG_B1_P3_U0_CFG20 0x40011654 +#define CYREG_B1_P3_U0_CFG21 0x40011655 +#define CYREG_B1_P3_U0_CFG22 0x40011656 +#define CYREG_B1_P3_U0_CFG23 0x40011657 +#define CYREG_B1_P3_U0_CFG24 0x40011658 +#define CYREG_B1_P3_U0_CFG25 0x40011659 +#define CYREG_B1_P3_U0_CFG26 0x4001165a +#define CYREG_B1_P3_U0_CFG27 0x4001165b +#define CYREG_B1_P3_U0_CFG28 0x4001165c +#define CYREG_B1_P3_U0_CFG29 0x4001165d +#define CYREG_B1_P3_U0_CFG30 0x4001165e +#define CYREG_B1_P3_U0_CFG31 0x4001165f +#define CYREG_B1_P3_U0_DCFG0 0x40011660 +#define CYREG_B1_P3_U0_DCFG1 0x40011662 +#define CYREG_B1_P3_U0_DCFG2 0x40011664 +#define CYREG_B1_P3_U0_DCFG3 0x40011666 +#define CYREG_B1_P3_U0_DCFG4 0x40011668 +#define CYREG_B1_P3_U0_DCFG5 0x4001166a +#define CYREG_B1_P3_U0_DCFG6 0x4001166c +#define CYREG_B1_P3_U0_DCFG7 0x4001166e +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680 +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070 +#define CYREG_B1_P3_U1_PLD_IT0 0x40011680 +#define CYREG_B1_P3_U1_PLD_IT1 0x40011684 +#define CYREG_B1_P3_U1_PLD_IT2 0x40011688 +#define CYREG_B1_P3_U1_PLD_IT3 0x4001168c +#define CYREG_B1_P3_U1_PLD_IT4 0x40011690 +#define CYREG_B1_P3_U1_PLD_IT5 0x40011694 +#define CYREG_B1_P3_U1_PLD_IT6 0x40011698 +#define CYREG_B1_P3_U1_PLD_IT7 0x4001169c +#define CYREG_B1_P3_U1_PLD_IT8 0x400116a0 +#define CYREG_B1_P3_U1_PLD_IT9 0x400116a4 +#define CYREG_B1_P3_U1_PLD_IT10 0x400116a8 +#define CYREG_B1_P3_U1_PLD_IT11 0x400116ac +#define CYREG_B1_P3_U1_PLD_ORT0 0x400116b0 +#define CYREG_B1_P3_U1_PLD_ORT1 0x400116b2 +#define CYREG_B1_P3_U1_PLD_ORT2 0x400116b4 +#define CYREG_B1_P3_U1_PLD_ORT3 0x400116b6 +#define CYREG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8 +#define CYREG_B1_P3_U1_MC_CFG_XORFB 0x400116ba +#define CYREG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bc +#define CYREG_B1_P3_U1_MC_CFG_BYPASS 0x400116be +#define CYREG_B1_P3_U1_CFG0 0x400116c0 +#define CYREG_B1_P3_U1_CFG1 0x400116c1 +#define CYREG_B1_P3_U1_CFG2 0x400116c2 +#define CYREG_B1_P3_U1_CFG3 0x400116c3 +#define CYREG_B1_P3_U1_CFG4 0x400116c4 +#define CYREG_B1_P3_U1_CFG5 0x400116c5 +#define CYREG_B1_P3_U1_CFG6 0x400116c6 +#define CYREG_B1_P3_U1_CFG7 0x400116c7 +#define CYREG_B1_P3_U1_CFG8 0x400116c8 +#define CYREG_B1_P3_U1_CFG9 0x400116c9 +#define CYREG_B1_P3_U1_CFG10 0x400116ca +#define CYREG_B1_P3_U1_CFG11 0x400116cb +#define CYREG_B1_P3_U1_CFG12 0x400116cc +#define CYREG_B1_P3_U1_CFG13 0x400116cd +#define CYREG_B1_P3_U1_CFG14 0x400116ce +#define CYREG_B1_P3_U1_CFG15 0x400116cf +#define CYREG_B1_P3_U1_CFG16 0x400116d0 +#define CYREG_B1_P3_U1_CFG17 0x400116d1 +#define CYREG_B1_P3_U1_CFG18 0x400116d2 +#define CYREG_B1_P3_U1_CFG19 0x400116d3 +#define CYREG_B1_P3_U1_CFG20 0x400116d4 +#define CYREG_B1_P3_U1_CFG21 0x400116d5 +#define CYREG_B1_P3_U1_CFG22 0x400116d6 +#define CYREG_B1_P3_U1_CFG23 0x400116d7 +#define CYREG_B1_P3_U1_CFG24 0x400116d8 +#define CYREG_B1_P3_U1_CFG25 0x400116d9 +#define CYREG_B1_P3_U1_CFG26 0x400116da +#define CYREG_B1_P3_U1_CFG27 0x400116db +#define CYREG_B1_P3_U1_CFG28 0x400116dc +#define CYREG_B1_P3_U1_CFG29 0x400116dd +#define CYREG_B1_P3_U1_CFG30 0x400116de +#define CYREG_B1_P3_U1_CFG31 0x400116df +#define CYREG_B1_P3_U1_DCFG0 0x400116e0 +#define CYREG_B1_P3_U1_DCFG1 0x400116e2 +#define CYREG_B1_P3_U1_DCFG2 0x400116e4 +#define CYREG_B1_P3_U1_DCFG3 0x400116e6 +#define CYREG_B1_P3_U1_DCFG4 0x400116e8 +#define CYREG_B1_P3_U1_DCFG5 0x400116ea +#define CYREG_B1_P3_U1_DCFG6 0x400116ec +#define CYREG_B1_P3_U1_DCFG7 0x400116ee +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700 +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P4_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070 +#define CYREG_B1_P4_U0_PLD_IT0 0x40011800 +#define CYREG_B1_P4_U0_PLD_IT1 0x40011804 +#define CYREG_B1_P4_U0_PLD_IT2 0x40011808 +#define CYREG_B1_P4_U0_PLD_IT3 0x4001180c +#define CYREG_B1_P4_U0_PLD_IT4 0x40011810 +#define CYREG_B1_P4_U0_PLD_IT5 0x40011814 +#define CYREG_B1_P4_U0_PLD_IT6 0x40011818 +#define CYREG_B1_P4_U0_PLD_IT7 0x4001181c +#define CYREG_B1_P4_U0_PLD_IT8 0x40011820 +#define CYREG_B1_P4_U0_PLD_IT9 0x40011824 +#define CYREG_B1_P4_U0_PLD_IT10 0x40011828 +#define CYREG_B1_P4_U0_PLD_IT11 0x4001182c +#define CYREG_B1_P4_U0_PLD_ORT0 0x40011830 +#define CYREG_B1_P4_U0_PLD_ORT1 0x40011832 +#define CYREG_B1_P4_U0_PLD_ORT2 0x40011834 +#define CYREG_B1_P4_U0_PLD_ORT3 0x40011836 +#define CYREG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838 +#define CYREG_B1_P4_U0_MC_CFG_XORFB 0x4001183a +#define CYREG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183c +#define CYREG_B1_P4_U0_MC_CFG_BYPASS 0x4001183e +#define CYREG_B1_P4_U0_CFG0 0x40011840 +#define CYREG_B1_P4_U0_CFG1 0x40011841 +#define CYREG_B1_P4_U0_CFG2 0x40011842 +#define CYREG_B1_P4_U0_CFG3 0x40011843 +#define CYREG_B1_P4_U0_CFG4 0x40011844 +#define CYREG_B1_P4_U0_CFG5 0x40011845 +#define CYREG_B1_P4_U0_CFG6 0x40011846 +#define CYREG_B1_P4_U0_CFG7 0x40011847 +#define CYREG_B1_P4_U0_CFG8 0x40011848 +#define CYREG_B1_P4_U0_CFG9 0x40011849 +#define CYREG_B1_P4_U0_CFG10 0x4001184a +#define CYREG_B1_P4_U0_CFG11 0x4001184b +#define CYREG_B1_P4_U0_CFG12 0x4001184c +#define CYREG_B1_P4_U0_CFG13 0x4001184d +#define CYREG_B1_P4_U0_CFG14 0x4001184e +#define CYREG_B1_P4_U0_CFG15 0x4001184f +#define CYREG_B1_P4_U0_CFG16 0x40011850 +#define CYREG_B1_P4_U0_CFG17 0x40011851 +#define CYREG_B1_P4_U0_CFG18 0x40011852 +#define CYREG_B1_P4_U0_CFG19 0x40011853 +#define CYREG_B1_P4_U0_CFG20 0x40011854 +#define CYREG_B1_P4_U0_CFG21 0x40011855 +#define CYREG_B1_P4_U0_CFG22 0x40011856 +#define CYREG_B1_P4_U0_CFG23 0x40011857 +#define CYREG_B1_P4_U0_CFG24 0x40011858 +#define CYREG_B1_P4_U0_CFG25 0x40011859 +#define CYREG_B1_P4_U0_CFG26 0x4001185a +#define CYREG_B1_P4_U0_CFG27 0x4001185b +#define CYREG_B1_P4_U0_CFG28 0x4001185c +#define CYREG_B1_P4_U0_CFG29 0x4001185d +#define CYREG_B1_P4_U0_CFG30 0x4001185e +#define CYREG_B1_P4_U0_CFG31 0x4001185f +#define CYREG_B1_P4_U0_DCFG0 0x40011860 +#define CYREG_B1_P4_U0_DCFG1 0x40011862 +#define CYREG_B1_P4_U0_DCFG2 0x40011864 +#define CYREG_B1_P4_U0_DCFG3 0x40011866 +#define CYREG_B1_P4_U0_DCFG4 0x40011868 +#define CYREG_B1_P4_U0_DCFG5 0x4001186a +#define CYREG_B1_P4_U0_DCFG6 0x4001186c +#define CYREG_B1_P4_U0_DCFG7 0x4001186e +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880 +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070 +#define CYREG_B1_P4_U1_PLD_IT0 0x40011880 +#define CYREG_B1_P4_U1_PLD_IT1 0x40011884 +#define CYREG_B1_P4_U1_PLD_IT2 0x40011888 +#define CYREG_B1_P4_U1_PLD_IT3 0x4001188c +#define CYREG_B1_P4_U1_PLD_IT4 0x40011890 +#define CYREG_B1_P4_U1_PLD_IT5 0x40011894 +#define CYREG_B1_P4_U1_PLD_IT6 0x40011898 +#define CYREG_B1_P4_U1_PLD_IT7 0x4001189c +#define CYREG_B1_P4_U1_PLD_IT8 0x400118a0 +#define CYREG_B1_P4_U1_PLD_IT9 0x400118a4 +#define CYREG_B1_P4_U1_PLD_IT10 0x400118a8 +#define CYREG_B1_P4_U1_PLD_IT11 0x400118ac +#define CYREG_B1_P4_U1_PLD_ORT0 0x400118b0 +#define CYREG_B1_P4_U1_PLD_ORT1 0x400118b2 +#define CYREG_B1_P4_U1_PLD_ORT2 0x400118b4 +#define CYREG_B1_P4_U1_PLD_ORT3 0x400118b6 +#define CYREG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8 +#define CYREG_B1_P4_U1_MC_CFG_XORFB 0x400118ba +#define CYREG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bc +#define CYREG_B1_P4_U1_MC_CFG_BYPASS 0x400118be +#define CYREG_B1_P4_U1_CFG0 0x400118c0 +#define CYREG_B1_P4_U1_CFG1 0x400118c1 +#define CYREG_B1_P4_U1_CFG2 0x400118c2 +#define CYREG_B1_P4_U1_CFG3 0x400118c3 +#define CYREG_B1_P4_U1_CFG4 0x400118c4 +#define CYREG_B1_P4_U1_CFG5 0x400118c5 +#define CYREG_B1_P4_U1_CFG6 0x400118c6 +#define CYREG_B1_P4_U1_CFG7 0x400118c7 +#define CYREG_B1_P4_U1_CFG8 0x400118c8 +#define CYREG_B1_P4_U1_CFG9 0x400118c9 +#define CYREG_B1_P4_U1_CFG10 0x400118ca +#define CYREG_B1_P4_U1_CFG11 0x400118cb +#define CYREG_B1_P4_U1_CFG12 0x400118cc +#define CYREG_B1_P4_U1_CFG13 0x400118cd +#define CYREG_B1_P4_U1_CFG14 0x400118ce +#define CYREG_B1_P4_U1_CFG15 0x400118cf +#define CYREG_B1_P4_U1_CFG16 0x400118d0 +#define CYREG_B1_P4_U1_CFG17 0x400118d1 +#define CYREG_B1_P4_U1_CFG18 0x400118d2 +#define CYREG_B1_P4_U1_CFG19 0x400118d3 +#define CYREG_B1_P4_U1_CFG20 0x400118d4 +#define CYREG_B1_P4_U1_CFG21 0x400118d5 +#define CYREG_B1_P4_U1_CFG22 0x400118d6 +#define CYREG_B1_P4_U1_CFG23 0x400118d7 +#define CYREG_B1_P4_U1_CFG24 0x400118d8 +#define CYREG_B1_P4_U1_CFG25 0x400118d9 +#define CYREG_B1_P4_U1_CFG26 0x400118da +#define CYREG_B1_P4_U1_CFG27 0x400118db +#define CYREG_B1_P4_U1_CFG28 0x400118dc +#define CYREG_B1_P4_U1_CFG29 0x400118dd +#define CYREG_B1_P4_U1_CFG30 0x400118de +#define CYREG_B1_P4_U1_CFG31 0x400118df +#define CYREG_B1_P4_U1_DCFG0 0x400118e0 +#define CYREG_B1_P4_U1_DCFG1 0x400118e2 +#define CYREG_B1_P4_U1_DCFG2 0x400118e4 +#define CYREG_B1_P4_U1_DCFG3 0x400118e6 +#define CYREG_B1_P4_U1_DCFG4 0x400118e8 +#define CYREG_B1_P4_U1_DCFG5 0x400118ea +#define CYREG_B1_P4_U1_DCFG6 0x400118ec +#define CYREG_B1_P4_U1_DCFG7 0x400118ee +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900 +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070 +#define CYREG_B1_P5_U0_PLD_IT0 0x40011a00 +#define CYREG_B1_P5_U0_PLD_IT1 0x40011a04 +#define CYREG_B1_P5_U0_PLD_IT2 0x40011a08 +#define CYREG_B1_P5_U0_PLD_IT3 0x40011a0c +#define CYREG_B1_P5_U0_PLD_IT4 0x40011a10 +#define CYREG_B1_P5_U0_PLD_IT5 0x40011a14 +#define CYREG_B1_P5_U0_PLD_IT6 0x40011a18 +#define CYREG_B1_P5_U0_PLD_IT7 0x40011a1c +#define CYREG_B1_P5_U0_PLD_IT8 0x40011a20 +#define CYREG_B1_P5_U0_PLD_IT9 0x40011a24 +#define CYREG_B1_P5_U0_PLD_IT10 0x40011a28 +#define CYREG_B1_P5_U0_PLD_IT11 0x40011a2c +#define CYREG_B1_P5_U0_PLD_ORT0 0x40011a30 +#define CYREG_B1_P5_U0_PLD_ORT1 0x40011a32 +#define CYREG_B1_P5_U0_PLD_ORT2 0x40011a34 +#define CYREG_B1_P5_U0_PLD_ORT3 0x40011a36 +#define CYREG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38 +#define CYREG_B1_P5_U0_MC_CFG_XORFB 0x40011a3a +#define CYREG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3c +#define CYREG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3e +#define CYREG_B1_P5_U0_CFG0 0x40011a40 +#define CYREG_B1_P5_U0_CFG1 0x40011a41 +#define CYREG_B1_P5_U0_CFG2 0x40011a42 +#define CYREG_B1_P5_U0_CFG3 0x40011a43 +#define CYREG_B1_P5_U0_CFG4 0x40011a44 +#define CYREG_B1_P5_U0_CFG5 0x40011a45 +#define CYREG_B1_P5_U0_CFG6 0x40011a46 +#define CYREG_B1_P5_U0_CFG7 0x40011a47 +#define CYREG_B1_P5_U0_CFG8 0x40011a48 +#define CYREG_B1_P5_U0_CFG9 0x40011a49 +#define CYREG_B1_P5_U0_CFG10 0x40011a4a +#define CYREG_B1_P5_U0_CFG11 0x40011a4b +#define CYREG_B1_P5_U0_CFG12 0x40011a4c +#define CYREG_B1_P5_U0_CFG13 0x40011a4d +#define CYREG_B1_P5_U0_CFG14 0x40011a4e +#define CYREG_B1_P5_U0_CFG15 0x40011a4f +#define CYREG_B1_P5_U0_CFG16 0x40011a50 +#define CYREG_B1_P5_U0_CFG17 0x40011a51 +#define CYREG_B1_P5_U0_CFG18 0x40011a52 +#define CYREG_B1_P5_U0_CFG19 0x40011a53 +#define CYREG_B1_P5_U0_CFG20 0x40011a54 +#define CYREG_B1_P5_U0_CFG21 0x40011a55 +#define CYREG_B1_P5_U0_CFG22 0x40011a56 +#define CYREG_B1_P5_U0_CFG23 0x40011a57 +#define CYREG_B1_P5_U0_CFG24 0x40011a58 +#define CYREG_B1_P5_U0_CFG25 0x40011a59 +#define CYREG_B1_P5_U0_CFG26 0x40011a5a +#define CYREG_B1_P5_U0_CFG27 0x40011a5b +#define CYREG_B1_P5_U0_CFG28 0x40011a5c +#define CYREG_B1_P5_U0_CFG29 0x40011a5d +#define CYREG_B1_P5_U0_CFG30 0x40011a5e +#define CYREG_B1_P5_U0_CFG31 0x40011a5f +#define CYREG_B1_P5_U0_DCFG0 0x40011a60 +#define CYREG_B1_P5_U0_DCFG1 0x40011a62 +#define CYREG_B1_P5_U0_DCFG2 0x40011a64 +#define CYREG_B1_P5_U0_DCFG3 0x40011a66 +#define CYREG_B1_P5_U0_DCFG4 0x40011a68 +#define CYREG_B1_P5_U0_DCFG5 0x40011a6a +#define CYREG_B1_P5_U0_DCFG6 0x40011a6c +#define CYREG_B1_P5_U0_DCFG7 0x40011a6e +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80 +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070 +#define CYREG_B1_P5_U1_PLD_IT0 0x40011a80 +#define CYREG_B1_P5_U1_PLD_IT1 0x40011a84 +#define CYREG_B1_P5_U1_PLD_IT2 0x40011a88 +#define CYREG_B1_P5_U1_PLD_IT3 0x40011a8c +#define CYREG_B1_P5_U1_PLD_IT4 0x40011a90 +#define CYREG_B1_P5_U1_PLD_IT5 0x40011a94 +#define CYREG_B1_P5_U1_PLD_IT6 0x40011a98 +#define CYREG_B1_P5_U1_PLD_IT7 0x40011a9c +#define CYREG_B1_P5_U1_PLD_IT8 0x40011aa0 +#define CYREG_B1_P5_U1_PLD_IT9 0x40011aa4 +#define CYREG_B1_P5_U1_PLD_IT10 0x40011aa8 +#define CYREG_B1_P5_U1_PLD_IT11 0x40011aac +#define CYREG_B1_P5_U1_PLD_ORT0 0x40011ab0 +#define CYREG_B1_P5_U1_PLD_ORT1 0x40011ab2 +#define CYREG_B1_P5_U1_PLD_ORT2 0x40011ab4 +#define CYREG_B1_P5_U1_PLD_ORT3 0x40011ab6 +#define CYREG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8 +#define CYREG_B1_P5_U1_MC_CFG_XORFB 0x40011aba +#define CYREG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abc +#define CYREG_B1_P5_U1_MC_CFG_BYPASS 0x40011abe +#define CYREG_B1_P5_U1_CFG0 0x40011ac0 +#define CYREG_B1_P5_U1_CFG1 0x40011ac1 +#define CYREG_B1_P5_U1_CFG2 0x40011ac2 +#define CYREG_B1_P5_U1_CFG3 0x40011ac3 +#define CYREG_B1_P5_U1_CFG4 0x40011ac4 +#define CYREG_B1_P5_U1_CFG5 0x40011ac5 +#define CYREG_B1_P5_U1_CFG6 0x40011ac6 +#define CYREG_B1_P5_U1_CFG7 0x40011ac7 +#define CYREG_B1_P5_U1_CFG8 0x40011ac8 +#define CYREG_B1_P5_U1_CFG9 0x40011ac9 +#define CYREG_B1_P5_U1_CFG10 0x40011aca +#define CYREG_B1_P5_U1_CFG11 0x40011acb +#define CYREG_B1_P5_U1_CFG12 0x40011acc +#define CYREG_B1_P5_U1_CFG13 0x40011acd +#define CYREG_B1_P5_U1_CFG14 0x40011ace +#define CYREG_B1_P5_U1_CFG15 0x40011acf +#define CYREG_B1_P5_U1_CFG16 0x40011ad0 +#define CYREG_B1_P5_U1_CFG17 0x40011ad1 +#define CYREG_B1_P5_U1_CFG18 0x40011ad2 +#define CYREG_B1_P5_U1_CFG19 0x40011ad3 +#define CYREG_B1_P5_U1_CFG20 0x40011ad4 +#define CYREG_B1_P5_U1_CFG21 0x40011ad5 +#define CYREG_B1_P5_U1_CFG22 0x40011ad6 +#define CYREG_B1_P5_U1_CFG23 0x40011ad7 +#define CYREG_B1_P5_U1_CFG24 0x40011ad8 +#define CYREG_B1_P5_U1_CFG25 0x40011ad9 +#define CYREG_B1_P5_U1_CFG26 0x40011ada +#define CYREG_B1_P5_U1_CFG27 0x40011adb +#define CYREG_B1_P5_U1_CFG28 0x40011adc +#define CYREG_B1_P5_U1_CFG29 0x40011add +#define CYREG_B1_P5_U1_CFG30 0x40011ade +#define CYREG_B1_P5_U1_CFG31 0x40011adf +#define CYREG_B1_P5_U1_DCFG0 0x40011ae0 +#define CYREG_B1_P5_U1_DCFG1 0x40011ae2 +#define CYREG_B1_P5_U1_DCFG2 0x40011ae4 +#define CYREG_B1_P5_U1_DCFG3 0x40011ae6 +#define CYREG_B1_P5_U1_DCFG4 0x40011ae8 +#define CYREG_B1_P5_U1_DCFG5 0x40011aea +#define CYREG_B1_P5_U1_DCFG6 0x40011aec +#define CYREG_B1_P5_U1_DCFG7 0x40011aee +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00 +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_DSI0_BASE 0x40014000 +#define CYDEV_UCFG_DSI0_SIZE 0x000000ef +#define CYDEV_UCFG_DSI1_BASE 0x40014100 +#define CYDEV_UCFG_DSI1_SIZE 0x000000ef +#define CYDEV_UCFG_DSI2_BASE 0x40014200 +#define CYDEV_UCFG_DSI2_SIZE 0x000000ef +#define CYDEV_UCFG_DSI3_BASE 0x40014300 +#define CYDEV_UCFG_DSI3_SIZE 0x000000ef +#define CYDEV_UCFG_DSI4_BASE 0x40014400 +#define CYDEV_UCFG_DSI4_SIZE 0x000000ef +#define CYDEV_UCFG_DSI5_BASE 0x40014500 +#define CYDEV_UCFG_DSI5_SIZE 0x000000ef +#define CYDEV_UCFG_DSI6_BASE 0x40014600 +#define CYDEV_UCFG_DSI6_SIZE 0x000000ef +#define CYDEV_UCFG_DSI7_BASE 0x40014700 +#define CYDEV_UCFG_DSI7_SIZE 0x000000ef +#define CYDEV_UCFG_DSI8_BASE 0x40014800 +#define CYDEV_UCFG_DSI8_SIZE 0x000000ef +#define CYDEV_UCFG_DSI9_BASE 0x40014900 +#define CYDEV_UCFG_DSI9_SIZE 0x000000ef +#define CYDEV_UCFG_DSI12_BASE 0x40014c00 +#define CYDEV_UCFG_DSI12_SIZE 0x000000ef +#define CYDEV_UCFG_DSI13_BASE 0x40014d00 +#define CYDEV_UCFG_DSI13_SIZE 0x000000ef +#define CYDEV_UCFG_BCTL0_BASE 0x40015000 +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010 +#define CYREG_BCTL0_MDCLK_EN 0x40015000 +#define CYREG_BCTL0_MBCLK_EN 0x40015001 +#define CYREG_BCTL0_WAIT_CFG 0x40015002 +#define CYREG_BCTL0_BANK_CTL 0x40015003 +#define CYREG_BCTL0_UDB_TEST_3 0x40015007 +#define CYREG_BCTL0_DCLK_EN0 0x40015008 +#define CYREG_BCTL0_BCLK_EN0 0x40015009 +#define CYREG_BCTL0_DCLK_EN1 0x4001500a +#define CYREG_BCTL0_BCLK_EN1 0x4001500b +#define CYREG_BCTL0_DCLK_EN2 0x4001500c +#define CYREG_BCTL0_BCLK_EN2 0x4001500d +#define CYREG_BCTL0_DCLK_EN3 0x4001500e +#define CYREG_BCTL0_BCLK_EN3 0x4001500f +#define CYDEV_UCFG_BCTL1_BASE 0x40015010 +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010 +#define CYREG_BCTL1_MDCLK_EN 0x40015010 +#define CYREG_BCTL1_MBCLK_EN 0x40015011 +#define CYREG_BCTL1_WAIT_CFG 0x40015012 +#define CYREG_BCTL1_BANK_CTL 0x40015013 +#define CYREG_BCTL1_UDB_TEST_3 0x40015017 +#define CYREG_BCTL1_DCLK_EN0 0x40015018 +#define CYREG_BCTL1_BCLK_EN0 0x40015019 +#define CYREG_BCTL1_DCLK_EN1 0x4001501a +#define CYREG_BCTL1_BCLK_EN1 0x4001501b +#define CYREG_BCTL1_DCLK_EN2 0x4001501c +#define CYREG_BCTL1_BCLK_EN2 0x4001501d +#define CYREG_BCTL1_DCLK_EN3 0x4001501e +#define CYREG_BCTL1_BCLK_EN3 0x4001501f +#define CYDEV_IDMUX_BASE 0x40015100 +#define CYDEV_IDMUX_SIZE 0x00000016 +#define CYREG_IDMUX_IRQ_CTL0 0x40015100 +#define CYREG_IDMUX_IRQ_CTL1 0x40015101 +#define CYREG_IDMUX_IRQ_CTL2 0x40015102 +#define CYREG_IDMUX_IRQ_CTL3 0x40015103 +#define CYREG_IDMUX_IRQ_CTL4 0x40015104 +#define CYREG_IDMUX_IRQ_CTL5 0x40015105 +#define CYREG_IDMUX_IRQ_CTL6 0x40015106 +#define CYREG_IDMUX_IRQ_CTL7 0x40015107 +#define CYREG_IDMUX_DRQ_CTL0 0x40015110 +#define CYREG_IDMUX_DRQ_CTL1 0x40015111 +#define CYREG_IDMUX_DRQ_CTL2 0x40015112 +#define CYREG_IDMUX_DRQ_CTL3 0x40015113 +#define CYREG_IDMUX_DRQ_CTL4 0x40015114 +#define CYREG_IDMUX_DRQ_CTL5 0x40015115 +#define CYDEV_CACHERAM_BASE 0x40030000 +#define CYDEV_CACHERAM_SIZE 0x00000400 +#define CYREG_CACHERAM_DATA_MBASE 0x40030000 +#define CYREG_CACHERAM_DATA_MSIZE 0x00000400 +#define CYDEV_SFR_BASE 0x40050100 +#define CYDEV_SFR_SIZE 0x000000fb +#define CYREG_SFR_GPIO0 0x40050180 +#define CYREG_SFR_GPIRD0 0x40050189 +#define CYREG_SFR_GPIO0_SEL 0x4005018a +#define CYREG_SFR_GPIO1 0x40050190 +#define CYREG_SFR_GPIRD1 0x40050191 +#define CYREG_SFR_GPIO2 0x40050198 +#define CYREG_SFR_GPIRD2 0x40050199 +#define CYREG_SFR_GPIO2_SEL 0x4005019a +#define CYREG_SFR_GPIO1_SEL 0x400501a2 +#define CYREG_SFR_GPIO3 0x400501b0 +#define CYREG_SFR_GPIRD3 0x400501b1 +#define CYREG_SFR_GPIO3_SEL 0x400501b2 +#define CYREG_SFR_GPIO4 0x400501c0 +#define CYREG_SFR_GPIRD4 0x400501c1 +#define CYREG_SFR_GPIO4_SEL 0x400501c2 +#define CYREG_SFR_GPIO5 0x400501c8 +#define CYREG_SFR_GPIRD5 0x400501c9 +#define CYREG_SFR_GPIO5_SEL 0x400501ca +#define CYREG_SFR_GPIO6 0x400501d8 +#define CYREG_SFR_GPIRD6 0x400501d9 +#define CYREG_SFR_GPIO6_SEL 0x400501da +#define CYREG_SFR_GPIO12 0x400501e8 +#define CYREG_SFR_GPIRD12 0x400501e9 +#define CYREG_SFR_GPIO12_SEL 0x400501f2 +#define CYREG_SFR_GPIO15 0x400501f8 +#define CYREG_SFR_GPIRD15 0x400501f9 +#define CYREG_SFR_GPIO15_SEL 0x400501fa +#define CYDEV_P3BA_BASE 0x40050300 +#define CYDEV_P3BA_SIZE 0x0000002b +#define CYREG_P3BA_Y_START 0x40050300 +#define CYREG_P3BA_YROLL 0x40050301 +#define CYREG_P3BA_YCFG 0x40050302 +#define CYREG_P3BA_X_START1 0x40050303 +#define CYREG_P3BA_X_START2 0x40050304 +#define CYREG_P3BA_XROLL1 0x40050305 +#define CYREG_P3BA_XROLL2 0x40050306 +#define CYREG_P3BA_XINC 0x40050307 +#define CYREG_P3BA_XCFG 0x40050308 +#define CYREG_P3BA_OFFSETADDR1 0x40050309 +#define CYREG_P3BA_OFFSETADDR2 0x4005030a +#define CYREG_P3BA_OFFSETADDR3 0x4005030b +#define CYREG_P3BA_ABSADDR1 0x4005030c +#define CYREG_P3BA_ABSADDR2 0x4005030d +#define CYREG_P3BA_ABSADDR3 0x4005030e +#define CYREG_P3BA_ABSADDR4 0x4005030f +#define CYREG_P3BA_DATCFG1 0x40050310 +#define CYREG_P3BA_DATCFG2 0x40050311 +#define CYREG_P3BA_CMP_RSLT1 0x40050314 +#define CYREG_P3BA_CMP_RSLT2 0x40050315 +#define CYREG_P3BA_CMP_RSLT3 0x40050316 +#define CYREG_P3BA_CMP_RSLT4 0x40050317 +#define CYREG_P3BA_DATA_REG1 0x40050318 +#define CYREG_P3BA_DATA_REG2 0x40050319 +#define CYREG_P3BA_DATA_REG3 0x4005031a +#define CYREG_P3BA_DATA_REG4 0x4005031b +#define CYREG_P3BA_EXP_DATA1 0x4005031c +#define CYREG_P3BA_EXP_DATA2 0x4005031d +#define CYREG_P3BA_EXP_DATA3 0x4005031e +#define CYREG_P3BA_EXP_DATA4 0x4005031f +#define CYREG_P3BA_MSTR_HRDATA1 0x40050320 +#define CYREG_P3BA_MSTR_HRDATA2 0x40050321 +#define CYREG_P3BA_MSTR_HRDATA3 0x40050322 +#define CYREG_P3BA_MSTR_HRDATA4 0x40050323 +#define CYREG_P3BA_BIST_EN 0x40050324 +#define CYREG_P3BA_PHUB_MASTER_SSR 0x40050325 +#define CYREG_P3BA_SEQCFG1 0x40050326 +#define CYREG_P3BA_SEQCFG2 0x40050327 +#define CYREG_P3BA_Y_CURR 0x40050328 +#define CYREG_P3BA_X_CURR1 0x40050329 +#define CYREG_P3BA_X_CURR2 0x4005032a +#define CYDEV_PANTHER_BASE 0x40080000 +#define CYDEV_PANTHER_SIZE 0x00000020 +#define CYREG_PANTHER_STCALIB_CFG 0x40080000 +#define CYREG_PANTHER_WAITPIPE 0x40080004 +#define CYREG_PANTHER_TRACE_CFG 0x40080008 +#define CYREG_PANTHER_DBG_CFG 0x4008000c +#define CYREG_PANTHER_CM3_LCKRST_STAT 0x40080018 +#define CYREG_PANTHER_DEVICE_ID 0x4008001c +#define CYDEV_FLSECC_BASE 0x48000000 +#define CYDEV_FLSECC_SIZE 0x00008000 +#define CYREG_FLSECC_DATA_MBASE 0x48000000 +#define CYREG_FLSECC_DATA_MSIZE 0x00008000 +#define CYDEV_FLSHID_BASE 0x49000000 +#define CYDEV_FLSHID_SIZE 0x00000200 +#define CYREG_FLSHID_RSVD_MBASE 0x49000000 +#define CYREG_FLSHID_RSVD_MSIZE 0x00000080 +#define CYREG_FLSHID_CUST_MDATA_MBASE 0x49000080 +#define CYREG_FLSHID_CUST_MDATA_MSIZE 0x00000080 +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100 +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040 +#define CYREG_FLSHID_CUST_TABLES_Y_LOC 0x49000100 +#define CYREG_FLSHID_CUST_TABLES_X_LOC 0x49000101 +#define CYREG_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102 +#define CYREG_FLSHID_CUST_TABLES_LOT_LSB 0x49000103 +#define CYREG_FLSHID_CUST_TABLES_LOT_MSB 0x49000104 +#define CYREG_FLSHID_CUST_TABLES_WRK_WK 0x49000105 +#define CYREG_FLSHID_CUST_TABLES_FAB_YR 0x49000106 +#define CYREG_FLSHID_CUST_TABLES_MINOR 0x49000107 +#define CYREG_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108 +#define CYREG_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109 +#define CYREG_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010a +#define CYREG_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010b +#define CYREG_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010c +#define CYREG_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010d +#define CYREG_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010e +#define CYREG_FLSHID_CUST_TABLES_IMO_USB 0x4900010f +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110 +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111 +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112 +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113 +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114 +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115 +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116 +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117 +#define CYREG_FLSHID_CUST_TABLES_DEC_M1 0x49000118 +#define CYREG_FLSHID_CUST_TABLES_DEC_M2 0x49000119 +#define CYREG_FLSHID_CUST_TABLES_DEC_M3 0x4900011a +#define CYREG_FLSHID_CUST_TABLES_DEC_M4 0x4900011b +#define CYREG_FLSHID_CUST_TABLES_DEC_M5 0x4900011c +#define CYREG_FLSHID_CUST_TABLES_DEC_M6 0x4900011d +#define CYREG_FLSHID_CUST_TABLES_DEC_M7 0x4900011e +#define CYREG_FLSHID_CUST_TABLES_DEC_M8 0x4900011f +#define CYREG_FLSHID_CUST_TABLES_DAC0_M1 0x49000120 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M2 0x49000121 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M3 0x49000122 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M4 0x49000123 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M5 0x49000124 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M6 0x49000125 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M7 0x49000126 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M8 0x49000127 +#define CYREG_FLSHID_CUST_TABLES_DAC2_M1 0x49000128 +#define CYREG_FLSHID_CUST_TABLES_DAC2_M2 0x49000129 +#define CYREG_FLSHID_CUST_TABLES_DAC2_M3 0x4900012a +#define CYREG_FLSHID_CUST_TABLES_DAC2_M4 0x4900012b +#define CYREG_FLSHID_CUST_TABLES_DAC2_M5 0x4900012c +#define CYREG_FLSHID_CUST_TABLES_DAC2_M6 0x4900012d +#define CYREG_FLSHID_CUST_TABLES_DAC2_M7 0x4900012e +#define CYREG_FLSHID_CUST_TABLES_DAC2_M8 0x4900012f +#define CYREG_FLSHID_CUST_TABLES_DAC1_M1 0x49000130 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M2 0x49000131 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M3 0x49000132 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M4 0x49000133 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M5 0x49000134 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M6 0x49000135 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M7 0x49000136 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M8 0x49000137 +#define CYREG_FLSHID_CUST_TABLES_DAC3_M1 0x49000138 +#define CYREG_FLSHID_CUST_TABLES_DAC3_M2 0x49000139 +#define CYREG_FLSHID_CUST_TABLES_DAC3_M3 0x4900013a +#define CYREG_FLSHID_CUST_TABLES_DAC3_M4 0x4900013b +#define CYREG_FLSHID_CUST_TABLES_DAC3_M5 0x4900013c +#define CYREG_FLSHID_CUST_TABLES_DAC3_M6 0x4900013d +#define CYREG_FLSHID_CUST_TABLES_DAC3_M7 0x4900013e +#define CYREG_FLSHID_CUST_TABLES_DAC3_M8 0x4900013f +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180 +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080 +#define CYREG_FLSHID_MFG_CFG_IMO_TR1 0x49000188 +#define CYREG_FLSHID_MFG_CFG_CMP0_TR0 0x490001ac +#define CYREG_FLSHID_MFG_CFG_CMP1_TR0 0x490001ae +#define CYREG_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0 +#define CYREG_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2 +#define CYREG_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4 +#define CYREG_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6 +#define CYREG_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8 +#define CYREG_FLSHID_MFG_CFG_CMP3_TR1 0x490001ba +#define CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ce +#define CYDEV_EXTMEM_BASE 0x60000000 +#define CYDEV_EXTMEM_SIZE 0x00800000 +#define CYREG_EXTMEM_DATA_MBASE 0x60000000 +#define CYREG_EXTMEM_DATA_MSIZE 0x00800000 +#define CYDEV_ITM_BASE 0xe0000000 +#define CYDEV_ITM_SIZE 0x00001000 +#define CYREG_ITM_TRACE_EN 0xe0000e00 +#define CYREG_ITM_TRACE_PRIVILEGE 0xe0000e40 +#define CYREG_ITM_TRACE_CTRL 0xe0000e80 +#define CYREG_ITM_LOCK_ACCESS 0xe0000fb0 +#define CYREG_ITM_LOCK_STATUS 0xe0000fb4 +#define CYREG_ITM_PID4 0xe0000fd0 +#define CYREG_ITM_PID5 0xe0000fd4 +#define CYREG_ITM_PID6 0xe0000fd8 +#define CYREG_ITM_PID7 0xe0000fdc +#define CYREG_ITM_PID0 0xe0000fe0 +#define CYREG_ITM_PID1 0xe0000fe4 +#define CYREG_ITM_PID2 0xe0000fe8 +#define CYREG_ITM_PID3 0xe0000fec +#define CYREG_ITM_CID0 0xe0000ff0 +#define CYREG_ITM_CID1 0xe0000ff4 +#define CYREG_ITM_CID2 0xe0000ff8 +#define CYREG_ITM_CID3 0xe0000ffc +#define CYDEV_DWT_BASE 0xe0001000 +#define CYDEV_DWT_SIZE 0x0000005c +#define CYREG_DWT_CTRL 0xe0001000 +#define CYREG_DWT_CYCLE_COUNT 0xe0001004 +#define CYREG_DWT_CPI_COUNT 0xe0001008 +#define CYREG_DWT_EXC_OVHD_COUNT 0xe000100c +#define CYREG_DWT_SLEEP_COUNT 0xe0001010 +#define CYREG_DWT_LSU_COUNT 0xe0001014 +#define CYREG_DWT_FOLD_COUNT 0xe0001018 +#define CYREG_DWT_PC_SAMPLE 0xe000101c +#define CYREG_DWT_COMP_0 0xe0001020 +#define CYREG_DWT_MASK_0 0xe0001024 +#define CYREG_DWT_FUNCTION_0 0xe0001028 +#define CYREG_DWT_COMP_1 0xe0001030 +#define CYREG_DWT_MASK_1 0xe0001034 +#define CYREG_DWT_FUNCTION_1 0xe0001038 +#define CYREG_DWT_COMP_2 0xe0001040 +#define CYREG_DWT_MASK_2 0xe0001044 +#define CYREG_DWT_FUNCTION_2 0xe0001048 +#define CYREG_DWT_COMP_3 0xe0001050 +#define CYREG_DWT_MASK_3 0xe0001054 +#define CYREG_DWT_FUNCTION_3 0xe0001058 +#define CYDEV_FPB_BASE 0xe0002000 +#define CYDEV_FPB_SIZE 0x00001000 +#define CYREG_FPB_CTRL 0xe0002000 +#define CYREG_FPB_REMAP 0xe0002004 +#define CYREG_FPB_FP_COMP_0 0xe0002008 +#define CYREG_FPB_FP_COMP_1 0xe000200c +#define CYREG_FPB_FP_COMP_2 0xe0002010 +#define CYREG_FPB_FP_COMP_3 0xe0002014 +#define CYREG_FPB_FP_COMP_4 0xe0002018 +#define CYREG_FPB_FP_COMP_5 0xe000201c +#define CYREG_FPB_FP_COMP_6 0xe0002020 +#define CYREG_FPB_FP_COMP_7 0xe0002024 +#define CYREG_FPB_PID4 0xe0002fd0 +#define CYREG_FPB_PID5 0xe0002fd4 +#define CYREG_FPB_PID6 0xe0002fd8 +#define CYREG_FPB_PID7 0xe0002fdc +#define CYREG_FPB_PID0 0xe0002fe0 +#define CYREG_FPB_PID1 0xe0002fe4 +#define CYREG_FPB_PID2 0xe0002fe8 +#define CYREG_FPB_PID3 0xe0002fec +#define CYREG_FPB_CID0 0xe0002ff0 +#define CYREG_FPB_CID1 0xe0002ff4 +#define CYREG_FPB_CID2 0xe0002ff8 +#define CYREG_FPB_CID3 0xe0002ffc +#define CYDEV_NVIC_BASE 0xe000e000 +#define CYDEV_NVIC_SIZE 0x00000d3c +#define CYREG_NVIC_INT_CTL_TYPE 0xe000e004 +#define CYREG_NVIC_SYSTICK_CTL 0xe000e010 +#define CYREG_NVIC_SYSTICK_RELOAD 0xe000e014 +#define CYREG_NVIC_SYSTICK_CURRENT 0xe000e018 +#define CYREG_NVIC_SYSTICK_CAL 0xe000e01c +#define CYREG_NVIC_SETENA0 0xe000e100 +#define CYREG_NVIC_CLRENA0 0xe000e180 +#define CYREG_NVIC_SETPEND0 0xe000e200 +#define CYREG_NVIC_CLRPEND0 0xe000e280 +#define CYREG_NVIC_ACTIVE0 0xe000e300 +#define CYREG_NVIC_PRI_0 0xe000e400 +#define CYREG_NVIC_PRI_1 0xe000e401 +#define CYREG_NVIC_PRI_2 0xe000e402 +#define CYREG_NVIC_PRI_3 0xe000e403 +#define CYREG_NVIC_PRI_4 0xe000e404 +#define CYREG_NVIC_PRI_5 0xe000e405 +#define CYREG_NVIC_PRI_6 0xe000e406 +#define CYREG_NVIC_PRI_7 0xe000e407 +#define CYREG_NVIC_PRI_8 0xe000e408 +#define CYREG_NVIC_PRI_9 0xe000e409 +#define CYREG_NVIC_PRI_10 0xe000e40a +#define CYREG_NVIC_PRI_11 0xe000e40b +#define CYREG_NVIC_PRI_12 0xe000e40c +#define CYREG_NVIC_PRI_13 0xe000e40d +#define CYREG_NVIC_PRI_14 0xe000e40e +#define CYREG_NVIC_PRI_15 0xe000e40f +#define CYREG_NVIC_PRI_16 0xe000e410 +#define CYREG_NVIC_PRI_17 0xe000e411 +#define CYREG_NVIC_PRI_18 0xe000e412 +#define CYREG_NVIC_PRI_19 0xe000e413 +#define CYREG_NVIC_PRI_20 0xe000e414 +#define CYREG_NVIC_PRI_21 0xe000e415 +#define CYREG_NVIC_PRI_22 0xe000e416 +#define CYREG_NVIC_PRI_23 0xe000e417 +#define CYREG_NVIC_PRI_24 0xe000e418 +#define CYREG_NVIC_PRI_25 0xe000e419 +#define CYREG_NVIC_PRI_26 0xe000e41a +#define CYREG_NVIC_PRI_27 0xe000e41b +#define CYREG_NVIC_PRI_28 0xe000e41c +#define CYREG_NVIC_PRI_29 0xe000e41d +#define CYREG_NVIC_PRI_30 0xe000e41e +#define CYREG_NVIC_PRI_31 0xe000e41f +#define CYREG_NVIC_CPUID_BASE 0xe000ed00 +#define CYREG_NVIC_INTR_CTRL_STATE 0xe000ed04 +#define CYREG_NVIC_VECT_OFFSET 0xe000ed08 +#define CYREG_NVIC_APPLN_INTR 0xe000ed0c +#define CYREG_NVIC_SYSTEM_CONTROL 0xe000ed10 +#define CYREG_NVIC_CFG_CONTROL 0xe000ed14 +#define CYREG_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18 +#define CYREG_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1c +#define CYREG_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20 +#define CYREG_NVIC_SYS_HANDLER_CSR 0xe000ed24 +#define CYREG_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28 +#define CYREG_NVIC_BUS_FAULT_STATUS 0xe000ed29 +#define CYREG_NVIC_USAGE_FAULT_STATUS 0xe000ed2a +#define CYREG_NVIC_HARD_FAULT_STATUS 0xe000ed2c +#define CYREG_NVIC_DEBUG_FAULT_STATUS 0xe000ed30 +#define CYREG_NVIC_MEMMAN_FAULT_ADD 0xe000ed34 +#define CYREG_NVIC_BUS_FAULT_ADD 0xe000ed38 +#define CYDEV_CORE_DBG_BASE 0xe000edf0 +#define CYDEV_CORE_DBG_SIZE 0x00000010 +#define CYREG_CORE_DBG_DBG_HLT_CS 0xe000edf0 +#define CYREG_CORE_DBG_DBG_REG_SEL 0xe000edf4 +#define CYREG_CORE_DBG_DBG_REG_DATA 0xe000edf8 +#define CYREG_CORE_DBG_EXC_MON_CTL 0xe000edfc +#define CYDEV_TPIU_BASE 0xe0040000 +#define CYDEV_TPIU_SIZE 0x00001000 +#define CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000 +#define CYREG_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004 +#define CYREG_TPIU_ASYNC_CLK_PRESCALER 0xe0040010 +#define CYREG_TPIU_PROTOCOL 0xe00400f0 +#define CYREG_TPIU_FORM_FLUSH_STAT 0xe0040300 +#define CYREG_TPIU_FORM_FLUSH_CTRL 0xe0040304 +#define CYREG_TPIU_TRIGGER 0xe0040ee8 +#define CYREG_TPIU_ITETMDATA 0xe0040eec +#define CYREG_TPIU_ITATBCTR2 0xe0040ef0 +#define CYREG_TPIU_ITATBCTR0 0xe0040ef8 +#define CYREG_TPIU_ITITMDATA 0xe0040efc +#define CYREG_TPIU_ITCTRL 0xe0040f00 +#define CYREG_TPIU_DEVID 0xe0040fc8 +#define CYREG_TPIU_DEVTYPE 0xe0040fcc +#define CYREG_TPIU_PID4 0xe0040fd0 +#define CYREG_TPIU_PID5 0xe0040fd4 +#define CYREG_TPIU_PID6 0xe0040fd8 +#define CYREG_TPIU_PID7 0xe0040fdc +#define CYREG_TPIU_PID0 0xe0040fe0 +#define CYREG_TPIU_PID1 0xe0040fe4 +#define CYREG_TPIU_PID2 0xe0040fe8 +#define CYREG_TPIU_PID3 0xe0040fec +#define CYREG_TPIU_CID0 0xe0040ff0 +#define CYREG_TPIU_CID1 0xe0040ff4 +#define CYREG_TPIU_CID2 0xe0040ff8 +#define CYREG_TPIU_CID3 0xe0040ffc +#define CYDEV_ETM_BASE 0xe0041000 +#define CYDEV_ETM_SIZE 0x00001000 +#define CYREG_ETM_CTL 0xe0041000 +#define CYREG_ETM_CFG_CODE 0xe0041004 +#define CYREG_ETM_TRIG_EVENT 0xe0041008 +#define CYREG_ETM_STATUS 0xe0041010 +#define CYREG_ETM_SYS_CFG 0xe0041014 +#define CYREG_ETM_TRACE_ENB_EVENT 0xe0041020 +#define CYREG_ETM_TRACE_EN_CTRL1 0xe0041024 +#define CYREG_ETM_FIFOFULL_LEVEL 0xe004102c +#define CYREG_ETM_SYNC_FREQ 0xe00411e0 +#define CYREG_ETM_ETM_ID 0xe00411e4 +#define CYREG_ETM_CFG_CODE_EXT 0xe00411e8 +#define CYREG_ETM_TR_SS_EMBICE_CTRL 0xe00411f0 +#define CYREG_ETM_CS_TRACE_ID 0xe0041200 +#define CYREG_ETM_OS_LOCK_ACCESS 0xe0041300 +#define CYREG_ETM_OS_LOCK_STATUS 0xe0041304 +#define CYREG_ETM_PDSR 0xe0041314 +#define CYREG_ETM_ITMISCIN 0xe0041ee0 +#define CYREG_ETM_ITTRIGOUT 0xe0041ee8 +#define CYREG_ETM_ITATBCTR2 0xe0041ef0 +#define CYREG_ETM_ITATBCTR0 0xe0041ef8 +#define CYREG_ETM_INT_MODE_CTRL 0xe0041f00 +#define CYREG_ETM_CLM_TAG_SET 0xe0041fa0 +#define CYREG_ETM_CLM_TAG_CLR 0xe0041fa4 +#define CYREG_ETM_LOCK_ACCESS 0xe0041fb0 +#define CYREG_ETM_LOCK_STATUS 0xe0041fb4 +#define CYREG_ETM_AUTH_STATUS 0xe0041fb8 +#define CYREG_ETM_DEV_TYPE 0xe0041fcc +#define CYREG_ETM_PID4 0xe0041fd0 +#define CYREG_ETM_PID5 0xe0041fd4 +#define CYREG_ETM_PID6 0xe0041fd8 +#define CYREG_ETM_PID7 0xe0041fdc +#define CYREG_ETM_PID0 0xe0041fe0 +#define CYREG_ETM_PID1 0xe0041fe4 +#define CYREG_ETM_PID2 0xe0041fe8 +#define CYREG_ETM_PID3 0xe0041fec +#define CYREG_ETM_CID0 0xe0041ff0 +#define CYREG_ETM_CID1 0xe0041ff4 +#define CYREG_ETM_CID2 0xe0041ff8 +#define CYREG_ETM_CID3 0xe0041ffc +#define CYDEV_ROM_TABLE_BASE 0xe00ff000 +#define CYDEV_ROM_TABLE_SIZE 0x00001000 +#define CYREG_ROM_TABLE_NVIC 0xe00ff000 +#define CYREG_ROM_TABLE_DWT 0xe00ff004 +#define CYREG_ROM_TABLE_FPB 0xe00ff008 +#define CYREG_ROM_TABLE_ITM 0xe00ff00c +#define CYREG_ROM_TABLE_TPIU 0xe00ff010 +#define CYREG_ROM_TABLE_ETM 0xe00ff014 +#define CYREG_ROM_TABLE_END 0xe00ff018 +#define CYREG_ROM_TABLE_MEMTYPE 0xe00fffcc +#define CYREG_ROM_TABLE_PID4 0xe00fffd0 +#define CYREG_ROM_TABLE_PID5 0xe00fffd4 +#define CYREG_ROM_TABLE_PID6 0xe00fffd8 +#define CYREG_ROM_TABLE_PID7 0xe00fffdc +#define CYREG_ROM_TABLE_PID0 0xe00fffe0 +#define CYREG_ROM_TABLE_PID1 0xe00fffe4 +#define CYREG_ROM_TABLE_PID2 0xe00fffe8 +#define CYREG_ROM_TABLE_PID3 0xe00fffec +#define CYREG_ROM_TABLE_CID0 0xe00ffff0 +#define CYREG_ROM_TABLE_CID1 0xe00ffff4 +#define CYREG_ROM_TABLE_CID2 0xe00ffff8 +#define CYREG_ROM_TABLE_CID3 0xe00ffffc +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000 +#define CYDEV_FLS_ROW_SIZE 0x00000100 +#define CYDEV_ECC_SECTOR_SIZE 0x00002000 +#define CYDEV_ECC_ROW_SIZE 0x00000020 +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400 +#define CYDEV_EEPROM_ROW_SIZE 0x00000010 +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004 +#define CYCLK_LD_SYNC_EN 0x00000002 +#define CYCLK_LD_LOAD 0x00000001 +#define CYCLK_PIPE 0x00000080 +#define CYCLK_SSS 0x00000040 +#define CYCLK_EARLY 0x00000020 +#define CYCLK_DUTY 0x00000010 +#define CYCLK_SYNC 0x00000008 +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv.inc new file mode 100755 index 0000000..4c8a537 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv.inc @@ -0,0 +1,16039 @@ +; +; FILENAME: cydevicerv.inc +; OBSOLETE: Do not use this file. Use the _trm version instead. +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + IF :LNOT::DEF:CYDEV_FLASH_BASE +CYDEV_FLASH_BASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_SIZE +CYDEV_FLASH_SIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_DATA_MBASE +CYDEV_FLASH_DATA_MBASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_DATA_MSIZE +CYDEV_FLASH_DATA_MSIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_BASE +CYDEV_SRAM_BASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_SIZE +CYDEV_SRAM_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MBASE +CYDEV_SRAM_CODE64K_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MSIZE +CYDEV_SRAM_CODE64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE32K_MBASE +CYDEV_SRAM_CODE32K_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE32K_MSIZE +CYDEV_SRAM_CODE32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE16K_MBASE +CYDEV_SRAM_CODE16K_MBASE EQU 0x1fffe000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE16K_MSIZE +CYDEV_SRAM_CODE16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE_MBASE +CYDEV_SRAM_CODE_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE_MSIZE +CYDEV_SRAM_CODE_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA_MBASE +CYDEV_SRAM_DATA_MBASE EQU 0x20000000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA_MSIZE +CYDEV_SRAM_DATA_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MBASE +CYDEV_SRAM_DATA16K_MBASE EQU 0x20001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MSIZE +CYDEV_SRAM_DATA16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA32K_MBASE +CYDEV_SRAM_DATA32K_MBASE EQU 0x20002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA32K_MSIZE +CYDEV_SRAM_DATA32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA64K_MBASE +CYDEV_SRAM_DATA64K_MBASE EQU 0x20004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA64K_MSIZE +CYDEV_SRAM_DATA64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_BASE +CYDEV_DMA_BASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SIZE +CYDEV_DMA_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM64K_MBASE +CYDEV_DMA_SRAM64K_MBASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM64K_MSIZE +CYDEV_DMA_SRAM64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM32K_MBASE +CYDEV_DMA_SRAM32K_MBASE EQU 0x2000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM32K_MSIZE +CYDEV_DMA_SRAM32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM16K_MBASE +CYDEV_DMA_SRAM16K_MBASE EQU 0x2000e000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM16K_MSIZE +CYDEV_DMA_SRAM16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM_MBASE +CYDEV_DMA_SRAM_MBASE EQU 0x2000f000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM_MSIZE +CYDEV_DMA_SRAM_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BASE +CYDEV_CLKDIST_BASE EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_SIZE +CYDEV_CLKDIST_SIZE EQU 0x00000110 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_CR +CYDEV_CLKDIST_CR EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_LD +CYDEV_CLKDIST_LD EQU 0x40004001 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_WRK0 +CYDEV_CLKDIST_WRK0 EQU 0x40004002 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_WRK1 +CYDEV_CLKDIST_WRK1 EQU 0x40004003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_MSTR0 +CYDEV_CLKDIST_MSTR0 EQU 0x40004004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_MSTR1 +CYDEV_CLKDIST_MSTR1 EQU 0x40004005 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG0 +CYDEV_CLKDIST_BCFG0 EQU 0x40004006 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG1 +CYDEV_CLKDIST_BCFG1 EQU 0x40004007 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG2 +CYDEV_CLKDIST_BCFG2 EQU 0x40004008 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_UCFG +CYDEV_CLKDIST_UCFG EQU 0x40004009 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DLY0 +CYDEV_CLKDIST_DLY0 EQU 0x4000400a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DLY1 +CYDEV_CLKDIST_DLY1 EQU 0x4000400b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DMASK +CYDEV_CLKDIST_DMASK EQU 0x40004010 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_AMASK +CYDEV_CLKDIST_AMASK EQU 0x40004014 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_BASE +CYDEV_CLKDIST_DCFG0_BASE EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_SIZE +CYDEV_CLKDIST_DCFG0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG0 +CYDEV_CLKDIST_DCFG0_CFG0 EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG1 +CYDEV_CLKDIST_DCFG0_CFG1 EQU 0x40004081 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG2 +CYDEV_CLKDIST_DCFG0_CFG2 EQU 0x40004082 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_BASE +CYDEV_CLKDIST_DCFG1_BASE EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_SIZE +CYDEV_CLKDIST_DCFG1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG0 +CYDEV_CLKDIST_DCFG1_CFG0 EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG1 +CYDEV_CLKDIST_DCFG1_CFG1 EQU 0x40004085 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG2 +CYDEV_CLKDIST_DCFG1_CFG2 EQU 0x40004086 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_BASE +CYDEV_CLKDIST_DCFG2_BASE EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_SIZE +CYDEV_CLKDIST_DCFG2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG0 +CYDEV_CLKDIST_DCFG2_CFG0 EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG1 +CYDEV_CLKDIST_DCFG2_CFG1 EQU 0x40004089 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG2 +CYDEV_CLKDIST_DCFG2_CFG2 EQU 0x4000408a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_BASE +CYDEV_CLKDIST_DCFG3_BASE EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_SIZE +CYDEV_CLKDIST_DCFG3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG0 +CYDEV_CLKDIST_DCFG3_CFG0 EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG1 +CYDEV_CLKDIST_DCFG3_CFG1 EQU 0x4000408d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG2 +CYDEV_CLKDIST_DCFG3_CFG2 EQU 0x4000408e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_BASE +CYDEV_CLKDIST_DCFG4_BASE EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_SIZE +CYDEV_CLKDIST_DCFG4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG0 +CYDEV_CLKDIST_DCFG4_CFG0 EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG1 +CYDEV_CLKDIST_DCFG4_CFG1 EQU 0x40004091 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG2 +CYDEV_CLKDIST_DCFG4_CFG2 EQU 0x40004092 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_BASE +CYDEV_CLKDIST_DCFG5_BASE EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_SIZE +CYDEV_CLKDIST_DCFG5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG0 +CYDEV_CLKDIST_DCFG5_CFG0 EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG1 +CYDEV_CLKDIST_DCFG5_CFG1 EQU 0x40004095 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG2 +CYDEV_CLKDIST_DCFG5_CFG2 EQU 0x40004096 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_BASE +CYDEV_CLKDIST_DCFG6_BASE EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_SIZE +CYDEV_CLKDIST_DCFG6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG0 +CYDEV_CLKDIST_DCFG6_CFG0 EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG1 +CYDEV_CLKDIST_DCFG6_CFG1 EQU 0x40004099 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG2 +CYDEV_CLKDIST_DCFG6_CFG2 EQU 0x4000409a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_BASE +CYDEV_CLKDIST_DCFG7_BASE EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_SIZE +CYDEV_CLKDIST_DCFG7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG0 +CYDEV_CLKDIST_DCFG7_CFG0 EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG1 +CYDEV_CLKDIST_DCFG7_CFG1 EQU 0x4000409d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG2 +CYDEV_CLKDIST_DCFG7_CFG2 EQU 0x4000409e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_BASE +CYDEV_CLKDIST_ACFG0_BASE EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_SIZE +CYDEV_CLKDIST_ACFG0_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG0 +CYDEV_CLKDIST_ACFG0_CFG0 EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG1 +CYDEV_CLKDIST_ACFG0_CFG1 EQU 0x40004101 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG2 +CYDEV_CLKDIST_ACFG0_CFG2 EQU 0x40004102 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG3 +CYDEV_CLKDIST_ACFG0_CFG3 EQU 0x40004103 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_BASE +CYDEV_CLKDIST_ACFG1_BASE EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_SIZE +CYDEV_CLKDIST_ACFG1_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG0 +CYDEV_CLKDIST_ACFG1_CFG0 EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG1 +CYDEV_CLKDIST_ACFG1_CFG1 EQU 0x40004105 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG2 +CYDEV_CLKDIST_ACFG1_CFG2 EQU 0x40004106 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG3 +CYDEV_CLKDIST_ACFG1_CFG3 EQU 0x40004107 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_BASE +CYDEV_CLKDIST_ACFG2_BASE EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_SIZE +CYDEV_CLKDIST_ACFG2_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG0 +CYDEV_CLKDIST_ACFG2_CFG0 EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG1 +CYDEV_CLKDIST_ACFG2_CFG1 EQU 0x40004109 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG2 +CYDEV_CLKDIST_ACFG2_CFG2 EQU 0x4000410a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG3 +CYDEV_CLKDIST_ACFG2_CFG3 EQU 0x4000410b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_BASE +CYDEV_CLKDIST_ACFG3_BASE EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_SIZE +CYDEV_CLKDIST_ACFG3_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG0 +CYDEV_CLKDIST_ACFG3_CFG0 EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG1 +CYDEV_CLKDIST_ACFG3_CFG1 EQU 0x4000410d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG2 +CYDEV_CLKDIST_ACFG3_CFG2 EQU 0x4000410e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG3 +CYDEV_CLKDIST_ACFG3_CFG3 EQU 0x4000410f + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_BASE +CYDEV_FASTCLK_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_SIZE +CYDEV_FASTCLK_SIZE EQU 0x00000026 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_BASE +CYDEV_FASTCLK_IMO_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_SIZE +CYDEV_FASTCLK_IMO_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_CR +CYDEV_FASTCLK_IMO_CR EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_BASE +CYDEV_FASTCLK_XMHZ_BASE EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_SIZE +CYDEV_FASTCLK_XMHZ_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CSR +CYDEV_FASTCLK_XMHZ_CSR EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CFG0 +CYDEV_FASTCLK_XMHZ_CFG0 EQU 0x40004212 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CFG1 +CYDEV_FASTCLK_XMHZ_CFG1 EQU 0x40004213 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_BASE +CYDEV_FASTCLK_PLL_BASE EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SIZE +CYDEV_FASTCLK_PLL_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_CFG0 +CYDEV_FASTCLK_PLL_CFG0 EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_CFG1 +CYDEV_FASTCLK_PLL_CFG1 EQU 0x40004221 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_P +CYDEV_FASTCLK_PLL_P EQU 0x40004222 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_Q +CYDEV_FASTCLK_PLL_Q EQU 0x40004223 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SR +CYDEV_FASTCLK_PLL_SR EQU 0x40004225 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_BASE +CYDEV_SLOWCLK_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_SIZE +CYDEV_SLOWCLK_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_BASE +CYDEV_SLOWCLK_ILO_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_SIZE +CYDEV_SLOWCLK_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_CR0 +CYDEV_SLOWCLK_ILO_CR0 EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_CR1 +CYDEV_SLOWCLK_ILO_CR1 EQU 0x40004301 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_BASE +CYDEV_SLOWCLK_X32_BASE EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_SIZE +CYDEV_SLOWCLK_X32_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_CR +CYDEV_SLOWCLK_X32_CR EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_CFG +CYDEV_SLOWCLK_X32_CFG EQU 0x40004309 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_TST +CYDEV_SLOWCLK_X32_TST EQU 0x4000430a + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_BASE +CYDEV_BOOST_BASE EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SIZE +CYDEV_BOOST_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR0 +CYDEV_BOOST_CR0 EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR1 +CYDEV_BOOST_CR1 EQU 0x40004321 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR2 +CYDEV_BOOST_CR2 EQU 0x40004322 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR3 +CYDEV_BOOST_CR3 EQU 0x40004323 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SR +CYDEV_BOOST_SR EQU 0x40004324 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR4 +CYDEV_BOOST_CR4 EQU 0x40004325 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SR2 +CYDEV_BOOST_SR2 EQU 0x40004326 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_BASE +CYDEV_PWRSYS_BASE EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_SIZE +CYDEV_PWRSYS_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_CR0 +CYDEV_PWRSYS_CR0 EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_CR1 +CYDEV_PWRSYS_CR1 EQU 0x40004331 + ENDIF + IF :LNOT::DEF:CYDEV_PM_BASE +CYDEV_PM_BASE EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_SIZE +CYDEV_PM_SIZE EQU 0x00000057 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG0 +CYDEV_PM_TW_CFG0 EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG1 +CYDEV_PM_TW_CFG1 EQU 0x40004381 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG2 +CYDEV_PM_TW_CFG2 EQU 0x40004382 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WDT_CFG +CYDEV_PM_WDT_CFG EQU 0x40004383 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WDT_CR +CYDEV_PM_WDT_CR EQU 0x40004384 + ENDIF + IF :LNOT::DEF:CYDEV_PM_INT_SR +CYDEV_PM_INT_SR EQU 0x40004390 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CFG0 +CYDEV_PM_MODE_CFG0 EQU 0x40004391 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CFG1 +CYDEV_PM_MODE_CFG1 EQU 0x40004392 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CSR +CYDEV_PM_MODE_CSR EQU 0x40004393 + ENDIF + IF :LNOT::DEF:CYDEV_PM_USB_CR0 +CYDEV_PM_USB_CR0 EQU 0x40004394 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG0 +CYDEV_PM_WAKEUP_CFG0 EQU 0x40004398 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG1 +CYDEV_PM_WAKEUP_CFG1 EQU 0x40004399 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG2 +CYDEV_PM_WAKEUP_CFG2 EQU 0x4000439a + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_BASE +CYDEV_PM_ACT_BASE EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_SIZE +CYDEV_PM_ACT_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG0 +CYDEV_PM_ACT_CFG0 EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG1 +CYDEV_PM_ACT_CFG1 EQU 0x400043a1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG2 +CYDEV_PM_ACT_CFG2 EQU 0x400043a2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG3 +CYDEV_PM_ACT_CFG3 EQU 0x400043a3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG4 +CYDEV_PM_ACT_CFG4 EQU 0x400043a4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG5 +CYDEV_PM_ACT_CFG5 EQU 0x400043a5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG6 +CYDEV_PM_ACT_CFG6 EQU 0x400043a6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG7 +CYDEV_PM_ACT_CFG7 EQU 0x400043a7 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG8 +CYDEV_PM_ACT_CFG8 EQU 0x400043a8 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG9 +CYDEV_PM_ACT_CFG9 EQU 0x400043a9 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG10 +CYDEV_PM_ACT_CFG10 EQU 0x400043aa + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG11 +CYDEV_PM_ACT_CFG11 EQU 0x400043ab + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG12 +CYDEV_PM_ACT_CFG12 EQU 0x400043ac + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG13 +CYDEV_PM_ACT_CFG13 EQU 0x400043ad + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_BASE +CYDEV_PM_STBY_BASE EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_SIZE +CYDEV_PM_STBY_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG0 +CYDEV_PM_STBY_CFG0 EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG1 +CYDEV_PM_STBY_CFG1 EQU 0x400043b1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG2 +CYDEV_PM_STBY_CFG2 EQU 0x400043b2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG3 +CYDEV_PM_STBY_CFG3 EQU 0x400043b3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG4 +CYDEV_PM_STBY_CFG4 EQU 0x400043b4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG5 +CYDEV_PM_STBY_CFG5 EQU 0x400043b5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG6 +CYDEV_PM_STBY_CFG6 EQU 0x400043b6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG7 +CYDEV_PM_STBY_CFG7 EQU 0x400043b7 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG8 +CYDEV_PM_STBY_CFG8 EQU 0x400043b8 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG9 +CYDEV_PM_STBY_CFG9 EQU 0x400043b9 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG10 +CYDEV_PM_STBY_CFG10 EQU 0x400043ba + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG11 +CYDEV_PM_STBY_CFG11 EQU 0x400043bb + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG12 +CYDEV_PM_STBY_CFG12 EQU 0x400043bc + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG13 +CYDEV_PM_STBY_CFG13 EQU 0x400043bd + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_BASE +CYDEV_PM_AVAIL_BASE EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SIZE +CYDEV_PM_AVAIL_SIZE EQU 0x00000017 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR0 +CYDEV_PM_AVAIL_CR0 EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR1 +CYDEV_PM_AVAIL_CR1 EQU 0x400043c1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR2 +CYDEV_PM_AVAIL_CR2 EQU 0x400043c2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR3 +CYDEV_PM_AVAIL_CR3 EQU 0x400043c3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR4 +CYDEV_PM_AVAIL_CR4 EQU 0x400043c4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR5 +CYDEV_PM_AVAIL_CR5 EQU 0x400043c5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR6 +CYDEV_PM_AVAIL_CR6 EQU 0x400043c6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR0 +CYDEV_PM_AVAIL_SR0 EQU 0x400043d0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR1 +CYDEV_PM_AVAIL_SR1 EQU 0x400043d1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR2 +CYDEV_PM_AVAIL_SR2 EQU 0x400043d2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR3 +CYDEV_PM_AVAIL_SR3 EQU 0x400043d3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR4 +CYDEV_PM_AVAIL_SR4 EQU 0x400043d4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR5 +CYDEV_PM_AVAIL_SR5 EQU 0x400043d5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR6 +CYDEV_PM_AVAIL_SR6 EQU 0x400043d6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_BASE +CYDEV_PICU_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SIZE +CYDEV_PICU_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_BASE +CYDEV_PICU_INTTYPE_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_SIZE +CYDEV_PICU_INTTYPE_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_BASE +CYDEV_PICU_INTTYPE_PICU0_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_SIZE +CYDEV_PICU_INTTYPE_PICU0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 EQU 0x40004501 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 EQU 0x40004502 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 EQU 0x40004503 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 EQU 0x40004504 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 EQU 0x40004505 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 EQU 0x40004506 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 EQU 0x40004507 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_BASE +CYDEV_PICU_INTTYPE_PICU1_BASE EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_SIZE +CYDEV_PICU_INTTYPE_PICU1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 EQU 0x40004509 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 EQU 0x4000450a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 EQU 0x4000450b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 EQU 0x4000450c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 EQU 0x4000450d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 EQU 0x4000450e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 EQU 0x4000450f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_BASE +CYDEV_PICU_INTTYPE_PICU2_BASE EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_SIZE +CYDEV_PICU_INTTYPE_PICU2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 EQU 0x40004511 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 EQU 0x40004512 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 EQU 0x40004513 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 EQU 0x40004514 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 EQU 0x40004515 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 EQU 0x40004516 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 EQU 0x40004517 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_BASE +CYDEV_PICU_INTTYPE_PICU3_BASE EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_SIZE +CYDEV_PICU_INTTYPE_PICU3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 EQU 0x40004519 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 EQU 0x4000451a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 EQU 0x4000451b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 EQU 0x4000451c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 EQU 0x4000451d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 EQU 0x4000451e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 EQU 0x4000451f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_BASE +CYDEV_PICU_INTTYPE_PICU4_BASE EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_SIZE +CYDEV_PICU_INTTYPE_PICU4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 EQU 0x40004521 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 EQU 0x40004522 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 EQU 0x40004523 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 EQU 0x40004524 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 EQU 0x40004525 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 EQU 0x40004526 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 EQU 0x40004527 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_BASE +CYDEV_PICU_INTTYPE_PICU5_BASE EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_SIZE +CYDEV_PICU_INTTYPE_PICU5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 EQU 0x40004529 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 EQU 0x4000452a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 EQU 0x4000452b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 EQU 0x4000452c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 EQU 0x4000452d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 EQU 0x4000452e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 EQU 0x4000452f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_BASE +CYDEV_PICU_INTTYPE_PICU6_BASE EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_SIZE +CYDEV_PICU_INTTYPE_PICU6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 EQU 0x40004531 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 EQU 0x40004532 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 EQU 0x40004533 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 EQU 0x40004534 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 EQU 0x40004535 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 EQU 0x40004536 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 EQU 0x40004537 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_BASE +CYDEV_PICU_INTTYPE_PICU12_BASE EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_SIZE +CYDEV_PICU_INTTYPE_PICU12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 EQU 0x40004561 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 EQU 0x40004562 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 EQU 0x40004563 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 EQU 0x40004564 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 EQU 0x40004565 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 EQU 0x40004566 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 EQU 0x40004567 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_BASE +CYDEV_PICU_INTTYPE_PICU15_BASE EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_SIZE +CYDEV_PICU_INTTYPE_PICU15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 EQU 0x40004579 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 EQU 0x4000457a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 EQU 0x4000457b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 EQU 0x4000457c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 EQU 0x4000457d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 EQU 0x4000457e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 EQU 0x4000457f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_BASE +CYDEV_PICU_STAT_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_SIZE +CYDEV_PICU_STAT_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_BASE +CYDEV_PICU_STAT_PICU0_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_SIZE +CYDEV_PICU_STAT_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_INTSTAT +CYDEV_PICU_STAT_PICU0_INTSTAT EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_BASE +CYDEV_PICU_STAT_PICU1_BASE EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_SIZE +CYDEV_PICU_STAT_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_INTSTAT +CYDEV_PICU_STAT_PICU1_INTSTAT EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_BASE +CYDEV_PICU_STAT_PICU2_BASE EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_SIZE +CYDEV_PICU_STAT_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_INTSTAT +CYDEV_PICU_STAT_PICU2_INTSTAT EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_BASE +CYDEV_PICU_STAT_PICU3_BASE EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_SIZE +CYDEV_PICU_STAT_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_INTSTAT +CYDEV_PICU_STAT_PICU3_INTSTAT EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_BASE +CYDEV_PICU_STAT_PICU4_BASE EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_SIZE +CYDEV_PICU_STAT_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_INTSTAT +CYDEV_PICU_STAT_PICU4_INTSTAT EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_BASE +CYDEV_PICU_STAT_PICU5_BASE EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_SIZE +CYDEV_PICU_STAT_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_INTSTAT +CYDEV_PICU_STAT_PICU5_INTSTAT EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_BASE +CYDEV_PICU_STAT_PICU6_BASE EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_SIZE +CYDEV_PICU_STAT_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_INTSTAT +CYDEV_PICU_STAT_PICU6_INTSTAT EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_BASE +CYDEV_PICU_STAT_PICU12_BASE EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_SIZE +CYDEV_PICU_STAT_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_INTSTAT +CYDEV_PICU_STAT_PICU12_INTSTAT EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_BASE +CYDEV_PICU_STAT_PICU15_BASE EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_SIZE +CYDEV_PICU_STAT_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_INTSTAT +CYDEV_PICU_STAT_PICU15_INTSTAT EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_BASE +CYDEV_PICU_SNAP_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_SIZE +CYDEV_PICU_SNAP_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_BASE +CYDEV_PICU_SNAP_PICU0_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SIZE +CYDEV_PICU_SNAP_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SNAP +CYDEV_PICU_SNAP_PICU0_SNAP EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_BASE +CYDEV_PICU_SNAP_PICU1_BASE EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SIZE +CYDEV_PICU_SNAP_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SNAP +CYDEV_PICU_SNAP_PICU1_SNAP EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_BASE +CYDEV_PICU_SNAP_PICU2_BASE EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SIZE +CYDEV_PICU_SNAP_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SNAP +CYDEV_PICU_SNAP_PICU2_SNAP EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_BASE +CYDEV_PICU_SNAP_PICU3_BASE EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SIZE +CYDEV_PICU_SNAP_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SNAP +CYDEV_PICU_SNAP_PICU3_SNAP EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_BASE +CYDEV_PICU_SNAP_PICU4_BASE EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SIZE +CYDEV_PICU_SNAP_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SNAP +CYDEV_PICU_SNAP_PICU4_SNAP EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_BASE +CYDEV_PICU_SNAP_PICU5_BASE EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SIZE +CYDEV_PICU_SNAP_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SNAP +CYDEV_PICU_SNAP_PICU5_SNAP EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_BASE +CYDEV_PICU_SNAP_PICU6_BASE EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SIZE +CYDEV_PICU_SNAP_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SNAP +CYDEV_PICU_SNAP_PICU6_SNAP EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_BASE +CYDEV_PICU_SNAP_PICU12_BASE EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SIZE +CYDEV_PICU_SNAP_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SNAP +CYDEV_PICU_SNAP_PICU12_SNAP EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_BASE +CYDEV_PICU_SNAP_PICU_15_BASE EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SIZE +CYDEV_PICU_SNAP_PICU_15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SNAP_15 +CYDEV_PICU_SNAP_PICU_15_SNAP_15 EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_BASE +CYDEV_PICU_DISABLE_COR_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_SIZE +CYDEV_PICU_DISABLE_COR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_BASE +CYDEV_PICU_DISABLE_COR_PICU0_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_SIZE +CYDEV_PICU_DISABLE_COR_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_BASE +CYDEV_PICU_DISABLE_COR_PICU1_BASE EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_SIZE +CYDEV_PICU_DISABLE_COR_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_BASE +CYDEV_PICU_DISABLE_COR_PICU2_BASE EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_SIZE +CYDEV_PICU_DISABLE_COR_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_BASE +CYDEV_PICU_DISABLE_COR_PICU3_BASE EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_SIZE +CYDEV_PICU_DISABLE_COR_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_BASE +CYDEV_PICU_DISABLE_COR_PICU4_BASE EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_SIZE +CYDEV_PICU_DISABLE_COR_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_BASE +CYDEV_PICU_DISABLE_COR_PICU5_BASE EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_SIZE +CYDEV_PICU_DISABLE_COR_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_BASE +CYDEV_PICU_DISABLE_COR_PICU6_BASE EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_SIZE +CYDEV_PICU_DISABLE_COR_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_BASE +CYDEV_PICU_DISABLE_COR_PICU12_BASE EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_SIZE +CYDEV_PICU_DISABLE_COR_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_BASE +CYDEV_PICU_DISABLE_COR_PICU15_BASE EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_SIZE +CYDEV_PICU_DISABLE_COR_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_BASE +CYDEV_MFGCFG_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_SIZE +CYDEV_MFGCFG_SIZE EQU 0x000000ed + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_BASE +CYDEV_MFGCFG_ANAIF_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SIZE +CYDEV_MFGCFG_ANAIF_SIZE EQU 0x00000038 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_BASE +CYDEV_MFGCFG_ANAIF_DAC0_BASE EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_SIZE +CYDEV_MFGCFG_ANAIF_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_TR +CYDEV_MFGCFG_ANAIF_DAC0_TR EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_BASE +CYDEV_MFGCFG_ANAIF_DAC1_BASE EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_SIZE +CYDEV_MFGCFG_ANAIF_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_TR +CYDEV_MFGCFG_ANAIF_DAC1_TR EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_BASE +CYDEV_MFGCFG_ANAIF_DAC2_BASE EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_SIZE +CYDEV_MFGCFG_ANAIF_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_TR +CYDEV_MFGCFG_ANAIF_DAC2_TR EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_BASE +CYDEV_MFGCFG_ANAIF_DAC3_BASE EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_SIZE +CYDEV_MFGCFG_ANAIF_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_TR +CYDEV_MFGCFG_ANAIF_DAC3_TR EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_BASE +CYDEV_MFGCFG_ANAIF_SAR0_BASE EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_SIZE +CYDEV_MFGCFG_ANAIF_SAR0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_TR0 +CYDEV_MFGCFG_ANAIF_SAR0_TR0 EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_BASE +CYDEV_MFGCFG_ANAIF_SAR1_BASE EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_SIZE +CYDEV_MFGCFG_ANAIF_SAR1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_TR0 +CYDEV_MFGCFG_ANAIF_SAR1_TR0 EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_BASE +CYDEV_MFGCFG_ANAIF_OPAMP0_BASE EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 EQU 0x40004621 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_BASE +CYDEV_MFGCFG_ANAIF_OPAMP1_BASE EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 EQU 0x40004623 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_BASE +CYDEV_MFGCFG_ANAIF_OPAMP2_BASE EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 EQU 0x40004625 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_BASE +CYDEV_MFGCFG_ANAIF_OPAMP3_BASE EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 EQU 0x40004627 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_BASE +CYDEV_MFGCFG_ANAIF_CMP0_BASE EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_SIZE +CYDEV_MFGCFG_ANAIF_CMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_TR0 +CYDEV_MFGCFG_ANAIF_CMP0_TR0 EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_TR1 +CYDEV_MFGCFG_ANAIF_CMP0_TR1 EQU 0x40004631 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_BASE +CYDEV_MFGCFG_ANAIF_CMP1_BASE EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_SIZE +CYDEV_MFGCFG_ANAIF_CMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_TR0 +CYDEV_MFGCFG_ANAIF_CMP1_TR0 EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_TR1 +CYDEV_MFGCFG_ANAIF_CMP1_TR1 EQU 0x40004633 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_BASE +CYDEV_MFGCFG_ANAIF_CMP2_BASE EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_SIZE +CYDEV_MFGCFG_ANAIF_CMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_TR0 +CYDEV_MFGCFG_ANAIF_CMP2_TR0 EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_TR1 +CYDEV_MFGCFG_ANAIF_CMP2_TR1 EQU 0x40004635 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_BASE +CYDEV_MFGCFG_ANAIF_CMP3_BASE EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_SIZE +CYDEV_MFGCFG_ANAIF_CMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_TR0 +CYDEV_MFGCFG_ANAIF_CMP3_TR0 EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_TR1 +CYDEV_MFGCFG_ANAIF_CMP3_TR1 EQU 0x40004637 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BASE +CYDEV_MFGCFG_PWRSYS_BASE EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SIZE +CYDEV_MFGCFG_PWRSYS_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_HIB_TR0 +CYDEV_MFGCFG_PWRSYS_HIB_TR0 EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_HIB_TR1 +CYDEV_MFGCFG_PWRSYS_HIB_TR1 EQU 0x40004681 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_I2C_TR +CYDEV_MFGCFG_PWRSYS_I2C_TR EQU 0x40004682 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SLP_TR +CYDEV_MFGCFG_PWRSYS_SLP_TR EQU 0x40004683 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BUZZ_TR +CYDEV_MFGCFG_PWRSYS_BUZZ_TR EQU 0x40004684 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR0 +CYDEV_MFGCFG_PWRSYS_WAKE_TR0 EQU 0x40004685 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR1 +CYDEV_MFGCFG_PWRSYS_WAKE_TR1 EQU 0x40004686 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BREF_TR +CYDEV_MFGCFG_PWRSYS_BREF_TR EQU 0x40004687 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BG_TR +CYDEV_MFGCFG_PWRSYS_BG_TR EQU 0x40004688 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR2 +CYDEV_MFGCFG_PWRSYS_WAKE_TR2 EQU 0x40004689 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR3 +CYDEV_MFGCFG_PWRSYS_WAKE_TR3 EQU 0x4000468a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_BASE +CYDEV_MFGCFG_ILO_BASE EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_SIZE +CYDEV_MFGCFG_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_TR0 +CYDEV_MFGCFG_ILO_TR0 EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_TR1 +CYDEV_MFGCFG_ILO_TR1 EQU 0x40004691 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_BASE +CYDEV_MFGCFG_X32_BASE EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_SIZE +CYDEV_MFGCFG_X32_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_TR +CYDEV_MFGCFG_X32_TR EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_BASE +CYDEV_MFGCFG_IMO_BASE EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_SIZE +CYDEV_MFGCFG_IMO_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR0 +CYDEV_MFGCFG_IMO_TR0 EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR1 +CYDEV_MFGCFG_IMO_TR1 EQU 0x400046a1 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_GAIN +CYDEV_MFGCFG_IMO_GAIN EQU 0x400046a2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_C36M +CYDEV_MFGCFG_IMO_C36M EQU 0x400046a3 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR2 +CYDEV_MFGCFG_IMO_TR2 EQU 0x400046a4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_BASE +CYDEV_MFGCFG_XMHZ_BASE EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_SIZE +CYDEV_MFGCFG_XMHZ_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_TR +CYDEV_MFGCFG_XMHZ_TR EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_DLY +CYDEV_MFGCFG_DLY EQU 0x400046c0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_BASE +CYDEV_MFGCFG_MLOGIC_BASE EQU 0x400046e0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SIZE +CYDEV_MFGCFG_MLOGIC_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_DMPSTR +CYDEV_MFGCFG_MLOGIC_DMPSTR EQU 0x400046e2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_BASE +CYDEV_MFGCFG_MLOGIC_SEG_BASE EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_SIZE +CYDEV_MFGCFG_MLOGIC_SEG_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_CR +CYDEV_MFGCFG_MLOGIC_SEG_CR EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_CFG0 +CYDEV_MFGCFG_MLOGIC_SEG_CFG0 EQU 0x400046e5 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_DEBUG +CYDEV_MFGCFG_MLOGIC_DEBUG EQU 0x400046e8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR +CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_REV_ID +CYDEV_MFGCFG_MLOGIC_REV_ID EQU 0x400046ec + ENDIF + IF :LNOT::DEF:CYDEV_RESET_BASE +CYDEV_RESET_BASE EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SIZE +CYDEV_RESET_SIZE EQU 0x0000000f + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR0 +CYDEV_RESET_IPOR_CR0 EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR1 +CYDEV_RESET_IPOR_CR1 EQU 0x400046f1 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR2 +CYDEV_RESET_IPOR_CR2 EQU 0x400046f2 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR3 +CYDEV_RESET_IPOR_CR3 EQU 0x400046f3 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR0 +CYDEV_RESET_CR0 EQU 0x400046f4 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR1 +CYDEV_RESET_CR1 EQU 0x400046f5 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR2 +CYDEV_RESET_CR2 EQU 0x400046f6 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR3 +CYDEV_RESET_CR3 EQU 0x400046f7 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR4 +CYDEV_RESET_CR4 EQU 0x400046f8 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR5 +CYDEV_RESET_CR5 EQU 0x400046f9 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR0 +CYDEV_RESET_SR0 EQU 0x400046fa + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR1 +CYDEV_RESET_SR1 EQU 0x400046fb + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR2 +CYDEV_RESET_SR2 EQU 0x400046fc + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR3 +CYDEV_RESET_SR3 EQU 0x400046fd + ENDIF + IF :LNOT::DEF:CYDEV_RESET_TR +CYDEV_RESET_TR EQU 0x400046fe + ENDIF + IF :LNOT::DEF:CYDEV_SPC_BASE +CYDEV_SPC_BASE EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SIZE +CYDEV_SPC_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_FM_EE_CR +CYDEV_SPC_FM_EE_CR EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_FM_EE_WAKE_CNT +CYDEV_SPC_FM_EE_WAKE_CNT EQU 0x40004701 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_EE_SCR +CYDEV_SPC_EE_SCR EQU 0x40004702 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_EE_ERR +CYDEV_SPC_EE_ERR EQU 0x40004703 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_CPU_DATA +CYDEV_SPC_CPU_DATA EQU 0x40004720 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMA_DATA +CYDEV_SPC_DMA_DATA EQU 0x40004721 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SR +CYDEV_SPC_SR EQU 0x40004722 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_CR +CYDEV_SPC_CR EQU 0x40004723 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_BASE +CYDEV_SPC_DMM_MAP_BASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SIZE +CYDEV_SPC_DMM_MAP_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SRAM_MBASE +CYDEV_SPC_DMM_MAP_SRAM_MBASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SRAM_MSIZE +CYDEV_SPC_DMM_MAP_SRAM_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_BASE +CYDEV_CACHE_BASE EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_SIZE +CYDEV_CACHE_SIZE EQU 0x0000009c + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_CC_CTL +CYDEV_CACHE_CC_CTL EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_ECC_CORR +CYDEV_CACHE_ECC_CORR EQU 0x40004880 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_ECC_ERR +CYDEV_CACHE_ECC_ERR EQU 0x40004888 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_FLASH_ERR +CYDEV_CACHE_FLASH_ERR EQU 0x40004890 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_HITMISS +CYDEV_CACHE_HITMISS EQU 0x40004898 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_BASE +CYDEV_I2C_BASE EQU 0x40004900 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_SIZE +CYDEV_I2C_SIZE EQU 0x000000e1 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_XCFG +CYDEV_I2C_XCFG EQU 0x400049c8 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_ADR +CYDEV_I2C_ADR EQU 0x400049ca + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CFG +CYDEV_I2C_CFG EQU 0x400049d6 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CSR +CYDEV_I2C_CSR EQU 0x400049d7 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_D +CYDEV_I2C_D EQU 0x400049d8 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_MCSR +CYDEV_I2C_MCSR EQU 0x400049d9 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CLK_DIV1 +CYDEV_I2C_CLK_DIV1 EQU 0x400049db + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CLK_DIV2 +CYDEV_I2C_CLK_DIV2 EQU 0x400049dc + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CSR +CYDEV_I2C_TMOUT_CSR EQU 0x400049dd + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_SR +CYDEV_I2C_TMOUT_SR EQU 0x400049de + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CFG0 +CYDEV_I2C_TMOUT_CFG0 EQU 0x400049df + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CFG1 +CYDEV_I2C_TMOUT_CFG1 EQU 0x400049e0 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_BASE +CYDEV_DEC_BASE EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SIZE +CYDEV_DEC_SIZE EQU 0x00000015 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_CR +CYDEV_DEC_CR EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SR +CYDEV_DEC_SR EQU 0x40004e01 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SHIFT1 +CYDEV_DEC_SHIFT1 EQU 0x40004e02 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SHIFT2 +CYDEV_DEC_SHIFT2 EQU 0x40004e03 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR2 +CYDEV_DEC_DR2 EQU 0x40004e04 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR2H +CYDEV_DEC_DR2H EQU 0x40004e05 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR1 +CYDEV_DEC_DR1 EQU 0x40004e06 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCOR +CYDEV_DEC_OCOR EQU 0x40004e08 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCORM +CYDEV_DEC_OCORM EQU 0x40004e09 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCORH +CYDEV_DEC_OCORH EQU 0x40004e0a + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GCOR +CYDEV_DEC_GCOR EQU 0x40004e0c + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GCORH +CYDEV_DEC_GCORH EQU 0x40004e0d + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GVAL +CYDEV_DEC_GVAL EQU 0x40004e0e + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMP +CYDEV_DEC_OUTSAMP EQU 0x40004e10 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPM +CYDEV_DEC_OUTSAMPM EQU 0x40004e11 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPH +CYDEV_DEC_OUTSAMPH EQU 0x40004e12 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPS +CYDEV_DEC_OUTSAMPS EQU 0x40004e13 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_COHER +CYDEV_DEC_COHER EQU 0x40004e14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_BASE +CYDEV_TMR0_BASE EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SIZE +CYDEV_TMR0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG0 +CYDEV_TMR0_CFG0 EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG1 +CYDEV_TMR0_CFG1 EQU 0x40004f01 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG2 +CYDEV_TMR0_CFG2 EQU 0x40004f02 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SR0 +CYDEV_TMR0_SR0 EQU 0x40004f03 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_PER0 +CYDEV_TMR0_PER0 EQU 0x40004f04 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_PER1 +CYDEV_TMR0_PER1 EQU 0x40004f05 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CNT_CMP0 +CYDEV_TMR0_CNT_CMP0 EQU 0x40004f06 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CNT_CMP1 +CYDEV_TMR0_CNT_CMP1 EQU 0x40004f07 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CAP0 +CYDEV_TMR0_CAP0 EQU 0x40004f08 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CAP1 +CYDEV_TMR0_CAP1 EQU 0x40004f09 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_RT0 +CYDEV_TMR0_RT0 EQU 0x40004f0a + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_RT1 +CYDEV_TMR0_RT1 EQU 0x40004f0b + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_BASE +CYDEV_TMR1_BASE EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SIZE +CYDEV_TMR1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG0 +CYDEV_TMR1_CFG0 EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG1 +CYDEV_TMR1_CFG1 EQU 0x40004f0d + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG2 +CYDEV_TMR1_CFG2 EQU 0x40004f0e + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SR0 +CYDEV_TMR1_SR0 EQU 0x40004f0f + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_PER0 +CYDEV_TMR1_PER0 EQU 0x40004f10 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_PER1 +CYDEV_TMR1_PER1 EQU 0x40004f11 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CNT_CMP0 +CYDEV_TMR1_CNT_CMP0 EQU 0x40004f12 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CNT_CMP1 +CYDEV_TMR1_CNT_CMP1 EQU 0x40004f13 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CAP0 +CYDEV_TMR1_CAP0 EQU 0x40004f14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CAP1 +CYDEV_TMR1_CAP1 EQU 0x40004f15 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_RT0 +CYDEV_TMR1_RT0 EQU 0x40004f16 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_RT1 +CYDEV_TMR1_RT1 EQU 0x40004f17 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_BASE +CYDEV_TMR2_BASE EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SIZE +CYDEV_TMR2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG0 +CYDEV_TMR2_CFG0 EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG1 +CYDEV_TMR2_CFG1 EQU 0x40004f19 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG2 +CYDEV_TMR2_CFG2 EQU 0x40004f1a + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SR0 +CYDEV_TMR2_SR0 EQU 0x40004f1b + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_PER0 +CYDEV_TMR2_PER0 EQU 0x40004f1c + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_PER1 +CYDEV_TMR2_PER1 EQU 0x40004f1d + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CNT_CMP0 +CYDEV_TMR2_CNT_CMP0 EQU 0x40004f1e + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CNT_CMP1 +CYDEV_TMR2_CNT_CMP1 EQU 0x40004f1f + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CAP0 +CYDEV_TMR2_CAP0 EQU 0x40004f20 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CAP1 +CYDEV_TMR2_CAP1 EQU 0x40004f21 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_RT0 +CYDEV_TMR2_RT0 EQU 0x40004f22 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_RT1 +CYDEV_TMR2_RT1 EQU 0x40004f23 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_BASE +CYDEV_TMR3_BASE EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SIZE +CYDEV_TMR3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG0 +CYDEV_TMR3_CFG0 EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG1 +CYDEV_TMR3_CFG1 EQU 0x40004f25 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG2 +CYDEV_TMR3_CFG2 EQU 0x40004f26 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SR0 +CYDEV_TMR3_SR0 EQU 0x40004f27 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_PER0 +CYDEV_TMR3_PER0 EQU 0x40004f28 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_PER1 +CYDEV_TMR3_PER1 EQU 0x40004f29 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CNT_CMP0 +CYDEV_TMR3_CNT_CMP0 EQU 0x40004f2a + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CNT_CMP1 +CYDEV_TMR3_CNT_CMP1 EQU 0x40004f2b + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CAP0 +CYDEV_TMR3_CAP0 EQU 0x40004f2c + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CAP1 +CYDEV_TMR3_CAP1 EQU 0x40004f2d + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_RT0 +CYDEV_TMR3_RT0 EQU 0x40004f2e + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_RT1 +CYDEV_TMR3_RT1 EQU 0x40004f2f + ENDIF + IF :LNOT::DEF:CYDEV_IO_BASE +CYDEV_IO_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_SIZE +CYDEV_IO_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_BASE +CYDEV_IO_PC_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_SIZE +CYDEV_IO_PC_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_BASE +CYDEV_IO_PC_PRT0_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_SIZE +CYDEV_IO_PC_PRT0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC0 +CYDEV_IO_PC_PRT0_PC0 EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC1 +CYDEV_IO_PC_PRT0_PC1 EQU 0x40005001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC2 +CYDEV_IO_PC_PRT0_PC2 EQU 0x40005002 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC3 +CYDEV_IO_PC_PRT0_PC3 EQU 0x40005003 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC4 +CYDEV_IO_PC_PRT0_PC4 EQU 0x40005004 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC5 +CYDEV_IO_PC_PRT0_PC5 EQU 0x40005005 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC6 +CYDEV_IO_PC_PRT0_PC6 EQU 0x40005006 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC7 +CYDEV_IO_PC_PRT0_PC7 EQU 0x40005007 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_BASE +CYDEV_IO_PC_PRT1_BASE EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_SIZE +CYDEV_IO_PC_PRT1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC0 +CYDEV_IO_PC_PRT1_PC0 EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC1 +CYDEV_IO_PC_PRT1_PC1 EQU 0x40005009 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC2 +CYDEV_IO_PC_PRT1_PC2 EQU 0x4000500a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC3 +CYDEV_IO_PC_PRT1_PC3 EQU 0x4000500b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC4 +CYDEV_IO_PC_PRT1_PC4 EQU 0x4000500c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC5 +CYDEV_IO_PC_PRT1_PC5 EQU 0x4000500d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC6 +CYDEV_IO_PC_PRT1_PC6 EQU 0x4000500e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC7 +CYDEV_IO_PC_PRT1_PC7 EQU 0x4000500f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_BASE +CYDEV_IO_PC_PRT2_BASE EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_SIZE +CYDEV_IO_PC_PRT2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC0 +CYDEV_IO_PC_PRT2_PC0 EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC1 +CYDEV_IO_PC_PRT2_PC1 EQU 0x40005011 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC2 +CYDEV_IO_PC_PRT2_PC2 EQU 0x40005012 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC3 +CYDEV_IO_PC_PRT2_PC3 EQU 0x40005013 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC4 +CYDEV_IO_PC_PRT2_PC4 EQU 0x40005014 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC5 +CYDEV_IO_PC_PRT2_PC5 EQU 0x40005015 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC6 +CYDEV_IO_PC_PRT2_PC6 EQU 0x40005016 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC7 +CYDEV_IO_PC_PRT2_PC7 EQU 0x40005017 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_BASE +CYDEV_IO_PC_PRT3_BASE EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_SIZE +CYDEV_IO_PC_PRT3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC0 +CYDEV_IO_PC_PRT3_PC0 EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC1 +CYDEV_IO_PC_PRT3_PC1 EQU 0x40005019 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC2 +CYDEV_IO_PC_PRT3_PC2 EQU 0x4000501a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC3 +CYDEV_IO_PC_PRT3_PC3 EQU 0x4000501b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC4 +CYDEV_IO_PC_PRT3_PC4 EQU 0x4000501c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC5 +CYDEV_IO_PC_PRT3_PC5 EQU 0x4000501d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC6 +CYDEV_IO_PC_PRT3_PC6 EQU 0x4000501e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC7 +CYDEV_IO_PC_PRT3_PC7 EQU 0x4000501f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_BASE +CYDEV_IO_PC_PRT4_BASE EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_SIZE +CYDEV_IO_PC_PRT4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC0 +CYDEV_IO_PC_PRT4_PC0 EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC1 +CYDEV_IO_PC_PRT4_PC1 EQU 0x40005021 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC2 +CYDEV_IO_PC_PRT4_PC2 EQU 0x40005022 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC3 +CYDEV_IO_PC_PRT4_PC3 EQU 0x40005023 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC4 +CYDEV_IO_PC_PRT4_PC4 EQU 0x40005024 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC5 +CYDEV_IO_PC_PRT4_PC5 EQU 0x40005025 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC6 +CYDEV_IO_PC_PRT4_PC6 EQU 0x40005026 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC7 +CYDEV_IO_PC_PRT4_PC7 EQU 0x40005027 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_BASE +CYDEV_IO_PC_PRT5_BASE EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_SIZE +CYDEV_IO_PC_PRT5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC0 +CYDEV_IO_PC_PRT5_PC0 EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC1 +CYDEV_IO_PC_PRT5_PC1 EQU 0x40005029 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC2 +CYDEV_IO_PC_PRT5_PC2 EQU 0x4000502a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC3 +CYDEV_IO_PC_PRT5_PC3 EQU 0x4000502b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC4 +CYDEV_IO_PC_PRT5_PC4 EQU 0x4000502c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC5 +CYDEV_IO_PC_PRT5_PC5 EQU 0x4000502d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC6 +CYDEV_IO_PC_PRT5_PC6 EQU 0x4000502e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC7 +CYDEV_IO_PC_PRT5_PC7 EQU 0x4000502f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_BASE +CYDEV_IO_PC_PRT6_BASE EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_SIZE +CYDEV_IO_PC_PRT6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC0 +CYDEV_IO_PC_PRT6_PC0 EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC1 +CYDEV_IO_PC_PRT6_PC1 EQU 0x40005031 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC2 +CYDEV_IO_PC_PRT6_PC2 EQU 0x40005032 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC3 +CYDEV_IO_PC_PRT6_PC3 EQU 0x40005033 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC4 +CYDEV_IO_PC_PRT6_PC4 EQU 0x40005034 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC5 +CYDEV_IO_PC_PRT6_PC5 EQU 0x40005035 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC6 +CYDEV_IO_PC_PRT6_PC6 EQU 0x40005036 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC7 +CYDEV_IO_PC_PRT6_PC7 EQU 0x40005037 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_BASE +CYDEV_IO_PC_PRT12_BASE EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_SIZE +CYDEV_IO_PC_PRT12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC0 +CYDEV_IO_PC_PRT12_PC0 EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC1 +CYDEV_IO_PC_PRT12_PC1 EQU 0x40005061 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC2 +CYDEV_IO_PC_PRT12_PC2 EQU 0x40005062 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC3 +CYDEV_IO_PC_PRT12_PC3 EQU 0x40005063 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC4 +CYDEV_IO_PC_PRT12_PC4 EQU 0x40005064 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC5 +CYDEV_IO_PC_PRT12_PC5 EQU 0x40005065 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC6 +CYDEV_IO_PC_PRT12_PC6 EQU 0x40005066 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC7 +CYDEV_IO_PC_PRT12_PC7 EQU 0x40005067 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_BASE +CYDEV_IO_PC_PRT15_BASE EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_SIZE +CYDEV_IO_PC_PRT15_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC0 +CYDEV_IO_PC_PRT15_PC0 EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC1 +CYDEV_IO_PC_PRT15_PC1 EQU 0x40005079 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC2 +CYDEV_IO_PC_PRT15_PC2 EQU 0x4000507a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC3 +CYDEV_IO_PC_PRT15_PC3 EQU 0x4000507b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC4 +CYDEV_IO_PC_PRT15_PC4 EQU 0x4000507c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC5 +CYDEV_IO_PC_PRT15_PC5 EQU 0x4000507d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_BASE +CYDEV_IO_PC_PRT15_7_6_BASE EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_SIZE +CYDEV_IO_PC_PRT15_7_6_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_PC0 +CYDEV_IO_PC_PRT15_7_6_PC0 EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_PC1 +CYDEV_IO_PC_PRT15_7_6_PC1 EQU 0x4000507f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_BASE +CYDEV_IO_DR_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_SIZE +CYDEV_IO_DR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_BASE +CYDEV_IO_DR_PRT0_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_SIZE +CYDEV_IO_DR_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_DR_ALIAS +CYDEV_IO_DR_PRT0_DR_ALIAS EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_BASE +CYDEV_IO_DR_PRT1_BASE EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_SIZE +CYDEV_IO_DR_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_DR_ALIAS +CYDEV_IO_DR_PRT1_DR_ALIAS EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_BASE +CYDEV_IO_DR_PRT2_BASE EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_SIZE +CYDEV_IO_DR_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_DR_ALIAS +CYDEV_IO_DR_PRT2_DR_ALIAS EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_BASE +CYDEV_IO_DR_PRT3_BASE EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_SIZE +CYDEV_IO_DR_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_DR_ALIAS +CYDEV_IO_DR_PRT3_DR_ALIAS EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_BASE +CYDEV_IO_DR_PRT4_BASE EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_SIZE +CYDEV_IO_DR_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_DR_ALIAS +CYDEV_IO_DR_PRT4_DR_ALIAS EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_BASE +CYDEV_IO_DR_PRT5_BASE EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_SIZE +CYDEV_IO_DR_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_DR_ALIAS +CYDEV_IO_DR_PRT5_DR_ALIAS EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_BASE +CYDEV_IO_DR_PRT6_BASE EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_SIZE +CYDEV_IO_DR_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_DR_ALIAS +CYDEV_IO_DR_PRT6_DR_ALIAS EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_BASE +CYDEV_IO_DR_PRT12_BASE EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_SIZE +CYDEV_IO_DR_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_DR_ALIAS +CYDEV_IO_DR_PRT12_DR_ALIAS EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_BASE +CYDEV_IO_DR_PRT15_BASE EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_SIZE +CYDEV_IO_DR_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_DR_15_ALIAS +CYDEV_IO_DR_PRT15_DR_15_ALIAS EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_BASE +CYDEV_IO_PS_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_SIZE +CYDEV_IO_PS_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_BASE +CYDEV_IO_PS_PRT0_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_SIZE +CYDEV_IO_PS_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_PS_ALIAS +CYDEV_IO_PS_PRT0_PS_ALIAS EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_BASE +CYDEV_IO_PS_PRT1_BASE EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_SIZE +CYDEV_IO_PS_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_PS_ALIAS +CYDEV_IO_PS_PRT1_PS_ALIAS EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_BASE +CYDEV_IO_PS_PRT2_BASE EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_SIZE +CYDEV_IO_PS_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_PS_ALIAS +CYDEV_IO_PS_PRT2_PS_ALIAS EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_BASE +CYDEV_IO_PS_PRT3_BASE EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_SIZE +CYDEV_IO_PS_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_PS_ALIAS +CYDEV_IO_PS_PRT3_PS_ALIAS EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_BASE +CYDEV_IO_PS_PRT4_BASE EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_SIZE +CYDEV_IO_PS_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_PS_ALIAS +CYDEV_IO_PS_PRT4_PS_ALIAS EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_BASE +CYDEV_IO_PS_PRT5_BASE EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_SIZE +CYDEV_IO_PS_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_PS_ALIAS +CYDEV_IO_PS_PRT5_PS_ALIAS EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_BASE +CYDEV_IO_PS_PRT6_BASE EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_SIZE +CYDEV_IO_PS_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_PS_ALIAS +CYDEV_IO_PS_PRT6_PS_ALIAS EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_BASE +CYDEV_IO_PS_PRT12_BASE EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_SIZE +CYDEV_IO_PS_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_PS_ALIAS +CYDEV_IO_PS_PRT12_PS_ALIAS EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_BASE +CYDEV_IO_PS_PRT15_BASE EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_SIZE +CYDEV_IO_PS_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_PS15_ALIAS +CYDEV_IO_PS_PRT15_PS15_ALIAS EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_BASE +CYDEV_IO_PRT_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_SIZE +CYDEV_IO_PRT_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BASE +CYDEV_IO_PRT_PRT0_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SIZE +CYDEV_IO_PRT_PRT0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DR +CYDEV_IO_PRT_PRT0_DR EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_PS +CYDEV_IO_PRT_PRT0_PS EQU 0x40005101 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM0 +CYDEV_IO_PRT_PRT0_DM0 EQU 0x40005102 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM1 +CYDEV_IO_PRT_PRT0_DM1 EQU 0x40005103 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM2 +CYDEV_IO_PRT_PRT0_DM2 EQU 0x40005104 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SLW +CYDEV_IO_PRT_PRT0_SLW EQU 0x40005105 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BYP +CYDEV_IO_PRT_PRT0_BYP EQU 0x40005106 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BIE +CYDEV_IO_PRT_PRT0_BIE EQU 0x40005107 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_INP_DIS +CYDEV_IO_PRT_PRT0_INP_DIS EQU 0x40005108 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_CTL +CYDEV_IO_PRT_PRT0_CTL EQU 0x40005109 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_PRT +CYDEV_IO_PRT_PRT0_PRT EQU 0x4000510a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BIT_MASK +CYDEV_IO_PRT_PRT0_BIT_MASK EQU 0x4000510b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_AMUX +CYDEV_IO_PRT_PRT0_AMUX EQU 0x4000510c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_AG +CYDEV_IO_PRT_PRT0_AG EQU 0x4000510d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_LCD_COM_SEG +CYDEV_IO_PRT_PRT0_LCD_COM_SEG EQU 0x4000510e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_LCD_EN +CYDEV_IO_PRT_PRT0_LCD_EN EQU 0x4000510f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BASE +CYDEV_IO_PRT_PRT1_BASE EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SIZE +CYDEV_IO_PRT_PRT1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DR +CYDEV_IO_PRT_PRT1_DR EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_PS +CYDEV_IO_PRT_PRT1_PS EQU 0x40005111 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM0 +CYDEV_IO_PRT_PRT1_DM0 EQU 0x40005112 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM1 +CYDEV_IO_PRT_PRT1_DM1 EQU 0x40005113 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM2 +CYDEV_IO_PRT_PRT1_DM2 EQU 0x40005114 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SLW +CYDEV_IO_PRT_PRT1_SLW EQU 0x40005115 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BYP +CYDEV_IO_PRT_PRT1_BYP EQU 0x40005116 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BIE +CYDEV_IO_PRT_PRT1_BIE EQU 0x40005117 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_INP_DIS +CYDEV_IO_PRT_PRT1_INP_DIS EQU 0x40005118 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_CTL +CYDEV_IO_PRT_PRT1_CTL EQU 0x40005119 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_PRT +CYDEV_IO_PRT_PRT1_PRT EQU 0x4000511a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BIT_MASK +CYDEV_IO_PRT_PRT1_BIT_MASK EQU 0x4000511b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_AMUX +CYDEV_IO_PRT_PRT1_AMUX EQU 0x4000511c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_AG +CYDEV_IO_PRT_PRT1_AG EQU 0x4000511d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_LCD_COM_SEG +CYDEV_IO_PRT_PRT1_LCD_COM_SEG EQU 0x4000511e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_LCD_EN +CYDEV_IO_PRT_PRT1_LCD_EN EQU 0x4000511f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BASE +CYDEV_IO_PRT_PRT2_BASE EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SIZE +CYDEV_IO_PRT_PRT2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DR +CYDEV_IO_PRT_PRT2_DR EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_PS +CYDEV_IO_PRT_PRT2_PS EQU 0x40005121 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM0 +CYDEV_IO_PRT_PRT2_DM0 EQU 0x40005122 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM1 +CYDEV_IO_PRT_PRT2_DM1 EQU 0x40005123 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM2 +CYDEV_IO_PRT_PRT2_DM2 EQU 0x40005124 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SLW +CYDEV_IO_PRT_PRT2_SLW EQU 0x40005125 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BYP +CYDEV_IO_PRT_PRT2_BYP EQU 0x40005126 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BIE +CYDEV_IO_PRT_PRT2_BIE EQU 0x40005127 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_INP_DIS +CYDEV_IO_PRT_PRT2_INP_DIS EQU 0x40005128 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_CTL +CYDEV_IO_PRT_PRT2_CTL EQU 0x40005129 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_PRT +CYDEV_IO_PRT_PRT2_PRT EQU 0x4000512a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BIT_MASK +CYDEV_IO_PRT_PRT2_BIT_MASK EQU 0x4000512b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_AMUX +CYDEV_IO_PRT_PRT2_AMUX EQU 0x4000512c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_AG +CYDEV_IO_PRT_PRT2_AG EQU 0x4000512d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_LCD_COM_SEG +CYDEV_IO_PRT_PRT2_LCD_COM_SEG EQU 0x4000512e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_LCD_EN +CYDEV_IO_PRT_PRT2_LCD_EN EQU 0x4000512f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BASE +CYDEV_IO_PRT_PRT3_BASE EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SIZE +CYDEV_IO_PRT_PRT3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DR +CYDEV_IO_PRT_PRT3_DR EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_PS +CYDEV_IO_PRT_PRT3_PS EQU 0x40005131 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM0 +CYDEV_IO_PRT_PRT3_DM0 EQU 0x40005132 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM1 +CYDEV_IO_PRT_PRT3_DM1 EQU 0x40005133 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM2 +CYDEV_IO_PRT_PRT3_DM2 EQU 0x40005134 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SLW +CYDEV_IO_PRT_PRT3_SLW EQU 0x40005135 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BYP +CYDEV_IO_PRT_PRT3_BYP EQU 0x40005136 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BIE +CYDEV_IO_PRT_PRT3_BIE EQU 0x40005137 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_INP_DIS +CYDEV_IO_PRT_PRT3_INP_DIS EQU 0x40005138 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_CTL +CYDEV_IO_PRT_PRT3_CTL EQU 0x40005139 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_PRT +CYDEV_IO_PRT_PRT3_PRT EQU 0x4000513a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BIT_MASK +CYDEV_IO_PRT_PRT3_BIT_MASK EQU 0x4000513b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_AMUX +CYDEV_IO_PRT_PRT3_AMUX EQU 0x4000513c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_AG +CYDEV_IO_PRT_PRT3_AG EQU 0x4000513d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_LCD_COM_SEG +CYDEV_IO_PRT_PRT3_LCD_COM_SEG EQU 0x4000513e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_LCD_EN +CYDEV_IO_PRT_PRT3_LCD_EN EQU 0x4000513f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BASE +CYDEV_IO_PRT_PRT4_BASE EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SIZE +CYDEV_IO_PRT_PRT4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DR +CYDEV_IO_PRT_PRT4_DR EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_PS +CYDEV_IO_PRT_PRT4_PS EQU 0x40005141 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM0 +CYDEV_IO_PRT_PRT4_DM0 EQU 0x40005142 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM1 +CYDEV_IO_PRT_PRT4_DM1 EQU 0x40005143 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM2 +CYDEV_IO_PRT_PRT4_DM2 EQU 0x40005144 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SLW +CYDEV_IO_PRT_PRT4_SLW EQU 0x40005145 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BYP +CYDEV_IO_PRT_PRT4_BYP EQU 0x40005146 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BIE +CYDEV_IO_PRT_PRT4_BIE EQU 0x40005147 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_INP_DIS +CYDEV_IO_PRT_PRT4_INP_DIS EQU 0x40005148 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_CTL +CYDEV_IO_PRT_PRT4_CTL EQU 0x40005149 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_PRT +CYDEV_IO_PRT_PRT4_PRT EQU 0x4000514a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BIT_MASK +CYDEV_IO_PRT_PRT4_BIT_MASK EQU 0x4000514b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_AMUX +CYDEV_IO_PRT_PRT4_AMUX EQU 0x4000514c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_AG +CYDEV_IO_PRT_PRT4_AG EQU 0x4000514d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_LCD_COM_SEG +CYDEV_IO_PRT_PRT4_LCD_COM_SEG EQU 0x4000514e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_LCD_EN +CYDEV_IO_PRT_PRT4_LCD_EN EQU 0x4000514f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BASE +CYDEV_IO_PRT_PRT5_BASE EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SIZE +CYDEV_IO_PRT_PRT5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DR +CYDEV_IO_PRT_PRT5_DR EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_PS +CYDEV_IO_PRT_PRT5_PS EQU 0x40005151 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM0 +CYDEV_IO_PRT_PRT5_DM0 EQU 0x40005152 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM1 +CYDEV_IO_PRT_PRT5_DM1 EQU 0x40005153 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM2 +CYDEV_IO_PRT_PRT5_DM2 EQU 0x40005154 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SLW +CYDEV_IO_PRT_PRT5_SLW EQU 0x40005155 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BYP +CYDEV_IO_PRT_PRT5_BYP EQU 0x40005156 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BIE +CYDEV_IO_PRT_PRT5_BIE EQU 0x40005157 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_INP_DIS +CYDEV_IO_PRT_PRT5_INP_DIS EQU 0x40005158 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_CTL +CYDEV_IO_PRT_PRT5_CTL EQU 0x40005159 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_PRT +CYDEV_IO_PRT_PRT5_PRT EQU 0x4000515a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BIT_MASK +CYDEV_IO_PRT_PRT5_BIT_MASK EQU 0x4000515b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_AMUX +CYDEV_IO_PRT_PRT5_AMUX EQU 0x4000515c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_AG +CYDEV_IO_PRT_PRT5_AG EQU 0x4000515d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_LCD_COM_SEG +CYDEV_IO_PRT_PRT5_LCD_COM_SEG EQU 0x4000515e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_LCD_EN +CYDEV_IO_PRT_PRT5_LCD_EN EQU 0x4000515f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BASE +CYDEV_IO_PRT_PRT6_BASE EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SIZE +CYDEV_IO_PRT_PRT6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DR +CYDEV_IO_PRT_PRT6_DR EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_PS +CYDEV_IO_PRT_PRT6_PS EQU 0x40005161 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM0 +CYDEV_IO_PRT_PRT6_DM0 EQU 0x40005162 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM1 +CYDEV_IO_PRT_PRT6_DM1 EQU 0x40005163 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM2 +CYDEV_IO_PRT_PRT6_DM2 EQU 0x40005164 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SLW +CYDEV_IO_PRT_PRT6_SLW EQU 0x40005165 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BYP +CYDEV_IO_PRT_PRT6_BYP EQU 0x40005166 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BIE +CYDEV_IO_PRT_PRT6_BIE EQU 0x40005167 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_INP_DIS +CYDEV_IO_PRT_PRT6_INP_DIS EQU 0x40005168 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_CTL +CYDEV_IO_PRT_PRT6_CTL EQU 0x40005169 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_PRT +CYDEV_IO_PRT_PRT6_PRT EQU 0x4000516a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BIT_MASK +CYDEV_IO_PRT_PRT6_BIT_MASK EQU 0x4000516b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_AMUX +CYDEV_IO_PRT_PRT6_AMUX EQU 0x4000516c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_AG +CYDEV_IO_PRT_PRT6_AG EQU 0x4000516d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_LCD_COM_SEG +CYDEV_IO_PRT_PRT6_LCD_COM_SEG EQU 0x4000516e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_LCD_EN +CYDEV_IO_PRT_PRT6_LCD_EN EQU 0x4000516f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BASE +CYDEV_IO_PRT_PRT12_BASE EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIZE +CYDEV_IO_PRT_PRT12_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DR +CYDEV_IO_PRT_PRT12_DR EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_PS +CYDEV_IO_PRT_PRT12_PS EQU 0x400051c1 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM0 +CYDEV_IO_PRT_PRT12_DM0 EQU 0x400051c2 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM1 +CYDEV_IO_PRT_PRT12_DM1 EQU 0x400051c3 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM2 +CYDEV_IO_PRT_PRT12_DM2 EQU 0x400051c4 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SLW +CYDEV_IO_PRT_PRT12_SLW EQU 0x400051c5 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BYP +CYDEV_IO_PRT_PRT12_BYP EQU 0x400051c6 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BIE +CYDEV_IO_PRT_PRT12_BIE EQU 0x400051c7 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_INP_DIS +CYDEV_IO_PRT_PRT12_INP_DIS EQU 0x400051c8 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_HYST_EN +CYDEV_IO_PRT_PRT12_SIO_HYST_EN EQU 0x400051c9 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_PRT +CYDEV_IO_PRT_PRT12_PRT EQU 0x400051ca + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BIT_MASK +CYDEV_IO_PRT_PRT12_BIT_MASK EQU 0x400051cb + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ +CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ EQU 0x400051cc + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_AG +CYDEV_IO_PRT_PRT12_AG EQU 0x400051cd + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_CFG +CYDEV_IO_PRT_PRT12_SIO_CFG EQU 0x400051ce + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_DIFF +CYDEV_IO_PRT_PRT12_SIO_DIFF EQU 0x400051cf + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BASE +CYDEV_IO_PRT_PRT15_BASE EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SIZE +CYDEV_IO_PRT_PRT15_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DR +CYDEV_IO_PRT_PRT15_DR EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_PS +CYDEV_IO_PRT_PRT15_PS EQU 0x400051f1 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM0 +CYDEV_IO_PRT_PRT15_DM0 EQU 0x400051f2 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM1 +CYDEV_IO_PRT_PRT15_DM1 EQU 0x400051f3 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM2 +CYDEV_IO_PRT_PRT15_DM2 EQU 0x400051f4 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SLW +CYDEV_IO_PRT_PRT15_SLW EQU 0x400051f5 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BYP +CYDEV_IO_PRT_PRT15_BYP EQU 0x400051f6 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BIE +CYDEV_IO_PRT_PRT15_BIE EQU 0x400051f7 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_INP_DIS +CYDEV_IO_PRT_PRT15_INP_DIS EQU 0x400051f8 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_CTL +CYDEV_IO_PRT_PRT15_CTL EQU 0x400051f9 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_PRT +CYDEV_IO_PRT_PRT15_PRT EQU 0x400051fa + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BIT_MASK +CYDEV_IO_PRT_PRT15_BIT_MASK EQU 0x400051fb + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_AMUX +CYDEV_IO_PRT_PRT15_AMUX EQU 0x400051fc + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_AG +CYDEV_IO_PRT_PRT15_AG EQU 0x400051fd + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_LCD_COM_SEG +CYDEV_IO_PRT_PRT15_LCD_COM_SEG EQU 0x400051fe + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_LCD_EN +CYDEV_IO_PRT_PRT15_LCD_EN EQU 0x400051ff + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_BASE +CYDEV_PRTDSI_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_SIZE +CYDEV_PRTDSI_SIZE EQU 0x0000007f + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_BASE +CYDEV_PRTDSI_PRT0_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SIZE +CYDEV_PRTDSI_PRT0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OUT_SEL0 +CYDEV_PRTDSI_PRT0_OUT_SEL0 EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OUT_SEL1 +CYDEV_PRTDSI_PRT0_OUT_SEL1 EQU 0x40005201 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OE_SEL0 +CYDEV_PRTDSI_PRT0_OE_SEL0 EQU 0x40005202 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OE_SEL1 +CYDEV_PRTDSI_PRT0_OE_SEL1 EQU 0x40005203 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_DBL_SYNC_IN +CYDEV_PRTDSI_PRT0_DBL_SYNC_IN EQU 0x40005204 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SYNC_OUT +CYDEV_PRTDSI_PRT0_SYNC_OUT EQU 0x40005205 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_CAPS_SEL +CYDEV_PRTDSI_PRT0_CAPS_SEL EQU 0x40005206 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_BASE +CYDEV_PRTDSI_PRT1_BASE EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SIZE +CYDEV_PRTDSI_PRT1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OUT_SEL0 +CYDEV_PRTDSI_PRT1_OUT_SEL0 EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OUT_SEL1 +CYDEV_PRTDSI_PRT1_OUT_SEL1 EQU 0x40005209 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OE_SEL0 +CYDEV_PRTDSI_PRT1_OE_SEL0 EQU 0x4000520a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OE_SEL1 +CYDEV_PRTDSI_PRT1_OE_SEL1 EQU 0x4000520b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_DBL_SYNC_IN +CYDEV_PRTDSI_PRT1_DBL_SYNC_IN EQU 0x4000520c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SYNC_OUT +CYDEV_PRTDSI_PRT1_SYNC_OUT EQU 0x4000520d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_CAPS_SEL +CYDEV_PRTDSI_PRT1_CAPS_SEL EQU 0x4000520e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_BASE +CYDEV_PRTDSI_PRT2_BASE EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SIZE +CYDEV_PRTDSI_PRT2_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OUT_SEL0 +CYDEV_PRTDSI_PRT2_OUT_SEL0 EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OUT_SEL1 +CYDEV_PRTDSI_PRT2_OUT_SEL1 EQU 0x40005211 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OE_SEL0 +CYDEV_PRTDSI_PRT2_OE_SEL0 EQU 0x40005212 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OE_SEL1 +CYDEV_PRTDSI_PRT2_OE_SEL1 EQU 0x40005213 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_DBL_SYNC_IN +CYDEV_PRTDSI_PRT2_DBL_SYNC_IN EQU 0x40005214 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SYNC_OUT +CYDEV_PRTDSI_PRT2_SYNC_OUT EQU 0x40005215 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_CAPS_SEL +CYDEV_PRTDSI_PRT2_CAPS_SEL EQU 0x40005216 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_BASE +CYDEV_PRTDSI_PRT3_BASE EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SIZE +CYDEV_PRTDSI_PRT3_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OUT_SEL0 +CYDEV_PRTDSI_PRT3_OUT_SEL0 EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OUT_SEL1 +CYDEV_PRTDSI_PRT3_OUT_SEL1 EQU 0x40005219 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OE_SEL0 +CYDEV_PRTDSI_PRT3_OE_SEL0 EQU 0x4000521a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OE_SEL1 +CYDEV_PRTDSI_PRT3_OE_SEL1 EQU 0x4000521b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_DBL_SYNC_IN +CYDEV_PRTDSI_PRT3_DBL_SYNC_IN EQU 0x4000521c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SYNC_OUT +CYDEV_PRTDSI_PRT3_SYNC_OUT EQU 0x4000521d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_CAPS_SEL +CYDEV_PRTDSI_PRT3_CAPS_SEL EQU 0x4000521e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_BASE +CYDEV_PRTDSI_PRT4_BASE EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SIZE +CYDEV_PRTDSI_PRT4_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OUT_SEL0 +CYDEV_PRTDSI_PRT4_OUT_SEL0 EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OUT_SEL1 +CYDEV_PRTDSI_PRT4_OUT_SEL1 EQU 0x40005221 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OE_SEL0 +CYDEV_PRTDSI_PRT4_OE_SEL0 EQU 0x40005222 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OE_SEL1 +CYDEV_PRTDSI_PRT4_OE_SEL1 EQU 0x40005223 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_DBL_SYNC_IN +CYDEV_PRTDSI_PRT4_DBL_SYNC_IN EQU 0x40005224 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SYNC_OUT +CYDEV_PRTDSI_PRT4_SYNC_OUT EQU 0x40005225 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_CAPS_SEL +CYDEV_PRTDSI_PRT4_CAPS_SEL EQU 0x40005226 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_BASE +CYDEV_PRTDSI_PRT5_BASE EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SIZE +CYDEV_PRTDSI_PRT5_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OUT_SEL0 +CYDEV_PRTDSI_PRT5_OUT_SEL0 EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OUT_SEL1 +CYDEV_PRTDSI_PRT5_OUT_SEL1 EQU 0x40005229 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OE_SEL0 +CYDEV_PRTDSI_PRT5_OE_SEL0 EQU 0x4000522a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OE_SEL1 +CYDEV_PRTDSI_PRT5_OE_SEL1 EQU 0x4000522b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_DBL_SYNC_IN +CYDEV_PRTDSI_PRT5_DBL_SYNC_IN EQU 0x4000522c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SYNC_OUT +CYDEV_PRTDSI_PRT5_SYNC_OUT EQU 0x4000522d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_CAPS_SEL +CYDEV_PRTDSI_PRT5_CAPS_SEL EQU 0x4000522e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_BASE +CYDEV_PRTDSI_PRT6_BASE EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SIZE +CYDEV_PRTDSI_PRT6_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OUT_SEL0 +CYDEV_PRTDSI_PRT6_OUT_SEL0 EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OUT_SEL1 +CYDEV_PRTDSI_PRT6_OUT_SEL1 EQU 0x40005231 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OE_SEL0 +CYDEV_PRTDSI_PRT6_OE_SEL0 EQU 0x40005232 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OE_SEL1 +CYDEV_PRTDSI_PRT6_OE_SEL1 EQU 0x40005233 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_DBL_SYNC_IN +CYDEV_PRTDSI_PRT6_DBL_SYNC_IN EQU 0x40005234 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SYNC_OUT +CYDEV_PRTDSI_PRT6_SYNC_OUT EQU 0x40005235 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_CAPS_SEL +CYDEV_PRTDSI_PRT6_CAPS_SEL EQU 0x40005236 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_BASE +CYDEV_PRTDSI_PRT12_BASE EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SIZE +CYDEV_PRTDSI_PRT12_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OUT_SEL0 +CYDEV_PRTDSI_PRT12_OUT_SEL0 EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OUT_SEL1 +CYDEV_PRTDSI_PRT12_OUT_SEL1 EQU 0x40005261 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OE_SEL0 +CYDEV_PRTDSI_PRT12_OE_SEL0 EQU 0x40005262 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OE_SEL1 +CYDEV_PRTDSI_PRT12_OE_SEL1 EQU 0x40005263 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_DBL_SYNC_IN +CYDEV_PRTDSI_PRT12_DBL_SYNC_IN EQU 0x40005264 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SYNC_OUT +CYDEV_PRTDSI_PRT12_SYNC_OUT EQU 0x40005265 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_BASE +CYDEV_PRTDSI_PRT15_BASE EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SIZE +CYDEV_PRTDSI_PRT15_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OUT_SEL0 +CYDEV_PRTDSI_PRT15_OUT_SEL0 EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OUT_SEL1 +CYDEV_PRTDSI_PRT15_OUT_SEL1 EQU 0x40005279 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OE_SEL0 +CYDEV_PRTDSI_PRT15_OE_SEL0 EQU 0x4000527a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OE_SEL1 +CYDEV_PRTDSI_PRT15_OE_SEL1 EQU 0x4000527b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_DBL_SYNC_IN +CYDEV_PRTDSI_PRT15_DBL_SYNC_IN EQU 0x4000527c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SYNC_OUT +CYDEV_PRTDSI_PRT15_SYNC_OUT EQU 0x4000527d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_CAPS_SEL +CYDEV_PRTDSI_PRT15_CAPS_SEL EQU 0x4000527e + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_BASE +CYDEV_EMIF_BASE EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_SIZE +CYDEV_EMIF_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_NO_UDB +CYDEV_EMIF_NO_UDB EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_RP_WAIT_STATES +CYDEV_EMIF_RP_WAIT_STATES EQU 0x40005401 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_MEM_DWN +CYDEV_EMIF_MEM_DWN EQU 0x40005402 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_MEMCLK_DIV +CYDEV_EMIF_MEMCLK_DIV EQU 0x40005403 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_CLOCK_EN +CYDEV_EMIF_CLOCK_EN EQU 0x40005404 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_EM_TYPE +CYDEV_EMIF_EM_TYPE EQU 0x40005405 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_WP_WAIT_STATES +CYDEV_EMIF_WP_WAIT_STATES EQU 0x40005406 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_BASE +CYDEV_ANAIF_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_SIZE +CYDEV_ANAIF_SIZE EQU 0x000003a9 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BASE +CYDEV_ANAIF_CFG_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SIZE +CYDEV_ANAIF_CFG_SIZE EQU 0x0000010f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_BASE +CYDEV_ANAIF_CFG_SC0_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_SIZE +CYDEV_ANAIF_CFG_SC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR0 +CYDEV_ANAIF_CFG_SC0_CR0 EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR1 +CYDEV_ANAIF_CFG_SC0_CR1 EQU 0x40005801 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR2 +CYDEV_ANAIF_CFG_SC0_CR2 EQU 0x40005802 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_BASE +CYDEV_ANAIF_CFG_SC1_BASE EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_SIZE +CYDEV_ANAIF_CFG_SC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR0 +CYDEV_ANAIF_CFG_SC1_CR0 EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR1 +CYDEV_ANAIF_CFG_SC1_CR1 EQU 0x40005805 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR2 +CYDEV_ANAIF_CFG_SC1_CR2 EQU 0x40005806 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_BASE +CYDEV_ANAIF_CFG_SC2_BASE EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_SIZE +CYDEV_ANAIF_CFG_SC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR0 +CYDEV_ANAIF_CFG_SC2_CR0 EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR1 +CYDEV_ANAIF_CFG_SC2_CR1 EQU 0x40005809 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR2 +CYDEV_ANAIF_CFG_SC2_CR2 EQU 0x4000580a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_BASE +CYDEV_ANAIF_CFG_SC3_BASE EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_SIZE +CYDEV_ANAIF_CFG_SC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR0 +CYDEV_ANAIF_CFG_SC3_CR0 EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR1 +CYDEV_ANAIF_CFG_SC3_CR1 EQU 0x4000580d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR2 +CYDEV_ANAIF_CFG_SC3_CR2 EQU 0x4000580e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_BASE +CYDEV_ANAIF_CFG_DAC0_BASE EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_SIZE +CYDEV_ANAIF_CFG_DAC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_CR0 +CYDEV_ANAIF_CFG_DAC0_CR0 EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_CR1 +CYDEV_ANAIF_CFG_DAC0_CR1 EQU 0x40005821 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_TST +CYDEV_ANAIF_CFG_DAC0_TST EQU 0x40005822 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_BASE +CYDEV_ANAIF_CFG_DAC1_BASE EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_SIZE +CYDEV_ANAIF_CFG_DAC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_CR0 +CYDEV_ANAIF_CFG_DAC1_CR0 EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_CR1 +CYDEV_ANAIF_CFG_DAC1_CR1 EQU 0x40005825 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_TST +CYDEV_ANAIF_CFG_DAC1_TST EQU 0x40005826 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_BASE +CYDEV_ANAIF_CFG_DAC2_BASE EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_SIZE +CYDEV_ANAIF_CFG_DAC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_CR0 +CYDEV_ANAIF_CFG_DAC2_CR0 EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_CR1 +CYDEV_ANAIF_CFG_DAC2_CR1 EQU 0x40005829 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_TST +CYDEV_ANAIF_CFG_DAC2_TST EQU 0x4000582a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_BASE +CYDEV_ANAIF_CFG_DAC3_BASE EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_SIZE +CYDEV_ANAIF_CFG_DAC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_CR0 +CYDEV_ANAIF_CFG_DAC3_CR0 EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_CR1 +CYDEV_ANAIF_CFG_DAC3_CR1 EQU 0x4000582d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_TST +CYDEV_ANAIF_CFG_DAC3_TST EQU 0x4000582e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_BASE +CYDEV_ANAIF_CFG_CMP0_BASE EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_SIZE +CYDEV_ANAIF_CFG_CMP0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_CR +CYDEV_ANAIF_CFG_CMP0_CR EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_BASE +CYDEV_ANAIF_CFG_CMP1_BASE EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_SIZE +CYDEV_ANAIF_CFG_CMP1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_CR +CYDEV_ANAIF_CFG_CMP1_CR EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_BASE +CYDEV_ANAIF_CFG_CMP2_BASE EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_SIZE +CYDEV_ANAIF_CFG_CMP2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_CR +CYDEV_ANAIF_CFG_CMP2_CR EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_BASE +CYDEV_ANAIF_CFG_CMP3_BASE EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_SIZE +CYDEV_ANAIF_CFG_CMP3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_CR +CYDEV_ANAIF_CFG_CMP3_CR EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_BASE +CYDEV_ANAIF_CFG_LUT0_BASE EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_SIZE +CYDEV_ANAIF_CFG_LUT0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_CR +CYDEV_ANAIF_CFG_LUT0_CR EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_MX +CYDEV_ANAIF_CFG_LUT0_MX EQU 0x40005849 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_BASE +CYDEV_ANAIF_CFG_LUT1_BASE EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_SIZE +CYDEV_ANAIF_CFG_LUT1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_CR +CYDEV_ANAIF_CFG_LUT1_CR EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_MX +CYDEV_ANAIF_CFG_LUT1_MX EQU 0x4000584b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_BASE +CYDEV_ANAIF_CFG_LUT2_BASE EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_SIZE +CYDEV_ANAIF_CFG_LUT2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_CR +CYDEV_ANAIF_CFG_LUT2_CR EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_MX +CYDEV_ANAIF_CFG_LUT2_MX EQU 0x4000584d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_BASE +CYDEV_ANAIF_CFG_LUT3_BASE EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_SIZE +CYDEV_ANAIF_CFG_LUT3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_CR +CYDEV_ANAIF_CFG_LUT3_CR EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_MX +CYDEV_ANAIF_CFG_LUT3_MX EQU 0x4000584f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_BASE +CYDEV_ANAIF_CFG_OPAMP0_BASE EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_SIZE +CYDEV_ANAIF_CFG_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_CR +CYDEV_ANAIF_CFG_OPAMP0_CR EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_RSVD +CYDEV_ANAIF_CFG_OPAMP0_RSVD EQU 0x40005859 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_BASE +CYDEV_ANAIF_CFG_OPAMP1_BASE EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_SIZE +CYDEV_ANAIF_CFG_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_CR +CYDEV_ANAIF_CFG_OPAMP1_CR EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_RSVD +CYDEV_ANAIF_CFG_OPAMP1_RSVD EQU 0x4000585b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_BASE +CYDEV_ANAIF_CFG_OPAMP2_BASE EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_SIZE +CYDEV_ANAIF_CFG_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_CR +CYDEV_ANAIF_CFG_OPAMP2_CR EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_RSVD +CYDEV_ANAIF_CFG_OPAMP2_RSVD EQU 0x4000585d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_BASE +CYDEV_ANAIF_CFG_OPAMP3_BASE EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_SIZE +CYDEV_ANAIF_CFG_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_CR +CYDEV_ANAIF_CFG_OPAMP3_CR EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_RSVD +CYDEV_ANAIF_CFG_OPAMP3_RSVD EQU 0x4000585f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_BASE +CYDEV_ANAIF_CFG_LCDDAC_BASE EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_SIZE +CYDEV_ANAIF_CFG_LCDDAC_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_CR0 +CYDEV_ANAIF_CFG_LCDDAC_CR0 EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_CR1 +CYDEV_ANAIF_CFG_LCDDAC_CR1 EQU 0x40005869 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_BASE +CYDEV_ANAIF_CFG_LCDDRV_BASE EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_SIZE +CYDEV_ANAIF_CFG_LCDDRV_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_CR +CYDEV_ANAIF_CFG_LCDDRV_CR EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_BASE +CYDEV_ANAIF_CFG_LCDTMR_BASE EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_SIZE +CYDEV_ANAIF_CFG_LCDTMR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_CFG +CYDEV_ANAIF_CFG_LCDTMR_CFG EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_BASE +CYDEV_ANAIF_CFG_BG_BASE EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_SIZE +CYDEV_ANAIF_CFG_BG_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_CR0 +CYDEV_ANAIF_CFG_BG_CR0 EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_RSVD +CYDEV_ANAIF_CFG_BG_RSVD EQU 0x4000586d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_DFT0 +CYDEV_ANAIF_CFG_BG_DFT0 EQU 0x4000586e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_DFT1 +CYDEV_ANAIF_CFG_BG_DFT1 EQU 0x4000586f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_BASE +CYDEV_ANAIF_CFG_CAPSL_BASE EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_SIZE +CYDEV_ANAIF_CFG_CAPSL_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_CFG0 +CYDEV_ANAIF_CFG_CAPSL_CFG0 EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_CFG1 +CYDEV_ANAIF_CFG_CAPSL_CFG1 EQU 0x40005871 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_BASE +CYDEV_ANAIF_CFG_CAPSR_BASE EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_SIZE +CYDEV_ANAIF_CFG_CAPSR_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_CFG0 +CYDEV_ANAIF_CFG_CAPSR_CFG0 EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_CFG1 +CYDEV_ANAIF_CFG_CAPSR_CFG1 EQU 0x40005873 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_BASE +CYDEV_ANAIF_CFG_PUMP_BASE EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_SIZE +CYDEV_ANAIF_CFG_PUMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_CR0 +CYDEV_ANAIF_CFG_PUMP_CR0 EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_CR1 +CYDEV_ANAIF_CFG_PUMP_CR1 EQU 0x40005877 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_BASE +CYDEV_ANAIF_CFG_LPF0_BASE EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_SIZE +CYDEV_ANAIF_CFG_LPF0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_CR0 +CYDEV_ANAIF_CFG_LPF0_CR0 EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_RSVD +CYDEV_ANAIF_CFG_LPF0_RSVD EQU 0x40005879 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_BASE +CYDEV_ANAIF_CFG_LPF1_BASE EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_SIZE +CYDEV_ANAIF_CFG_LPF1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_CR0 +CYDEV_ANAIF_CFG_LPF1_CR0 EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_RSVD +CYDEV_ANAIF_CFG_LPF1_RSVD EQU 0x4000587b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_BASE +CYDEV_ANAIF_CFG_MISC_BASE EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_SIZE +CYDEV_ANAIF_CFG_MISC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_CR0 +CYDEV_ANAIF_CFG_MISC_CR0 EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BASE +CYDEV_ANAIF_CFG_DSM0_BASE EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_SIZE +CYDEV_ANAIF_CFG_DSM0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR0 +CYDEV_ANAIF_CFG_DSM0_CR0 EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR1 +CYDEV_ANAIF_CFG_DSM0_CR1 EQU 0x40005881 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR2 +CYDEV_ANAIF_CFG_DSM0_CR2 EQU 0x40005882 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR3 +CYDEV_ANAIF_CFG_DSM0_CR3 EQU 0x40005883 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR4 +CYDEV_ANAIF_CFG_DSM0_CR4 EQU 0x40005884 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR5 +CYDEV_ANAIF_CFG_DSM0_CR5 EQU 0x40005885 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR6 +CYDEV_ANAIF_CFG_DSM0_CR6 EQU 0x40005886 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR7 +CYDEV_ANAIF_CFG_DSM0_CR7 EQU 0x40005887 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR8 +CYDEV_ANAIF_CFG_DSM0_CR8 EQU 0x40005888 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR9 +CYDEV_ANAIF_CFG_DSM0_CR9 EQU 0x40005889 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR10 +CYDEV_ANAIF_CFG_DSM0_CR10 EQU 0x4000588a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR11 +CYDEV_ANAIF_CFG_DSM0_CR11 EQU 0x4000588b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR12 +CYDEV_ANAIF_CFG_DSM0_CR12 EQU 0x4000588c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR13 +CYDEV_ANAIF_CFG_DSM0_CR13 EQU 0x4000588d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR14 +CYDEV_ANAIF_CFG_DSM0_CR14 EQU 0x4000588e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR15 +CYDEV_ANAIF_CFG_DSM0_CR15 EQU 0x4000588f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR16 +CYDEV_ANAIF_CFG_DSM0_CR16 EQU 0x40005890 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR17 +CYDEV_ANAIF_CFG_DSM0_CR17 EQU 0x40005891 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF0 +CYDEV_ANAIF_CFG_DSM0_REF0 EQU 0x40005892 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF1 +CYDEV_ANAIF_CFG_DSM0_REF1 EQU 0x40005893 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF2 +CYDEV_ANAIF_CFG_DSM0_REF2 EQU 0x40005894 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF3 +CYDEV_ANAIF_CFG_DSM0_REF3 EQU 0x40005895 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_DEM0 +CYDEV_ANAIF_CFG_DSM0_DEM0 EQU 0x40005896 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_DEM1 +CYDEV_ANAIF_CFG_DSM0_DEM1 EQU 0x40005897 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_TST0 +CYDEV_ANAIF_CFG_DSM0_TST0 EQU 0x40005898 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_TST1 +CYDEV_ANAIF_CFG_DSM0_TST1 EQU 0x40005899 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF0 +CYDEV_ANAIF_CFG_DSM0_BUF0 EQU 0x4000589a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF1 +CYDEV_ANAIF_CFG_DSM0_BUF1 EQU 0x4000589b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF2 +CYDEV_ANAIF_CFG_DSM0_BUF2 EQU 0x4000589c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF3 +CYDEV_ANAIF_CFG_DSM0_BUF3 EQU 0x4000589d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_MISC +CYDEV_ANAIF_CFG_DSM0_MISC EQU 0x4000589e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_RSVD1 +CYDEV_ANAIF_CFG_DSM0_RSVD1 EQU 0x4000589f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_BASE +CYDEV_ANAIF_CFG_SAR0_BASE EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_SIZE +CYDEV_ANAIF_CFG_SAR0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR0 +CYDEV_ANAIF_CFG_SAR0_CSR0 EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR1 +CYDEV_ANAIF_CFG_SAR0_CSR1 EQU 0x40005901 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR2 +CYDEV_ANAIF_CFG_SAR0_CSR2 EQU 0x40005902 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR3 +CYDEV_ANAIF_CFG_SAR0_CSR3 EQU 0x40005903 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR4 +CYDEV_ANAIF_CFG_SAR0_CSR4 EQU 0x40005904 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR5 +CYDEV_ANAIF_CFG_SAR0_CSR5 EQU 0x40005905 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR6 +CYDEV_ANAIF_CFG_SAR0_CSR6 EQU 0x40005906 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_BASE +CYDEV_ANAIF_CFG_SAR1_BASE EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_SIZE +CYDEV_ANAIF_CFG_SAR1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR0 +CYDEV_ANAIF_CFG_SAR1_CSR0 EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR1 +CYDEV_ANAIF_CFG_SAR1_CSR1 EQU 0x40005909 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR2 +CYDEV_ANAIF_CFG_SAR1_CSR2 EQU 0x4000590a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR3 +CYDEV_ANAIF_CFG_SAR1_CSR3 EQU 0x4000590b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR4 +CYDEV_ANAIF_CFG_SAR1_CSR4 EQU 0x4000590c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR5 +CYDEV_ANAIF_CFG_SAR1_CSR5 EQU 0x4000590d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR6 +CYDEV_ANAIF_CFG_SAR1_CSR6 EQU 0x4000590e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BASE +CYDEV_ANAIF_RT_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SIZE +CYDEV_ANAIF_RT_SIZE EQU 0x00000162 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BASE +CYDEV_ANAIF_RT_SC0_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SIZE +CYDEV_ANAIF_RT_SC0_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW0 +CYDEV_ANAIF_RT_SC0_SW0 EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW2 +CYDEV_ANAIF_RT_SC0_SW2 EQU 0x40005a02 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW3 +CYDEV_ANAIF_RT_SC0_SW3 EQU 0x40005a03 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW4 +CYDEV_ANAIF_RT_SC0_SW4 EQU 0x40005a04 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW6 +CYDEV_ANAIF_RT_SC0_SW6 EQU 0x40005a06 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW7 +CYDEV_ANAIF_RT_SC0_SW7 EQU 0x40005a07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW8 +CYDEV_ANAIF_RT_SC0_SW8 EQU 0x40005a08 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW10 +CYDEV_ANAIF_RT_SC0_SW10 EQU 0x40005a0a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_CLK +CYDEV_ANAIF_RT_SC0_CLK EQU 0x40005a0b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BST +CYDEV_ANAIF_RT_SC0_BST EQU 0x40005a0c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BASE +CYDEV_ANAIF_RT_SC1_BASE EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SIZE +CYDEV_ANAIF_RT_SC1_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW0 +CYDEV_ANAIF_RT_SC1_SW0 EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW2 +CYDEV_ANAIF_RT_SC1_SW2 EQU 0x40005a12 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW3 +CYDEV_ANAIF_RT_SC1_SW3 EQU 0x40005a13 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW4 +CYDEV_ANAIF_RT_SC1_SW4 EQU 0x40005a14 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW6 +CYDEV_ANAIF_RT_SC1_SW6 EQU 0x40005a16 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW7 +CYDEV_ANAIF_RT_SC1_SW7 EQU 0x40005a17 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW8 +CYDEV_ANAIF_RT_SC1_SW8 EQU 0x40005a18 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW10 +CYDEV_ANAIF_RT_SC1_SW10 EQU 0x40005a1a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_CLK +CYDEV_ANAIF_RT_SC1_CLK EQU 0x40005a1b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BST +CYDEV_ANAIF_RT_SC1_BST EQU 0x40005a1c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BASE +CYDEV_ANAIF_RT_SC2_BASE EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SIZE +CYDEV_ANAIF_RT_SC2_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW0 +CYDEV_ANAIF_RT_SC2_SW0 EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW2 +CYDEV_ANAIF_RT_SC2_SW2 EQU 0x40005a22 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW3 +CYDEV_ANAIF_RT_SC2_SW3 EQU 0x40005a23 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW4 +CYDEV_ANAIF_RT_SC2_SW4 EQU 0x40005a24 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW6 +CYDEV_ANAIF_RT_SC2_SW6 EQU 0x40005a26 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW7 +CYDEV_ANAIF_RT_SC2_SW7 EQU 0x40005a27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW8 +CYDEV_ANAIF_RT_SC2_SW8 EQU 0x40005a28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW10 +CYDEV_ANAIF_RT_SC2_SW10 EQU 0x40005a2a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_CLK +CYDEV_ANAIF_RT_SC2_CLK EQU 0x40005a2b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BST +CYDEV_ANAIF_RT_SC2_BST EQU 0x40005a2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BASE +CYDEV_ANAIF_RT_SC3_BASE EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SIZE +CYDEV_ANAIF_RT_SC3_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW0 +CYDEV_ANAIF_RT_SC3_SW0 EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW2 +CYDEV_ANAIF_RT_SC3_SW2 EQU 0x40005a32 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW3 +CYDEV_ANAIF_RT_SC3_SW3 EQU 0x40005a33 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW4 +CYDEV_ANAIF_RT_SC3_SW4 EQU 0x40005a34 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW6 +CYDEV_ANAIF_RT_SC3_SW6 EQU 0x40005a36 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW7 +CYDEV_ANAIF_RT_SC3_SW7 EQU 0x40005a37 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW8 +CYDEV_ANAIF_RT_SC3_SW8 EQU 0x40005a38 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW10 +CYDEV_ANAIF_RT_SC3_SW10 EQU 0x40005a3a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_CLK +CYDEV_ANAIF_RT_SC3_CLK EQU 0x40005a3b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BST +CYDEV_ANAIF_RT_SC3_BST EQU 0x40005a3c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_BASE +CYDEV_ANAIF_RT_DAC0_BASE EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SIZE +CYDEV_ANAIF_RT_DAC0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW0 +CYDEV_ANAIF_RT_DAC0_SW0 EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW2 +CYDEV_ANAIF_RT_DAC0_SW2 EQU 0x40005a82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW3 +CYDEV_ANAIF_RT_DAC0_SW3 EQU 0x40005a83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW4 +CYDEV_ANAIF_RT_DAC0_SW4 EQU 0x40005a84 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_STROBE +CYDEV_ANAIF_RT_DAC0_STROBE EQU 0x40005a87 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_BASE +CYDEV_ANAIF_RT_DAC1_BASE EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SIZE +CYDEV_ANAIF_RT_DAC1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW0 +CYDEV_ANAIF_RT_DAC1_SW0 EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW2 +CYDEV_ANAIF_RT_DAC1_SW2 EQU 0x40005a8a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW3 +CYDEV_ANAIF_RT_DAC1_SW3 EQU 0x40005a8b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW4 +CYDEV_ANAIF_RT_DAC1_SW4 EQU 0x40005a8c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_STROBE +CYDEV_ANAIF_RT_DAC1_STROBE EQU 0x40005a8f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_BASE +CYDEV_ANAIF_RT_DAC2_BASE EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SIZE +CYDEV_ANAIF_RT_DAC2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW0 +CYDEV_ANAIF_RT_DAC2_SW0 EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW2 +CYDEV_ANAIF_RT_DAC2_SW2 EQU 0x40005a92 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW3 +CYDEV_ANAIF_RT_DAC2_SW3 EQU 0x40005a93 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW4 +CYDEV_ANAIF_RT_DAC2_SW4 EQU 0x40005a94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_STROBE +CYDEV_ANAIF_RT_DAC2_STROBE EQU 0x40005a97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_BASE +CYDEV_ANAIF_RT_DAC3_BASE EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SIZE +CYDEV_ANAIF_RT_DAC3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW0 +CYDEV_ANAIF_RT_DAC3_SW0 EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW2 +CYDEV_ANAIF_RT_DAC3_SW2 EQU 0x40005a9a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW3 +CYDEV_ANAIF_RT_DAC3_SW3 EQU 0x40005a9b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW4 +CYDEV_ANAIF_RT_DAC3_SW4 EQU 0x40005a9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_STROBE +CYDEV_ANAIF_RT_DAC3_STROBE EQU 0x40005a9f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_BASE +CYDEV_ANAIF_RT_CMP0_BASE EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SIZE +CYDEV_ANAIF_RT_CMP0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW0 +CYDEV_ANAIF_RT_CMP0_SW0 EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW2 +CYDEV_ANAIF_RT_CMP0_SW2 EQU 0x40005ac2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW3 +CYDEV_ANAIF_RT_CMP0_SW3 EQU 0x40005ac3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW4 +CYDEV_ANAIF_RT_CMP0_SW4 EQU 0x40005ac4 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW6 +CYDEV_ANAIF_RT_CMP0_SW6 EQU 0x40005ac6 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_CLK +CYDEV_ANAIF_RT_CMP0_CLK EQU 0x40005ac7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_BASE +CYDEV_ANAIF_RT_CMP1_BASE EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SIZE +CYDEV_ANAIF_RT_CMP1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW0 +CYDEV_ANAIF_RT_CMP1_SW0 EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW2 +CYDEV_ANAIF_RT_CMP1_SW2 EQU 0x40005aca + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW3 +CYDEV_ANAIF_RT_CMP1_SW3 EQU 0x40005acb + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW4 +CYDEV_ANAIF_RT_CMP1_SW4 EQU 0x40005acc + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW6 +CYDEV_ANAIF_RT_CMP1_SW6 EQU 0x40005ace + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_CLK +CYDEV_ANAIF_RT_CMP1_CLK EQU 0x40005acf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_BASE +CYDEV_ANAIF_RT_CMP2_BASE EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SIZE +CYDEV_ANAIF_RT_CMP2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW0 +CYDEV_ANAIF_RT_CMP2_SW0 EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW2 +CYDEV_ANAIF_RT_CMP2_SW2 EQU 0x40005ad2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW3 +CYDEV_ANAIF_RT_CMP2_SW3 EQU 0x40005ad3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW4 +CYDEV_ANAIF_RT_CMP2_SW4 EQU 0x40005ad4 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW6 +CYDEV_ANAIF_RT_CMP2_SW6 EQU 0x40005ad6 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_CLK +CYDEV_ANAIF_RT_CMP2_CLK EQU 0x40005ad7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_BASE +CYDEV_ANAIF_RT_CMP3_BASE EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SIZE +CYDEV_ANAIF_RT_CMP3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW0 +CYDEV_ANAIF_RT_CMP3_SW0 EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW2 +CYDEV_ANAIF_RT_CMP3_SW2 EQU 0x40005ada + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW3 +CYDEV_ANAIF_RT_CMP3_SW3 EQU 0x40005adb + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW4 +CYDEV_ANAIF_RT_CMP3_SW4 EQU 0x40005adc + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW6 +CYDEV_ANAIF_RT_CMP3_SW6 EQU 0x40005ade + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_CLK +CYDEV_ANAIF_RT_CMP3_CLK EQU 0x40005adf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_BASE +CYDEV_ANAIF_RT_DSM0_BASE EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SIZE +CYDEV_ANAIF_RT_DSM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW0 +CYDEV_ANAIF_RT_DSM0_SW0 EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW2 +CYDEV_ANAIF_RT_DSM0_SW2 EQU 0x40005b02 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW3 +CYDEV_ANAIF_RT_DSM0_SW3 EQU 0x40005b03 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW4 +CYDEV_ANAIF_RT_DSM0_SW4 EQU 0x40005b04 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW6 +CYDEV_ANAIF_RT_DSM0_SW6 EQU 0x40005b06 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_CLK +CYDEV_ANAIF_RT_DSM0_CLK EQU 0x40005b07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_BASE +CYDEV_ANAIF_RT_SAR0_BASE EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SIZE +CYDEV_ANAIF_RT_SAR0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW0 +CYDEV_ANAIF_RT_SAR0_SW0 EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW2 +CYDEV_ANAIF_RT_SAR0_SW2 EQU 0x40005b22 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW3 +CYDEV_ANAIF_RT_SAR0_SW3 EQU 0x40005b23 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW4 +CYDEV_ANAIF_RT_SAR0_SW4 EQU 0x40005b24 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW6 +CYDEV_ANAIF_RT_SAR0_SW6 EQU 0x40005b26 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_CLK +CYDEV_ANAIF_RT_SAR0_CLK EQU 0x40005b27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_BASE +CYDEV_ANAIF_RT_SAR1_BASE EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SIZE +CYDEV_ANAIF_RT_SAR1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW0 +CYDEV_ANAIF_RT_SAR1_SW0 EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW2 +CYDEV_ANAIF_RT_SAR1_SW2 EQU 0x40005b2a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW3 +CYDEV_ANAIF_RT_SAR1_SW3 EQU 0x40005b2b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW4 +CYDEV_ANAIF_RT_SAR1_SW4 EQU 0x40005b2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW6 +CYDEV_ANAIF_RT_SAR1_SW6 EQU 0x40005b2e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_CLK +CYDEV_ANAIF_RT_SAR1_CLK EQU 0x40005b2f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_BASE +CYDEV_ANAIF_RT_OPAMP0_BASE EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SIZE +CYDEV_ANAIF_RT_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_MX +CYDEV_ANAIF_RT_OPAMP0_MX EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SW +CYDEV_ANAIF_RT_OPAMP0_SW EQU 0x40005b41 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_BASE +CYDEV_ANAIF_RT_OPAMP1_BASE EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SIZE +CYDEV_ANAIF_RT_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_MX +CYDEV_ANAIF_RT_OPAMP1_MX EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SW +CYDEV_ANAIF_RT_OPAMP1_SW EQU 0x40005b43 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_BASE +CYDEV_ANAIF_RT_OPAMP2_BASE EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SIZE +CYDEV_ANAIF_RT_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_MX +CYDEV_ANAIF_RT_OPAMP2_MX EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SW +CYDEV_ANAIF_RT_OPAMP2_SW EQU 0x40005b45 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_BASE +CYDEV_ANAIF_RT_OPAMP3_BASE EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SIZE +CYDEV_ANAIF_RT_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_MX +CYDEV_ANAIF_RT_OPAMP3_MX EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SW +CYDEV_ANAIF_RT_OPAMP3_SW EQU 0x40005b47 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_BASE +CYDEV_ANAIF_RT_LCDDAC_BASE EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SIZE +CYDEV_ANAIF_RT_LCDDAC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW0 +CYDEV_ANAIF_RT_LCDDAC_SW0 EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW1 +CYDEV_ANAIF_RT_LCDDAC_SW1 EQU 0x40005b51 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW2 +CYDEV_ANAIF_RT_LCDDAC_SW2 EQU 0x40005b52 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW3 +CYDEV_ANAIF_RT_LCDDAC_SW3 EQU 0x40005b53 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW4 +CYDEV_ANAIF_RT_LCDDAC_SW4 EQU 0x40005b54 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_BASE +CYDEV_ANAIF_RT_SC_BASE EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_SIZE +CYDEV_ANAIF_RT_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_MISC +CYDEV_ANAIF_RT_SC_MISC EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_BASE +CYDEV_ANAIF_RT_BUS_BASE EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SIZE +CYDEV_ANAIF_RT_BUS_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW0 +CYDEV_ANAIF_RT_BUS_SW0 EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW2 +CYDEV_ANAIF_RT_BUS_SW2 EQU 0x40005b5a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW3 +CYDEV_ANAIF_RT_BUS_SW3 EQU 0x40005b5b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_BASE +CYDEV_ANAIF_RT_DFT_BASE EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_SIZE +CYDEV_ANAIF_RT_DFT_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR0 +CYDEV_ANAIF_RT_DFT_CR0 EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR1 +CYDEV_ANAIF_RT_DFT_CR1 EQU 0x40005b5d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR2 +CYDEV_ANAIF_RT_DFT_CR2 EQU 0x40005b5e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR3 +CYDEV_ANAIF_RT_DFT_CR3 EQU 0x40005b5f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR4 +CYDEV_ANAIF_RT_DFT_CR4 EQU 0x40005b60 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR5 +CYDEV_ANAIF_RT_DFT_CR5 EQU 0x40005b61 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_BASE +CYDEV_ANAIF_WRK_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SIZE +CYDEV_ANAIF_WRK_SIZE EQU 0x00000029 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_BASE +CYDEV_ANAIF_WRK_DAC0_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_SIZE +CYDEV_ANAIF_WRK_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_D +CYDEV_ANAIF_WRK_DAC0_D EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_BASE +CYDEV_ANAIF_WRK_DAC1_BASE EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_SIZE +CYDEV_ANAIF_WRK_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_D +CYDEV_ANAIF_WRK_DAC1_D EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_BASE +CYDEV_ANAIF_WRK_DAC2_BASE EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_SIZE +CYDEV_ANAIF_WRK_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_D +CYDEV_ANAIF_WRK_DAC2_D EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_BASE +CYDEV_ANAIF_WRK_DAC3_BASE EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_SIZE +CYDEV_ANAIF_WRK_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_D +CYDEV_ANAIF_WRK_DAC3_D EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_BASE +CYDEV_ANAIF_WRK_DSM0_BASE EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_SIZE +CYDEV_ANAIF_WRK_DSM0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_OUT0 +CYDEV_ANAIF_WRK_DSM0_OUT0 EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_OUT1 +CYDEV_ANAIF_WRK_DSM0_OUT1 EQU 0x40005b89 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_BASE +CYDEV_ANAIF_WRK_LUT_BASE EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SIZE +CYDEV_ANAIF_WRK_LUT_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SR +CYDEV_ANAIF_WRK_LUT_SR EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_WRK1 +CYDEV_ANAIF_WRK_LUT_WRK1 EQU 0x40005b91 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_MSK +CYDEV_ANAIF_WRK_LUT_MSK EQU 0x40005b92 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_CLK +CYDEV_ANAIF_WRK_LUT_CLK EQU 0x40005b93 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_CPTR +CYDEV_ANAIF_WRK_LUT_CPTR EQU 0x40005b94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_BASE +CYDEV_ANAIF_WRK_CMP_BASE EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_SIZE +CYDEV_ANAIF_WRK_CMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_WRK +CYDEV_ANAIF_WRK_CMP_WRK EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_TST +CYDEV_ANAIF_WRK_CMP_TST EQU 0x40005b97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_BASE +CYDEV_ANAIF_WRK_SC_BASE EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SIZE +CYDEV_ANAIF_WRK_SC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SR +CYDEV_ANAIF_WRK_SC_SR EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_WRK1 +CYDEV_ANAIF_WRK_SC_WRK1 EQU 0x40005b99 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_MSK +CYDEV_ANAIF_WRK_SC_MSK EQU 0x40005b9a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_CMPINV +CYDEV_ANAIF_WRK_SC_CMPINV EQU 0x40005b9b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_CPTR +CYDEV_ANAIF_WRK_SC_CPTR EQU 0x40005b9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_BASE +CYDEV_ANAIF_WRK_SAR0_BASE EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_SIZE +CYDEV_ANAIF_WRK_SAR0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_WRK0 +CYDEV_ANAIF_WRK_SAR0_WRK0 EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_WRK1 +CYDEV_ANAIF_WRK_SAR0_WRK1 EQU 0x40005ba1 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_BASE +CYDEV_ANAIF_WRK_SAR1_BASE EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_SIZE +CYDEV_ANAIF_WRK_SAR1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_WRK0 +CYDEV_ANAIF_WRK_SAR1_WRK0 EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_WRK1 +CYDEV_ANAIF_WRK_SAR1_WRK1 EQU 0x40005ba3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_BASE +CYDEV_ANAIF_WRK_SARS_BASE EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SIZE +CYDEV_ANAIF_WRK_SARS_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SOF +CYDEV_ANAIF_WRK_SARS_SOF EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BASE +CYDEV_USB_BASE EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIZE +CYDEV_USB_SIZE EQU 0x00000300 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR0 +CYDEV_USB_EP0_DR0 EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR1 +CYDEV_USB_EP0_DR1 EQU 0x40006001 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR2 +CYDEV_USB_EP0_DR2 EQU 0x40006002 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR3 +CYDEV_USB_EP0_DR3 EQU 0x40006003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR4 +CYDEV_USB_EP0_DR4 EQU 0x40006004 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR5 +CYDEV_USB_EP0_DR5 EQU 0x40006005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR6 +CYDEV_USB_EP0_DR6 EQU 0x40006006 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR7 +CYDEV_USB_EP0_DR7 EQU 0x40006007 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CR0 +CYDEV_USB_CR0 EQU 0x40006008 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CR1 +CYDEV_USB_CR1 EQU 0x40006009 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP_INT_EN +CYDEV_USB_SIE_EP_INT_EN EQU 0x4000600a + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP_INT_SR +CYDEV_USB_SIE_EP_INT_SR EQU 0x4000600b + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_BASE +CYDEV_USB_SIE_EP1_BASE EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_SIZE +CYDEV_USB_SIE_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CNT0 +CYDEV_USB_SIE_EP1_CNT0 EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CNT1 +CYDEV_USB_SIE_EP1_CNT1 EQU 0x4000600d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CR0 +CYDEV_USB_SIE_EP1_CR0 EQU 0x4000600e + ENDIF + IF :LNOT::DEF:CYDEV_USB_USBIO_CR0 +CYDEV_USB_USBIO_CR0 EQU 0x40006010 + ENDIF + IF :LNOT::DEF:CYDEV_USB_USBIO_CR1 +CYDEV_USB_USBIO_CR1 EQU 0x40006012 + ENDIF + IF :LNOT::DEF:CYDEV_USB_DYN_RECONFIG +CYDEV_USB_DYN_RECONFIG EQU 0x40006014 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SOF0 +CYDEV_USB_SOF0 EQU 0x40006018 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SOF1 +CYDEV_USB_SOF1 EQU 0x40006019 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_BASE +CYDEV_USB_SIE_EP2_BASE EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_SIZE +CYDEV_USB_SIE_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CNT0 +CYDEV_USB_SIE_EP2_CNT0 EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CNT1 +CYDEV_USB_SIE_EP2_CNT1 EQU 0x4000601d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CR0 +CYDEV_USB_SIE_EP2_CR0 EQU 0x4000601e + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_CR +CYDEV_USB_EP0_CR EQU 0x40006028 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_CNT +CYDEV_USB_EP0_CNT EQU 0x40006029 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_BASE +CYDEV_USB_SIE_EP3_BASE EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_SIZE +CYDEV_USB_SIE_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CNT0 +CYDEV_USB_SIE_EP3_CNT0 EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CNT1 +CYDEV_USB_SIE_EP3_CNT1 EQU 0x4000602d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CR0 +CYDEV_USB_SIE_EP3_CR0 EQU 0x4000602e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_BASE +CYDEV_USB_SIE_EP4_BASE EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_SIZE +CYDEV_USB_SIE_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CNT0 +CYDEV_USB_SIE_EP4_CNT0 EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CNT1 +CYDEV_USB_SIE_EP4_CNT1 EQU 0x4000603d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CR0 +CYDEV_USB_SIE_EP4_CR0 EQU 0x4000603e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_BASE +CYDEV_USB_SIE_EP5_BASE EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_SIZE +CYDEV_USB_SIE_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CNT0 +CYDEV_USB_SIE_EP5_CNT0 EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CNT1 +CYDEV_USB_SIE_EP5_CNT1 EQU 0x4000604d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CR0 +CYDEV_USB_SIE_EP5_CR0 EQU 0x4000604e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_BASE +CYDEV_USB_SIE_EP6_BASE EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_SIZE +CYDEV_USB_SIE_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CNT0 +CYDEV_USB_SIE_EP6_CNT0 EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CNT1 +CYDEV_USB_SIE_EP6_CNT1 EQU 0x4000605d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CR0 +CYDEV_USB_SIE_EP6_CR0 EQU 0x4000605e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_BASE +CYDEV_USB_SIE_EP7_BASE EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_SIZE +CYDEV_USB_SIE_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CNT0 +CYDEV_USB_SIE_EP7_CNT0 EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CNT1 +CYDEV_USB_SIE_EP7_CNT1 EQU 0x4000606d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CR0 +CYDEV_USB_SIE_EP7_CR0 EQU 0x4000606e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_BASE +CYDEV_USB_SIE_EP8_BASE EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_SIZE +CYDEV_USB_SIE_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CNT0 +CYDEV_USB_SIE_EP8_CNT0 EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CNT1 +CYDEV_USB_SIE_EP8_CNT1 EQU 0x4000607d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CR0 +CYDEV_USB_SIE_EP8_CR0 EQU 0x4000607e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_BASE +CYDEV_USB_ARB_EP1_BASE EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SIZE +CYDEV_USB_ARB_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_CFG +CYDEV_USB_ARB_EP1_CFG EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_INT_EN +CYDEV_USB_ARB_EP1_INT_EN EQU 0x40006081 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SR +CYDEV_USB_ARB_EP1_SR EQU 0x40006082 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_BASE +CYDEV_USB_ARB_RW1_BASE EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_SIZE +CYDEV_USB_ARB_RW1_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_WA +CYDEV_USB_ARB_RW1_WA EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_WA_MSB +CYDEV_USB_ARB_RW1_WA_MSB EQU 0x40006085 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_RA +CYDEV_USB_ARB_RW1_RA EQU 0x40006086 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_RA_MSB +CYDEV_USB_ARB_RW1_RA_MSB EQU 0x40006087 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_DR +CYDEV_USB_ARB_RW1_DR EQU 0x40006088 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BUF_SIZE +CYDEV_USB_BUF_SIZE EQU 0x4000608c + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP_ACTIVE +CYDEV_USB_EP_ACTIVE EQU 0x4000608e + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP_TYPE +CYDEV_USB_EP_TYPE EQU 0x4000608f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_BASE +CYDEV_USB_ARB_EP2_BASE EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SIZE +CYDEV_USB_ARB_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_CFG +CYDEV_USB_ARB_EP2_CFG EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_INT_EN +CYDEV_USB_ARB_EP2_INT_EN EQU 0x40006091 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SR +CYDEV_USB_ARB_EP2_SR EQU 0x40006092 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_BASE +CYDEV_USB_ARB_RW2_BASE EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_SIZE +CYDEV_USB_ARB_RW2_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_WA +CYDEV_USB_ARB_RW2_WA EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_WA_MSB +CYDEV_USB_ARB_RW2_WA_MSB EQU 0x40006095 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_RA +CYDEV_USB_ARB_RW2_RA EQU 0x40006096 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_RA_MSB +CYDEV_USB_ARB_RW2_RA_MSB EQU 0x40006097 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_DR +CYDEV_USB_ARB_RW2_DR EQU 0x40006098 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_CFG +CYDEV_USB_ARB_CFG EQU 0x4000609c + ENDIF + IF :LNOT::DEF:CYDEV_USB_USB_CLK_EN +CYDEV_USB_USB_CLK_EN EQU 0x4000609d + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_INT_EN +CYDEV_USB_ARB_INT_EN EQU 0x4000609e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_INT_SR +CYDEV_USB_ARB_INT_SR EQU 0x4000609f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_BASE +CYDEV_USB_ARB_EP3_BASE EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SIZE +CYDEV_USB_ARB_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_CFG +CYDEV_USB_ARB_EP3_CFG EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_INT_EN +CYDEV_USB_ARB_EP3_INT_EN EQU 0x400060a1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SR +CYDEV_USB_ARB_EP3_SR EQU 0x400060a2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_BASE +CYDEV_USB_ARB_RW3_BASE EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_SIZE +CYDEV_USB_ARB_RW3_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_WA +CYDEV_USB_ARB_RW3_WA EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_WA_MSB +CYDEV_USB_ARB_RW3_WA_MSB EQU 0x400060a5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_RA +CYDEV_USB_ARB_RW3_RA EQU 0x400060a6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_RA_MSB +CYDEV_USB_ARB_RW3_RA_MSB EQU 0x400060a7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_DR +CYDEV_USB_ARB_RW3_DR EQU 0x400060a8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CWA +CYDEV_USB_CWA EQU 0x400060ac + ENDIF + IF :LNOT::DEF:CYDEV_USB_CWA_MSB +CYDEV_USB_CWA_MSB EQU 0x400060ad + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_BASE +CYDEV_USB_ARB_EP4_BASE EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SIZE +CYDEV_USB_ARB_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_CFG +CYDEV_USB_ARB_EP4_CFG EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_INT_EN +CYDEV_USB_ARB_EP4_INT_EN EQU 0x400060b1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SR +CYDEV_USB_ARB_EP4_SR EQU 0x400060b2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_BASE +CYDEV_USB_ARB_RW4_BASE EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_SIZE +CYDEV_USB_ARB_RW4_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_WA +CYDEV_USB_ARB_RW4_WA EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_WA_MSB +CYDEV_USB_ARB_RW4_WA_MSB EQU 0x400060b5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_RA +CYDEV_USB_ARB_RW4_RA EQU 0x400060b6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_RA_MSB +CYDEV_USB_ARB_RW4_RA_MSB EQU 0x400060b7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_DR +CYDEV_USB_ARB_RW4_DR EQU 0x400060b8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_DMA_THRES +CYDEV_USB_DMA_THRES EQU 0x400060bc + ENDIF + IF :LNOT::DEF:CYDEV_USB_DMA_THRES_MSB +CYDEV_USB_DMA_THRES_MSB EQU 0x400060bd + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_BASE +CYDEV_USB_ARB_EP5_BASE EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SIZE +CYDEV_USB_ARB_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_CFG +CYDEV_USB_ARB_EP5_CFG EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_INT_EN +CYDEV_USB_ARB_EP5_INT_EN EQU 0x400060c1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SR +CYDEV_USB_ARB_EP5_SR EQU 0x400060c2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_BASE +CYDEV_USB_ARB_RW5_BASE EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_SIZE +CYDEV_USB_ARB_RW5_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_WA +CYDEV_USB_ARB_RW5_WA EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_WA_MSB +CYDEV_USB_ARB_RW5_WA_MSB EQU 0x400060c5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_RA +CYDEV_USB_ARB_RW5_RA EQU 0x400060c6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_RA_MSB +CYDEV_USB_ARB_RW5_RA_MSB EQU 0x400060c7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_DR +CYDEV_USB_ARB_RW5_DR EQU 0x400060c8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BUS_RST_CNT +CYDEV_USB_BUS_RST_CNT EQU 0x400060cc + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_BASE +CYDEV_USB_ARB_EP6_BASE EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SIZE +CYDEV_USB_ARB_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_CFG +CYDEV_USB_ARB_EP6_CFG EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_INT_EN +CYDEV_USB_ARB_EP6_INT_EN EQU 0x400060d1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SR +CYDEV_USB_ARB_EP6_SR EQU 0x400060d2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_BASE +CYDEV_USB_ARB_RW6_BASE EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_SIZE +CYDEV_USB_ARB_RW6_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_WA +CYDEV_USB_ARB_RW6_WA EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_WA_MSB +CYDEV_USB_ARB_RW6_WA_MSB EQU 0x400060d5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_RA +CYDEV_USB_ARB_RW6_RA EQU 0x400060d6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_RA_MSB +CYDEV_USB_ARB_RW6_RA_MSB EQU 0x400060d7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_DR +CYDEV_USB_ARB_RW6_DR EQU 0x400060d8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_BASE +CYDEV_USB_ARB_EP7_BASE EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SIZE +CYDEV_USB_ARB_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_CFG +CYDEV_USB_ARB_EP7_CFG EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_INT_EN +CYDEV_USB_ARB_EP7_INT_EN EQU 0x400060e1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SR +CYDEV_USB_ARB_EP7_SR EQU 0x400060e2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_BASE +CYDEV_USB_ARB_RW7_BASE EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_SIZE +CYDEV_USB_ARB_RW7_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_WA +CYDEV_USB_ARB_RW7_WA EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_WA_MSB +CYDEV_USB_ARB_RW7_WA_MSB EQU 0x400060e5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_RA +CYDEV_USB_ARB_RW7_RA EQU 0x400060e6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_RA_MSB +CYDEV_USB_ARB_RW7_RA_MSB EQU 0x400060e7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_DR +CYDEV_USB_ARB_RW7_DR EQU 0x400060e8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_BASE +CYDEV_USB_ARB_EP8_BASE EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SIZE +CYDEV_USB_ARB_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_CFG +CYDEV_USB_ARB_EP8_CFG EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_INT_EN +CYDEV_USB_ARB_EP8_INT_EN EQU 0x400060f1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SR +CYDEV_USB_ARB_EP8_SR EQU 0x400060f2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_BASE +CYDEV_USB_ARB_RW8_BASE EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_SIZE +CYDEV_USB_ARB_RW8_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_WA +CYDEV_USB_ARB_RW8_WA EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_WA_MSB +CYDEV_USB_ARB_RW8_WA_MSB EQU 0x400060f5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_RA +CYDEV_USB_ARB_RW8_RA EQU 0x400060f6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_RA_MSB +CYDEV_USB_ARB_RW8_RA_MSB EQU 0x400060f7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_DR +CYDEV_USB_ARB_RW8_DR EQU 0x400060f8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_BASE +CYDEV_USB_MEM_BASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_SIZE +CYDEV_USB_MEM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_DATA_MBASE +CYDEV_USB_MEM_DATA_MBASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_DATA_MSIZE +CYDEV_USB_MEM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_BASE +CYDEV_UWRK_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_SIZE +CYDEV_UWRK_SIZE EQU 0x00000b60 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_BASE +CYDEV_UWRK_UWRK8_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_SIZE +CYDEV_UWRK_UWRK8_SIZE EQU 0x000003b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_BASE +CYDEV_UWRK_UWRK8_B0_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_SIZE +CYDEV_UWRK_UWRK8_B0_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_A0 +CYDEV_UWRK_UWRK8_B0_UDB00_A0 EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_A0 +CYDEV_UWRK_UWRK8_B0_UDB01_A0 EQU 0x40006401 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_A0 +CYDEV_UWRK_UWRK8_B0_UDB02_A0 EQU 0x40006402 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_A0 +CYDEV_UWRK_UWRK8_B0_UDB03_A0 EQU 0x40006403 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_A0 +CYDEV_UWRK_UWRK8_B0_UDB04_A0 EQU 0x40006404 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_A0 +CYDEV_UWRK_UWRK8_B0_UDB05_A0 EQU 0x40006405 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_A0 +CYDEV_UWRK_UWRK8_B0_UDB06_A0 EQU 0x40006406 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_A0 +CYDEV_UWRK_UWRK8_B0_UDB07_A0 EQU 0x40006407 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_A0 +CYDEV_UWRK_UWRK8_B0_UDB08_A0 EQU 0x40006408 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_A0 +CYDEV_UWRK_UWRK8_B0_UDB09_A0 EQU 0x40006409 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_A0 +CYDEV_UWRK_UWRK8_B0_UDB10_A0 EQU 0x4000640a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_A0 +CYDEV_UWRK_UWRK8_B0_UDB11_A0 EQU 0x4000640b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_A0 +CYDEV_UWRK_UWRK8_B0_UDB12_A0 EQU 0x4000640c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_A0 +CYDEV_UWRK_UWRK8_B0_UDB13_A0 EQU 0x4000640d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_A0 +CYDEV_UWRK_UWRK8_B0_UDB14_A0 EQU 0x4000640e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_A0 +CYDEV_UWRK_UWRK8_B0_UDB15_A0 EQU 0x4000640f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_A1 +CYDEV_UWRK_UWRK8_B0_UDB00_A1 EQU 0x40006410 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_A1 +CYDEV_UWRK_UWRK8_B0_UDB01_A1 EQU 0x40006411 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_A1 +CYDEV_UWRK_UWRK8_B0_UDB02_A1 EQU 0x40006412 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_A1 +CYDEV_UWRK_UWRK8_B0_UDB03_A1 EQU 0x40006413 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_A1 +CYDEV_UWRK_UWRK8_B0_UDB04_A1 EQU 0x40006414 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_A1 +CYDEV_UWRK_UWRK8_B0_UDB05_A1 EQU 0x40006415 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_A1 +CYDEV_UWRK_UWRK8_B0_UDB06_A1 EQU 0x40006416 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_A1 +CYDEV_UWRK_UWRK8_B0_UDB07_A1 EQU 0x40006417 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_A1 +CYDEV_UWRK_UWRK8_B0_UDB08_A1 EQU 0x40006418 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_A1 +CYDEV_UWRK_UWRK8_B0_UDB09_A1 EQU 0x40006419 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_A1 +CYDEV_UWRK_UWRK8_B0_UDB10_A1 EQU 0x4000641a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_A1 +CYDEV_UWRK_UWRK8_B0_UDB11_A1 EQU 0x4000641b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_A1 +CYDEV_UWRK_UWRK8_B0_UDB12_A1 EQU 0x4000641c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_A1 +CYDEV_UWRK_UWRK8_B0_UDB13_A1 EQU 0x4000641d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_A1 +CYDEV_UWRK_UWRK8_B0_UDB14_A1 EQU 0x4000641e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_A1 +CYDEV_UWRK_UWRK8_B0_UDB15_A1 EQU 0x4000641f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_D0 +CYDEV_UWRK_UWRK8_B0_UDB00_D0 EQU 0x40006420 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_D0 +CYDEV_UWRK_UWRK8_B0_UDB01_D0 EQU 0x40006421 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_D0 +CYDEV_UWRK_UWRK8_B0_UDB02_D0 EQU 0x40006422 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_D0 +CYDEV_UWRK_UWRK8_B0_UDB03_D0 EQU 0x40006423 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_D0 +CYDEV_UWRK_UWRK8_B0_UDB04_D0 EQU 0x40006424 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_D0 +CYDEV_UWRK_UWRK8_B0_UDB05_D0 EQU 0x40006425 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_D0 +CYDEV_UWRK_UWRK8_B0_UDB06_D0 EQU 0x40006426 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_D0 +CYDEV_UWRK_UWRK8_B0_UDB07_D0 EQU 0x40006427 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_D0 +CYDEV_UWRK_UWRK8_B0_UDB08_D0 EQU 0x40006428 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_D0 +CYDEV_UWRK_UWRK8_B0_UDB09_D0 EQU 0x40006429 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_D0 +CYDEV_UWRK_UWRK8_B0_UDB10_D0 EQU 0x4000642a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_D0 +CYDEV_UWRK_UWRK8_B0_UDB11_D0 EQU 0x4000642b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_D0 +CYDEV_UWRK_UWRK8_B0_UDB12_D0 EQU 0x4000642c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_D0 +CYDEV_UWRK_UWRK8_B0_UDB13_D0 EQU 0x4000642d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_D0 +CYDEV_UWRK_UWRK8_B0_UDB14_D0 EQU 0x4000642e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_D0 +CYDEV_UWRK_UWRK8_B0_UDB15_D0 EQU 0x4000642f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_D1 +CYDEV_UWRK_UWRK8_B0_UDB00_D1 EQU 0x40006430 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_D1 +CYDEV_UWRK_UWRK8_B0_UDB01_D1 EQU 0x40006431 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_D1 +CYDEV_UWRK_UWRK8_B0_UDB02_D1 EQU 0x40006432 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_D1 +CYDEV_UWRK_UWRK8_B0_UDB03_D1 EQU 0x40006433 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_D1 +CYDEV_UWRK_UWRK8_B0_UDB04_D1 EQU 0x40006434 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_D1 +CYDEV_UWRK_UWRK8_B0_UDB05_D1 EQU 0x40006435 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_D1 +CYDEV_UWRK_UWRK8_B0_UDB06_D1 EQU 0x40006436 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_D1 +CYDEV_UWRK_UWRK8_B0_UDB07_D1 EQU 0x40006437 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_D1 +CYDEV_UWRK_UWRK8_B0_UDB08_D1 EQU 0x40006438 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_D1 +CYDEV_UWRK_UWRK8_B0_UDB09_D1 EQU 0x40006439 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_D1 +CYDEV_UWRK_UWRK8_B0_UDB10_D1 EQU 0x4000643a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_D1 +CYDEV_UWRK_UWRK8_B0_UDB11_D1 EQU 0x4000643b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_D1 +CYDEV_UWRK_UWRK8_B0_UDB12_D1 EQU 0x4000643c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_D1 +CYDEV_UWRK_UWRK8_B0_UDB13_D1 EQU 0x4000643d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_D1 +CYDEV_UWRK_UWRK8_B0_UDB14_D1 EQU 0x4000643e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_D1 +CYDEV_UWRK_UWRK8_B0_UDB15_D1 EQU 0x4000643f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_F0 +CYDEV_UWRK_UWRK8_B0_UDB00_F0 EQU 0x40006440 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_F0 +CYDEV_UWRK_UWRK8_B0_UDB01_F0 EQU 0x40006441 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_F0 +CYDEV_UWRK_UWRK8_B0_UDB02_F0 EQU 0x40006442 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_F0 +CYDEV_UWRK_UWRK8_B0_UDB03_F0 EQU 0x40006443 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_F0 +CYDEV_UWRK_UWRK8_B0_UDB04_F0 EQU 0x40006444 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_F0 +CYDEV_UWRK_UWRK8_B0_UDB05_F0 EQU 0x40006445 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_F0 +CYDEV_UWRK_UWRK8_B0_UDB06_F0 EQU 0x40006446 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_F0 +CYDEV_UWRK_UWRK8_B0_UDB07_F0 EQU 0x40006447 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_F0 +CYDEV_UWRK_UWRK8_B0_UDB08_F0 EQU 0x40006448 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_F0 +CYDEV_UWRK_UWRK8_B0_UDB09_F0 EQU 0x40006449 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_F0 +CYDEV_UWRK_UWRK8_B0_UDB10_F0 EQU 0x4000644a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_F0 +CYDEV_UWRK_UWRK8_B0_UDB11_F0 EQU 0x4000644b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_F0 +CYDEV_UWRK_UWRK8_B0_UDB12_F0 EQU 0x4000644c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_F0 +CYDEV_UWRK_UWRK8_B0_UDB13_F0 EQU 0x4000644d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_F0 +CYDEV_UWRK_UWRK8_B0_UDB14_F0 EQU 0x4000644e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_F0 +CYDEV_UWRK_UWRK8_B0_UDB15_F0 EQU 0x4000644f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_F1 +CYDEV_UWRK_UWRK8_B0_UDB00_F1 EQU 0x40006450 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_F1 +CYDEV_UWRK_UWRK8_B0_UDB01_F1 EQU 0x40006451 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_F1 +CYDEV_UWRK_UWRK8_B0_UDB02_F1 EQU 0x40006452 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_F1 +CYDEV_UWRK_UWRK8_B0_UDB03_F1 EQU 0x40006453 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_F1 +CYDEV_UWRK_UWRK8_B0_UDB04_F1 EQU 0x40006454 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_F1 +CYDEV_UWRK_UWRK8_B0_UDB05_F1 EQU 0x40006455 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_F1 +CYDEV_UWRK_UWRK8_B0_UDB06_F1 EQU 0x40006456 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_F1 +CYDEV_UWRK_UWRK8_B0_UDB07_F1 EQU 0x40006457 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_F1 +CYDEV_UWRK_UWRK8_B0_UDB08_F1 EQU 0x40006458 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_F1 +CYDEV_UWRK_UWRK8_B0_UDB09_F1 EQU 0x40006459 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_F1 +CYDEV_UWRK_UWRK8_B0_UDB10_F1 EQU 0x4000645a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_F1 +CYDEV_UWRK_UWRK8_B0_UDB11_F1 EQU 0x4000645b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_F1 +CYDEV_UWRK_UWRK8_B0_UDB12_F1 EQU 0x4000645c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_F1 +CYDEV_UWRK_UWRK8_B0_UDB13_F1 EQU 0x4000645d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_F1 +CYDEV_UWRK_UWRK8_B0_UDB14_F1 EQU 0x4000645e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_F1 +CYDEV_UWRK_UWRK8_B0_UDB15_F1 EQU 0x4000645f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_ST +CYDEV_UWRK_UWRK8_B0_UDB00_ST EQU 0x40006460 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_ST +CYDEV_UWRK_UWRK8_B0_UDB01_ST EQU 0x40006461 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_ST +CYDEV_UWRK_UWRK8_B0_UDB02_ST EQU 0x40006462 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_ST +CYDEV_UWRK_UWRK8_B0_UDB03_ST EQU 0x40006463 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_ST +CYDEV_UWRK_UWRK8_B0_UDB04_ST EQU 0x40006464 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_ST +CYDEV_UWRK_UWRK8_B0_UDB05_ST EQU 0x40006465 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_ST +CYDEV_UWRK_UWRK8_B0_UDB06_ST EQU 0x40006466 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_ST +CYDEV_UWRK_UWRK8_B0_UDB07_ST EQU 0x40006467 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_ST +CYDEV_UWRK_UWRK8_B0_UDB08_ST EQU 0x40006468 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_ST +CYDEV_UWRK_UWRK8_B0_UDB09_ST EQU 0x40006469 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_ST +CYDEV_UWRK_UWRK8_B0_UDB10_ST EQU 0x4000646a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_ST +CYDEV_UWRK_UWRK8_B0_UDB11_ST EQU 0x4000646b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_ST +CYDEV_UWRK_UWRK8_B0_UDB12_ST EQU 0x4000646c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_ST +CYDEV_UWRK_UWRK8_B0_UDB13_ST EQU 0x4000646d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_ST +CYDEV_UWRK_UWRK8_B0_UDB14_ST EQU 0x4000646e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_ST +CYDEV_UWRK_UWRK8_B0_UDB15_ST EQU 0x4000646f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_CTL +CYDEV_UWRK_UWRK8_B0_UDB00_CTL EQU 0x40006470 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_CTL +CYDEV_UWRK_UWRK8_B0_UDB01_CTL EQU 0x40006471 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_CTL +CYDEV_UWRK_UWRK8_B0_UDB02_CTL EQU 0x40006472 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_CTL +CYDEV_UWRK_UWRK8_B0_UDB03_CTL EQU 0x40006473 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_CTL +CYDEV_UWRK_UWRK8_B0_UDB04_CTL EQU 0x40006474 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_CTL +CYDEV_UWRK_UWRK8_B0_UDB05_CTL EQU 0x40006475 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_CTL +CYDEV_UWRK_UWRK8_B0_UDB06_CTL EQU 0x40006476 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_CTL +CYDEV_UWRK_UWRK8_B0_UDB07_CTL EQU 0x40006477 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_CTL +CYDEV_UWRK_UWRK8_B0_UDB08_CTL EQU 0x40006478 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_CTL +CYDEV_UWRK_UWRK8_B0_UDB09_CTL EQU 0x40006479 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_CTL +CYDEV_UWRK_UWRK8_B0_UDB10_CTL EQU 0x4000647a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_CTL +CYDEV_UWRK_UWRK8_B0_UDB11_CTL EQU 0x4000647b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_CTL +CYDEV_UWRK_UWRK8_B0_UDB12_CTL EQU 0x4000647c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_CTL +CYDEV_UWRK_UWRK8_B0_UDB13_CTL EQU 0x4000647d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_CTL +CYDEV_UWRK_UWRK8_B0_UDB14_CTL EQU 0x4000647e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_CTL +CYDEV_UWRK_UWRK8_B0_UDB15_CTL EQU 0x4000647f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_MSK +CYDEV_UWRK_UWRK8_B0_UDB00_MSK EQU 0x40006480 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_MSK +CYDEV_UWRK_UWRK8_B0_UDB01_MSK EQU 0x40006481 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_MSK +CYDEV_UWRK_UWRK8_B0_UDB02_MSK EQU 0x40006482 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_MSK +CYDEV_UWRK_UWRK8_B0_UDB03_MSK EQU 0x40006483 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_MSK +CYDEV_UWRK_UWRK8_B0_UDB04_MSK EQU 0x40006484 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_MSK +CYDEV_UWRK_UWRK8_B0_UDB05_MSK EQU 0x40006485 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_MSK +CYDEV_UWRK_UWRK8_B0_UDB06_MSK EQU 0x40006486 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_MSK +CYDEV_UWRK_UWRK8_B0_UDB07_MSK EQU 0x40006487 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_MSK +CYDEV_UWRK_UWRK8_B0_UDB08_MSK EQU 0x40006488 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_MSK +CYDEV_UWRK_UWRK8_B0_UDB09_MSK EQU 0x40006489 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_MSK +CYDEV_UWRK_UWRK8_B0_UDB10_MSK EQU 0x4000648a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_MSK +CYDEV_UWRK_UWRK8_B0_UDB11_MSK EQU 0x4000648b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_MSK +CYDEV_UWRK_UWRK8_B0_UDB12_MSK EQU 0x4000648c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_MSK +CYDEV_UWRK_UWRK8_B0_UDB13_MSK EQU 0x4000648d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_MSK +CYDEV_UWRK_UWRK8_B0_UDB14_MSK EQU 0x4000648e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_MSK +CYDEV_UWRK_UWRK8_B0_UDB15_MSK EQU 0x4000648f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_ACTL +CYDEV_UWRK_UWRK8_B0_UDB00_ACTL EQU 0x40006490 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_ACTL +CYDEV_UWRK_UWRK8_B0_UDB01_ACTL EQU 0x40006491 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_ACTL +CYDEV_UWRK_UWRK8_B0_UDB02_ACTL EQU 0x40006492 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_ACTL +CYDEV_UWRK_UWRK8_B0_UDB03_ACTL EQU 0x40006493 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_ACTL +CYDEV_UWRK_UWRK8_B0_UDB04_ACTL EQU 0x40006494 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_ACTL +CYDEV_UWRK_UWRK8_B0_UDB05_ACTL EQU 0x40006495 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_ACTL +CYDEV_UWRK_UWRK8_B0_UDB06_ACTL EQU 0x40006496 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_ACTL +CYDEV_UWRK_UWRK8_B0_UDB07_ACTL EQU 0x40006497 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_ACTL +CYDEV_UWRK_UWRK8_B0_UDB08_ACTL EQU 0x40006498 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_ACTL +CYDEV_UWRK_UWRK8_B0_UDB09_ACTL EQU 0x40006499 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_ACTL +CYDEV_UWRK_UWRK8_B0_UDB10_ACTL EQU 0x4000649a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_ACTL +CYDEV_UWRK_UWRK8_B0_UDB11_ACTL EQU 0x4000649b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_ACTL +CYDEV_UWRK_UWRK8_B0_UDB12_ACTL EQU 0x4000649c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_ACTL +CYDEV_UWRK_UWRK8_B0_UDB13_ACTL EQU 0x4000649d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_ACTL +CYDEV_UWRK_UWRK8_B0_UDB14_ACTL EQU 0x4000649e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_ACTL +CYDEV_UWRK_UWRK8_B0_UDB15_ACTL EQU 0x4000649f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_MC +CYDEV_UWRK_UWRK8_B0_UDB00_MC EQU 0x400064a0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_MC +CYDEV_UWRK_UWRK8_B0_UDB01_MC EQU 0x400064a1 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_MC +CYDEV_UWRK_UWRK8_B0_UDB02_MC EQU 0x400064a2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_MC +CYDEV_UWRK_UWRK8_B0_UDB03_MC EQU 0x400064a3 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_MC +CYDEV_UWRK_UWRK8_B0_UDB04_MC EQU 0x400064a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_MC +CYDEV_UWRK_UWRK8_B0_UDB05_MC EQU 0x400064a5 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_MC +CYDEV_UWRK_UWRK8_B0_UDB06_MC EQU 0x400064a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_MC +CYDEV_UWRK_UWRK8_B0_UDB07_MC EQU 0x400064a7 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_MC +CYDEV_UWRK_UWRK8_B0_UDB08_MC EQU 0x400064a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_MC +CYDEV_UWRK_UWRK8_B0_UDB09_MC EQU 0x400064a9 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_MC +CYDEV_UWRK_UWRK8_B0_UDB10_MC EQU 0x400064aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_MC +CYDEV_UWRK_UWRK8_B0_UDB11_MC EQU 0x400064ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_MC +CYDEV_UWRK_UWRK8_B0_UDB12_MC EQU 0x400064ac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_MC +CYDEV_UWRK_UWRK8_B0_UDB13_MC EQU 0x400064ad + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_MC +CYDEV_UWRK_UWRK8_B0_UDB14_MC EQU 0x400064ae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_MC +CYDEV_UWRK_UWRK8_B0_UDB15_MC EQU 0x400064af + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_BASE +CYDEV_UWRK_UWRK8_B1_BASE EQU 0x40006500 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_SIZE +CYDEV_UWRK_UWRK8_B1_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_A0 +CYDEV_UWRK_UWRK8_B1_UDB04_A0 EQU 0x40006504 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_A0 +CYDEV_UWRK_UWRK8_B1_UDB05_A0 EQU 0x40006505 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_A0 +CYDEV_UWRK_UWRK8_B1_UDB06_A0 EQU 0x40006506 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_A0 +CYDEV_UWRK_UWRK8_B1_UDB07_A0 EQU 0x40006507 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_A0 +CYDEV_UWRK_UWRK8_B1_UDB08_A0 EQU 0x40006508 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_A0 +CYDEV_UWRK_UWRK8_B1_UDB09_A0 EQU 0x40006509 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_A0 +CYDEV_UWRK_UWRK8_B1_UDB10_A0 EQU 0x4000650a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_A0 +CYDEV_UWRK_UWRK8_B1_UDB11_A0 EQU 0x4000650b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_A1 +CYDEV_UWRK_UWRK8_B1_UDB04_A1 EQU 0x40006514 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_A1 +CYDEV_UWRK_UWRK8_B1_UDB05_A1 EQU 0x40006515 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_A1 +CYDEV_UWRK_UWRK8_B1_UDB06_A1 EQU 0x40006516 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_A1 +CYDEV_UWRK_UWRK8_B1_UDB07_A1 EQU 0x40006517 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_A1 +CYDEV_UWRK_UWRK8_B1_UDB08_A1 EQU 0x40006518 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_A1 +CYDEV_UWRK_UWRK8_B1_UDB09_A1 EQU 0x40006519 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_A1 +CYDEV_UWRK_UWRK8_B1_UDB10_A1 EQU 0x4000651a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_A1 +CYDEV_UWRK_UWRK8_B1_UDB11_A1 EQU 0x4000651b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_D0 +CYDEV_UWRK_UWRK8_B1_UDB04_D0 EQU 0x40006524 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_D0 +CYDEV_UWRK_UWRK8_B1_UDB05_D0 EQU 0x40006525 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_D0 +CYDEV_UWRK_UWRK8_B1_UDB06_D0 EQU 0x40006526 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_D0 +CYDEV_UWRK_UWRK8_B1_UDB07_D0 EQU 0x40006527 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_D0 +CYDEV_UWRK_UWRK8_B1_UDB08_D0 EQU 0x40006528 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_D0 +CYDEV_UWRK_UWRK8_B1_UDB09_D0 EQU 0x40006529 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_D0 +CYDEV_UWRK_UWRK8_B1_UDB10_D0 EQU 0x4000652a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_D0 +CYDEV_UWRK_UWRK8_B1_UDB11_D0 EQU 0x4000652b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_D1 +CYDEV_UWRK_UWRK8_B1_UDB04_D1 EQU 0x40006534 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_D1 +CYDEV_UWRK_UWRK8_B1_UDB05_D1 EQU 0x40006535 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_D1 +CYDEV_UWRK_UWRK8_B1_UDB06_D1 EQU 0x40006536 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_D1 +CYDEV_UWRK_UWRK8_B1_UDB07_D1 EQU 0x40006537 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_D1 +CYDEV_UWRK_UWRK8_B1_UDB08_D1 EQU 0x40006538 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_D1 +CYDEV_UWRK_UWRK8_B1_UDB09_D1 EQU 0x40006539 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_D1 +CYDEV_UWRK_UWRK8_B1_UDB10_D1 EQU 0x4000653a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_D1 +CYDEV_UWRK_UWRK8_B1_UDB11_D1 EQU 0x4000653b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_F0 +CYDEV_UWRK_UWRK8_B1_UDB04_F0 EQU 0x40006544 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_F0 +CYDEV_UWRK_UWRK8_B1_UDB05_F0 EQU 0x40006545 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_F0 +CYDEV_UWRK_UWRK8_B1_UDB06_F0 EQU 0x40006546 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_F0 +CYDEV_UWRK_UWRK8_B1_UDB07_F0 EQU 0x40006547 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_F0 +CYDEV_UWRK_UWRK8_B1_UDB08_F0 EQU 0x40006548 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_F0 +CYDEV_UWRK_UWRK8_B1_UDB09_F0 EQU 0x40006549 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_F0 +CYDEV_UWRK_UWRK8_B1_UDB10_F0 EQU 0x4000654a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_F0 +CYDEV_UWRK_UWRK8_B1_UDB11_F0 EQU 0x4000654b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_F1 +CYDEV_UWRK_UWRK8_B1_UDB04_F1 EQU 0x40006554 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_F1 +CYDEV_UWRK_UWRK8_B1_UDB05_F1 EQU 0x40006555 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_F1 +CYDEV_UWRK_UWRK8_B1_UDB06_F1 EQU 0x40006556 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_F1 +CYDEV_UWRK_UWRK8_B1_UDB07_F1 EQU 0x40006557 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_F1 +CYDEV_UWRK_UWRK8_B1_UDB08_F1 EQU 0x40006558 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_F1 +CYDEV_UWRK_UWRK8_B1_UDB09_F1 EQU 0x40006559 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_F1 +CYDEV_UWRK_UWRK8_B1_UDB10_F1 EQU 0x4000655a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_F1 +CYDEV_UWRK_UWRK8_B1_UDB11_F1 EQU 0x4000655b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_ST +CYDEV_UWRK_UWRK8_B1_UDB04_ST EQU 0x40006564 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_ST +CYDEV_UWRK_UWRK8_B1_UDB05_ST EQU 0x40006565 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_ST +CYDEV_UWRK_UWRK8_B1_UDB06_ST EQU 0x40006566 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_ST +CYDEV_UWRK_UWRK8_B1_UDB07_ST EQU 0x40006567 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_ST +CYDEV_UWRK_UWRK8_B1_UDB08_ST EQU 0x40006568 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_ST +CYDEV_UWRK_UWRK8_B1_UDB09_ST EQU 0x40006569 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_ST +CYDEV_UWRK_UWRK8_B1_UDB10_ST EQU 0x4000656a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_ST +CYDEV_UWRK_UWRK8_B1_UDB11_ST EQU 0x4000656b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_CTL +CYDEV_UWRK_UWRK8_B1_UDB04_CTL EQU 0x40006574 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_CTL +CYDEV_UWRK_UWRK8_B1_UDB05_CTL EQU 0x40006575 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_CTL +CYDEV_UWRK_UWRK8_B1_UDB06_CTL EQU 0x40006576 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_CTL +CYDEV_UWRK_UWRK8_B1_UDB07_CTL EQU 0x40006577 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_CTL +CYDEV_UWRK_UWRK8_B1_UDB08_CTL EQU 0x40006578 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_CTL +CYDEV_UWRK_UWRK8_B1_UDB09_CTL EQU 0x40006579 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_CTL +CYDEV_UWRK_UWRK8_B1_UDB10_CTL EQU 0x4000657a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_CTL +CYDEV_UWRK_UWRK8_B1_UDB11_CTL EQU 0x4000657b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_MSK +CYDEV_UWRK_UWRK8_B1_UDB04_MSK EQU 0x40006584 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_MSK +CYDEV_UWRK_UWRK8_B1_UDB05_MSK EQU 0x40006585 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_MSK +CYDEV_UWRK_UWRK8_B1_UDB06_MSK EQU 0x40006586 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_MSK +CYDEV_UWRK_UWRK8_B1_UDB07_MSK EQU 0x40006587 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_MSK +CYDEV_UWRK_UWRK8_B1_UDB08_MSK EQU 0x40006588 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_MSK +CYDEV_UWRK_UWRK8_B1_UDB09_MSK EQU 0x40006589 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_MSK +CYDEV_UWRK_UWRK8_B1_UDB10_MSK EQU 0x4000658a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_MSK +CYDEV_UWRK_UWRK8_B1_UDB11_MSK EQU 0x4000658b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_ACTL +CYDEV_UWRK_UWRK8_B1_UDB04_ACTL EQU 0x40006594 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_ACTL +CYDEV_UWRK_UWRK8_B1_UDB05_ACTL EQU 0x40006595 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_ACTL +CYDEV_UWRK_UWRK8_B1_UDB06_ACTL EQU 0x40006596 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_ACTL +CYDEV_UWRK_UWRK8_B1_UDB07_ACTL EQU 0x40006597 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_ACTL +CYDEV_UWRK_UWRK8_B1_UDB08_ACTL EQU 0x40006598 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_ACTL +CYDEV_UWRK_UWRK8_B1_UDB09_ACTL EQU 0x40006599 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_ACTL +CYDEV_UWRK_UWRK8_B1_UDB10_ACTL EQU 0x4000659a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_ACTL +CYDEV_UWRK_UWRK8_B1_UDB11_ACTL EQU 0x4000659b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_MC +CYDEV_UWRK_UWRK8_B1_UDB04_MC EQU 0x400065a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_MC +CYDEV_UWRK_UWRK8_B1_UDB05_MC EQU 0x400065a5 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_MC +CYDEV_UWRK_UWRK8_B1_UDB06_MC EQU 0x400065a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_MC +CYDEV_UWRK_UWRK8_B1_UDB07_MC EQU 0x400065a7 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_MC +CYDEV_UWRK_UWRK8_B1_UDB08_MC EQU 0x400065a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_MC +CYDEV_UWRK_UWRK8_B1_UDB09_MC EQU 0x400065a9 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_MC +CYDEV_UWRK_UWRK8_B1_UDB10_MC EQU 0x400065aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_MC +CYDEV_UWRK_UWRK8_B1_UDB11_MC EQU 0x400065ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_BASE +CYDEV_UWRK_UWRK16_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_SIZE +CYDEV_UWRK_UWRK16_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_BASE +CYDEV_UWRK_UWRK16_CAT_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_SIZE +CYDEV_UWRK_UWRK16_CAT_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_BASE +CYDEV_UWRK_UWRK16_CAT_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_SIZE +CYDEV_UWRK_UWRK16_CAT_B0_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 EQU 0x4000681e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 EQU 0x4000685e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 EQU 0x4000689e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL EQU 0x400068de + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL EQU 0x4000691e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 EQU 0x4000695e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_BASE +CYDEV_UWRK_UWRK16_CAT_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_SIZE +CYDEV_UWRK_UWRK16_CAT_B1_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_BASE +CYDEV_UWRK_UWRK16_DEF_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_SIZE +CYDEV_UWRK_UWRK16_DEF_SIZE EQU 0x0000075e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_BASE +CYDEV_UWRK_UWRK16_DEF_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_SIZE +CYDEV_UWRK_UWRK16_DEF_B0_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 EQU 0x40006820 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 EQU 0x40006822 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 EQU 0x40006824 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 EQU 0x40006826 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 EQU 0x40006828 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 EQU 0x4000682a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 EQU 0x4000682c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 EQU 0x4000682e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 EQU 0x40006830 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 EQU 0x40006832 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 EQU 0x40006834 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 EQU 0x40006836 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 EQU 0x40006838 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 EQU 0x4000683a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 EQU 0x4000683c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 EQU 0x40006860 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 EQU 0x40006862 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 EQU 0x40006864 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 EQU 0x40006866 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 EQU 0x40006868 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 EQU 0x4000686a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 EQU 0x4000686c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 EQU 0x4000686e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 EQU 0x40006870 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 EQU 0x40006872 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 EQU 0x40006874 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 EQU 0x40006876 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 EQU 0x40006878 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 EQU 0x4000687a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 EQU 0x4000687c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 EQU 0x400068a0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 EQU 0x400068a2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 EQU 0x400068a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 EQU 0x400068a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 EQU 0x400068a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 EQU 0x400068aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 EQU 0x400068ac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 EQU 0x400068ae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 EQU 0x400068b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 EQU 0x400068b2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 EQU 0x400068b4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 EQU 0x400068b6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 EQU 0x400068b8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 EQU 0x400068ba + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 EQU 0x400068bc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL EQU 0x400068e0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL EQU 0x400068e2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL EQU 0x400068e4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL EQU 0x400068e6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL EQU 0x400068e8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL EQU 0x400068ea + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL EQU 0x400068ec + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL EQU 0x400068ee + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL EQU 0x400068f0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL EQU 0x400068f2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL EQU 0x400068f4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL EQU 0x400068f6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL EQU 0x400068f8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL EQU 0x400068fa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL EQU 0x400068fc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL EQU 0x40006920 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL EQU 0x40006922 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL EQU 0x40006924 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL EQU 0x40006926 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL EQU 0x40006928 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL EQU 0x4000692a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL EQU 0x4000692c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL EQU 0x4000692e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL EQU 0x40006930 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL EQU 0x40006932 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL EQU 0x40006934 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL EQU 0x40006936 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL EQU 0x40006938 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL EQU 0x4000693a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL EQU 0x4000693c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_BASE +CYDEV_UWRK_UWRK16_DEF_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_SIZE +CYDEV_UWRK_UWRK16_DEF_B1_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 EQU 0x40006a28 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 EQU 0x40006a2a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 EQU 0x40006a2c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 EQU 0x40006a2e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 EQU 0x40006a30 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 EQU 0x40006a32 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 EQU 0x40006a34 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 EQU 0x40006a36 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 EQU 0x40006a68 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 EQU 0x40006a6a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 EQU 0x40006a6c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 EQU 0x40006a6e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 EQU 0x40006a70 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 EQU 0x40006a72 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 EQU 0x40006a74 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 EQU 0x40006a76 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 EQU 0x40006aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 EQU 0x40006aaa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 EQU 0x40006aac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 EQU 0x40006aae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 EQU 0x40006ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 EQU 0x40006ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 EQU 0x40006ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 EQU 0x40006ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL EQU 0x40006ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL EQU 0x40006aea + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL EQU 0x40006aec + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL EQU 0x40006aee + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL EQU 0x40006af0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL EQU 0x40006af2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL EQU 0x40006af4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL EQU 0x40006af6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL EQU 0x40006b28 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL EQU 0x40006b2a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL EQU 0x40006b2c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL EQU 0x40006b2e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL EQU 0x40006b30 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL EQU 0x40006b32 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL EQU 0x40006b34 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL EQU 0x40006b36 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_BASE +CYDEV_PHUB_BASE EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_SIZE +CYDEV_PHUB_SIZE EQU 0x00000c00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFG +CYDEV_PHUB_CFG EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_ERR +CYDEV_PHUB_ERR EQU 0x40007004 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_ERR_ADR +CYDEV_PHUB_ERR_ADR EQU 0x40007008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASE +CYDEV_PHUB_CH0_BASE EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_SIZE +CYDEV_PHUB_CH0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASIC_CFG +CYDEV_PHUB_CH0_BASIC_CFG EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_ACTION +CYDEV_PHUB_CH0_ACTION EQU 0x40007014 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASIC_STATUS +CYDEV_PHUB_CH0_BASIC_STATUS EQU 0x40007018 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASE +CYDEV_PHUB_CH1_BASE EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_SIZE +CYDEV_PHUB_CH1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASIC_CFG +CYDEV_PHUB_CH1_BASIC_CFG EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_ACTION +CYDEV_PHUB_CH1_ACTION EQU 0x40007024 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASIC_STATUS +CYDEV_PHUB_CH1_BASIC_STATUS EQU 0x40007028 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASE +CYDEV_PHUB_CH2_BASE EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_SIZE +CYDEV_PHUB_CH2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASIC_CFG +CYDEV_PHUB_CH2_BASIC_CFG EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_ACTION +CYDEV_PHUB_CH2_ACTION EQU 0x40007034 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASIC_STATUS +CYDEV_PHUB_CH2_BASIC_STATUS EQU 0x40007038 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASE +CYDEV_PHUB_CH3_BASE EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_SIZE +CYDEV_PHUB_CH3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASIC_CFG +CYDEV_PHUB_CH3_BASIC_CFG EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_ACTION +CYDEV_PHUB_CH3_ACTION EQU 0x40007044 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASIC_STATUS +CYDEV_PHUB_CH3_BASIC_STATUS EQU 0x40007048 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASE +CYDEV_PHUB_CH4_BASE EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_SIZE +CYDEV_PHUB_CH4_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASIC_CFG +CYDEV_PHUB_CH4_BASIC_CFG EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_ACTION +CYDEV_PHUB_CH4_ACTION EQU 0x40007054 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASIC_STATUS +CYDEV_PHUB_CH4_BASIC_STATUS EQU 0x40007058 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASE +CYDEV_PHUB_CH5_BASE EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_SIZE +CYDEV_PHUB_CH5_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASIC_CFG +CYDEV_PHUB_CH5_BASIC_CFG EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_ACTION +CYDEV_PHUB_CH5_ACTION EQU 0x40007064 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASIC_STATUS +CYDEV_PHUB_CH5_BASIC_STATUS EQU 0x40007068 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASE +CYDEV_PHUB_CH6_BASE EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_SIZE +CYDEV_PHUB_CH6_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASIC_CFG +CYDEV_PHUB_CH6_BASIC_CFG EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_ACTION +CYDEV_PHUB_CH6_ACTION EQU 0x40007074 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASIC_STATUS +CYDEV_PHUB_CH6_BASIC_STATUS EQU 0x40007078 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASE +CYDEV_PHUB_CH7_BASE EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_SIZE +CYDEV_PHUB_CH7_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASIC_CFG +CYDEV_PHUB_CH7_BASIC_CFG EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_ACTION +CYDEV_PHUB_CH7_ACTION EQU 0x40007084 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASIC_STATUS +CYDEV_PHUB_CH7_BASIC_STATUS EQU 0x40007088 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASE +CYDEV_PHUB_CH8_BASE EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_SIZE +CYDEV_PHUB_CH8_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASIC_CFG +CYDEV_PHUB_CH8_BASIC_CFG EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_ACTION +CYDEV_PHUB_CH8_ACTION EQU 0x40007094 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASIC_STATUS +CYDEV_PHUB_CH8_BASIC_STATUS EQU 0x40007098 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASE +CYDEV_PHUB_CH9_BASE EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_SIZE +CYDEV_PHUB_CH9_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASIC_CFG +CYDEV_PHUB_CH9_BASIC_CFG EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_ACTION +CYDEV_PHUB_CH9_ACTION EQU 0x400070a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASIC_STATUS +CYDEV_PHUB_CH9_BASIC_STATUS EQU 0x400070a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASE +CYDEV_PHUB_CH10_BASE EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_SIZE +CYDEV_PHUB_CH10_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASIC_CFG +CYDEV_PHUB_CH10_BASIC_CFG EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_ACTION +CYDEV_PHUB_CH10_ACTION EQU 0x400070b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASIC_STATUS +CYDEV_PHUB_CH10_BASIC_STATUS EQU 0x400070b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASE +CYDEV_PHUB_CH11_BASE EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_SIZE +CYDEV_PHUB_CH11_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASIC_CFG +CYDEV_PHUB_CH11_BASIC_CFG EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_ACTION +CYDEV_PHUB_CH11_ACTION EQU 0x400070c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASIC_STATUS +CYDEV_PHUB_CH11_BASIC_STATUS EQU 0x400070c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASE +CYDEV_PHUB_CH12_BASE EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_SIZE +CYDEV_PHUB_CH12_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASIC_CFG +CYDEV_PHUB_CH12_BASIC_CFG EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_ACTION +CYDEV_PHUB_CH12_ACTION EQU 0x400070d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASIC_STATUS +CYDEV_PHUB_CH12_BASIC_STATUS EQU 0x400070d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASE +CYDEV_PHUB_CH13_BASE EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_SIZE +CYDEV_PHUB_CH13_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASIC_CFG +CYDEV_PHUB_CH13_BASIC_CFG EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_ACTION +CYDEV_PHUB_CH13_ACTION EQU 0x400070e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASIC_STATUS +CYDEV_PHUB_CH13_BASIC_STATUS EQU 0x400070e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASE +CYDEV_PHUB_CH14_BASE EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_SIZE +CYDEV_PHUB_CH14_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASIC_CFG +CYDEV_PHUB_CH14_BASIC_CFG EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_ACTION +CYDEV_PHUB_CH14_ACTION EQU 0x400070f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASIC_STATUS +CYDEV_PHUB_CH14_BASIC_STATUS EQU 0x400070f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASE +CYDEV_PHUB_CH15_BASE EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_SIZE +CYDEV_PHUB_CH15_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASIC_CFG +CYDEV_PHUB_CH15_BASIC_CFG EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_ACTION +CYDEV_PHUB_CH15_ACTION EQU 0x40007104 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASIC_STATUS +CYDEV_PHUB_CH15_BASIC_STATUS EQU 0x40007108 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASE +CYDEV_PHUB_CH16_BASE EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_SIZE +CYDEV_PHUB_CH16_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASIC_CFG +CYDEV_PHUB_CH16_BASIC_CFG EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_ACTION +CYDEV_PHUB_CH16_ACTION EQU 0x40007114 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASIC_STATUS +CYDEV_PHUB_CH16_BASIC_STATUS EQU 0x40007118 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASE +CYDEV_PHUB_CH17_BASE EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_SIZE +CYDEV_PHUB_CH17_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASIC_CFG +CYDEV_PHUB_CH17_BASIC_CFG EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_ACTION +CYDEV_PHUB_CH17_ACTION EQU 0x40007124 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASIC_STATUS +CYDEV_PHUB_CH17_BASIC_STATUS EQU 0x40007128 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASE +CYDEV_PHUB_CH18_BASE EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_SIZE +CYDEV_PHUB_CH18_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASIC_CFG +CYDEV_PHUB_CH18_BASIC_CFG EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_ACTION +CYDEV_PHUB_CH18_ACTION EQU 0x40007134 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASIC_STATUS +CYDEV_PHUB_CH18_BASIC_STATUS EQU 0x40007138 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASE +CYDEV_PHUB_CH19_BASE EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_SIZE +CYDEV_PHUB_CH19_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASIC_CFG +CYDEV_PHUB_CH19_BASIC_CFG EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_ACTION +CYDEV_PHUB_CH19_ACTION EQU 0x40007144 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASIC_STATUS +CYDEV_PHUB_CH19_BASIC_STATUS EQU 0x40007148 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASE +CYDEV_PHUB_CH20_BASE EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_SIZE +CYDEV_PHUB_CH20_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASIC_CFG +CYDEV_PHUB_CH20_BASIC_CFG EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_ACTION +CYDEV_PHUB_CH20_ACTION EQU 0x40007154 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASIC_STATUS +CYDEV_PHUB_CH20_BASIC_STATUS EQU 0x40007158 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASE +CYDEV_PHUB_CH21_BASE EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_SIZE +CYDEV_PHUB_CH21_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASIC_CFG +CYDEV_PHUB_CH21_BASIC_CFG EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_ACTION +CYDEV_PHUB_CH21_ACTION EQU 0x40007164 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASIC_STATUS +CYDEV_PHUB_CH21_BASIC_STATUS EQU 0x40007168 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASE +CYDEV_PHUB_CH22_BASE EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_SIZE +CYDEV_PHUB_CH22_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASIC_CFG +CYDEV_PHUB_CH22_BASIC_CFG EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_ACTION +CYDEV_PHUB_CH22_ACTION EQU 0x40007174 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASIC_STATUS +CYDEV_PHUB_CH22_BASIC_STATUS EQU 0x40007178 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASE +CYDEV_PHUB_CH23_BASE EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_SIZE +CYDEV_PHUB_CH23_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASIC_CFG +CYDEV_PHUB_CH23_BASIC_CFG EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_ACTION +CYDEV_PHUB_CH23_ACTION EQU 0x40007184 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASIC_STATUS +CYDEV_PHUB_CH23_BASIC_STATUS EQU 0x40007188 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_BASE +CYDEV_PHUB_CFGMEM0_BASE EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_SIZE +CYDEV_PHUB_CFGMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_CFG0 +CYDEV_PHUB_CFGMEM0_CFG0 EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_CFG1 +CYDEV_PHUB_CFGMEM0_CFG1 EQU 0x40007604 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_BASE +CYDEV_PHUB_CFGMEM1_BASE EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_SIZE +CYDEV_PHUB_CFGMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_CFG0 +CYDEV_PHUB_CFGMEM1_CFG0 EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_CFG1 +CYDEV_PHUB_CFGMEM1_CFG1 EQU 0x4000760c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_BASE +CYDEV_PHUB_CFGMEM2_BASE EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_SIZE +CYDEV_PHUB_CFGMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_CFG0 +CYDEV_PHUB_CFGMEM2_CFG0 EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_CFG1 +CYDEV_PHUB_CFGMEM2_CFG1 EQU 0x40007614 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_BASE +CYDEV_PHUB_CFGMEM3_BASE EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_SIZE +CYDEV_PHUB_CFGMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_CFG0 +CYDEV_PHUB_CFGMEM3_CFG0 EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_CFG1 +CYDEV_PHUB_CFGMEM3_CFG1 EQU 0x4000761c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_BASE +CYDEV_PHUB_CFGMEM4_BASE EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_SIZE +CYDEV_PHUB_CFGMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_CFG0 +CYDEV_PHUB_CFGMEM4_CFG0 EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_CFG1 +CYDEV_PHUB_CFGMEM4_CFG1 EQU 0x40007624 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_BASE +CYDEV_PHUB_CFGMEM5_BASE EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_SIZE +CYDEV_PHUB_CFGMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_CFG0 +CYDEV_PHUB_CFGMEM5_CFG0 EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_CFG1 +CYDEV_PHUB_CFGMEM5_CFG1 EQU 0x4000762c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_BASE +CYDEV_PHUB_CFGMEM6_BASE EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_SIZE +CYDEV_PHUB_CFGMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_CFG0 +CYDEV_PHUB_CFGMEM6_CFG0 EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_CFG1 +CYDEV_PHUB_CFGMEM6_CFG1 EQU 0x40007634 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_BASE +CYDEV_PHUB_CFGMEM7_BASE EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_SIZE +CYDEV_PHUB_CFGMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_CFG0 +CYDEV_PHUB_CFGMEM7_CFG0 EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_CFG1 +CYDEV_PHUB_CFGMEM7_CFG1 EQU 0x4000763c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_BASE +CYDEV_PHUB_CFGMEM8_BASE EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_SIZE +CYDEV_PHUB_CFGMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_CFG0 +CYDEV_PHUB_CFGMEM8_CFG0 EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_CFG1 +CYDEV_PHUB_CFGMEM8_CFG1 EQU 0x40007644 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_BASE +CYDEV_PHUB_CFGMEM9_BASE EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_SIZE +CYDEV_PHUB_CFGMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_CFG0 +CYDEV_PHUB_CFGMEM9_CFG0 EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_CFG1 +CYDEV_PHUB_CFGMEM9_CFG1 EQU 0x4000764c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_BASE +CYDEV_PHUB_CFGMEM10_BASE EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_SIZE +CYDEV_PHUB_CFGMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_CFG0 +CYDEV_PHUB_CFGMEM10_CFG0 EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_CFG1 +CYDEV_PHUB_CFGMEM10_CFG1 EQU 0x40007654 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_BASE +CYDEV_PHUB_CFGMEM11_BASE EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_SIZE +CYDEV_PHUB_CFGMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_CFG0 +CYDEV_PHUB_CFGMEM11_CFG0 EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_CFG1 +CYDEV_PHUB_CFGMEM11_CFG1 EQU 0x4000765c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_BASE +CYDEV_PHUB_CFGMEM12_BASE EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_SIZE +CYDEV_PHUB_CFGMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_CFG0 +CYDEV_PHUB_CFGMEM12_CFG0 EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_CFG1 +CYDEV_PHUB_CFGMEM12_CFG1 EQU 0x40007664 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_BASE +CYDEV_PHUB_CFGMEM13_BASE EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_SIZE +CYDEV_PHUB_CFGMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_CFG0 +CYDEV_PHUB_CFGMEM13_CFG0 EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_CFG1 +CYDEV_PHUB_CFGMEM13_CFG1 EQU 0x4000766c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_BASE +CYDEV_PHUB_CFGMEM14_BASE EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_SIZE +CYDEV_PHUB_CFGMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_CFG0 +CYDEV_PHUB_CFGMEM14_CFG0 EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_CFG1 +CYDEV_PHUB_CFGMEM14_CFG1 EQU 0x40007674 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_BASE +CYDEV_PHUB_CFGMEM15_BASE EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_SIZE +CYDEV_PHUB_CFGMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_CFG0 +CYDEV_PHUB_CFGMEM15_CFG0 EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_CFG1 +CYDEV_PHUB_CFGMEM15_CFG1 EQU 0x4000767c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_BASE +CYDEV_PHUB_CFGMEM16_BASE EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_SIZE +CYDEV_PHUB_CFGMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_CFG0 +CYDEV_PHUB_CFGMEM16_CFG0 EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_CFG1 +CYDEV_PHUB_CFGMEM16_CFG1 EQU 0x40007684 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_BASE +CYDEV_PHUB_CFGMEM17_BASE EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_SIZE +CYDEV_PHUB_CFGMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_CFG0 +CYDEV_PHUB_CFGMEM17_CFG0 EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_CFG1 +CYDEV_PHUB_CFGMEM17_CFG1 EQU 0x4000768c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_BASE +CYDEV_PHUB_CFGMEM18_BASE EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_SIZE +CYDEV_PHUB_CFGMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_CFG0 +CYDEV_PHUB_CFGMEM18_CFG0 EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_CFG1 +CYDEV_PHUB_CFGMEM18_CFG1 EQU 0x40007694 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_BASE +CYDEV_PHUB_CFGMEM19_BASE EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_SIZE +CYDEV_PHUB_CFGMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_CFG0 +CYDEV_PHUB_CFGMEM19_CFG0 EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_CFG1 +CYDEV_PHUB_CFGMEM19_CFG1 EQU 0x4000769c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_BASE +CYDEV_PHUB_CFGMEM20_BASE EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_SIZE +CYDEV_PHUB_CFGMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_CFG0 +CYDEV_PHUB_CFGMEM20_CFG0 EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_CFG1 +CYDEV_PHUB_CFGMEM20_CFG1 EQU 0x400076a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_BASE +CYDEV_PHUB_CFGMEM21_BASE EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_SIZE +CYDEV_PHUB_CFGMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_CFG0 +CYDEV_PHUB_CFGMEM21_CFG0 EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_CFG1 +CYDEV_PHUB_CFGMEM21_CFG1 EQU 0x400076ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_BASE +CYDEV_PHUB_CFGMEM22_BASE EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_SIZE +CYDEV_PHUB_CFGMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_CFG0 +CYDEV_PHUB_CFGMEM22_CFG0 EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_CFG1 +CYDEV_PHUB_CFGMEM22_CFG1 EQU 0x400076b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_BASE +CYDEV_PHUB_CFGMEM23_BASE EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_SIZE +CYDEV_PHUB_CFGMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_CFG0 +CYDEV_PHUB_CFGMEM23_CFG0 EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_CFG1 +CYDEV_PHUB_CFGMEM23_CFG1 EQU 0x400076bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_BASE +CYDEV_PHUB_TDMEM0_BASE EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_SIZE +CYDEV_PHUB_TDMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_ORIG_TD0 +CYDEV_PHUB_TDMEM0_ORIG_TD0 EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_ORIG_TD1 +CYDEV_PHUB_TDMEM0_ORIG_TD1 EQU 0x40007804 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_BASE +CYDEV_PHUB_TDMEM1_BASE EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_SIZE +CYDEV_PHUB_TDMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_ORIG_TD0 +CYDEV_PHUB_TDMEM1_ORIG_TD0 EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_ORIG_TD1 +CYDEV_PHUB_TDMEM1_ORIG_TD1 EQU 0x4000780c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_BASE +CYDEV_PHUB_TDMEM2_BASE EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_SIZE +CYDEV_PHUB_TDMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_ORIG_TD0 +CYDEV_PHUB_TDMEM2_ORIG_TD0 EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_ORIG_TD1 +CYDEV_PHUB_TDMEM2_ORIG_TD1 EQU 0x40007814 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_BASE +CYDEV_PHUB_TDMEM3_BASE EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_SIZE +CYDEV_PHUB_TDMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_ORIG_TD0 +CYDEV_PHUB_TDMEM3_ORIG_TD0 EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_ORIG_TD1 +CYDEV_PHUB_TDMEM3_ORIG_TD1 EQU 0x4000781c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_BASE +CYDEV_PHUB_TDMEM4_BASE EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_SIZE +CYDEV_PHUB_TDMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_ORIG_TD0 +CYDEV_PHUB_TDMEM4_ORIG_TD0 EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_ORIG_TD1 +CYDEV_PHUB_TDMEM4_ORIG_TD1 EQU 0x40007824 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_BASE +CYDEV_PHUB_TDMEM5_BASE EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_SIZE +CYDEV_PHUB_TDMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_ORIG_TD0 +CYDEV_PHUB_TDMEM5_ORIG_TD0 EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_ORIG_TD1 +CYDEV_PHUB_TDMEM5_ORIG_TD1 EQU 0x4000782c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_BASE +CYDEV_PHUB_TDMEM6_BASE EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_SIZE +CYDEV_PHUB_TDMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_ORIG_TD0 +CYDEV_PHUB_TDMEM6_ORIG_TD0 EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_ORIG_TD1 +CYDEV_PHUB_TDMEM6_ORIG_TD1 EQU 0x40007834 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_BASE +CYDEV_PHUB_TDMEM7_BASE EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_SIZE +CYDEV_PHUB_TDMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_ORIG_TD0 +CYDEV_PHUB_TDMEM7_ORIG_TD0 EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_ORIG_TD1 +CYDEV_PHUB_TDMEM7_ORIG_TD1 EQU 0x4000783c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_BASE +CYDEV_PHUB_TDMEM8_BASE EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_SIZE +CYDEV_PHUB_TDMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_ORIG_TD0 +CYDEV_PHUB_TDMEM8_ORIG_TD0 EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_ORIG_TD1 +CYDEV_PHUB_TDMEM8_ORIG_TD1 EQU 0x40007844 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_BASE +CYDEV_PHUB_TDMEM9_BASE EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_SIZE +CYDEV_PHUB_TDMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_ORIG_TD0 +CYDEV_PHUB_TDMEM9_ORIG_TD0 EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_ORIG_TD1 +CYDEV_PHUB_TDMEM9_ORIG_TD1 EQU 0x4000784c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_BASE +CYDEV_PHUB_TDMEM10_BASE EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_SIZE +CYDEV_PHUB_TDMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_ORIG_TD0 +CYDEV_PHUB_TDMEM10_ORIG_TD0 EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_ORIG_TD1 +CYDEV_PHUB_TDMEM10_ORIG_TD1 EQU 0x40007854 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_BASE +CYDEV_PHUB_TDMEM11_BASE EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_SIZE +CYDEV_PHUB_TDMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_ORIG_TD0 +CYDEV_PHUB_TDMEM11_ORIG_TD0 EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_ORIG_TD1 +CYDEV_PHUB_TDMEM11_ORIG_TD1 EQU 0x4000785c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_BASE +CYDEV_PHUB_TDMEM12_BASE EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_SIZE +CYDEV_PHUB_TDMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_ORIG_TD0 +CYDEV_PHUB_TDMEM12_ORIG_TD0 EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_ORIG_TD1 +CYDEV_PHUB_TDMEM12_ORIG_TD1 EQU 0x40007864 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_BASE +CYDEV_PHUB_TDMEM13_BASE EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_SIZE +CYDEV_PHUB_TDMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_ORIG_TD0 +CYDEV_PHUB_TDMEM13_ORIG_TD0 EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_ORIG_TD1 +CYDEV_PHUB_TDMEM13_ORIG_TD1 EQU 0x4000786c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_BASE +CYDEV_PHUB_TDMEM14_BASE EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_SIZE +CYDEV_PHUB_TDMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_ORIG_TD0 +CYDEV_PHUB_TDMEM14_ORIG_TD0 EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_ORIG_TD1 +CYDEV_PHUB_TDMEM14_ORIG_TD1 EQU 0x40007874 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_BASE +CYDEV_PHUB_TDMEM15_BASE EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_SIZE +CYDEV_PHUB_TDMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_ORIG_TD0 +CYDEV_PHUB_TDMEM15_ORIG_TD0 EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_ORIG_TD1 +CYDEV_PHUB_TDMEM15_ORIG_TD1 EQU 0x4000787c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_BASE +CYDEV_PHUB_TDMEM16_BASE EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_SIZE +CYDEV_PHUB_TDMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_ORIG_TD0 +CYDEV_PHUB_TDMEM16_ORIG_TD0 EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_ORIG_TD1 +CYDEV_PHUB_TDMEM16_ORIG_TD1 EQU 0x40007884 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_BASE +CYDEV_PHUB_TDMEM17_BASE EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_SIZE +CYDEV_PHUB_TDMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_ORIG_TD0 +CYDEV_PHUB_TDMEM17_ORIG_TD0 EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_ORIG_TD1 +CYDEV_PHUB_TDMEM17_ORIG_TD1 EQU 0x4000788c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_BASE +CYDEV_PHUB_TDMEM18_BASE EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_SIZE +CYDEV_PHUB_TDMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_ORIG_TD0 +CYDEV_PHUB_TDMEM18_ORIG_TD0 EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_ORIG_TD1 +CYDEV_PHUB_TDMEM18_ORIG_TD1 EQU 0x40007894 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_BASE +CYDEV_PHUB_TDMEM19_BASE EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_SIZE +CYDEV_PHUB_TDMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_ORIG_TD0 +CYDEV_PHUB_TDMEM19_ORIG_TD0 EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_ORIG_TD1 +CYDEV_PHUB_TDMEM19_ORIG_TD1 EQU 0x4000789c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_BASE +CYDEV_PHUB_TDMEM20_BASE EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_SIZE +CYDEV_PHUB_TDMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_ORIG_TD0 +CYDEV_PHUB_TDMEM20_ORIG_TD0 EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_ORIG_TD1 +CYDEV_PHUB_TDMEM20_ORIG_TD1 EQU 0x400078a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_BASE +CYDEV_PHUB_TDMEM21_BASE EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_SIZE +CYDEV_PHUB_TDMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_ORIG_TD0 +CYDEV_PHUB_TDMEM21_ORIG_TD0 EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_ORIG_TD1 +CYDEV_PHUB_TDMEM21_ORIG_TD1 EQU 0x400078ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_BASE +CYDEV_PHUB_TDMEM22_BASE EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_SIZE +CYDEV_PHUB_TDMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_ORIG_TD0 +CYDEV_PHUB_TDMEM22_ORIG_TD0 EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_ORIG_TD1 +CYDEV_PHUB_TDMEM22_ORIG_TD1 EQU 0x400078b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_BASE +CYDEV_PHUB_TDMEM23_BASE EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_SIZE +CYDEV_PHUB_TDMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_ORIG_TD0 +CYDEV_PHUB_TDMEM23_ORIG_TD0 EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_ORIG_TD1 +CYDEV_PHUB_TDMEM23_ORIG_TD1 EQU 0x400078bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_BASE +CYDEV_PHUB_TDMEM24_BASE EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_SIZE +CYDEV_PHUB_TDMEM24_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_ORIG_TD0 +CYDEV_PHUB_TDMEM24_ORIG_TD0 EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_ORIG_TD1 +CYDEV_PHUB_TDMEM24_ORIG_TD1 EQU 0x400078c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_BASE +CYDEV_PHUB_TDMEM25_BASE EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_SIZE +CYDEV_PHUB_TDMEM25_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_ORIG_TD0 +CYDEV_PHUB_TDMEM25_ORIG_TD0 EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_ORIG_TD1 +CYDEV_PHUB_TDMEM25_ORIG_TD1 EQU 0x400078cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_BASE +CYDEV_PHUB_TDMEM26_BASE EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_SIZE +CYDEV_PHUB_TDMEM26_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_ORIG_TD0 +CYDEV_PHUB_TDMEM26_ORIG_TD0 EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_ORIG_TD1 +CYDEV_PHUB_TDMEM26_ORIG_TD1 EQU 0x400078d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_BASE +CYDEV_PHUB_TDMEM27_BASE EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_SIZE +CYDEV_PHUB_TDMEM27_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_ORIG_TD0 +CYDEV_PHUB_TDMEM27_ORIG_TD0 EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_ORIG_TD1 +CYDEV_PHUB_TDMEM27_ORIG_TD1 EQU 0x400078dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_BASE +CYDEV_PHUB_TDMEM28_BASE EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_SIZE +CYDEV_PHUB_TDMEM28_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_ORIG_TD0 +CYDEV_PHUB_TDMEM28_ORIG_TD0 EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_ORIG_TD1 +CYDEV_PHUB_TDMEM28_ORIG_TD1 EQU 0x400078e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_BASE +CYDEV_PHUB_TDMEM29_BASE EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_SIZE +CYDEV_PHUB_TDMEM29_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_ORIG_TD0 +CYDEV_PHUB_TDMEM29_ORIG_TD0 EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_ORIG_TD1 +CYDEV_PHUB_TDMEM29_ORIG_TD1 EQU 0x400078ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_BASE +CYDEV_PHUB_TDMEM30_BASE EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_SIZE +CYDEV_PHUB_TDMEM30_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_ORIG_TD0 +CYDEV_PHUB_TDMEM30_ORIG_TD0 EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_ORIG_TD1 +CYDEV_PHUB_TDMEM30_ORIG_TD1 EQU 0x400078f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_BASE +CYDEV_PHUB_TDMEM31_BASE EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_SIZE +CYDEV_PHUB_TDMEM31_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_ORIG_TD0 +CYDEV_PHUB_TDMEM31_ORIG_TD0 EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_ORIG_TD1 +CYDEV_PHUB_TDMEM31_ORIG_TD1 EQU 0x400078fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_BASE +CYDEV_PHUB_TDMEM32_BASE EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_SIZE +CYDEV_PHUB_TDMEM32_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_ORIG_TD0 +CYDEV_PHUB_TDMEM32_ORIG_TD0 EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_ORIG_TD1 +CYDEV_PHUB_TDMEM32_ORIG_TD1 EQU 0x40007904 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_BASE +CYDEV_PHUB_TDMEM33_BASE EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_SIZE +CYDEV_PHUB_TDMEM33_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_ORIG_TD0 +CYDEV_PHUB_TDMEM33_ORIG_TD0 EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_ORIG_TD1 +CYDEV_PHUB_TDMEM33_ORIG_TD1 EQU 0x4000790c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_BASE +CYDEV_PHUB_TDMEM34_BASE EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_SIZE +CYDEV_PHUB_TDMEM34_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_ORIG_TD0 +CYDEV_PHUB_TDMEM34_ORIG_TD0 EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_ORIG_TD1 +CYDEV_PHUB_TDMEM34_ORIG_TD1 EQU 0x40007914 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_BASE +CYDEV_PHUB_TDMEM35_BASE EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_SIZE +CYDEV_PHUB_TDMEM35_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_ORIG_TD0 +CYDEV_PHUB_TDMEM35_ORIG_TD0 EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_ORIG_TD1 +CYDEV_PHUB_TDMEM35_ORIG_TD1 EQU 0x4000791c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_BASE +CYDEV_PHUB_TDMEM36_BASE EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_SIZE +CYDEV_PHUB_TDMEM36_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_ORIG_TD0 +CYDEV_PHUB_TDMEM36_ORIG_TD0 EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_ORIG_TD1 +CYDEV_PHUB_TDMEM36_ORIG_TD1 EQU 0x40007924 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_BASE +CYDEV_PHUB_TDMEM37_BASE EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_SIZE +CYDEV_PHUB_TDMEM37_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_ORIG_TD0 +CYDEV_PHUB_TDMEM37_ORIG_TD0 EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_ORIG_TD1 +CYDEV_PHUB_TDMEM37_ORIG_TD1 EQU 0x4000792c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_BASE +CYDEV_PHUB_TDMEM38_BASE EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_SIZE +CYDEV_PHUB_TDMEM38_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_ORIG_TD0 +CYDEV_PHUB_TDMEM38_ORIG_TD0 EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_ORIG_TD1 +CYDEV_PHUB_TDMEM38_ORIG_TD1 EQU 0x40007934 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_BASE +CYDEV_PHUB_TDMEM39_BASE EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_SIZE +CYDEV_PHUB_TDMEM39_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_ORIG_TD0 +CYDEV_PHUB_TDMEM39_ORIG_TD0 EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_ORIG_TD1 +CYDEV_PHUB_TDMEM39_ORIG_TD1 EQU 0x4000793c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_BASE +CYDEV_PHUB_TDMEM40_BASE EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_SIZE +CYDEV_PHUB_TDMEM40_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_ORIG_TD0 +CYDEV_PHUB_TDMEM40_ORIG_TD0 EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_ORIG_TD1 +CYDEV_PHUB_TDMEM40_ORIG_TD1 EQU 0x40007944 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_BASE +CYDEV_PHUB_TDMEM41_BASE EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_SIZE +CYDEV_PHUB_TDMEM41_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_ORIG_TD0 +CYDEV_PHUB_TDMEM41_ORIG_TD0 EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_ORIG_TD1 +CYDEV_PHUB_TDMEM41_ORIG_TD1 EQU 0x4000794c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_BASE +CYDEV_PHUB_TDMEM42_BASE EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_SIZE +CYDEV_PHUB_TDMEM42_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_ORIG_TD0 +CYDEV_PHUB_TDMEM42_ORIG_TD0 EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_ORIG_TD1 +CYDEV_PHUB_TDMEM42_ORIG_TD1 EQU 0x40007954 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_BASE +CYDEV_PHUB_TDMEM43_BASE EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_SIZE +CYDEV_PHUB_TDMEM43_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_ORIG_TD0 +CYDEV_PHUB_TDMEM43_ORIG_TD0 EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_ORIG_TD1 +CYDEV_PHUB_TDMEM43_ORIG_TD1 EQU 0x4000795c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_BASE +CYDEV_PHUB_TDMEM44_BASE EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_SIZE +CYDEV_PHUB_TDMEM44_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_ORIG_TD0 +CYDEV_PHUB_TDMEM44_ORIG_TD0 EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_ORIG_TD1 +CYDEV_PHUB_TDMEM44_ORIG_TD1 EQU 0x40007964 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_BASE +CYDEV_PHUB_TDMEM45_BASE EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_SIZE +CYDEV_PHUB_TDMEM45_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_ORIG_TD0 +CYDEV_PHUB_TDMEM45_ORIG_TD0 EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_ORIG_TD1 +CYDEV_PHUB_TDMEM45_ORIG_TD1 EQU 0x4000796c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_BASE +CYDEV_PHUB_TDMEM46_BASE EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_SIZE +CYDEV_PHUB_TDMEM46_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_ORIG_TD0 +CYDEV_PHUB_TDMEM46_ORIG_TD0 EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_ORIG_TD1 +CYDEV_PHUB_TDMEM46_ORIG_TD1 EQU 0x40007974 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_BASE +CYDEV_PHUB_TDMEM47_BASE EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_SIZE +CYDEV_PHUB_TDMEM47_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_ORIG_TD0 +CYDEV_PHUB_TDMEM47_ORIG_TD0 EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_ORIG_TD1 +CYDEV_PHUB_TDMEM47_ORIG_TD1 EQU 0x4000797c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_BASE +CYDEV_PHUB_TDMEM48_BASE EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_SIZE +CYDEV_PHUB_TDMEM48_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_ORIG_TD0 +CYDEV_PHUB_TDMEM48_ORIG_TD0 EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_ORIG_TD1 +CYDEV_PHUB_TDMEM48_ORIG_TD1 EQU 0x40007984 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_BASE +CYDEV_PHUB_TDMEM49_BASE EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_SIZE +CYDEV_PHUB_TDMEM49_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_ORIG_TD0 +CYDEV_PHUB_TDMEM49_ORIG_TD0 EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_ORIG_TD1 +CYDEV_PHUB_TDMEM49_ORIG_TD1 EQU 0x4000798c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_BASE +CYDEV_PHUB_TDMEM50_BASE EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_SIZE +CYDEV_PHUB_TDMEM50_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_ORIG_TD0 +CYDEV_PHUB_TDMEM50_ORIG_TD0 EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_ORIG_TD1 +CYDEV_PHUB_TDMEM50_ORIG_TD1 EQU 0x40007994 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_BASE +CYDEV_PHUB_TDMEM51_BASE EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_SIZE +CYDEV_PHUB_TDMEM51_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_ORIG_TD0 +CYDEV_PHUB_TDMEM51_ORIG_TD0 EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_ORIG_TD1 +CYDEV_PHUB_TDMEM51_ORIG_TD1 EQU 0x4000799c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_BASE +CYDEV_PHUB_TDMEM52_BASE EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_SIZE +CYDEV_PHUB_TDMEM52_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_ORIG_TD0 +CYDEV_PHUB_TDMEM52_ORIG_TD0 EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_ORIG_TD1 +CYDEV_PHUB_TDMEM52_ORIG_TD1 EQU 0x400079a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_BASE +CYDEV_PHUB_TDMEM53_BASE EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_SIZE +CYDEV_PHUB_TDMEM53_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_ORIG_TD0 +CYDEV_PHUB_TDMEM53_ORIG_TD0 EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_ORIG_TD1 +CYDEV_PHUB_TDMEM53_ORIG_TD1 EQU 0x400079ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_BASE +CYDEV_PHUB_TDMEM54_BASE EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_SIZE +CYDEV_PHUB_TDMEM54_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_ORIG_TD0 +CYDEV_PHUB_TDMEM54_ORIG_TD0 EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_ORIG_TD1 +CYDEV_PHUB_TDMEM54_ORIG_TD1 EQU 0x400079b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_BASE +CYDEV_PHUB_TDMEM55_BASE EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_SIZE +CYDEV_PHUB_TDMEM55_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_ORIG_TD0 +CYDEV_PHUB_TDMEM55_ORIG_TD0 EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_ORIG_TD1 +CYDEV_PHUB_TDMEM55_ORIG_TD1 EQU 0x400079bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_BASE +CYDEV_PHUB_TDMEM56_BASE EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_SIZE +CYDEV_PHUB_TDMEM56_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_ORIG_TD0 +CYDEV_PHUB_TDMEM56_ORIG_TD0 EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_ORIG_TD1 +CYDEV_PHUB_TDMEM56_ORIG_TD1 EQU 0x400079c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_BASE +CYDEV_PHUB_TDMEM57_BASE EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_SIZE +CYDEV_PHUB_TDMEM57_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_ORIG_TD0 +CYDEV_PHUB_TDMEM57_ORIG_TD0 EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_ORIG_TD1 +CYDEV_PHUB_TDMEM57_ORIG_TD1 EQU 0x400079cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_BASE +CYDEV_PHUB_TDMEM58_BASE EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_SIZE +CYDEV_PHUB_TDMEM58_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_ORIG_TD0 +CYDEV_PHUB_TDMEM58_ORIG_TD0 EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_ORIG_TD1 +CYDEV_PHUB_TDMEM58_ORIG_TD1 EQU 0x400079d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_BASE +CYDEV_PHUB_TDMEM59_BASE EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_SIZE +CYDEV_PHUB_TDMEM59_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_ORIG_TD0 +CYDEV_PHUB_TDMEM59_ORIG_TD0 EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_ORIG_TD1 +CYDEV_PHUB_TDMEM59_ORIG_TD1 EQU 0x400079dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_BASE +CYDEV_PHUB_TDMEM60_BASE EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_SIZE +CYDEV_PHUB_TDMEM60_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_ORIG_TD0 +CYDEV_PHUB_TDMEM60_ORIG_TD0 EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_ORIG_TD1 +CYDEV_PHUB_TDMEM60_ORIG_TD1 EQU 0x400079e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_BASE +CYDEV_PHUB_TDMEM61_BASE EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_SIZE +CYDEV_PHUB_TDMEM61_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_ORIG_TD0 +CYDEV_PHUB_TDMEM61_ORIG_TD0 EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_ORIG_TD1 +CYDEV_PHUB_TDMEM61_ORIG_TD1 EQU 0x400079ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_BASE +CYDEV_PHUB_TDMEM62_BASE EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_SIZE +CYDEV_PHUB_TDMEM62_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_ORIG_TD0 +CYDEV_PHUB_TDMEM62_ORIG_TD0 EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_ORIG_TD1 +CYDEV_PHUB_TDMEM62_ORIG_TD1 EQU 0x400079f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_BASE +CYDEV_PHUB_TDMEM63_BASE EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_SIZE +CYDEV_PHUB_TDMEM63_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_ORIG_TD0 +CYDEV_PHUB_TDMEM63_ORIG_TD0 EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_ORIG_TD1 +CYDEV_PHUB_TDMEM63_ORIG_TD1 EQU 0x400079fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_BASE +CYDEV_PHUB_TDMEM64_BASE EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_SIZE +CYDEV_PHUB_TDMEM64_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_ORIG_TD0 +CYDEV_PHUB_TDMEM64_ORIG_TD0 EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_ORIG_TD1 +CYDEV_PHUB_TDMEM64_ORIG_TD1 EQU 0x40007a04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_BASE +CYDEV_PHUB_TDMEM65_BASE EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_SIZE +CYDEV_PHUB_TDMEM65_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_ORIG_TD0 +CYDEV_PHUB_TDMEM65_ORIG_TD0 EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_ORIG_TD1 +CYDEV_PHUB_TDMEM65_ORIG_TD1 EQU 0x40007a0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_BASE +CYDEV_PHUB_TDMEM66_BASE EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_SIZE +CYDEV_PHUB_TDMEM66_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_ORIG_TD0 +CYDEV_PHUB_TDMEM66_ORIG_TD0 EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_ORIG_TD1 +CYDEV_PHUB_TDMEM66_ORIG_TD1 EQU 0x40007a14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_BASE +CYDEV_PHUB_TDMEM67_BASE EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_SIZE +CYDEV_PHUB_TDMEM67_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_ORIG_TD0 +CYDEV_PHUB_TDMEM67_ORIG_TD0 EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_ORIG_TD1 +CYDEV_PHUB_TDMEM67_ORIG_TD1 EQU 0x40007a1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_BASE +CYDEV_PHUB_TDMEM68_BASE EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_SIZE +CYDEV_PHUB_TDMEM68_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_ORIG_TD0 +CYDEV_PHUB_TDMEM68_ORIG_TD0 EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_ORIG_TD1 +CYDEV_PHUB_TDMEM68_ORIG_TD1 EQU 0x40007a24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_BASE +CYDEV_PHUB_TDMEM69_BASE EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_SIZE +CYDEV_PHUB_TDMEM69_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_ORIG_TD0 +CYDEV_PHUB_TDMEM69_ORIG_TD0 EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_ORIG_TD1 +CYDEV_PHUB_TDMEM69_ORIG_TD1 EQU 0x40007a2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_BASE +CYDEV_PHUB_TDMEM70_BASE EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_SIZE +CYDEV_PHUB_TDMEM70_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_ORIG_TD0 +CYDEV_PHUB_TDMEM70_ORIG_TD0 EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_ORIG_TD1 +CYDEV_PHUB_TDMEM70_ORIG_TD1 EQU 0x40007a34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_BASE +CYDEV_PHUB_TDMEM71_BASE EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_SIZE +CYDEV_PHUB_TDMEM71_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_ORIG_TD0 +CYDEV_PHUB_TDMEM71_ORIG_TD0 EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_ORIG_TD1 +CYDEV_PHUB_TDMEM71_ORIG_TD1 EQU 0x40007a3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_BASE +CYDEV_PHUB_TDMEM72_BASE EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_SIZE +CYDEV_PHUB_TDMEM72_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_ORIG_TD0 +CYDEV_PHUB_TDMEM72_ORIG_TD0 EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_ORIG_TD1 +CYDEV_PHUB_TDMEM72_ORIG_TD1 EQU 0x40007a44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_BASE +CYDEV_PHUB_TDMEM73_BASE EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_SIZE +CYDEV_PHUB_TDMEM73_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_ORIG_TD0 +CYDEV_PHUB_TDMEM73_ORIG_TD0 EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_ORIG_TD1 +CYDEV_PHUB_TDMEM73_ORIG_TD1 EQU 0x40007a4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_BASE +CYDEV_PHUB_TDMEM74_BASE EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_SIZE +CYDEV_PHUB_TDMEM74_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_ORIG_TD0 +CYDEV_PHUB_TDMEM74_ORIG_TD0 EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_ORIG_TD1 +CYDEV_PHUB_TDMEM74_ORIG_TD1 EQU 0x40007a54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_BASE +CYDEV_PHUB_TDMEM75_BASE EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_SIZE +CYDEV_PHUB_TDMEM75_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_ORIG_TD0 +CYDEV_PHUB_TDMEM75_ORIG_TD0 EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_ORIG_TD1 +CYDEV_PHUB_TDMEM75_ORIG_TD1 EQU 0x40007a5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_BASE +CYDEV_PHUB_TDMEM76_BASE EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_SIZE +CYDEV_PHUB_TDMEM76_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_ORIG_TD0 +CYDEV_PHUB_TDMEM76_ORIG_TD0 EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_ORIG_TD1 +CYDEV_PHUB_TDMEM76_ORIG_TD1 EQU 0x40007a64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_BASE +CYDEV_PHUB_TDMEM77_BASE EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_SIZE +CYDEV_PHUB_TDMEM77_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_ORIG_TD0 +CYDEV_PHUB_TDMEM77_ORIG_TD0 EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_ORIG_TD1 +CYDEV_PHUB_TDMEM77_ORIG_TD1 EQU 0x40007a6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_BASE +CYDEV_PHUB_TDMEM78_BASE EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_SIZE +CYDEV_PHUB_TDMEM78_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_ORIG_TD0 +CYDEV_PHUB_TDMEM78_ORIG_TD0 EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_ORIG_TD1 +CYDEV_PHUB_TDMEM78_ORIG_TD1 EQU 0x40007a74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_BASE +CYDEV_PHUB_TDMEM79_BASE EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_SIZE +CYDEV_PHUB_TDMEM79_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_ORIG_TD0 +CYDEV_PHUB_TDMEM79_ORIG_TD0 EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_ORIG_TD1 +CYDEV_PHUB_TDMEM79_ORIG_TD1 EQU 0x40007a7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_BASE +CYDEV_PHUB_TDMEM80_BASE EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_SIZE +CYDEV_PHUB_TDMEM80_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_ORIG_TD0 +CYDEV_PHUB_TDMEM80_ORIG_TD0 EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_ORIG_TD1 +CYDEV_PHUB_TDMEM80_ORIG_TD1 EQU 0x40007a84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_BASE +CYDEV_PHUB_TDMEM81_BASE EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_SIZE +CYDEV_PHUB_TDMEM81_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_ORIG_TD0 +CYDEV_PHUB_TDMEM81_ORIG_TD0 EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_ORIG_TD1 +CYDEV_PHUB_TDMEM81_ORIG_TD1 EQU 0x40007a8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_BASE +CYDEV_PHUB_TDMEM82_BASE EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_SIZE +CYDEV_PHUB_TDMEM82_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_ORIG_TD0 +CYDEV_PHUB_TDMEM82_ORIG_TD0 EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_ORIG_TD1 +CYDEV_PHUB_TDMEM82_ORIG_TD1 EQU 0x40007a94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_BASE +CYDEV_PHUB_TDMEM83_BASE EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_SIZE +CYDEV_PHUB_TDMEM83_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_ORIG_TD0 +CYDEV_PHUB_TDMEM83_ORIG_TD0 EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_ORIG_TD1 +CYDEV_PHUB_TDMEM83_ORIG_TD1 EQU 0x40007a9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_BASE +CYDEV_PHUB_TDMEM84_BASE EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_SIZE +CYDEV_PHUB_TDMEM84_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_ORIG_TD0 +CYDEV_PHUB_TDMEM84_ORIG_TD0 EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_ORIG_TD1 +CYDEV_PHUB_TDMEM84_ORIG_TD1 EQU 0x40007aa4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_BASE +CYDEV_PHUB_TDMEM85_BASE EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_SIZE +CYDEV_PHUB_TDMEM85_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_ORIG_TD0 +CYDEV_PHUB_TDMEM85_ORIG_TD0 EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_ORIG_TD1 +CYDEV_PHUB_TDMEM85_ORIG_TD1 EQU 0x40007aac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_BASE +CYDEV_PHUB_TDMEM86_BASE EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_SIZE +CYDEV_PHUB_TDMEM86_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_ORIG_TD0 +CYDEV_PHUB_TDMEM86_ORIG_TD0 EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_ORIG_TD1 +CYDEV_PHUB_TDMEM86_ORIG_TD1 EQU 0x40007ab4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_BASE +CYDEV_PHUB_TDMEM87_BASE EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_SIZE +CYDEV_PHUB_TDMEM87_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_ORIG_TD0 +CYDEV_PHUB_TDMEM87_ORIG_TD0 EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_ORIG_TD1 +CYDEV_PHUB_TDMEM87_ORIG_TD1 EQU 0x40007abc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_BASE +CYDEV_PHUB_TDMEM88_BASE EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_SIZE +CYDEV_PHUB_TDMEM88_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_ORIG_TD0 +CYDEV_PHUB_TDMEM88_ORIG_TD0 EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_ORIG_TD1 +CYDEV_PHUB_TDMEM88_ORIG_TD1 EQU 0x40007ac4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_BASE +CYDEV_PHUB_TDMEM89_BASE EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_SIZE +CYDEV_PHUB_TDMEM89_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_ORIG_TD0 +CYDEV_PHUB_TDMEM89_ORIG_TD0 EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_ORIG_TD1 +CYDEV_PHUB_TDMEM89_ORIG_TD1 EQU 0x40007acc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_BASE +CYDEV_PHUB_TDMEM90_BASE EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_SIZE +CYDEV_PHUB_TDMEM90_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_ORIG_TD0 +CYDEV_PHUB_TDMEM90_ORIG_TD0 EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_ORIG_TD1 +CYDEV_PHUB_TDMEM90_ORIG_TD1 EQU 0x40007ad4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_BASE +CYDEV_PHUB_TDMEM91_BASE EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_SIZE +CYDEV_PHUB_TDMEM91_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_ORIG_TD0 +CYDEV_PHUB_TDMEM91_ORIG_TD0 EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_ORIG_TD1 +CYDEV_PHUB_TDMEM91_ORIG_TD1 EQU 0x40007adc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_BASE +CYDEV_PHUB_TDMEM92_BASE EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_SIZE +CYDEV_PHUB_TDMEM92_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_ORIG_TD0 +CYDEV_PHUB_TDMEM92_ORIG_TD0 EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_ORIG_TD1 +CYDEV_PHUB_TDMEM92_ORIG_TD1 EQU 0x40007ae4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_BASE +CYDEV_PHUB_TDMEM93_BASE EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_SIZE +CYDEV_PHUB_TDMEM93_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_ORIG_TD0 +CYDEV_PHUB_TDMEM93_ORIG_TD0 EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_ORIG_TD1 +CYDEV_PHUB_TDMEM93_ORIG_TD1 EQU 0x40007aec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_BASE +CYDEV_PHUB_TDMEM94_BASE EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_SIZE +CYDEV_PHUB_TDMEM94_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_ORIG_TD0 +CYDEV_PHUB_TDMEM94_ORIG_TD0 EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_ORIG_TD1 +CYDEV_PHUB_TDMEM94_ORIG_TD1 EQU 0x40007af4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_BASE +CYDEV_PHUB_TDMEM95_BASE EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_SIZE +CYDEV_PHUB_TDMEM95_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_ORIG_TD0 +CYDEV_PHUB_TDMEM95_ORIG_TD0 EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_ORIG_TD1 +CYDEV_PHUB_TDMEM95_ORIG_TD1 EQU 0x40007afc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_BASE +CYDEV_PHUB_TDMEM96_BASE EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_SIZE +CYDEV_PHUB_TDMEM96_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_ORIG_TD0 +CYDEV_PHUB_TDMEM96_ORIG_TD0 EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_ORIG_TD1 +CYDEV_PHUB_TDMEM96_ORIG_TD1 EQU 0x40007b04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_BASE +CYDEV_PHUB_TDMEM97_BASE EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_SIZE +CYDEV_PHUB_TDMEM97_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_ORIG_TD0 +CYDEV_PHUB_TDMEM97_ORIG_TD0 EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_ORIG_TD1 +CYDEV_PHUB_TDMEM97_ORIG_TD1 EQU 0x40007b0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_BASE +CYDEV_PHUB_TDMEM98_BASE EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_SIZE +CYDEV_PHUB_TDMEM98_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_ORIG_TD0 +CYDEV_PHUB_TDMEM98_ORIG_TD0 EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_ORIG_TD1 +CYDEV_PHUB_TDMEM98_ORIG_TD1 EQU 0x40007b14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_BASE +CYDEV_PHUB_TDMEM99_BASE EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_SIZE +CYDEV_PHUB_TDMEM99_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_ORIG_TD0 +CYDEV_PHUB_TDMEM99_ORIG_TD0 EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_ORIG_TD1 +CYDEV_PHUB_TDMEM99_ORIG_TD1 EQU 0x40007b1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_BASE +CYDEV_PHUB_TDMEM100_BASE EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_SIZE +CYDEV_PHUB_TDMEM100_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_ORIG_TD0 +CYDEV_PHUB_TDMEM100_ORIG_TD0 EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_ORIG_TD1 +CYDEV_PHUB_TDMEM100_ORIG_TD1 EQU 0x40007b24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_BASE +CYDEV_PHUB_TDMEM101_BASE EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_SIZE +CYDEV_PHUB_TDMEM101_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_ORIG_TD0 +CYDEV_PHUB_TDMEM101_ORIG_TD0 EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_ORIG_TD1 +CYDEV_PHUB_TDMEM101_ORIG_TD1 EQU 0x40007b2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_BASE +CYDEV_PHUB_TDMEM102_BASE EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_SIZE +CYDEV_PHUB_TDMEM102_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_ORIG_TD0 +CYDEV_PHUB_TDMEM102_ORIG_TD0 EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_ORIG_TD1 +CYDEV_PHUB_TDMEM102_ORIG_TD1 EQU 0x40007b34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_BASE +CYDEV_PHUB_TDMEM103_BASE EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_SIZE +CYDEV_PHUB_TDMEM103_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_ORIG_TD0 +CYDEV_PHUB_TDMEM103_ORIG_TD0 EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_ORIG_TD1 +CYDEV_PHUB_TDMEM103_ORIG_TD1 EQU 0x40007b3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_BASE +CYDEV_PHUB_TDMEM104_BASE EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_SIZE +CYDEV_PHUB_TDMEM104_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_ORIG_TD0 +CYDEV_PHUB_TDMEM104_ORIG_TD0 EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_ORIG_TD1 +CYDEV_PHUB_TDMEM104_ORIG_TD1 EQU 0x40007b44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_BASE +CYDEV_PHUB_TDMEM105_BASE EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_SIZE +CYDEV_PHUB_TDMEM105_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_ORIG_TD0 +CYDEV_PHUB_TDMEM105_ORIG_TD0 EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_ORIG_TD1 +CYDEV_PHUB_TDMEM105_ORIG_TD1 EQU 0x40007b4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_BASE +CYDEV_PHUB_TDMEM106_BASE EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_SIZE +CYDEV_PHUB_TDMEM106_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_ORIG_TD0 +CYDEV_PHUB_TDMEM106_ORIG_TD0 EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_ORIG_TD1 +CYDEV_PHUB_TDMEM106_ORIG_TD1 EQU 0x40007b54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_BASE +CYDEV_PHUB_TDMEM107_BASE EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_SIZE +CYDEV_PHUB_TDMEM107_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_ORIG_TD0 +CYDEV_PHUB_TDMEM107_ORIG_TD0 EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_ORIG_TD1 +CYDEV_PHUB_TDMEM107_ORIG_TD1 EQU 0x40007b5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_BASE +CYDEV_PHUB_TDMEM108_BASE EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_SIZE +CYDEV_PHUB_TDMEM108_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_ORIG_TD0 +CYDEV_PHUB_TDMEM108_ORIG_TD0 EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_ORIG_TD1 +CYDEV_PHUB_TDMEM108_ORIG_TD1 EQU 0x40007b64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_BASE +CYDEV_PHUB_TDMEM109_BASE EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_SIZE +CYDEV_PHUB_TDMEM109_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_ORIG_TD0 +CYDEV_PHUB_TDMEM109_ORIG_TD0 EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_ORIG_TD1 +CYDEV_PHUB_TDMEM109_ORIG_TD1 EQU 0x40007b6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_BASE +CYDEV_PHUB_TDMEM110_BASE EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_SIZE +CYDEV_PHUB_TDMEM110_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_ORIG_TD0 +CYDEV_PHUB_TDMEM110_ORIG_TD0 EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_ORIG_TD1 +CYDEV_PHUB_TDMEM110_ORIG_TD1 EQU 0x40007b74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_BASE +CYDEV_PHUB_TDMEM111_BASE EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_SIZE +CYDEV_PHUB_TDMEM111_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_ORIG_TD0 +CYDEV_PHUB_TDMEM111_ORIG_TD0 EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_ORIG_TD1 +CYDEV_PHUB_TDMEM111_ORIG_TD1 EQU 0x40007b7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_BASE +CYDEV_PHUB_TDMEM112_BASE EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_SIZE +CYDEV_PHUB_TDMEM112_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_ORIG_TD0 +CYDEV_PHUB_TDMEM112_ORIG_TD0 EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_ORIG_TD1 +CYDEV_PHUB_TDMEM112_ORIG_TD1 EQU 0x40007b84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_BASE +CYDEV_PHUB_TDMEM113_BASE EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_SIZE +CYDEV_PHUB_TDMEM113_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_ORIG_TD0 +CYDEV_PHUB_TDMEM113_ORIG_TD0 EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_ORIG_TD1 +CYDEV_PHUB_TDMEM113_ORIG_TD1 EQU 0x40007b8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_BASE +CYDEV_PHUB_TDMEM114_BASE EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_SIZE +CYDEV_PHUB_TDMEM114_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_ORIG_TD0 +CYDEV_PHUB_TDMEM114_ORIG_TD0 EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_ORIG_TD1 +CYDEV_PHUB_TDMEM114_ORIG_TD1 EQU 0x40007b94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_BASE +CYDEV_PHUB_TDMEM115_BASE EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_SIZE +CYDEV_PHUB_TDMEM115_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_ORIG_TD0 +CYDEV_PHUB_TDMEM115_ORIG_TD0 EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_ORIG_TD1 +CYDEV_PHUB_TDMEM115_ORIG_TD1 EQU 0x40007b9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_BASE +CYDEV_PHUB_TDMEM116_BASE EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_SIZE +CYDEV_PHUB_TDMEM116_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_ORIG_TD0 +CYDEV_PHUB_TDMEM116_ORIG_TD0 EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_ORIG_TD1 +CYDEV_PHUB_TDMEM116_ORIG_TD1 EQU 0x40007ba4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_BASE +CYDEV_PHUB_TDMEM117_BASE EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_SIZE +CYDEV_PHUB_TDMEM117_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_ORIG_TD0 +CYDEV_PHUB_TDMEM117_ORIG_TD0 EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_ORIG_TD1 +CYDEV_PHUB_TDMEM117_ORIG_TD1 EQU 0x40007bac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_BASE +CYDEV_PHUB_TDMEM118_BASE EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_SIZE +CYDEV_PHUB_TDMEM118_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_ORIG_TD0 +CYDEV_PHUB_TDMEM118_ORIG_TD0 EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_ORIG_TD1 +CYDEV_PHUB_TDMEM118_ORIG_TD1 EQU 0x40007bb4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_BASE +CYDEV_PHUB_TDMEM119_BASE EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_SIZE +CYDEV_PHUB_TDMEM119_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_ORIG_TD0 +CYDEV_PHUB_TDMEM119_ORIG_TD0 EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_ORIG_TD1 +CYDEV_PHUB_TDMEM119_ORIG_TD1 EQU 0x40007bbc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_BASE +CYDEV_PHUB_TDMEM120_BASE EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_SIZE +CYDEV_PHUB_TDMEM120_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_ORIG_TD0 +CYDEV_PHUB_TDMEM120_ORIG_TD0 EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_ORIG_TD1 +CYDEV_PHUB_TDMEM120_ORIG_TD1 EQU 0x40007bc4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_BASE +CYDEV_PHUB_TDMEM121_BASE EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_SIZE +CYDEV_PHUB_TDMEM121_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_ORIG_TD0 +CYDEV_PHUB_TDMEM121_ORIG_TD0 EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_ORIG_TD1 +CYDEV_PHUB_TDMEM121_ORIG_TD1 EQU 0x40007bcc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_BASE +CYDEV_PHUB_TDMEM122_BASE EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_SIZE +CYDEV_PHUB_TDMEM122_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_ORIG_TD0 +CYDEV_PHUB_TDMEM122_ORIG_TD0 EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_ORIG_TD1 +CYDEV_PHUB_TDMEM122_ORIG_TD1 EQU 0x40007bd4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_BASE +CYDEV_PHUB_TDMEM123_BASE EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_SIZE +CYDEV_PHUB_TDMEM123_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_ORIG_TD0 +CYDEV_PHUB_TDMEM123_ORIG_TD0 EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_ORIG_TD1 +CYDEV_PHUB_TDMEM123_ORIG_TD1 EQU 0x40007bdc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_BASE +CYDEV_PHUB_TDMEM124_BASE EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_SIZE +CYDEV_PHUB_TDMEM124_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_ORIG_TD0 +CYDEV_PHUB_TDMEM124_ORIG_TD0 EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_ORIG_TD1 +CYDEV_PHUB_TDMEM124_ORIG_TD1 EQU 0x40007be4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_BASE +CYDEV_PHUB_TDMEM125_BASE EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_SIZE +CYDEV_PHUB_TDMEM125_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_ORIG_TD0 +CYDEV_PHUB_TDMEM125_ORIG_TD0 EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_ORIG_TD1 +CYDEV_PHUB_TDMEM125_ORIG_TD1 EQU 0x40007bec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_BASE +CYDEV_PHUB_TDMEM126_BASE EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_SIZE +CYDEV_PHUB_TDMEM126_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_ORIG_TD0 +CYDEV_PHUB_TDMEM126_ORIG_TD0 EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_ORIG_TD1 +CYDEV_PHUB_TDMEM126_ORIG_TD1 EQU 0x40007bf4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_BASE +CYDEV_PHUB_TDMEM127_BASE EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_SIZE +CYDEV_PHUB_TDMEM127_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_ORIG_TD0 +CYDEV_PHUB_TDMEM127_ORIG_TD0 EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_ORIG_TD1 +CYDEV_PHUB_TDMEM127_ORIG_TD1 EQU 0x40007bfc + ENDIF + IF :LNOT::DEF:CYDEV_EE_BASE +CYDEV_EE_BASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_SIZE +CYDEV_EE_SIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_EE_DATA_MBASE +CYDEV_EE_DATA_MBASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_DATA_MSIZE +CYDEV_EE_DATA_MSIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_BASE +CYDEV_CAN0_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_SIZE +CYDEV_CAN0_SIZE EQU 0x000002a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BASE +CYDEV_CAN0_CSR_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_SIZE +CYDEV_CAN0_CSR_SIZE EQU 0x00000018 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_INT_SR +CYDEV_CAN0_CSR_INT_SR EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_INT_EN +CYDEV_CAN0_CSR_INT_EN EQU 0x4000a004 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BUF_SR +CYDEV_CAN0_CSR_BUF_SR EQU 0x4000a008 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_ERR_SR +CYDEV_CAN0_CSR_ERR_SR EQU 0x4000a00c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_CMD +CYDEV_CAN0_CSR_CMD EQU 0x4000a010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_CFG +CYDEV_CAN0_CSR_CFG EQU 0x4000a014 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_BASE +CYDEV_CAN0_TX0_BASE EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_SIZE +CYDEV_CAN0_TX0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_CMD +CYDEV_CAN0_TX0_CMD EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_ID +CYDEV_CAN0_TX0_ID EQU 0x4000a024 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_DH +CYDEV_CAN0_TX0_DH EQU 0x4000a028 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_DL +CYDEV_CAN0_TX0_DL EQU 0x4000a02c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_BASE +CYDEV_CAN0_TX1_BASE EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_SIZE +CYDEV_CAN0_TX1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_CMD +CYDEV_CAN0_TX1_CMD EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_ID +CYDEV_CAN0_TX1_ID EQU 0x4000a034 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_DH +CYDEV_CAN0_TX1_DH EQU 0x4000a038 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_DL +CYDEV_CAN0_TX1_DL EQU 0x4000a03c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_BASE +CYDEV_CAN0_TX2_BASE EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_SIZE +CYDEV_CAN0_TX2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_CMD +CYDEV_CAN0_TX2_CMD EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_ID +CYDEV_CAN0_TX2_ID EQU 0x4000a044 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_DH +CYDEV_CAN0_TX2_DH EQU 0x4000a048 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_DL +CYDEV_CAN0_TX2_DL EQU 0x4000a04c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_BASE +CYDEV_CAN0_TX3_BASE EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_SIZE +CYDEV_CAN0_TX3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_CMD +CYDEV_CAN0_TX3_CMD EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_ID +CYDEV_CAN0_TX3_ID EQU 0x4000a054 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_DH +CYDEV_CAN0_TX3_DH EQU 0x4000a058 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_DL +CYDEV_CAN0_TX3_DL EQU 0x4000a05c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_BASE +CYDEV_CAN0_TX4_BASE EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_SIZE +CYDEV_CAN0_TX4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_CMD +CYDEV_CAN0_TX4_CMD EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_ID +CYDEV_CAN0_TX4_ID EQU 0x4000a064 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_DH +CYDEV_CAN0_TX4_DH EQU 0x4000a068 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_DL +CYDEV_CAN0_TX4_DL EQU 0x4000a06c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_BASE +CYDEV_CAN0_TX5_BASE EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_SIZE +CYDEV_CAN0_TX5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_CMD +CYDEV_CAN0_TX5_CMD EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_ID +CYDEV_CAN0_TX5_ID EQU 0x4000a074 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_DH +CYDEV_CAN0_TX5_DH EQU 0x4000a078 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_DL +CYDEV_CAN0_TX5_DL EQU 0x4000a07c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_BASE +CYDEV_CAN0_TX6_BASE EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_SIZE +CYDEV_CAN0_TX6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_CMD +CYDEV_CAN0_TX6_CMD EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_ID +CYDEV_CAN0_TX6_ID EQU 0x4000a084 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_DH +CYDEV_CAN0_TX6_DH EQU 0x4000a088 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_DL +CYDEV_CAN0_TX6_DL EQU 0x4000a08c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_BASE +CYDEV_CAN0_TX7_BASE EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_SIZE +CYDEV_CAN0_TX7_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_CMD +CYDEV_CAN0_TX7_CMD EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_ID +CYDEV_CAN0_TX7_ID EQU 0x4000a094 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_DH +CYDEV_CAN0_TX7_DH EQU 0x4000a098 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_DL +CYDEV_CAN0_TX7_DL EQU 0x4000a09c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_BASE +CYDEV_CAN0_RX0_BASE EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_SIZE +CYDEV_CAN0_RX0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_CMD +CYDEV_CAN0_RX0_CMD EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ID +CYDEV_CAN0_RX0_ID EQU 0x4000a0a4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_DH +CYDEV_CAN0_RX0_DH EQU 0x4000a0a8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_DL +CYDEV_CAN0_RX0_DL EQU 0x4000a0ac + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_AMR +CYDEV_CAN0_RX0_AMR EQU 0x4000a0b0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ACR +CYDEV_CAN0_RX0_ACR EQU 0x4000a0b4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_AMRD +CYDEV_CAN0_RX0_AMRD EQU 0x4000a0b8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ACRD +CYDEV_CAN0_RX0_ACRD EQU 0x4000a0bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_BASE +CYDEV_CAN0_RX1_BASE EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_SIZE +CYDEV_CAN0_RX1_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_CMD +CYDEV_CAN0_RX1_CMD EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ID +CYDEV_CAN0_RX1_ID EQU 0x4000a0c4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_DH +CYDEV_CAN0_RX1_DH EQU 0x4000a0c8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_DL +CYDEV_CAN0_RX1_DL EQU 0x4000a0cc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_AMR +CYDEV_CAN0_RX1_AMR EQU 0x4000a0d0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ACR +CYDEV_CAN0_RX1_ACR EQU 0x4000a0d4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_AMRD +CYDEV_CAN0_RX1_AMRD EQU 0x4000a0d8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ACRD +CYDEV_CAN0_RX1_ACRD EQU 0x4000a0dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_BASE +CYDEV_CAN0_RX2_BASE EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_SIZE +CYDEV_CAN0_RX2_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_CMD +CYDEV_CAN0_RX2_CMD EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ID +CYDEV_CAN0_RX2_ID EQU 0x4000a0e4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_DH +CYDEV_CAN0_RX2_DH EQU 0x4000a0e8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_DL +CYDEV_CAN0_RX2_DL EQU 0x4000a0ec + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_AMR +CYDEV_CAN0_RX2_AMR EQU 0x4000a0f0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ACR +CYDEV_CAN0_RX2_ACR EQU 0x4000a0f4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_AMRD +CYDEV_CAN0_RX2_AMRD EQU 0x4000a0f8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ACRD +CYDEV_CAN0_RX2_ACRD EQU 0x4000a0fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_BASE +CYDEV_CAN0_RX3_BASE EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_SIZE +CYDEV_CAN0_RX3_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_CMD +CYDEV_CAN0_RX3_CMD EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ID +CYDEV_CAN0_RX3_ID EQU 0x4000a104 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_DH +CYDEV_CAN0_RX3_DH EQU 0x4000a108 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_DL +CYDEV_CAN0_RX3_DL EQU 0x4000a10c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_AMR +CYDEV_CAN0_RX3_AMR EQU 0x4000a110 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ACR +CYDEV_CAN0_RX3_ACR EQU 0x4000a114 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_AMRD +CYDEV_CAN0_RX3_AMRD EQU 0x4000a118 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ACRD +CYDEV_CAN0_RX3_ACRD EQU 0x4000a11c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_BASE +CYDEV_CAN0_RX4_BASE EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_SIZE +CYDEV_CAN0_RX4_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_CMD +CYDEV_CAN0_RX4_CMD EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ID +CYDEV_CAN0_RX4_ID EQU 0x4000a124 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_DH +CYDEV_CAN0_RX4_DH EQU 0x4000a128 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_DL +CYDEV_CAN0_RX4_DL EQU 0x4000a12c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_AMR +CYDEV_CAN0_RX4_AMR EQU 0x4000a130 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ACR +CYDEV_CAN0_RX4_ACR EQU 0x4000a134 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_AMRD +CYDEV_CAN0_RX4_AMRD EQU 0x4000a138 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ACRD +CYDEV_CAN0_RX4_ACRD EQU 0x4000a13c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_BASE +CYDEV_CAN0_RX5_BASE EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_SIZE +CYDEV_CAN0_RX5_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_CMD +CYDEV_CAN0_RX5_CMD EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ID +CYDEV_CAN0_RX5_ID EQU 0x4000a144 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_DH +CYDEV_CAN0_RX5_DH EQU 0x4000a148 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_DL +CYDEV_CAN0_RX5_DL EQU 0x4000a14c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_AMR +CYDEV_CAN0_RX5_AMR EQU 0x4000a150 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ACR +CYDEV_CAN0_RX5_ACR EQU 0x4000a154 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_AMRD +CYDEV_CAN0_RX5_AMRD EQU 0x4000a158 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ACRD +CYDEV_CAN0_RX5_ACRD EQU 0x4000a15c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_BASE +CYDEV_CAN0_RX6_BASE EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_SIZE +CYDEV_CAN0_RX6_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_CMD +CYDEV_CAN0_RX6_CMD EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ID +CYDEV_CAN0_RX6_ID EQU 0x4000a164 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_DH +CYDEV_CAN0_RX6_DH EQU 0x4000a168 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_DL +CYDEV_CAN0_RX6_DL EQU 0x4000a16c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_AMR +CYDEV_CAN0_RX6_AMR EQU 0x4000a170 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ACR +CYDEV_CAN0_RX6_ACR EQU 0x4000a174 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_AMRD +CYDEV_CAN0_RX6_AMRD EQU 0x4000a178 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ACRD +CYDEV_CAN0_RX6_ACRD EQU 0x4000a17c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_BASE +CYDEV_CAN0_RX7_BASE EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_SIZE +CYDEV_CAN0_RX7_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_CMD +CYDEV_CAN0_RX7_CMD EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ID +CYDEV_CAN0_RX7_ID EQU 0x4000a184 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_DH +CYDEV_CAN0_RX7_DH EQU 0x4000a188 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_DL +CYDEV_CAN0_RX7_DL EQU 0x4000a18c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_AMR +CYDEV_CAN0_RX7_AMR EQU 0x4000a190 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ACR +CYDEV_CAN0_RX7_ACR EQU 0x4000a194 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_AMRD +CYDEV_CAN0_RX7_AMRD EQU 0x4000a198 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ACRD +CYDEV_CAN0_RX7_ACRD EQU 0x4000a19c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_BASE +CYDEV_CAN0_RX8_BASE EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_SIZE +CYDEV_CAN0_RX8_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_CMD +CYDEV_CAN0_RX8_CMD EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ID +CYDEV_CAN0_RX8_ID EQU 0x4000a1a4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_DH +CYDEV_CAN0_RX8_DH EQU 0x4000a1a8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_DL +CYDEV_CAN0_RX8_DL EQU 0x4000a1ac + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_AMR +CYDEV_CAN0_RX8_AMR EQU 0x4000a1b0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ACR +CYDEV_CAN0_RX8_ACR EQU 0x4000a1b4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_AMRD +CYDEV_CAN0_RX8_AMRD EQU 0x4000a1b8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ACRD +CYDEV_CAN0_RX8_ACRD EQU 0x4000a1bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_BASE +CYDEV_CAN0_RX9_BASE EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_SIZE +CYDEV_CAN0_RX9_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_CMD +CYDEV_CAN0_RX9_CMD EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ID +CYDEV_CAN0_RX9_ID EQU 0x4000a1c4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_DH +CYDEV_CAN0_RX9_DH EQU 0x4000a1c8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_DL +CYDEV_CAN0_RX9_DL EQU 0x4000a1cc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_AMR +CYDEV_CAN0_RX9_AMR EQU 0x4000a1d0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ACR +CYDEV_CAN0_RX9_ACR EQU 0x4000a1d4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_AMRD +CYDEV_CAN0_RX9_AMRD EQU 0x4000a1d8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ACRD +CYDEV_CAN0_RX9_ACRD EQU 0x4000a1dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_BASE +CYDEV_CAN0_RX10_BASE EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_SIZE +CYDEV_CAN0_RX10_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_CMD +CYDEV_CAN0_RX10_CMD EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ID +CYDEV_CAN0_RX10_ID EQU 0x4000a1e4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_DH +CYDEV_CAN0_RX10_DH EQU 0x4000a1e8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_DL +CYDEV_CAN0_RX10_DL EQU 0x4000a1ec + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_AMR +CYDEV_CAN0_RX10_AMR EQU 0x4000a1f0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ACR +CYDEV_CAN0_RX10_ACR EQU 0x4000a1f4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_AMRD +CYDEV_CAN0_RX10_AMRD EQU 0x4000a1f8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ACRD +CYDEV_CAN0_RX10_ACRD EQU 0x4000a1fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_BASE +CYDEV_CAN0_RX11_BASE EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_SIZE +CYDEV_CAN0_RX11_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_CMD +CYDEV_CAN0_RX11_CMD EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ID +CYDEV_CAN0_RX11_ID EQU 0x4000a204 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_DH +CYDEV_CAN0_RX11_DH EQU 0x4000a208 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_DL +CYDEV_CAN0_RX11_DL EQU 0x4000a20c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_AMR +CYDEV_CAN0_RX11_AMR EQU 0x4000a210 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ACR +CYDEV_CAN0_RX11_ACR EQU 0x4000a214 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_AMRD +CYDEV_CAN0_RX11_AMRD EQU 0x4000a218 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ACRD +CYDEV_CAN0_RX11_ACRD EQU 0x4000a21c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_BASE +CYDEV_CAN0_RX12_BASE EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_SIZE +CYDEV_CAN0_RX12_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_CMD +CYDEV_CAN0_RX12_CMD EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ID +CYDEV_CAN0_RX12_ID EQU 0x4000a224 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_DH +CYDEV_CAN0_RX12_DH EQU 0x4000a228 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_DL +CYDEV_CAN0_RX12_DL EQU 0x4000a22c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_AMR +CYDEV_CAN0_RX12_AMR EQU 0x4000a230 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ACR +CYDEV_CAN0_RX12_ACR EQU 0x4000a234 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_AMRD +CYDEV_CAN0_RX12_AMRD EQU 0x4000a238 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ACRD +CYDEV_CAN0_RX12_ACRD EQU 0x4000a23c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_BASE +CYDEV_CAN0_RX13_BASE EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_SIZE +CYDEV_CAN0_RX13_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_CMD +CYDEV_CAN0_RX13_CMD EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ID +CYDEV_CAN0_RX13_ID EQU 0x4000a244 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_DH +CYDEV_CAN0_RX13_DH EQU 0x4000a248 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_DL +CYDEV_CAN0_RX13_DL EQU 0x4000a24c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_AMR +CYDEV_CAN0_RX13_AMR EQU 0x4000a250 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ACR +CYDEV_CAN0_RX13_ACR EQU 0x4000a254 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_AMRD +CYDEV_CAN0_RX13_AMRD EQU 0x4000a258 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ACRD +CYDEV_CAN0_RX13_ACRD EQU 0x4000a25c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_BASE +CYDEV_CAN0_RX14_BASE EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_SIZE +CYDEV_CAN0_RX14_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_CMD +CYDEV_CAN0_RX14_CMD EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ID +CYDEV_CAN0_RX14_ID EQU 0x4000a264 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_DH +CYDEV_CAN0_RX14_DH EQU 0x4000a268 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_DL +CYDEV_CAN0_RX14_DL EQU 0x4000a26c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_AMR +CYDEV_CAN0_RX14_AMR EQU 0x4000a270 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ACR +CYDEV_CAN0_RX14_ACR EQU 0x4000a274 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_AMRD +CYDEV_CAN0_RX14_AMRD EQU 0x4000a278 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ACRD +CYDEV_CAN0_RX14_ACRD EQU 0x4000a27c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_BASE +CYDEV_CAN0_RX15_BASE EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_SIZE +CYDEV_CAN0_RX15_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_CMD +CYDEV_CAN0_RX15_CMD EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ID +CYDEV_CAN0_RX15_ID EQU 0x4000a284 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_DH +CYDEV_CAN0_RX15_DH EQU 0x4000a288 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_DL +CYDEV_CAN0_RX15_DL EQU 0x4000a28c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_AMR +CYDEV_CAN0_RX15_AMR EQU 0x4000a290 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ACR +CYDEV_CAN0_RX15_ACR EQU 0x4000a294 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_AMRD +CYDEV_CAN0_RX15_AMRD EQU 0x4000a298 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ACRD +CYDEV_CAN0_RX15_ACRD EQU 0x4000a29c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_BASE +CYDEV_DFB0_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SIZE +CYDEV_DFB0_SIZE EQU 0x000007b5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_BASE +CYDEV_DFB0_DPA_SRAM_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_SIZE +CYDEV_DFB0_DPA_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_DATA_MBASE +CYDEV_DFB0_DPA_SRAM_DATA_MBASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_DATA_MSIZE +CYDEV_DFB0_DPA_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_BASE +CYDEV_DFB0_DPB_SRAM_BASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_SIZE +CYDEV_DFB0_DPB_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_DATA_MBASE +CYDEV_DFB0_DPB_SRAM_DATA_MBASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_DATA_MSIZE +CYDEV_DFB0_DPB_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_BASE +CYDEV_DFB0_CSA_SRAM_BASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_SIZE +CYDEV_DFB0_CSA_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_DATA_MBASE +CYDEV_DFB0_CSA_SRAM_DATA_MBASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_DATA_MSIZE +CYDEV_DFB0_CSA_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_BASE +CYDEV_DFB0_CSB_SRAM_BASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_SIZE +CYDEV_DFB0_CSB_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_DATA_MBASE +CYDEV_DFB0_CSB_SRAM_DATA_MBASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_DATA_MSIZE +CYDEV_DFB0_CSB_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_BASE +CYDEV_DFB0_FSM_SRAM_BASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_SIZE +CYDEV_DFB0_FSM_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_DATA_MBASE +CYDEV_DFB0_FSM_SRAM_DATA_MBASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_DATA_MSIZE +CYDEV_DFB0_FSM_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_BASE +CYDEV_DFB0_ACU_SRAM_BASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_SIZE +CYDEV_DFB0_ACU_SRAM_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_DATA_MBASE +CYDEV_DFB0_ACU_SRAM_DATA_MBASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_DATA_MSIZE +CYDEV_DFB0_ACU_SRAM_DATA_MSIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CR +CYDEV_DFB0_CR EQU 0x4000c780 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SR +CYDEV_DFB0_SR EQU 0x4000c784 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_RAM_EN +CYDEV_DFB0_RAM_EN EQU 0x4000c788 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_RAM_DIR +CYDEV_DFB0_RAM_DIR EQU 0x4000c78c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SEMA +CYDEV_DFB0_SEMA EQU 0x4000c790 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DSI_CTRL +CYDEV_DFB0_DSI_CTRL EQU 0x4000c794 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_INT_CTRL +CYDEV_DFB0_INT_CTRL EQU 0x4000c798 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DMA_CTRL +CYDEV_DFB0_DMA_CTRL EQU 0x4000c79c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEA +CYDEV_DFB0_STAGEA EQU 0x4000c7a0 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEAM +CYDEV_DFB0_STAGEAM EQU 0x4000c7a1 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEAH +CYDEV_DFB0_STAGEAH EQU 0x4000c7a2 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEB +CYDEV_DFB0_STAGEB EQU 0x4000c7a4 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEBM +CYDEV_DFB0_STAGEBM EQU 0x4000c7a5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEBH +CYDEV_DFB0_STAGEBH EQU 0x4000c7a6 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDA +CYDEV_DFB0_HOLDA EQU 0x4000c7a8 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAM +CYDEV_DFB0_HOLDAM EQU 0x4000c7a9 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAH +CYDEV_DFB0_HOLDAH EQU 0x4000c7aa + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAS +CYDEV_DFB0_HOLDAS EQU 0x4000c7ab + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDB +CYDEV_DFB0_HOLDB EQU 0x4000c7ac + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBM +CYDEV_DFB0_HOLDBM EQU 0x4000c7ad + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBH +CYDEV_DFB0_HOLDBH EQU 0x4000c7ae + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBS +CYDEV_DFB0_HOLDBS EQU 0x4000c7af + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_COHER +CYDEV_DFB0_COHER EQU 0x4000c7b0 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DALIGN +CYDEV_DFB0_DALIGN EQU 0x4000c7b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BASE +CYDEV_UCFG_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_SIZE +CYDEV_UCFG_SIZE EQU 0x00005040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_BASE +CYDEV_UCFG_B0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_SIZE +CYDEV_UCFG_B0_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_BASE +CYDEV_UCFG_B0_P0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_SIZE +CYDEV_UCFG_B0_P0_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_BASE +CYDEV_UCFG_B0_P0_U0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_SIZE +CYDEV_UCFG_B0_P0_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT0 +CYDEV_UCFG_B0_P0_U0_PLD_IT0 EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT1 +CYDEV_UCFG_B0_P0_U0_PLD_IT1 EQU 0x40010004 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT2 +CYDEV_UCFG_B0_P0_U0_PLD_IT2 EQU 0x40010008 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT3 +CYDEV_UCFG_B0_P0_U0_PLD_IT3 EQU 0x4001000c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT4 +CYDEV_UCFG_B0_P0_U0_PLD_IT4 EQU 0x40010010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT5 +CYDEV_UCFG_B0_P0_U0_PLD_IT5 EQU 0x40010014 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT6 +CYDEV_UCFG_B0_P0_U0_PLD_IT6 EQU 0x40010018 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT7 +CYDEV_UCFG_B0_P0_U0_PLD_IT7 EQU 0x4001001c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT8 +CYDEV_UCFG_B0_P0_U0_PLD_IT8 EQU 0x40010020 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT9 +CYDEV_UCFG_B0_P0_U0_PLD_IT9 EQU 0x40010024 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT10 +CYDEV_UCFG_B0_P0_U0_PLD_IT10 EQU 0x40010028 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT11 +CYDEV_UCFG_B0_P0_U0_PLD_IT11 EQU 0x4001002c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT0 +CYDEV_UCFG_B0_P0_U0_PLD_ORT0 EQU 0x40010030 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT1 +CYDEV_UCFG_B0_P0_U0_PLD_ORT1 EQU 0x40010032 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT2 +CYDEV_UCFG_B0_P0_U0_PLD_ORT2 EQU 0x40010034 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT3 +CYDEV_UCFG_B0_P0_U0_PLD_ORT3 EQU 0x40010036 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST EQU 0x40010038 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB EQU 0x4001003a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET EQU 0x4001003c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS EQU 0x4001003e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG0 +CYDEV_UCFG_B0_P0_U0_CFG0 EQU 0x40010040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG1 +CYDEV_UCFG_B0_P0_U0_CFG1 EQU 0x40010041 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG2 +CYDEV_UCFG_B0_P0_U0_CFG2 EQU 0x40010042 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG3 +CYDEV_UCFG_B0_P0_U0_CFG3 EQU 0x40010043 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG4 +CYDEV_UCFG_B0_P0_U0_CFG4 EQU 0x40010044 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG5 +CYDEV_UCFG_B0_P0_U0_CFG5 EQU 0x40010045 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG6 +CYDEV_UCFG_B0_P0_U0_CFG6 EQU 0x40010046 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG7 +CYDEV_UCFG_B0_P0_U0_CFG7 EQU 0x40010047 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG8 +CYDEV_UCFG_B0_P0_U0_CFG8 EQU 0x40010048 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG9 +CYDEV_UCFG_B0_P0_U0_CFG9 EQU 0x40010049 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG10 +CYDEV_UCFG_B0_P0_U0_CFG10 EQU 0x4001004a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG11 +CYDEV_UCFG_B0_P0_U0_CFG11 EQU 0x4001004b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG12 +CYDEV_UCFG_B0_P0_U0_CFG12 EQU 0x4001004c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG13 +CYDEV_UCFG_B0_P0_U0_CFG13 EQU 0x4001004d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG14 +CYDEV_UCFG_B0_P0_U0_CFG14 EQU 0x4001004e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG15 +CYDEV_UCFG_B0_P0_U0_CFG15 EQU 0x4001004f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG16 +CYDEV_UCFG_B0_P0_U0_CFG16 EQU 0x40010050 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG17 +CYDEV_UCFG_B0_P0_U0_CFG17 EQU 0x40010051 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG18 +CYDEV_UCFG_B0_P0_U0_CFG18 EQU 0x40010052 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG19 +CYDEV_UCFG_B0_P0_U0_CFG19 EQU 0x40010053 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG20 +CYDEV_UCFG_B0_P0_U0_CFG20 EQU 0x40010054 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG21 +CYDEV_UCFG_B0_P0_U0_CFG21 EQU 0x40010055 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG22 +CYDEV_UCFG_B0_P0_U0_CFG22 EQU 0x40010056 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG23 +CYDEV_UCFG_B0_P0_U0_CFG23 EQU 0x40010057 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG24 +CYDEV_UCFG_B0_P0_U0_CFG24 EQU 0x40010058 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG25 +CYDEV_UCFG_B0_P0_U0_CFG25 EQU 0x40010059 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG26 +CYDEV_UCFG_B0_P0_U0_CFG26 EQU 0x4001005a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG27 +CYDEV_UCFG_B0_P0_U0_CFG27 EQU 0x4001005b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG28 +CYDEV_UCFG_B0_P0_U0_CFG28 EQU 0x4001005c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG29 +CYDEV_UCFG_B0_P0_U0_CFG29 EQU 0x4001005d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG30 +CYDEV_UCFG_B0_P0_U0_CFG30 EQU 0x4001005e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG31 +CYDEV_UCFG_B0_P0_U0_CFG31 EQU 0x4001005f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG0 +CYDEV_UCFG_B0_P0_U0_DCFG0 EQU 0x40010060 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG1 +CYDEV_UCFG_B0_P0_U0_DCFG1 EQU 0x40010062 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG2 +CYDEV_UCFG_B0_P0_U0_DCFG2 EQU 0x40010064 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG3 +CYDEV_UCFG_B0_P0_U0_DCFG3 EQU 0x40010066 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG4 +CYDEV_UCFG_B0_P0_U0_DCFG4 EQU 0x40010068 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG5 +CYDEV_UCFG_B0_P0_U0_DCFG5 EQU 0x4001006a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG6 +CYDEV_UCFG_B0_P0_U0_DCFG6 EQU 0x4001006c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG7 +CYDEV_UCFG_B0_P0_U0_DCFG7 EQU 0x4001006e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_BASE +CYDEV_UCFG_B0_P0_U1_BASE EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_SIZE +CYDEV_UCFG_B0_P0_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT0 +CYDEV_UCFG_B0_P0_U1_PLD_IT0 EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT1 +CYDEV_UCFG_B0_P0_U1_PLD_IT1 EQU 0x40010084 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT2 +CYDEV_UCFG_B0_P0_U1_PLD_IT2 EQU 0x40010088 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT3 +CYDEV_UCFG_B0_P0_U1_PLD_IT3 EQU 0x4001008c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT4 +CYDEV_UCFG_B0_P0_U1_PLD_IT4 EQU 0x40010090 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT5 +CYDEV_UCFG_B0_P0_U1_PLD_IT5 EQU 0x40010094 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT6 +CYDEV_UCFG_B0_P0_U1_PLD_IT6 EQU 0x40010098 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT7 +CYDEV_UCFG_B0_P0_U1_PLD_IT7 EQU 0x4001009c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT8 +CYDEV_UCFG_B0_P0_U1_PLD_IT8 EQU 0x400100a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT9 +CYDEV_UCFG_B0_P0_U1_PLD_IT9 EQU 0x400100a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT10 +CYDEV_UCFG_B0_P0_U1_PLD_IT10 EQU 0x400100a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT11 +CYDEV_UCFG_B0_P0_U1_PLD_IT11 EQU 0x400100ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT0 +CYDEV_UCFG_B0_P0_U1_PLD_ORT0 EQU 0x400100b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT1 +CYDEV_UCFG_B0_P0_U1_PLD_ORT1 EQU 0x400100b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT2 +CYDEV_UCFG_B0_P0_U1_PLD_ORT2 EQU 0x400100b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT3 +CYDEV_UCFG_B0_P0_U1_PLD_ORT3 EQU 0x400100b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST EQU 0x400100b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB EQU 0x400100ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET EQU 0x400100bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS EQU 0x400100be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG0 +CYDEV_UCFG_B0_P0_U1_CFG0 EQU 0x400100c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG1 +CYDEV_UCFG_B0_P0_U1_CFG1 EQU 0x400100c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG2 +CYDEV_UCFG_B0_P0_U1_CFG2 EQU 0x400100c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG3 +CYDEV_UCFG_B0_P0_U1_CFG3 EQU 0x400100c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG4 +CYDEV_UCFG_B0_P0_U1_CFG4 EQU 0x400100c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG5 +CYDEV_UCFG_B0_P0_U1_CFG5 EQU 0x400100c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG6 +CYDEV_UCFG_B0_P0_U1_CFG6 EQU 0x400100c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG7 +CYDEV_UCFG_B0_P0_U1_CFG7 EQU 0x400100c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG8 +CYDEV_UCFG_B0_P0_U1_CFG8 EQU 0x400100c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG9 +CYDEV_UCFG_B0_P0_U1_CFG9 EQU 0x400100c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG10 +CYDEV_UCFG_B0_P0_U1_CFG10 EQU 0x400100ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG11 +CYDEV_UCFG_B0_P0_U1_CFG11 EQU 0x400100cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG12 +CYDEV_UCFG_B0_P0_U1_CFG12 EQU 0x400100cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG13 +CYDEV_UCFG_B0_P0_U1_CFG13 EQU 0x400100cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG14 +CYDEV_UCFG_B0_P0_U1_CFG14 EQU 0x400100ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG15 +CYDEV_UCFG_B0_P0_U1_CFG15 EQU 0x400100cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG16 +CYDEV_UCFG_B0_P0_U1_CFG16 EQU 0x400100d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG17 +CYDEV_UCFG_B0_P0_U1_CFG17 EQU 0x400100d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG18 +CYDEV_UCFG_B0_P0_U1_CFG18 EQU 0x400100d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG19 +CYDEV_UCFG_B0_P0_U1_CFG19 EQU 0x400100d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG20 +CYDEV_UCFG_B0_P0_U1_CFG20 EQU 0x400100d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG21 +CYDEV_UCFG_B0_P0_U1_CFG21 EQU 0x400100d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG22 +CYDEV_UCFG_B0_P0_U1_CFG22 EQU 0x400100d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG23 +CYDEV_UCFG_B0_P0_U1_CFG23 EQU 0x400100d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG24 +CYDEV_UCFG_B0_P0_U1_CFG24 EQU 0x400100d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG25 +CYDEV_UCFG_B0_P0_U1_CFG25 EQU 0x400100d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG26 +CYDEV_UCFG_B0_P0_U1_CFG26 EQU 0x400100da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG27 +CYDEV_UCFG_B0_P0_U1_CFG27 EQU 0x400100db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG28 +CYDEV_UCFG_B0_P0_U1_CFG28 EQU 0x400100dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG29 +CYDEV_UCFG_B0_P0_U1_CFG29 EQU 0x400100dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG30 +CYDEV_UCFG_B0_P0_U1_CFG30 EQU 0x400100de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG31 +CYDEV_UCFG_B0_P0_U1_CFG31 EQU 0x400100df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG0 +CYDEV_UCFG_B0_P0_U1_DCFG0 EQU 0x400100e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG1 +CYDEV_UCFG_B0_P0_U1_DCFG1 EQU 0x400100e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG2 +CYDEV_UCFG_B0_P0_U1_DCFG2 EQU 0x400100e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG3 +CYDEV_UCFG_B0_P0_U1_DCFG3 EQU 0x400100e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG4 +CYDEV_UCFG_B0_P0_U1_DCFG4 EQU 0x400100e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG5 +CYDEV_UCFG_B0_P0_U1_DCFG5 EQU 0x400100ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG6 +CYDEV_UCFG_B0_P0_U1_DCFG6 EQU 0x400100ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG7 +CYDEV_UCFG_B0_P0_U1_DCFG7 EQU 0x400100ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_BASE +CYDEV_UCFG_B0_P0_ROUTE_BASE EQU 0x40010100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_SIZE +CYDEV_UCFG_B0_P0_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_BASE +CYDEV_UCFG_B0_P1_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_SIZE +CYDEV_UCFG_B0_P1_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_BASE +CYDEV_UCFG_B0_P1_U0_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_SIZE +CYDEV_UCFG_B0_P1_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT0 +CYDEV_UCFG_B0_P1_U0_PLD_IT0 EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT1 +CYDEV_UCFG_B0_P1_U0_PLD_IT1 EQU 0x40010204 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT2 +CYDEV_UCFG_B0_P1_U0_PLD_IT2 EQU 0x40010208 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT3 +CYDEV_UCFG_B0_P1_U0_PLD_IT3 EQU 0x4001020c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT4 +CYDEV_UCFG_B0_P1_U0_PLD_IT4 EQU 0x40010210 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT5 +CYDEV_UCFG_B0_P1_U0_PLD_IT5 EQU 0x40010214 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT6 +CYDEV_UCFG_B0_P1_U0_PLD_IT6 EQU 0x40010218 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT7 +CYDEV_UCFG_B0_P1_U0_PLD_IT7 EQU 0x4001021c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT8 +CYDEV_UCFG_B0_P1_U0_PLD_IT8 EQU 0x40010220 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT9 +CYDEV_UCFG_B0_P1_U0_PLD_IT9 EQU 0x40010224 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT10 +CYDEV_UCFG_B0_P1_U0_PLD_IT10 EQU 0x40010228 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT11 +CYDEV_UCFG_B0_P1_U0_PLD_IT11 EQU 0x4001022c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT0 +CYDEV_UCFG_B0_P1_U0_PLD_ORT0 EQU 0x40010230 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT1 +CYDEV_UCFG_B0_P1_U0_PLD_ORT1 EQU 0x40010232 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT2 +CYDEV_UCFG_B0_P1_U0_PLD_ORT2 EQU 0x40010234 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT3 +CYDEV_UCFG_B0_P1_U0_PLD_ORT3 EQU 0x40010236 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST EQU 0x40010238 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB EQU 0x4001023a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET EQU 0x4001023c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS EQU 0x4001023e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG0 +CYDEV_UCFG_B0_P1_U0_CFG0 EQU 0x40010240 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG1 +CYDEV_UCFG_B0_P1_U0_CFG1 EQU 0x40010241 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG2 +CYDEV_UCFG_B0_P1_U0_CFG2 EQU 0x40010242 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG3 +CYDEV_UCFG_B0_P1_U0_CFG3 EQU 0x40010243 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG4 +CYDEV_UCFG_B0_P1_U0_CFG4 EQU 0x40010244 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG5 +CYDEV_UCFG_B0_P1_U0_CFG5 EQU 0x40010245 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG6 +CYDEV_UCFG_B0_P1_U0_CFG6 EQU 0x40010246 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG7 +CYDEV_UCFG_B0_P1_U0_CFG7 EQU 0x40010247 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG8 +CYDEV_UCFG_B0_P1_U0_CFG8 EQU 0x40010248 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG9 +CYDEV_UCFG_B0_P1_U0_CFG9 EQU 0x40010249 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG10 +CYDEV_UCFG_B0_P1_U0_CFG10 EQU 0x4001024a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG11 +CYDEV_UCFG_B0_P1_U0_CFG11 EQU 0x4001024b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG12 +CYDEV_UCFG_B0_P1_U0_CFG12 EQU 0x4001024c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG13 +CYDEV_UCFG_B0_P1_U0_CFG13 EQU 0x4001024d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG14 +CYDEV_UCFG_B0_P1_U0_CFG14 EQU 0x4001024e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG15 +CYDEV_UCFG_B0_P1_U0_CFG15 EQU 0x4001024f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG16 +CYDEV_UCFG_B0_P1_U0_CFG16 EQU 0x40010250 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG17 +CYDEV_UCFG_B0_P1_U0_CFG17 EQU 0x40010251 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG18 +CYDEV_UCFG_B0_P1_U0_CFG18 EQU 0x40010252 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG19 +CYDEV_UCFG_B0_P1_U0_CFG19 EQU 0x40010253 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG20 +CYDEV_UCFG_B0_P1_U0_CFG20 EQU 0x40010254 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG21 +CYDEV_UCFG_B0_P1_U0_CFG21 EQU 0x40010255 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG22 +CYDEV_UCFG_B0_P1_U0_CFG22 EQU 0x40010256 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG23 +CYDEV_UCFG_B0_P1_U0_CFG23 EQU 0x40010257 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG24 +CYDEV_UCFG_B0_P1_U0_CFG24 EQU 0x40010258 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG25 +CYDEV_UCFG_B0_P1_U0_CFG25 EQU 0x40010259 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG26 +CYDEV_UCFG_B0_P1_U0_CFG26 EQU 0x4001025a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG27 +CYDEV_UCFG_B0_P1_U0_CFG27 EQU 0x4001025b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG28 +CYDEV_UCFG_B0_P1_U0_CFG28 EQU 0x4001025c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG29 +CYDEV_UCFG_B0_P1_U0_CFG29 EQU 0x4001025d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG30 +CYDEV_UCFG_B0_P1_U0_CFG30 EQU 0x4001025e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG31 +CYDEV_UCFG_B0_P1_U0_CFG31 EQU 0x4001025f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG0 +CYDEV_UCFG_B0_P1_U0_DCFG0 EQU 0x40010260 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG1 +CYDEV_UCFG_B0_P1_U0_DCFG1 EQU 0x40010262 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG2 +CYDEV_UCFG_B0_P1_U0_DCFG2 EQU 0x40010264 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG3 +CYDEV_UCFG_B0_P1_U0_DCFG3 EQU 0x40010266 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG4 +CYDEV_UCFG_B0_P1_U0_DCFG4 EQU 0x40010268 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG5 +CYDEV_UCFG_B0_P1_U0_DCFG5 EQU 0x4001026a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG6 +CYDEV_UCFG_B0_P1_U0_DCFG6 EQU 0x4001026c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG7 +CYDEV_UCFG_B0_P1_U0_DCFG7 EQU 0x4001026e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_BASE +CYDEV_UCFG_B0_P1_U1_BASE EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_SIZE +CYDEV_UCFG_B0_P1_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT0 +CYDEV_UCFG_B0_P1_U1_PLD_IT0 EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT1 +CYDEV_UCFG_B0_P1_U1_PLD_IT1 EQU 0x40010284 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT2 +CYDEV_UCFG_B0_P1_U1_PLD_IT2 EQU 0x40010288 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT3 +CYDEV_UCFG_B0_P1_U1_PLD_IT3 EQU 0x4001028c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT4 +CYDEV_UCFG_B0_P1_U1_PLD_IT4 EQU 0x40010290 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT5 +CYDEV_UCFG_B0_P1_U1_PLD_IT5 EQU 0x40010294 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT6 +CYDEV_UCFG_B0_P1_U1_PLD_IT6 EQU 0x40010298 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT7 +CYDEV_UCFG_B0_P1_U1_PLD_IT7 EQU 0x4001029c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT8 +CYDEV_UCFG_B0_P1_U1_PLD_IT8 EQU 0x400102a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT9 +CYDEV_UCFG_B0_P1_U1_PLD_IT9 EQU 0x400102a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT10 +CYDEV_UCFG_B0_P1_U1_PLD_IT10 EQU 0x400102a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT11 +CYDEV_UCFG_B0_P1_U1_PLD_IT11 EQU 0x400102ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT0 +CYDEV_UCFG_B0_P1_U1_PLD_ORT0 EQU 0x400102b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT1 +CYDEV_UCFG_B0_P1_U1_PLD_ORT1 EQU 0x400102b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT2 +CYDEV_UCFG_B0_P1_U1_PLD_ORT2 EQU 0x400102b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT3 +CYDEV_UCFG_B0_P1_U1_PLD_ORT3 EQU 0x400102b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST EQU 0x400102b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB EQU 0x400102ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET EQU 0x400102bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS EQU 0x400102be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG0 +CYDEV_UCFG_B0_P1_U1_CFG0 EQU 0x400102c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG1 +CYDEV_UCFG_B0_P1_U1_CFG1 EQU 0x400102c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG2 +CYDEV_UCFG_B0_P1_U1_CFG2 EQU 0x400102c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG3 +CYDEV_UCFG_B0_P1_U1_CFG3 EQU 0x400102c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG4 +CYDEV_UCFG_B0_P1_U1_CFG4 EQU 0x400102c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG5 +CYDEV_UCFG_B0_P1_U1_CFG5 EQU 0x400102c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG6 +CYDEV_UCFG_B0_P1_U1_CFG6 EQU 0x400102c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG7 +CYDEV_UCFG_B0_P1_U1_CFG7 EQU 0x400102c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG8 +CYDEV_UCFG_B0_P1_U1_CFG8 EQU 0x400102c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG9 +CYDEV_UCFG_B0_P1_U1_CFG9 EQU 0x400102c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG10 +CYDEV_UCFG_B0_P1_U1_CFG10 EQU 0x400102ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG11 +CYDEV_UCFG_B0_P1_U1_CFG11 EQU 0x400102cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG12 +CYDEV_UCFG_B0_P1_U1_CFG12 EQU 0x400102cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG13 +CYDEV_UCFG_B0_P1_U1_CFG13 EQU 0x400102cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG14 +CYDEV_UCFG_B0_P1_U1_CFG14 EQU 0x400102ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG15 +CYDEV_UCFG_B0_P1_U1_CFG15 EQU 0x400102cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG16 +CYDEV_UCFG_B0_P1_U1_CFG16 EQU 0x400102d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG17 +CYDEV_UCFG_B0_P1_U1_CFG17 EQU 0x400102d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG18 +CYDEV_UCFG_B0_P1_U1_CFG18 EQU 0x400102d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG19 +CYDEV_UCFG_B0_P1_U1_CFG19 EQU 0x400102d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG20 +CYDEV_UCFG_B0_P1_U1_CFG20 EQU 0x400102d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG21 +CYDEV_UCFG_B0_P1_U1_CFG21 EQU 0x400102d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG22 +CYDEV_UCFG_B0_P1_U1_CFG22 EQU 0x400102d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG23 +CYDEV_UCFG_B0_P1_U1_CFG23 EQU 0x400102d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG24 +CYDEV_UCFG_B0_P1_U1_CFG24 EQU 0x400102d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG25 +CYDEV_UCFG_B0_P1_U1_CFG25 EQU 0x400102d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG26 +CYDEV_UCFG_B0_P1_U1_CFG26 EQU 0x400102da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG27 +CYDEV_UCFG_B0_P1_U1_CFG27 EQU 0x400102db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG28 +CYDEV_UCFG_B0_P1_U1_CFG28 EQU 0x400102dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG29 +CYDEV_UCFG_B0_P1_U1_CFG29 EQU 0x400102dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG30 +CYDEV_UCFG_B0_P1_U1_CFG30 EQU 0x400102de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG31 +CYDEV_UCFG_B0_P1_U1_CFG31 EQU 0x400102df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG0 +CYDEV_UCFG_B0_P1_U1_DCFG0 EQU 0x400102e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG1 +CYDEV_UCFG_B0_P1_U1_DCFG1 EQU 0x400102e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG2 +CYDEV_UCFG_B0_P1_U1_DCFG2 EQU 0x400102e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG3 +CYDEV_UCFG_B0_P1_U1_DCFG3 EQU 0x400102e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG4 +CYDEV_UCFG_B0_P1_U1_DCFG4 EQU 0x400102e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG5 +CYDEV_UCFG_B0_P1_U1_DCFG5 EQU 0x400102ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG6 +CYDEV_UCFG_B0_P1_U1_DCFG6 EQU 0x400102ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG7 +CYDEV_UCFG_B0_P1_U1_DCFG7 EQU 0x400102ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_BASE +CYDEV_UCFG_B0_P1_ROUTE_BASE EQU 0x40010300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_SIZE +CYDEV_UCFG_B0_P1_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_BASE +CYDEV_UCFG_B0_P2_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_SIZE +CYDEV_UCFG_B0_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_BASE +CYDEV_UCFG_B0_P2_U0_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_SIZE +CYDEV_UCFG_B0_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT0 +CYDEV_UCFG_B0_P2_U0_PLD_IT0 EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT1 +CYDEV_UCFG_B0_P2_U0_PLD_IT1 EQU 0x40010404 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT2 +CYDEV_UCFG_B0_P2_U0_PLD_IT2 EQU 0x40010408 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT3 +CYDEV_UCFG_B0_P2_U0_PLD_IT3 EQU 0x4001040c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT4 +CYDEV_UCFG_B0_P2_U0_PLD_IT4 EQU 0x40010410 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT5 +CYDEV_UCFG_B0_P2_U0_PLD_IT5 EQU 0x40010414 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT6 +CYDEV_UCFG_B0_P2_U0_PLD_IT6 EQU 0x40010418 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT7 +CYDEV_UCFG_B0_P2_U0_PLD_IT7 EQU 0x4001041c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT8 +CYDEV_UCFG_B0_P2_U0_PLD_IT8 EQU 0x40010420 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT9 +CYDEV_UCFG_B0_P2_U0_PLD_IT9 EQU 0x40010424 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT10 +CYDEV_UCFG_B0_P2_U0_PLD_IT10 EQU 0x40010428 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT11 +CYDEV_UCFG_B0_P2_U0_PLD_IT11 EQU 0x4001042c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT0 +CYDEV_UCFG_B0_P2_U0_PLD_ORT0 EQU 0x40010430 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT1 +CYDEV_UCFG_B0_P2_U0_PLD_ORT1 EQU 0x40010432 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT2 +CYDEV_UCFG_B0_P2_U0_PLD_ORT2 EQU 0x40010434 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT3 +CYDEV_UCFG_B0_P2_U0_PLD_ORT3 EQU 0x40010436 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST EQU 0x40010438 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB EQU 0x4001043a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET EQU 0x4001043c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS EQU 0x4001043e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG0 +CYDEV_UCFG_B0_P2_U0_CFG0 EQU 0x40010440 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG1 +CYDEV_UCFG_B0_P2_U0_CFG1 EQU 0x40010441 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG2 +CYDEV_UCFG_B0_P2_U0_CFG2 EQU 0x40010442 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG3 +CYDEV_UCFG_B0_P2_U0_CFG3 EQU 0x40010443 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG4 +CYDEV_UCFG_B0_P2_U0_CFG4 EQU 0x40010444 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG5 +CYDEV_UCFG_B0_P2_U0_CFG5 EQU 0x40010445 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG6 +CYDEV_UCFG_B0_P2_U0_CFG6 EQU 0x40010446 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG7 +CYDEV_UCFG_B0_P2_U0_CFG7 EQU 0x40010447 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG8 +CYDEV_UCFG_B0_P2_U0_CFG8 EQU 0x40010448 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG9 +CYDEV_UCFG_B0_P2_U0_CFG9 EQU 0x40010449 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG10 +CYDEV_UCFG_B0_P2_U0_CFG10 EQU 0x4001044a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG11 +CYDEV_UCFG_B0_P2_U0_CFG11 EQU 0x4001044b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG12 +CYDEV_UCFG_B0_P2_U0_CFG12 EQU 0x4001044c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG13 +CYDEV_UCFG_B0_P2_U0_CFG13 EQU 0x4001044d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG14 +CYDEV_UCFG_B0_P2_U0_CFG14 EQU 0x4001044e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG15 +CYDEV_UCFG_B0_P2_U0_CFG15 EQU 0x4001044f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG16 +CYDEV_UCFG_B0_P2_U0_CFG16 EQU 0x40010450 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG17 +CYDEV_UCFG_B0_P2_U0_CFG17 EQU 0x40010451 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG18 +CYDEV_UCFG_B0_P2_U0_CFG18 EQU 0x40010452 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG19 +CYDEV_UCFG_B0_P2_U0_CFG19 EQU 0x40010453 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG20 +CYDEV_UCFG_B0_P2_U0_CFG20 EQU 0x40010454 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG21 +CYDEV_UCFG_B0_P2_U0_CFG21 EQU 0x40010455 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG22 +CYDEV_UCFG_B0_P2_U0_CFG22 EQU 0x40010456 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG23 +CYDEV_UCFG_B0_P2_U0_CFG23 EQU 0x40010457 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG24 +CYDEV_UCFG_B0_P2_U0_CFG24 EQU 0x40010458 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG25 +CYDEV_UCFG_B0_P2_U0_CFG25 EQU 0x40010459 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG26 +CYDEV_UCFG_B0_P2_U0_CFG26 EQU 0x4001045a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG27 +CYDEV_UCFG_B0_P2_U0_CFG27 EQU 0x4001045b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG28 +CYDEV_UCFG_B0_P2_U0_CFG28 EQU 0x4001045c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG29 +CYDEV_UCFG_B0_P2_U0_CFG29 EQU 0x4001045d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG30 +CYDEV_UCFG_B0_P2_U0_CFG30 EQU 0x4001045e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG31 +CYDEV_UCFG_B0_P2_U0_CFG31 EQU 0x4001045f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG0 +CYDEV_UCFG_B0_P2_U0_DCFG0 EQU 0x40010460 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG1 +CYDEV_UCFG_B0_P2_U0_DCFG1 EQU 0x40010462 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG2 +CYDEV_UCFG_B0_P2_U0_DCFG2 EQU 0x40010464 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG3 +CYDEV_UCFG_B0_P2_U0_DCFG3 EQU 0x40010466 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG4 +CYDEV_UCFG_B0_P2_U0_DCFG4 EQU 0x40010468 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG5 +CYDEV_UCFG_B0_P2_U0_DCFG5 EQU 0x4001046a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG6 +CYDEV_UCFG_B0_P2_U0_DCFG6 EQU 0x4001046c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG7 +CYDEV_UCFG_B0_P2_U0_DCFG7 EQU 0x4001046e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_BASE +CYDEV_UCFG_B0_P2_U1_BASE EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_SIZE +CYDEV_UCFG_B0_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT0 +CYDEV_UCFG_B0_P2_U1_PLD_IT0 EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT1 +CYDEV_UCFG_B0_P2_U1_PLD_IT1 EQU 0x40010484 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT2 +CYDEV_UCFG_B0_P2_U1_PLD_IT2 EQU 0x40010488 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT3 +CYDEV_UCFG_B0_P2_U1_PLD_IT3 EQU 0x4001048c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT4 +CYDEV_UCFG_B0_P2_U1_PLD_IT4 EQU 0x40010490 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT5 +CYDEV_UCFG_B0_P2_U1_PLD_IT5 EQU 0x40010494 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT6 +CYDEV_UCFG_B0_P2_U1_PLD_IT6 EQU 0x40010498 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT7 +CYDEV_UCFG_B0_P2_U1_PLD_IT7 EQU 0x4001049c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT8 +CYDEV_UCFG_B0_P2_U1_PLD_IT8 EQU 0x400104a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT9 +CYDEV_UCFG_B0_P2_U1_PLD_IT9 EQU 0x400104a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT10 +CYDEV_UCFG_B0_P2_U1_PLD_IT10 EQU 0x400104a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT11 +CYDEV_UCFG_B0_P2_U1_PLD_IT11 EQU 0x400104ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT0 +CYDEV_UCFG_B0_P2_U1_PLD_ORT0 EQU 0x400104b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT1 +CYDEV_UCFG_B0_P2_U1_PLD_ORT1 EQU 0x400104b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT2 +CYDEV_UCFG_B0_P2_U1_PLD_ORT2 EQU 0x400104b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT3 +CYDEV_UCFG_B0_P2_U1_PLD_ORT3 EQU 0x400104b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST EQU 0x400104b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB EQU 0x400104ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET EQU 0x400104bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS EQU 0x400104be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG0 +CYDEV_UCFG_B0_P2_U1_CFG0 EQU 0x400104c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG1 +CYDEV_UCFG_B0_P2_U1_CFG1 EQU 0x400104c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG2 +CYDEV_UCFG_B0_P2_U1_CFG2 EQU 0x400104c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG3 +CYDEV_UCFG_B0_P2_U1_CFG3 EQU 0x400104c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG4 +CYDEV_UCFG_B0_P2_U1_CFG4 EQU 0x400104c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG5 +CYDEV_UCFG_B0_P2_U1_CFG5 EQU 0x400104c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG6 +CYDEV_UCFG_B0_P2_U1_CFG6 EQU 0x400104c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG7 +CYDEV_UCFG_B0_P2_U1_CFG7 EQU 0x400104c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG8 +CYDEV_UCFG_B0_P2_U1_CFG8 EQU 0x400104c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG9 +CYDEV_UCFG_B0_P2_U1_CFG9 EQU 0x400104c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG10 +CYDEV_UCFG_B0_P2_U1_CFG10 EQU 0x400104ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG11 +CYDEV_UCFG_B0_P2_U1_CFG11 EQU 0x400104cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG12 +CYDEV_UCFG_B0_P2_U1_CFG12 EQU 0x400104cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG13 +CYDEV_UCFG_B0_P2_U1_CFG13 EQU 0x400104cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG14 +CYDEV_UCFG_B0_P2_U1_CFG14 EQU 0x400104ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG15 +CYDEV_UCFG_B0_P2_U1_CFG15 EQU 0x400104cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG16 +CYDEV_UCFG_B0_P2_U1_CFG16 EQU 0x400104d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG17 +CYDEV_UCFG_B0_P2_U1_CFG17 EQU 0x400104d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG18 +CYDEV_UCFG_B0_P2_U1_CFG18 EQU 0x400104d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG19 +CYDEV_UCFG_B0_P2_U1_CFG19 EQU 0x400104d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG20 +CYDEV_UCFG_B0_P2_U1_CFG20 EQU 0x400104d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG21 +CYDEV_UCFG_B0_P2_U1_CFG21 EQU 0x400104d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG22 +CYDEV_UCFG_B0_P2_U1_CFG22 EQU 0x400104d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG23 +CYDEV_UCFG_B0_P2_U1_CFG23 EQU 0x400104d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG24 +CYDEV_UCFG_B0_P2_U1_CFG24 EQU 0x400104d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG25 +CYDEV_UCFG_B0_P2_U1_CFG25 EQU 0x400104d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG26 +CYDEV_UCFG_B0_P2_U1_CFG26 EQU 0x400104da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG27 +CYDEV_UCFG_B0_P2_U1_CFG27 EQU 0x400104db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG28 +CYDEV_UCFG_B0_P2_U1_CFG28 EQU 0x400104dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG29 +CYDEV_UCFG_B0_P2_U1_CFG29 EQU 0x400104dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG30 +CYDEV_UCFG_B0_P2_U1_CFG30 EQU 0x400104de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG31 +CYDEV_UCFG_B0_P2_U1_CFG31 EQU 0x400104df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG0 +CYDEV_UCFG_B0_P2_U1_DCFG0 EQU 0x400104e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG1 +CYDEV_UCFG_B0_P2_U1_DCFG1 EQU 0x400104e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG2 +CYDEV_UCFG_B0_P2_U1_DCFG2 EQU 0x400104e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG3 +CYDEV_UCFG_B0_P2_U1_DCFG3 EQU 0x400104e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG4 +CYDEV_UCFG_B0_P2_U1_DCFG4 EQU 0x400104e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG5 +CYDEV_UCFG_B0_P2_U1_DCFG5 EQU 0x400104ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG6 +CYDEV_UCFG_B0_P2_U1_DCFG6 EQU 0x400104ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG7 +CYDEV_UCFG_B0_P2_U1_DCFG7 EQU 0x400104ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_BASE +CYDEV_UCFG_B0_P2_ROUTE_BASE EQU 0x40010500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_SIZE +CYDEV_UCFG_B0_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_BASE +CYDEV_UCFG_B0_P3_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_SIZE +CYDEV_UCFG_B0_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_BASE +CYDEV_UCFG_B0_P3_U0_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_SIZE +CYDEV_UCFG_B0_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT0 +CYDEV_UCFG_B0_P3_U0_PLD_IT0 EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT1 +CYDEV_UCFG_B0_P3_U0_PLD_IT1 EQU 0x40010604 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT2 +CYDEV_UCFG_B0_P3_U0_PLD_IT2 EQU 0x40010608 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT3 +CYDEV_UCFG_B0_P3_U0_PLD_IT3 EQU 0x4001060c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT4 +CYDEV_UCFG_B0_P3_U0_PLD_IT4 EQU 0x40010610 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT5 +CYDEV_UCFG_B0_P3_U0_PLD_IT5 EQU 0x40010614 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT6 +CYDEV_UCFG_B0_P3_U0_PLD_IT6 EQU 0x40010618 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT7 +CYDEV_UCFG_B0_P3_U0_PLD_IT7 EQU 0x4001061c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT8 +CYDEV_UCFG_B0_P3_U0_PLD_IT8 EQU 0x40010620 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT9 +CYDEV_UCFG_B0_P3_U0_PLD_IT9 EQU 0x40010624 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT10 +CYDEV_UCFG_B0_P3_U0_PLD_IT10 EQU 0x40010628 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT11 +CYDEV_UCFG_B0_P3_U0_PLD_IT11 EQU 0x4001062c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT0 +CYDEV_UCFG_B0_P3_U0_PLD_ORT0 EQU 0x40010630 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT1 +CYDEV_UCFG_B0_P3_U0_PLD_ORT1 EQU 0x40010632 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT2 +CYDEV_UCFG_B0_P3_U0_PLD_ORT2 EQU 0x40010634 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT3 +CYDEV_UCFG_B0_P3_U0_PLD_ORT3 EQU 0x40010636 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST EQU 0x40010638 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB EQU 0x4001063a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET EQU 0x4001063c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS EQU 0x4001063e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG0 +CYDEV_UCFG_B0_P3_U0_CFG0 EQU 0x40010640 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG1 +CYDEV_UCFG_B0_P3_U0_CFG1 EQU 0x40010641 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG2 +CYDEV_UCFG_B0_P3_U0_CFG2 EQU 0x40010642 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG3 +CYDEV_UCFG_B0_P3_U0_CFG3 EQU 0x40010643 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG4 +CYDEV_UCFG_B0_P3_U0_CFG4 EQU 0x40010644 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG5 +CYDEV_UCFG_B0_P3_U0_CFG5 EQU 0x40010645 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG6 +CYDEV_UCFG_B0_P3_U0_CFG6 EQU 0x40010646 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG7 +CYDEV_UCFG_B0_P3_U0_CFG7 EQU 0x40010647 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG8 +CYDEV_UCFG_B0_P3_U0_CFG8 EQU 0x40010648 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG9 +CYDEV_UCFG_B0_P3_U0_CFG9 EQU 0x40010649 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG10 +CYDEV_UCFG_B0_P3_U0_CFG10 EQU 0x4001064a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG11 +CYDEV_UCFG_B0_P3_U0_CFG11 EQU 0x4001064b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG12 +CYDEV_UCFG_B0_P3_U0_CFG12 EQU 0x4001064c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG13 +CYDEV_UCFG_B0_P3_U0_CFG13 EQU 0x4001064d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG14 +CYDEV_UCFG_B0_P3_U0_CFG14 EQU 0x4001064e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG15 +CYDEV_UCFG_B0_P3_U0_CFG15 EQU 0x4001064f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG16 +CYDEV_UCFG_B0_P3_U0_CFG16 EQU 0x40010650 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG17 +CYDEV_UCFG_B0_P3_U0_CFG17 EQU 0x40010651 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG18 +CYDEV_UCFG_B0_P3_U0_CFG18 EQU 0x40010652 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG19 +CYDEV_UCFG_B0_P3_U0_CFG19 EQU 0x40010653 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG20 +CYDEV_UCFG_B0_P3_U0_CFG20 EQU 0x40010654 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG21 +CYDEV_UCFG_B0_P3_U0_CFG21 EQU 0x40010655 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG22 +CYDEV_UCFG_B0_P3_U0_CFG22 EQU 0x40010656 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG23 +CYDEV_UCFG_B0_P3_U0_CFG23 EQU 0x40010657 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG24 +CYDEV_UCFG_B0_P3_U0_CFG24 EQU 0x40010658 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG25 +CYDEV_UCFG_B0_P3_U0_CFG25 EQU 0x40010659 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG26 +CYDEV_UCFG_B0_P3_U0_CFG26 EQU 0x4001065a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG27 +CYDEV_UCFG_B0_P3_U0_CFG27 EQU 0x4001065b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG28 +CYDEV_UCFG_B0_P3_U0_CFG28 EQU 0x4001065c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG29 +CYDEV_UCFG_B0_P3_U0_CFG29 EQU 0x4001065d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG30 +CYDEV_UCFG_B0_P3_U0_CFG30 EQU 0x4001065e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG31 +CYDEV_UCFG_B0_P3_U0_CFG31 EQU 0x4001065f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG0 +CYDEV_UCFG_B0_P3_U0_DCFG0 EQU 0x40010660 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG1 +CYDEV_UCFG_B0_P3_U0_DCFG1 EQU 0x40010662 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG2 +CYDEV_UCFG_B0_P3_U0_DCFG2 EQU 0x40010664 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG3 +CYDEV_UCFG_B0_P3_U0_DCFG3 EQU 0x40010666 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG4 +CYDEV_UCFG_B0_P3_U0_DCFG4 EQU 0x40010668 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG5 +CYDEV_UCFG_B0_P3_U0_DCFG5 EQU 0x4001066a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG6 +CYDEV_UCFG_B0_P3_U0_DCFG6 EQU 0x4001066c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG7 +CYDEV_UCFG_B0_P3_U0_DCFG7 EQU 0x4001066e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_BASE +CYDEV_UCFG_B0_P3_U1_BASE EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_SIZE +CYDEV_UCFG_B0_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT0 +CYDEV_UCFG_B0_P3_U1_PLD_IT0 EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT1 +CYDEV_UCFG_B0_P3_U1_PLD_IT1 EQU 0x40010684 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT2 +CYDEV_UCFG_B0_P3_U1_PLD_IT2 EQU 0x40010688 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT3 +CYDEV_UCFG_B0_P3_U1_PLD_IT3 EQU 0x4001068c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT4 +CYDEV_UCFG_B0_P3_U1_PLD_IT4 EQU 0x40010690 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT5 +CYDEV_UCFG_B0_P3_U1_PLD_IT5 EQU 0x40010694 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT6 +CYDEV_UCFG_B0_P3_U1_PLD_IT6 EQU 0x40010698 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT7 +CYDEV_UCFG_B0_P3_U1_PLD_IT7 EQU 0x4001069c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT8 +CYDEV_UCFG_B0_P3_U1_PLD_IT8 EQU 0x400106a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT9 +CYDEV_UCFG_B0_P3_U1_PLD_IT9 EQU 0x400106a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT10 +CYDEV_UCFG_B0_P3_U1_PLD_IT10 EQU 0x400106a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT11 +CYDEV_UCFG_B0_P3_U1_PLD_IT11 EQU 0x400106ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT0 +CYDEV_UCFG_B0_P3_U1_PLD_ORT0 EQU 0x400106b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT1 +CYDEV_UCFG_B0_P3_U1_PLD_ORT1 EQU 0x400106b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT2 +CYDEV_UCFG_B0_P3_U1_PLD_ORT2 EQU 0x400106b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT3 +CYDEV_UCFG_B0_P3_U1_PLD_ORT3 EQU 0x400106b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST EQU 0x400106b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB EQU 0x400106ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET EQU 0x400106bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS EQU 0x400106be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG0 +CYDEV_UCFG_B0_P3_U1_CFG0 EQU 0x400106c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG1 +CYDEV_UCFG_B0_P3_U1_CFG1 EQU 0x400106c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG2 +CYDEV_UCFG_B0_P3_U1_CFG2 EQU 0x400106c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG3 +CYDEV_UCFG_B0_P3_U1_CFG3 EQU 0x400106c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG4 +CYDEV_UCFG_B0_P3_U1_CFG4 EQU 0x400106c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG5 +CYDEV_UCFG_B0_P3_U1_CFG5 EQU 0x400106c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG6 +CYDEV_UCFG_B0_P3_U1_CFG6 EQU 0x400106c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG7 +CYDEV_UCFG_B0_P3_U1_CFG7 EQU 0x400106c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG8 +CYDEV_UCFG_B0_P3_U1_CFG8 EQU 0x400106c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG9 +CYDEV_UCFG_B0_P3_U1_CFG9 EQU 0x400106c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG10 +CYDEV_UCFG_B0_P3_U1_CFG10 EQU 0x400106ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG11 +CYDEV_UCFG_B0_P3_U1_CFG11 EQU 0x400106cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG12 +CYDEV_UCFG_B0_P3_U1_CFG12 EQU 0x400106cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG13 +CYDEV_UCFG_B0_P3_U1_CFG13 EQU 0x400106cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG14 +CYDEV_UCFG_B0_P3_U1_CFG14 EQU 0x400106ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG15 +CYDEV_UCFG_B0_P3_U1_CFG15 EQU 0x400106cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG16 +CYDEV_UCFG_B0_P3_U1_CFG16 EQU 0x400106d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG17 +CYDEV_UCFG_B0_P3_U1_CFG17 EQU 0x400106d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG18 +CYDEV_UCFG_B0_P3_U1_CFG18 EQU 0x400106d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG19 +CYDEV_UCFG_B0_P3_U1_CFG19 EQU 0x400106d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG20 +CYDEV_UCFG_B0_P3_U1_CFG20 EQU 0x400106d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG21 +CYDEV_UCFG_B0_P3_U1_CFG21 EQU 0x400106d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG22 +CYDEV_UCFG_B0_P3_U1_CFG22 EQU 0x400106d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG23 +CYDEV_UCFG_B0_P3_U1_CFG23 EQU 0x400106d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG24 +CYDEV_UCFG_B0_P3_U1_CFG24 EQU 0x400106d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG25 +CYDEV_UCFG_B0_P3_U1_CFG25 EQU 0x400106d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG26 +CYDEV_UCFG_B0_P3_U1_CFG26 EQU 0x400106da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG27 +CYDEV_UCFG_B0_P3_U1_CFG27 EQU 0x400106db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG28 +CYDEV_UCFG_B0_P3_U1_CFG28 EQU 0x400106dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG29 +CYDEV_UCFG_B0_P3_U1_CFG29 EQU 0x400106dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG30 +CYDEV_UCFG_B0_P3_U1_CFG30 EQU 0x400106de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG31 +CYDEV_UCFG_B0_P3_U1_CFG31 EQU 0x400106df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG0 +CYDEV_UCFG_B0_P3_U1_DCFG0 EQU 0x400106e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG1 +CYDEV_UCFG_B0_P3_U1_DCFG1 EQU 0x400106e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG2 +CYDEV_UCFG_B0_P3_U1_DCFG2 EQU 0x400106e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG3 +CYDEV_UCFG_B0_P3_U1_DCFG3 EQU 0x400106e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG4 +CYDEV_UCFG_B0_P3_U1_DCFG4 EQU 0x400106e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG5 +CYDEV_UCFG_B0_P3_U1_DCFG5 EQU 0x400106ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG6 +CYDEV_UCFG_B0_P3_U1_DCFG6 EQU 0x400106ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG7 +CYDEV_UCFG_B0_P3_U1_DCFG7 EQU 0x400106ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_BASE +CYDEV_UCFG_B0_P3_ROUTE_BASE EQU 0x40010700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_SIZE +CYDEV_UCFG_B0_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_BASE +CYDEV_UCFG_B0_P4_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_SIZE +CYDEV_UCFG_B0_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_BASE +CYDEV_UCFG_B0_P4_U0_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_SIZE +CYDEV_UCFG_B0_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT0 +CYDEV_UCFG_B0_P4_U0_PLD_IT0 EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT1 +CYDEV_UCFG_B0_P4_U0_PLD_IT1 EQU 0x40010804 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT2 +CYDEV_UCFG_B0_P4_U0_PLD_IT2 EQU 0x40010808 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT3 +CYDEV_UCFG_B0_P4_U0_PLD_IT3 EQU 0x4001080c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT4 +CYDEV_UCFG_B0_P4_U0_PLD_IT4 EQU 0x40010810 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT5 +CYDEV_UCFG_B0_P4_U0_PLD_IT5 EQU 0x40010814 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT6 +CYDEV_UCFG_B0_P4_U0_PLD_IT6 EQU 0x40010818 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT7 +CYDEV_UCFG_B0_P4_U0_PLD_IT7 EQU 0x4001081c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT8 +CYDEV_UCFG_B0_P4_U0_PLD_IT8 EQU 0x40010820 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT9 +CYDEV_UCFG_B0_P4_U0_PLD_IT9 EQU 0x40010824 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT10 +CYDEV_UCFG_B0_P4_U0_PLD_IT10 EQU 0x40010828 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT11 +CYDEV_UCFG_B0_P4_U0_PLD_IT11 EQU 0x4001082c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT0 +CYDEV_UCFG_B0_P4_U0_PLD_ORT0 EQU 0x40010830 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT1 +CYDEV_UCFG_B0_P4_U0_PLD_ORT1 EQU 0x40010832 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT2 +CYDEV_UCFG_B0_P4_U0_PLD_ORT2 EQU 0x40010834 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT3 +CYDEV_UCFG_B0_P4_U0_PLD_ORT3 EQU 0x40010836 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST EQU 0x40010838 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB EQU 0x4001083a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET EQU 0x4001083c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS EQU 0x4001083e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG0 +CYDEV_UCFG_B0_P4_U0_CFG0 EQU 0x40010840 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG1 +CYDEV_UCFG_B0_P4_U0_CFG1 EQU 0x40010841 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG2 +CYDEV_UCFG_B0_P4_U0_CFG2 EQU 0x40010842 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG3 +CYDEV_UCFG_B0_P4_U0_CFG3 EQU 0x40010843 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG4 +CYDEV_UCFG_B0_P4_U0_CFG4 EQU 0x40010844 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG5 +CYDEV_UCFG_B0_P4_U0_CFG5 EQU 0x40010845 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG6 +CYDEV_UCFG_B0_P4_U0_CFG6 EQU 0x40010846 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG7 +CYDEV_UCFG_B0_P4_U0_CFG7 EQU 0x40010847 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG8 +CYDEV_UCFG_B0_P4_U0_CFG8 EQU 0x40010848 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG9 +CYDEV_UCFG_B0_P4_U0_CFG9 EQU 0x40010849 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG10 +CYDEV_UCFG_B0_P4_U0_CFG10 EQU 0x4001084a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG11 +CYDEV_UCFG_B0_P4_U0_CFG11 EQU 0x4001084b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG12 +CYDEV_UCFG_B0_P4_U0_CFG12 EQU 0x4001084c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG13 +CYDEV_UCFG_B0_P4_U0_CFG13 EQU 0x4001084d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG14 +CYDEV_UCFG_B0_P4_U0_CFG14 EQU 0x4001084e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG15 +CYDEV_UCFG_B0_P4_U0_CFG15 EQU 0x4001084f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG16 +CYDEV_UCFG_B0_P4_U0_CFG16 EQU 0x40010850 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG17 +CYDEV_UCFG_B0_P4_U0_CFG17 EQU 0x40010851 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG18 +CYDEV_UCFG_B0_P4_U0_CFG18 EQU 0x40010852 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG19 +CYDEV_UCFG_B0_P4_U0_CFG19 EQU 0x40010853 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG20 +CYDEV_UCFG_B0_P4_U0_CFG20 EQU 0x40010854 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG21 +CYDEV_UCFG_B0_P4_U0_CFG21 EQU 0x40010855 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG22 +CYDEV_UCFG_B0_P4_U0_CFG22 EQU 0x40010856 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG23 +CYDEV_UCFG_B0_P4_U0_CFG23 EQU 0x40010857 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG24 +CYDEV_UCFG_B0_P4_U0_CFG24 EQU 0x40010858 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG25 +CYDEV_UCFG_B0_P4_U0_CFG25 EQU 0x40010859 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG26 +CYDEV_UCFG_B0_P4_U0_CFG26 EQU 0x4001085a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG27 +CYDEV_UCFG_B0_P4_U0_CFG27 EQU 0x4001085b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG28 +CYDEV_UCFG_B0_P4_U0_CFG28 EQU 0x4001085c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG29 +CYDEV_UCFG_B0_P4_U0_CFG29 EQU 0x4001085d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG30 +CYDEV_UCFG_B0_P4_U0_CFG30 EQU 0x4001085e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG31 +CYDEV_UCFG_B0_P4_U0_CFG31 EQU 0x4001085f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG0 +CYDEV_UCFG_B0_P4_U0_DCFG0 EQU 0x40010860 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG1 +CYDEV_UCFG_B0_P4_U0_DCFG1 EQU 0x40010862 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG2 +CYDEV_UCFG_B0_P4_U0_DCFG2 EQU 0x40010864 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG3 +CYDEV_UCFG_B0_P4_U0_DCFG3 EQU 0x40010866 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG4 +CYDEV_UCFG_B0_P4_U0_DCFG4 EQU 0x40010868 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG5 +CYDEV_UCFG_B0_P4_U0_DCFG5 EQU 0x4001086a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG6 +CYDEV_UCFG_B0_P4_U0_DCFG6 EQU 0x4001086c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG7 +CYDEV_UCFG_B0_P4_U0_DCFG7 EQU 0x4001086e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_BASE +CYDEV_UCFG_B0_P4_U1_BASE EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_SIZE +CYDEV_UCFG_B0_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT0 +CYDEV_UCFG_B0_P4_U1_PLD_IT0 EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT1 +CYDEV_UCFG_B0_P4_U1_PLD_IT1 EQU 0x40010884 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT2 +CYDEV_UCFG_B0_P4_U1_PLD_IT2 EQU 0x40010888 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT3 +CYDEV_UCFG_B0_P4_U1_PLD_IT3 EQU 0x4001088c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT4 +CYDEV_UCFG_B0_P4_U1_PLD_IT4 EQU 0x40010890 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT5 +CYDEV_UCFG_B0_P4_U1_PLD_IT5 EQU 0x40010894 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT6 +CYDEV_UCFG_B0_P4_U1_PLD_IT6 EQU 0x40010898 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT7 +CYDEV_UCFG_B0_P4_U1_PLD_IT7 EQU 0x4001089c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT8 +CYDEV_UCFG_B0_P4_U1_PLD_IT8 EQU 0x400108a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT9 +CYDEV_UCFG_B0_P4_U1_PLD_IT9 EQU 0x400108a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT10 +CYDEV_UCFG_B0_P4_U1_PLD_IT10 EQU 0x400108a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT11 +CYDEV_UCFG_B0_P4_U1_PLD_IT11 EQU 0x400108ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT0 +CYDEV_UCFG_B0_P4_U1_PLD_ORT0 EQU 0x400108b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT1 +CYDEV_UCFG_B0_P4_U1_PLD_ORT1 EQU 0x400108b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT2 +CYDEV_UCFG_B0_P4_U1_PLD_ORT2 EQU 0x400108b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT3 +CYDEV_UCFG_B0_P4_U1_PLD_ORT3 EQU 0x400108b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST EQU 0x400108b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB EQU 0x400108ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET EQU 0x400108bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS EQU 0x400108be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG0 +CYDEV_UCFG_B0_P4_U1_CFG0 EQU 0x400108c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG1 +CYDEV_UCFG_B0_P4_U1_CFG1 EQU 0x400108c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG2 +CYDEV_UCFG_B0_P4_U1_CFG2 EQU 0x400108c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG3 +CYDEV_UCFG_B0_P4_U1_CFG3 EQU 0x400108c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG4 +CYDEV_UCFG_B0_P4_U1_CFG4 EQU 0x400108c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG5 +CYDEV_UCFG_B0_P4_U1_CFG5 EQU 0x400108c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG6 +CYDEV_UCFG_B0_P4_U1_CFG6 EQU 0x400108c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG7 +CYDEV_UCFG_B0_P4_U1_CFG7 EQU 0x400108c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG8 +CYDEV_UCFG_B0_P4_U1_CFG8 EQU 0x400108c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG9 +CYDEV_UCFG_B0_P4_U1_CFG9 EQU 0x400108c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG10 +CYDEV_UCFG_B0_P4_U1_CFG10 EQU 0x400108ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG11 +CYDEV_UCFG_B0_P4_U1_CFG11 EQU 0x400108cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG12 +CYDEV_UCFG_B0_P4_U1_CFG12 EQU 0x400108cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG13 +CYDEV_UCFG_B0_P4_U1_CFG13 EQU 0x400108cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG14 +CYDEV_UCFG_B0_P4_U1_CFG14 EQU 0x400108ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG15 +CYDEV_UCFG_B0_P4_U1_CFG15 EQU 0x400108cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG16 +CYDEV_UCFG_B0_P4_U1_CFG16 EQU 0x400108d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG17 +CYDEV_UCFG_B0_P4_U1_CFG17 EQU 0x400108d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG18 +CYDEV_UCFG_B0_P4_U1_CFG18 EQU 0x400108d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG19 +CYDEV_UCFG_B0_P4_U1_CFG19 EQU 0x400108d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG20 +CYDEV_UCFG_B0_P4_U1_CFG20 EQU 0x400108d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG21 +CYDEV_UCFG_B0_P4_U1_CFG21 EQU 0x400108d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG22 +CYDEV_UCFG_B0_P4_U1_CFG22 EQU 0x400108d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG23 +CYDEV_UCFG_B0_P4_U1_CFG23 EQU 0x400108d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG24 +CYDEV_UCFG_B0_P4_U1_CFG24 EQU 0x400108d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG25 +CYDEV_UCFG_B0_P4_U1_CFG25 EQU 0x400108d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG26 +CYDEV_UCFG_B0_P4_U1_CFG26 EQU 0x400108da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG27 +CYDEV_UCFG_B0_P4_U1_CFG27 EQU 0x400108db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG28 +CYDEV_UCFG_B0_P4_U1_CFG28 EQU 0x400108dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG29 +CYDEV_UCFG_B0_P4_U1_CFG29 EQU 0x400108dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG30 +CYDEV_UCFG_B0_P4_U1_CFG30 EQU 0x400108de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG31 +CYDEV_UCFG_B0_P4_U1_CFG31 EQU 0x400108df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG0 +CYDEV_UCFG_B0_P4_U1_DCFG0 EQU 0x400108e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG1 +CYDEV_UCFG_B0_P4_U1_DCFG1 EQU 0x400108e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG2 +CYDEV_UCFG_B0_P4_U1_DCFG2 EQU 0x400108e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG3 +CYDEV_UCFG_B0_P4_U1_DCFG3 EQU 0x400108e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG4 +CYDEV_UCFG_B0_P4_U1_DCFG4 EQU 0x400108e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG5 +CYDEV_UCFG_B0_P4_U1_DCFG5 EQU 0x400108ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG6 +CYDEV_UCFG_B0_P4_U1_DCFG6 EQU 0x400108ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG7 +CYDEV_UCFG_B0_P4_U1_DCFG7 EQU 0x400108ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_BASE +CYDEV_UCFG_B0_P4_ROUTE_BASE EQU 0x40010900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_SIZE +CYDEV_UCFG_B0_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_BASE +CYDEV_UCFG_B0_P5_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_SIZE +CYDEV_UCFG_B0_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_BASE +CYDEV_UCFG_B0_P5_U0_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_SIZE +CYDEV_UCFG_B0_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT0 +CYDEV_UCFG_B0_P5_U0_PLD_IT0 EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT1 +CYDEV_UCFG_B0_P5_U0_PLD_IT1 EQU 0x40010a04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT2 +CYDEV_UCFG_B0_P5_U0_PLD_IT2 EQU 0x40010a08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT3 +CYDEV_UCFG_B0_P5_U0_PLD_IT3 EQU 0x40010a0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT4 +CYDEV_UCFG_B0_P5_U0_PLD_IT4 EQU 0x40010a10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT5 +CYDEV_UCFG_B0_P5_U0_PLD_IT5 EQU 0x40010a14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT6 +CYDEV_UCFG_B0_P5_U0_PLD_IT6 EQU 0x40010a18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT7 +CYDEV_UCFG_B0_P5_U0_PLD_IT7 EQU 0x40010a1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT8 +CYDEV_UCFG_B0_P5_U0_PLD_IT8 EQU 0x40010a20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT9 +CYDEV_UCFG_B0_P5_U0_PLD_IT9 EQU 0x40010a24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT10 +CYDEV_UCFG_B0_P5_U0_PLD_IT10 EQU 0x40010a28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT11 +CYDEV_UCFG_B0_P5_U0_PLD_IT11 EQU 0x40010a2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT0 +CYDEV_UCFG_B0_P5_U0_PLD_ORT0 EQU 0x40010a30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT1 +CYDEV_UCFG_B0_P5_U0_PLD_ORT1 EQU 0x40010a32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT2 +CYDEV_UCFG_B0_P5_U0_PLD_ORT2 EQU 0x40010a34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT3 +CYDEV_UCFG_B0_P5_U0_PLD_ORT3 EQU 0x40010a36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST EQU 0x40010a38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB EQU 0x40010a3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET EQU 0x40010a3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS EQU 0x40010a3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG0 +CYDEV_UCFG_B0_P5_U0_CFG0 EQU 0x40010a40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG1 +CYDEV_UCFG_B0_P5_U0_CFG1 EQU 0x40010a41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG2 +CYDEV_UCFG_B0_P5_U0_CFG2 EQU 0x40010a42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG3 +CYDEV_UCFG_B0_P5_U0_CFG3 EQU 0x40010a43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG4 +CYDEV_UCFG_B0_P5_U0_CFG4 EQU 0x40010a44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG5 +CYDEV_UCFG_B0_P5_U0_CFG5 EQU 0x40010a45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG6 +CYDEV_UCFG_B0_P5_U0_CFG6 EQU 0x40010a46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG7 +CYDEV_UCFG_B0_P5_U0_CFG7 EQU 0x40010a47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG8 +CYDEV_UCFG_B0_P5_U0_CFG8 EQU 0x40010a48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG9 +CYDEV_UCFG_B0_P5_U0_CFG9 EQU 0x40010a49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG10 +CYDEV_UCFG_B0_P5_U0_CFG10 EQU 0x40010a4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG11 +CYDEV_UCFG_B0_P5_U0_CFG11 EQU 0x40010a4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG12 +CYDEV_UCFG_B0_P5_U0_CFG12 EQU 0x40010a4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG13 +CYDEV_UCFG_B0_P5_U0_CFG13 EQU 0x40010a4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG14 +CYDEV_UCFG_B0_P5_U0_CFG14 EQU 0x40010a4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG15 +CYDEV_UCFG_B0_P5_U0_CFG15 EQU 0x40010a4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG16 +CYDEV_UCFG_B0_P5_U0_CFG16 EQU 0x40010a50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG17 +CYDEV_UCFG_B0_P5_U0_CFG17 EQU 0x40010a51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG18 +CYDEV_UCFG_B0_P5_U0_CFG18 EQU 0x40010a52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG19 +CYDEV_UCFG_B0_P5_U0_CFG19 EQU 0x40010a53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG20 +CYDEV_UCFG_B0_P5_U0_CFG20 EQU 0x40010a54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG21 +CYDEV_UCFG_B0_P5_U0_CFG21 EQU 0x40010a55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG22 +CYDEV_UCFG_B0_P5_U0_CFG22 EQU 0x40010a56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG23 +CYDEV_UCFG_B0_P5_U0_CFG23 EQU 0x40010a57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG24 +CYDEV_UCFG_B0_P5_U0_CFG24 EQU 0x40010a58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG25 +CYDEV_UCFG_B0_P5_U0_CFG25 EQU 0x40010a59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG26 +CYDEV_UCFG_B0_P5_U0_CFG26 EQU 0x40010a5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG27 +CYDEV_UCFG_B0_P5_U0_CFG27 EQU 0x40010a5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG28 +CYDEV_UCFG_B0_P5_U0_CFG28 EQU 0x40010a5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG29 +CYDEV_UCFG_B0_P5_U0_CFG29 EQU 0x40010a5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG30 +CYDEV_UCFG_B0_P5_U0_CFG30 EQU 0x40010a5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG31 +CYDEV_UCFG_B0_P5_U0_CFG31 EQU 0x40010a5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG0 +CYDEV_UCFG_B0_P5_U0_DCFG0 EQU 0x40010a60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG1 +CYDEV_UCFG_B0_P5_U0_DCFG1 EQU 0x40010a62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG2 +CYDEV_UCFG_B0_P5_U0_DCFG2 EQU 0x40010a64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG3 +CYDEV_UCFG_B0_P5_U0_DCFG3 EQU 0x40010a66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG4 +CYDEV_UCFG_B0_P5_U0_DCFG4 EQU 0x40010a68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG5 +CYDEV_UCFG_B0_P5_U0_DCFG5 EQU 0x40010a6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG6 +CYDEV_UCFG_B0_P5_U0_DCFG6 EQU 0x40010a6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG7 +CYDEV_UCFG_B0_P5_U0_DCFG7 EQU 0x40010a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_BASE +CYDEV_UCFG_B0_P5_U1_BASE EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_SIZE +CYDEV_UCFG_B0_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT0 +CYDEV_UCFG_B0_P5_U1_PLD_IT0 EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT1 +CYDEV_UCFG_B0_P5_U1_PLD_IT1 EQU 0x40010a84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT2 +CYDEV_UCFG_B0_P5_U1_PLD_IT2 EQU 0x40010a88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT3 +CYDEV_UCFG_B0_P5_U1_PLD_IT3 EQU 0x40010a8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT4 +CYDEV_UCFG_B0_P5_U1_PLD_IT4 EQU 0x40010a90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT5 +CYDEV_UCFG_B0_P5_U1_PLD_IT5 EQU 0x40010a94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT6 +CYDEV_UCFG_B0_P5_U1_PLD_IT6 EQU 0x40010a98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT7 +CYDEV_UCFG_B0_P5_U1_PLD_IT7 EQU 0x40010a9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT8 +CYDEV_UCFG_B0_P5_U1_PLD_IT8 EQU 0x40010aa0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT9 +CYDEV_UCFG_B0_P5_U1_PLD_IT9 EQU 0x40010aa4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT10 +CYDEV_UCFG_B0_P5_U1_PLD_IT10 EQU 0x40010aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT11 +CYDEV_UCFG_B0_P5_U1_PLD_IT11 EQU 0x40010aac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT0 +CYDEV_UCFG_B0_P5_U1_PLD_ORT0 EQU 0x40010ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT1 +CYDEV_UCFG_B0_P5_U1_PLD_ORT1 EQU 0x40010ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT2 +CYDEV_UCFG_B0_P5_U1_PLD_ORT2 EQU 0x40010ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT3 +CYDEV_UCFG_B0_P5_U1_PLD_ORT3 EQU 0x40010ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST EQU 0x40010ab8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB EQU 0x40010aba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET EQU 0x40010abc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS EQU 0x40010abe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG0 +CYDEV_UCFG_B0_P5_U1_CFG0 EQU 0x40010ac0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG1 +CYDEV_UCFG_B0_P5_U1_CFG1 EQU 0x40010ac1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG2 +CYDEV_UCFG_B0_P5_U1_CFG2 EQU 0x40010ac2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG3 +CYDEV_UCFG_B0_P5_U1_CFG3 EQU 0x40010ac3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG4 +CYDEV_UCFG_B0_P5_U1_CFG4 EQU 0x40010ac4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG5 +CYDEV_UCFG_B0_P5_U1_CFG5 EQU 0x40010ac5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG6 +CYDEV_UCFG_B0_P5_U1_CFG6 EQU 0x40010ac6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG7 +CYDEV_UCFG_B0_P5_U1_CFG7 EQU 0x40010ac7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG8 +CYDEV_UCFG_B0_P5_U1_CFG8 EQU 0x40010ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG9 +CYDEV_UCFG_B0_P5_U1_CFG9 EQU 0x40010ac9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG10 +CYDEV_UCFG_B0_P5_U1_CFG10 EQU 0x40010aca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG11 +CYDEV_UCFG_B0_P5_U1_CFG11 EQU 0x40010acb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG12 +CYDEV_UCFG_B0_P5_U1_CFG12 EQU 0x40010acc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG13 +CYDEV_UCFG_B0_P5_U1_CFG13 EQU 0x40010acd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG14 +CYDEV_UCFG_B0_P5_U1_CFG14 EQU 0x40010ace + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG15 +CYDEV_UCFG_B0_P5_U1_CFG15 EQU 0x40010acf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG16 +CYDEV_UCFG_B0_P5_U1_CFG16 EQU 0x40010ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG17 +CYDEV_UCFG_B0_P5_U1_CFG17 EQU 0x40010ad1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG18 +CYDEV_UCFG_B0_P5_U1_CFG18 EQU 0x40010ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG19 +CYDEV_UCFG_B0_P5_U1_CFG19 EQU 0x40010ad3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG20 +CYDEV_UCFG_B0_P5_U1_CFG20 EQU 0x40010ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG21 +CYDEV_UCFG_B0_P5_U1_CFG21 EQU 0x40010ad5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG22 +CYDEV_UCFG_B0_P5_U1_CFG22 EQU 0x40010ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG23 +CYDEV_UCFG_B0_P5_U1_CFG23 EQU 0x40010ad7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG24 +CYDEV_UCFG_B0_P5_U1_CFG24 EQU 0x40010ad8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG25 +CYDEV_UCFG_B0_P5_U1_CFG25 EQU 0x40010ad9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG26 +CYDEV_UCFG_B0_P5_U1_CFG26 EQU 0x40010ada + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG27 +CYDEV_UCFG_B0_P5_U1_CFG27 EQU 0x40010adb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG28 +CYDEV_UCFG_B0_P5_U1_CFG28 EQU 0x40010adc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG29 +CYDEV_UCFG_B0_P5_U1_CFG29 EQU 0x40010add + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG30 +CYDEV_UCFG_B0_P5_U1_CFG30 EQU 0x40010ade + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG31 +CYDEV_UCFG_B0_P5_U1_CFG31 EQU 0x40010adf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG0 +CYDEV_UCFG_B0_P5_U1_DCFG0 EQU 0x40010ae0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG1 +CYDEV_UCFG_B0_P5_U1_DCFG1 EQU 0x40010ae2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG2 +CYDEV_UCFG_B0_P5_U1_DCFG2 EQU 0x40010ae4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG3 +CYDEV_UCFG_B0_P5_U1_DCFG3 EQU 0x40010ae6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG4 +CYDEV_UCFG_B0_P5_U1_DCFG4 EQU 0x40010ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG5 +CYDEV_UCFG_B0_P5_U1_DCFG5 EQU 0x40010aea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG6 +CYDEV_UCFG_B0_P5_U1_DCFG6 EQU 0x40010aec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG7 +CYDEV_UCFG_B0_P5_U1_DCFG7 EQU 0x40010aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_BASE +CYDEV_UCFG_B0_P5_ROUTE_BASE EQU 0x40010b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_SIZE +CYDEV_UCFG_B0_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_BASE +CYDEV_UCFG_B0_P6_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_SIZE +CYDEV_UCFG_B0_P6_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_BASE +CYDEV_UCFG_B0_P6_U0_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_SIZE +CYDEV_UCFG_B0_P6_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT0 +CYDEV_UCFG_B0_P6_U0_PLD_IT0 EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT1 +CYDEV_UCFG_B0_P6_U0_PLD_IT1 EQU 0x40010c04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT2 +CYDEV_UCFG_B0_P6_U0_PLD_IT2 EQU 0x40010c08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT3 +CYDEV_UCFG_B0_P6_U0_PLD_IT3 EQU 0x40010c0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT4 +CYDEV_UCFG_B0_P6_U0_PLD_IT4 EQU 0x40010c10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT5 +CYDEV_UCFG_B0_P6_U0_PLD_IT5 EQU 0x40010c14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT6 +CYDEV_UCFG_B0_P6_U0_PLD_IT6 EQU 0x40010c18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT7 +CYDEV_UCFG_B0_P6_U0_PLD_IT7 EQU 0x40010c1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT8 +CYDEV_UCFG_B0_P6_U0_PLD_IT8 EQU 0x40010c20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT9 +CYDEV_UCFG_B0_P6_U0_PLD_IT9 EQU 0x40010c24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT10 +CYDEV_UCFG_B0_P6_U0_PLD_IT10 EQU 0x40010c28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT11 +CYDEV_UCFG_B0_P6_U0_PLD_IT11 EQU 0x40010c2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT0 +CYDEV_UCFG_B0_P6_U0_PLD_ORT0 EQU 0x40010c30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT1 +CYDEV_UCFG_B0_P6_U0_PLD_ORT1 EQU 0x40010c32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT2 +CYDEV_UCFG_B0_P6_U0_PLD_ORT2 EQU 0x40010c34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT3 +CYDEV_UCFG_B0_P6_U0_PLD_ORT3 EQU 0x40010c36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST EQU 0x40010c38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB EQU 0x40010c3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET EQU 0x40010c3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS EQU 0x40010c3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG0 +CYDEV_UCFG_B0_P6_U0_CFG0 EQU 0x40010c40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG1 +CYDEV_UCFG_B0_P6_U0_CFG1 EQU 0x40010c41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG2 +CYDEV_UCFG_B0_P6_U0_CFG2 EQU 0x40010c42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG3 +CYDEV_UCFG_B0_P6_U0_CFG3 EQU 0x40010c43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG4 +CYDEV_UCFG_B0_P6_U0_CFG4 EQU 0x40010c44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG5 +CYDEV_UCFG_B0_P6_U0_CFG5 EQU 0x40010c45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG6 +CYDEV_UCFG_B0_P6_U0_CFG6 EQU 0x40010c46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG7 +CYDEV_UCFG_B0_P6_U0_CFG7 EQU 0x40010c47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG8 +CYDEV_UCFG_B0_P6_U0_CFG8 EQU 0x40010c48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG9 +CYDEV_UCFG_B0_P6_U0_CFG9 EQU 0x40010c49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG10 +CYDEV_UCFG_B0_P6_U0_CFG10 EQU 0x40010c4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG11 +CYDEV_UCFG_B0_P6_U0_CFG11 EQU 0x40010c4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG12 +CYDEV_UCFG_B0_P6_U0_CFG12 EQU 0x40010c4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG13 +CYDEV_UCFG_B0_P6_U0_CFG13 EQU 0x40010c4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG14 +CYDEV_UCFG_B0_P6_U0_CFG14 EQU 0x40010c4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG15 +CYDEV_UCFG_B0_P6_U0_CFG15 EQU 0x40010c4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG16 +CYDEV_UCFG_B0_P6_U0_CFG16 EQU 0x40010c50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG17 +CYDEV_UCFG_B0_P6_U0_CFG17 EQU 0x40010c51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG18 +CYDEV_UCFG_B0_P6_U0_CFG18 EQU 0x40010c52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG19 +CYDEV_UCFG_B0_P6_U0_CFG19 EQU 0x40010c53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG20 +CYDEV_UCFG_B0_P6_U0_CFG20 EQU 0x40010c54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG21 +CYDEV_UCFG_B0_P6_U0_CFG21 EQU 0x40010c55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG22 +CYDEV_UCFG_B0_P6_U0_CFG22 EQU 0x40010c56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG23 +CYDEV_UCFG_B0_P6_U0_CFG23 EQU 0x40010c57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG24 +CYDEV_UCFG_B0_P6_U0_CFG24 EQU 0x40010c58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG25 +CYDEV_UCFG_B0_P6_U0_CFG25 EQU 0x40010c59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG26 +CYDEV_UCFG_B0_P6_U0_CFG26 EQU 0x40010c5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG27 +CYDEV_UCFG_B0_P6_U0_CFG27 EQU 0x40010c5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG28 +CYDEV_UCFG_B0_P6_U0_CFG28 EQU 0x40010c5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG29 +CYDEV_UCFG_B0_P6_U0_CFG29 EQU 0x40010c5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG30 +CYDEV_UCFG_B0_P6_U0_CFG30 EQU 0x40010c5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG31 +CYDEV_UCFG_B0_P6_U0_CFG31 EQU 0x40010c5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG0 +CYDEV_UCFG_B0_P6_U0_DCFG0 EQU 0x40010c60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG1 +CYDEV_UCFG_B0_P6_U0_DCFG1 EQU 0x40010c62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG2 +CYDEV_UCFG_B0_P6_U0_DCFG2 EQU 0x40010c64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG3 +CYDEV_UCFG_B0_P6_U0_DCFG3 EQU 0x40010c66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG4 +CYDEV_UCFG_B0_P6_U0_DCFG4 EQU 0x40010c68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG5 +CYDEV_UCFG_B0_P6_U0_DCFG5 EQU 0x40010c6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG6 +CYDEV_UCFG_B0_P6_U0_DCFG6 EQU 0x40010c6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG7 +CYDEV_UCFG_B0_P6_U0_DCFG7 EQU 0x40010c6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_BASE +CYDEV_UCFG_B0_P6_U1_BASE EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_SIZE +CYDEV_UCFG_B0_P6_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT0 +CYDEV_UCFG_B0_P6_U1_PLD_IT0 EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT1 +CYDEV_UCFG_B0_P6_U1_PLD_IT1 EQU 0x40010c84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT2 +CYDEV_UCFG_B0_P6_U1_PLD_IT2 EQU 0x40010c88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT3 +CYDEV_UCFG_B0_P6_U1_PLD_IT3 EQU 0x40010c8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT4 +CYDEV_UCFG_B0_P6_U1_PLD_IT4 EQU 0x40010c90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT5 +CYDEV_UCFG_B0_P6_U1_PLD_IT5 EQU 0x40010c94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT6 +CYDEV_UCFG_B0_P6_U1_PLD_IT6 EQU 0x40010c98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT7 +CYDEV_UCFG_B0_P6_U1_PLD_IT7 EQU 0x40010c9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT8 +CYDEV_UCFG_B0_P6_U1_PLD_IT8 EQU 0x40010ca0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT9 +CYDEV_UCFG_B0_P6_U1_PLD_IT9 EQU 0x40010ca4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT10 +CYDEV_UCFG_B0_P6_U1_PLD_IT10 EQU 0x40010ca8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT11 +CYDEV_UCFG_B0_P6_U1_PLD_IT11 EQU 0x40010cac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT0 +CYDEV_UCFG_B0_P6_U1_PLD_ORT0 EQU 0x40010cb0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT1 +CYDEV_UCFG_B0_P6_U1_PLD_ORT1 EQU 0x40010cb2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT2 +CYDEV_UCFG_B0_P6_U1_PLD_ORT2 EQU 0x40010cb4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT3 +CYDEV_UCFG_B0_P6_U1_PLD_ORT3 EQU 0x40010cb6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST EQU 0x40010cb8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB EQU 0x40010cba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET EQU 0x40010cbc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS EQU 0x40010cbe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG0 +CYDEV_UCFG_B0_P6_U1_CFG0 EQU 0x40010cc0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG1 +CYDEV_UCFG_B0_P6_U1_CFG1 EQU 0x40010cc1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG2 +CYDEV_UCFG_B0_P6_U1_CFG2 EQU 0x40010cc2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG3 +CYDEV_UCFG_B0_P6_U1_CFG3 EQU 0x40010cc3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG4 +CYDEV_UCFG_B0_P6_U1_CFG4 EQU 0x40010cc4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG5 +CYDEV_UCFG_B0_P6_U1_CFG5 EQU 0x40010cc5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG6 +CYDEV_UCFG_B0_P6_U1_CFG6 EQU 0x40010cc6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG7 +CYDEV_UCFG_B0_P6_U1_CFG7 EQU 0x40010cc7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG8 +CYDEV_UCFG_B0_P6_U1_CFG8 EQU 0x40010cc8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG9 +CYDEV_UCFG_B0_P6_U1_CFG9 EQU 0x40010cc9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG10 +CYDEV_UCFG_B0_P6_U1_CFG10 EQU 0x40010cca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG11 +CYDEV_UCFG_B0_P6_U1_CFG11 EQU 0x40010ccb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG12 +CYDEV_UCFG_B0_P6_U1_CFG12 EQU 0x40010ccc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG13 +CYDEV_UCFG_B0_P6_U1_CFG13 EQU 0x40010ccd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG14 +CYDEV_UCFG_B0_P6_U1_CFG14 EQU 0x40010cce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG15 +CYDEV_UCFG_B0_P6_U1_CFG15 EQU 0x40010ccf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG16 +CYDEV_UCFG_B0_P6_U1_CFG16 EQU 0x40010cd0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG17 +CYDEV_UCFG_B0_P6_U1_CFG17 EQU 0x40010cd1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG18 +CYDEV_UCFG_B0_P6_U1_CFG18 EQU 0x40010cd2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG19 +CYDEV_UCFG_B0_P6_U1_CFG19 EQU 0x40010cd3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG20 +CYDEV_UCFG_B0_P6_U1_CFG20 EQU 0x40010cd4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG21 +CYDEV_UCFG_B0_P6_U1_CFG21 EQU 0x40010cd5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG22 +CYDEV_UCFG_B0_P6_U1_CFG22 EQU 0x40010cd6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG23 +CYDEV_UCFG_B0_P6_U1_CFG23 EQU 0x40010cd7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG24 +CYDEV_UCFG_B0_P6_U1_CFG24 EQU 0x40010cd8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG25 +CYDEV_UCFG_B0_P6_U1_CFG25 EQU 0x40010cd9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG26 +CYDEV_UCFG_B0_P6_U1_CFG26 EQU 0x40010cda + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG27 +CYDEV_UCFG_B0_P6_U1_CFG27 EQU 0x40010cdb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG28 +CYDEV_UCFG_B0_P6_U1_CFG28 EQU 0x40010cdc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG29 +CYDEV_UCFG_B0_P6_U1_CFG29 EQU 0x40010cdd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG30 +CYDEV_UCFG_B0_P6_U1_CFG30 EQU 0x40010cde + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG31 +CYDEV_UCFG_B0_P6_U1_CFG31 EQU 0x40010cdf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG0 +CYDEV_UCFG_B0_P6_U1_DCFG0 EQU 0x40010ce0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG1 +CYDEV_UCFG_B0_P6_U1_DCFG1 EQU 0x40010ce2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG2 +CYDEV_UCFG_B0_P6_U1_DCFG2 EQU 0x40010ce4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG3 +CYDEV_UCFG_B0_P6_U1_DCFG3 EQU 0x40010ce6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG4 +CYDEV_UCFG_B0_P6_U1_DCFG4 EQU 0x40010ce8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG5 +CYDEV_UCFG_B0_P6_U1_DCFG5 EQU 0x40010cea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG6 +CYDEV_UCFG_B0_P6_U1_DCFG6 EQU 0x40010cec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG7 +CYDEV_UCFG_B0_P6_U1_DCFG7 EQU 0x40010cee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_BASE +CYDEV_UCFG_B0_P6_ROUTE_BASE EQU 0x40010d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_SIZE +CYDEV_UCFG_B0_P6_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_BASE +CYDEV_UCFG_B0_P7_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_SIZE +CYDEV_UCFG_B0_P7_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_BASE +CYDEV_UCFG_B0_P7_U0_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_SIZE +CYDEV_UCFG_B0_P7_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT0 +CYDEV_UCFG_B0_P7_U0_PLD_IT0 EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT1 +CYDEV_UCFG_B0_P7_U0_PLD_IT1 EQU 0x40010e04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT2 +CYDEV_UCFG_B0_P7_U0_PLD_IT2 EQU 0x40010e08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT3 +CYDEV_UCFG_B0_P7_U0_PLD_IT3 EQU 0x40010e0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT4 +CYDEV_UCFG_B0_P7_U0_PLD_IT4 EQU 0x40010e10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT5 +CYDEV_UCFG_B0_P7_U0_PLD_IT5 EQU 0x40010e14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT6 +CYDEV_UCFG_B0_P7_U0_PLD_IT6 EQU 0x40010e18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT7 +CYDEV_UCFG_B0_P7_U0_PLD_IT7 EQU 0x40010e1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT8 +CYDEV_UCFG_B0_P7_U0_PLD_IT8 EQU 0x40010e20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT9 +CYDEV_UCFG_B0_P7_U0_PLD_IT9 EQU 0x40010e24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT10 +CYDEV_UCFG_B0_P7_U0_PLD_IT10 EQU 0x40010e28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT11 +CYDEV_UCFG_B0_P7_U0_PLD_IT11 EQU 0x40010e2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT0 +CYDEV_UCFG_B0_P7_U0_PLD_ORT0 EQU 0x40010e30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT1 +CYDEV_UCFG_B0_P7_U0_PLD_ORT1 EQU 0x40010e32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT2 +CYDEV_UCFG_B0_P7_U0_PLD_ORT2 EQU 0x40010e34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT3 +CYDEV_UCFG_B0_P7_U0_PLD_ORT3 EQU 0x40010e36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST EQU 0x40010e38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB EQU 0x40010e3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET EQU 0x40010e3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS EQU 0x40010e3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG0 +CYDEV_UCFG_B0_P7_U0_CFG0 EQU 0x40010e40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG1 +CYDEV_UCFG_B0_P7_U0_CFG1 EQU 0x40010e41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG2 +CYDEV_UCFG_B0_P7_U0_CFG2 EQU 0x40010e42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG3 +CYDEV_UCFG_B0_P7_U0_CFG3 EQU 0x40010e43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG4 +CYDEV_UCFG_B0_P7_U0_CFG4 EQU 0x40010e44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG5 +CYDEV_UCFG_B0_P7_U0_CFG5 EQU 0x40010e45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG6 +CYDEV_UCFG_B0_P7_U0_CFG6 EQU 0x40010e46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG7 +CYDEV_UCFG_B0_P7_U0_CFG7 EQU 0x40010e47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG8 +CYDEV_UCFG_B0_P7_U0_CFG8 EQU 0x40010e48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG9 +CYDEV_UCFG_B0_P7_U0_CFG9 EQU 0x40010e49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG10 +CYDEV_UCFG_B0_P7_U0_CFG10 EQU 0x40010e4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG11 +CYDEV_UCFG_B0_P7_U0_CFG11 EQU 0x40010e4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG12 +CYDEV_UCFG_B0_P7_U0_CFG12 EQU 0x40010e4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG13 +CYDEV_UCFG_B0_P7_U0_CFG13 EQU 0x40010e4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG14 +CYDEV_UCFG_B0_P7_U0_CFG14 EQU 0x40010e4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG15 +CYDEV_UCFG_B0_P7_U0_CFG15 EQU 0x40010e4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG16 +CYDEV_UCFG_B0_P7_U0_CFG16 EQU 0x40010e50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG17 +CYDEV_UCFG_B0_P7_U0_CFG17 EQU 0x40010e51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG18 +CYDEV_UCFG_B0_P7_U0_CFG18 EQU 0x40010e52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG19 +CYDEV_UCFG_B0_P7_U0_CFG19 EQU 0x40010e53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG20 +CYDEV_UCFG_B0_P7_U0_CFG20 EQU 0x40010e54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG21 +CYDEV_UCFG_B0_P7_U0_CFG21 EQU 0x40010e55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG22 +CYDEV_UCFG_B0_P7_U0_CFG22 EQU 0x40010e56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG23 +CYDEV_UCFG_B0_P7_U0_CFG23 EQU 0x40010e57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG24 +CYDEV_UCFG_B0_P7_U0_CFG24 EQU 0x40010e58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG25 +CYDEV_UCFG_B0_P7_U0_CFG25 EQU 0x40010e59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG26 +CYDEV_UCFG_B0_P7_U0_CFG26 EQU 0x40010e5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG27 +CYDEV_UCFG_B0_P7_U0_CFG27 EQU 0x40010e5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG28 +CYDEV_UCFG_B0_P7_U0_CFG28 EQU 0x40010e5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG29 +CYDEV_UCFG_B0_P7_U0_CFG29 EQU 0x40010e5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG30 +CYDEV_UCFG_B0_P7_U0_CFG30 EQU 0x40010e5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG31 +CYDEV_UCFG_B0_P7_U0_CFG31 EQU 0x40010e5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG0 +CYDEV_UCFG_B0_P7_U0_DCFG0 EQU 0x40010e60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG1 +CYDEV_UCFG_B0_P7_U0_DCFG1 EQU 0x40010e62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG2 +CYDEV_UCFG_B0_P7_U0_DCFG2 EQU 0x40010e64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG3 +CYDEV_UCFG_B0_P7_U0_DCFG3 EQU 0x40010e66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG4 +CYDEV_UCFG_B0_P7_U0_DCFG4 EQU 0x40010e68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG5 +CYDEV_UCFG_B0_P7_U0_DCFG5 EQU 0x40010e6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG6 +CYDEV_UCFG_B0_P7_U0_DCFG6 EQU 0x40010e6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG7 +CYDEV_UCFG_B0_P7_U0_DCFG7 EQU 0x40010e6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_BASE +CYDEV_UCFG_B0_P7_U1_BASE EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_SIZE +CYDEV_UCFG_B0_P7_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT0 +CYDEV_UCFG_B0_P7_U1_PLD_IT0 EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT1 +CYDEV_UCFG_B0_P7_U1_PLD_IT1 EQU 0x40010e84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT2 +CYDEV_UCFG_B0_P7_U1_PLD_IT2 EQU 0x40010e88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT3 +CYDEV_UCFG_B0_P7_U1_PLD_IT3 EQU 0x40010e8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT4 +CYDEV_UCFG_B0_P7_U1_PLD_IT4 EQU 0x40010e90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT5 +CYDEV_UCFG_B0_P7_U1_PLD_IT5 EQU 0x40010e94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT6 +CYDEV_UCFG_B0_P7_U1_PLD_IT6 EQU 0x40010e98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT7 +CYDEV_UCFG_B0_P7_U1_PLD_IT7 EQU 0x40010e9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT8 +CYDEV_UCFG_B0_P7_U1_PLD_IT8 EQU 0x40010ea0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT9 +CYDEV_UCFG_B0_P7_U1_PLD_IT9 EQU 0x40010ea4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT10 +CYDEV_UCFG_B0_P7_U1_PLD_IT10 EQU 0x40010ea8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT11 +CYDEV_UCFG_B0_P7_U1_PLD_IT11 EQU 0x40010eac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT0 +CYDEV_UCFG_B0_P7_U1_PLD_ORT0 EQU 0x40010eb0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT1 +CYDEV_UCFG_B0_P7_U1_PLD_ORT1 EQU 0x40010eb2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT2 +CYDEV_UCFG_B0_P7_U1_PLD_ORT2 EQU 0x40010eb4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT3 +CYDEV_UCFG_B0_P7_U1_PLD_ORT3 EQU 0x40010eb6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST EQU 0x40010eb8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB EQU 0x40010eba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET EQU 0x40010ebc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS EQU 0x40010ebe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG0 +CYDEV_UCFG_B0_P7_U1_CFG0 EQU 0x40010ec0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG1 +CYDEV_UCFG_B0_P7_U1_CFG1 EQU 0x40010ec1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG2 +CYDEV_UCFG_B0_P7_U1_CFG2 EQU 0x40010ec2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG3 +CYDEV_UCFG_B0_P7_U1_CFG3 EQU 0x40010ec3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG4 +CYDEV_UCFG_B0_P7_U1_CFG4 EQU 0x40010ec4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG5 +CYDEV_UCFG_B0_P7_U1_CFG5 EQU 0x40010ec5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG6 +CYDEV_UCFG_B0_P7_U1_CFG6 EQU 0x40010ec6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG7 +CYDEV_UCFG_B0_P7_U1_CFG7 EQU 0x40010ec7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG8 +CYDEV_UCFG_B0_P7_U1_CFG8 EQU 0x40010ec8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG9 +CYDEV_UCFG_B0_P7_U1_CFG9 EQU 0x40010ec9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG10 +CYDEV_UCFG_B0_P7_U1_CFG10 EQU 0x40010eca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG11 +CYDEV_UCFG_B0_P7_U1_CFG11 EQU 0x40010ecb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG12 +CYDEV_UCFG_B0_P7_U1_CFG12 EQU 0x40010ecc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG13 +CYDEV_UCFG_B0_P7_U1_CFG13 EQU 0x40010ecd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG14 +CYDEV_UCFG_B0_P7_U1_CFG14 EQU 0x40010ece + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG15 +CYDEV_UCFG_B0_P7_U1_CFG15 EQU 0x40010ecf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG16 +CYDEV_UCFG_B0_P7_U1_CFG16 EQU 0x40010ed0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG17 +CYDEV_UCFG_B0_P7_U1_CFG17 EQU 0x40010ed1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG18 +CYDEV_UCFG_B0_P7_U1_CFG18 EQU 0x40010ed2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG19 +CYDEV_UCFG_B0_P7_U1_CFG19 EQU 0x40010ed3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG20 +CYDEV_UCFG_B0_P7_U1_CFG20 EQU 0x40010ed4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG21 +CYDEV_UCFG_B0_P7_U1_CFG21 EQU 0x40010ed5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG22 +CYDEV_UCFG_B0_P7_U1_CFG22 EQU 0x40010ed6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG23 +CYDEV_UCFG_B0_P7_U1_CFG23 EQU 0x40010ed7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG24 +CYDEV_UCFG_B0_P7_U1_CFG24 EQU 0x40010ed8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG25 +CYDEV_UCFG_B0_P7_U1_CFG25 EQU 0x40010ed9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG26 +CYDEV_UCFG_B0_P7_U1_CFG26 EQU 0x40010eda + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG27 +CYDEV_UCFG_B0_P7_U1_CFG27 EQU 0x40010edb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG28 +CYDEV_UCFG_B0_P7_U1_CFG28 EQU 0x40010edc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG29 +CYDEV_UCFG_B0_P7_U1_CFG29 EQU 0x40010edd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG30 +CYDEV_UCFG_B0_P7_U1_CFG30 EQU 0x40010ede + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG31 +CYDEV_UCFG_B0_P7_U1_CFG31 EQU 0x40010edf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG0 +CYDEV_UCFG_B0_P7_U1_DCFG0 EQU 0x40010ee0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG1 +CYDEV_UCFG_B0_P7_U1_DCFG1 EQU 0x40010ee2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG2 +CYDEV_UCFG_B0_P7_U1_DCFG2 EQU 0x40010ee4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG3 +CYDEV_UCFG_B0_P7_U1_DCFG3 EQU 0x40010ee6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG4 +CYDEV_UCFG_B0_P7_U1_DCFG4 EQU 0x40010ee8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG5 +CYDEV_UCFG_B0_P7_U1_DCFG5 EQU 0x40010eea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG6 +CYDEV_UCFG_B0_P7_U1_DCFG6 EQU 0x40010eec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG7 +CYDEV_UCFG_B0_P7_U1_DCFG7 EQU 0x40010eee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_BASE +CYDEV_UCFG_B0_P7_ROUTE_BASE EQU 0x40010f00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_SIZE +CYDEV_UCFG_B0_P7_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_BASE +CYDEV_UCFG_B1_BASE EQU 0x40011000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_SIZE +CYDEV_UCFG_B1_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_BASE +CYDEV_UCFG_B1_P2_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_SIZE +CYDEV_UCFG_B1_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_BASE +CYDEV_UCFG_B1_P2_U0_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_SIZE +CYDEV_UCFG_B1_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT0 +CYDEV_UCFG_B1_P2_U0_PLD_IT0 EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT1 +CYDEV_UCFG_B1_P2_U0_PLD_IT1 EQU 0x40011404 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT2 +CYDEV_UCFG_B1_P2_U0_PLD_IT2 EQU 0x40011408 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT3 +CYDEV_UCFG_B1_P2_U0_PLD_IT3 EQU 0x4001140c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT4 +CYDEV_UCFG_B1_P2_U0_PLD_IT4 EQU 0x40011410 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT5 +CYDEV_UCFG_B1_P2_U0_PLD_IT5 EQU 0x40011414 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT6 +CYDEV_UCFG_B1_P2_U0_PLD_IT6 EQU 0x40011418 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT7 +CYDEV_UCFG_B1_P2_U0_PLD_IT7 EQU 0x4001141c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT8 +CYDEV_UCFG_B1_P2_U0_PLD_IT8 EQU 0x40011420 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT9 +CYDEV_UCFG_B1_P2_U0_PLD_IT9 EQU 0x40011424 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT10 +CYDEV_UCFG_B1_P2_U0_PLD_IT10 EQU 0x40011428 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT11 +CYDEV_UCFG_B1_P2_U0_PLD_IT11 EQU 0x4001142c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT0 +CYDEV_UCFG_B1_P2_U0_PLD_ORT0 EQU 0x40011430 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT1 +CYDEV_UCFG_B1_P2_U0_PLD_ORT1 EQU 0x40011432 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT2 +CYDEV_UCFG_B1_P2_U0_PLD_ORT2 EQU 0x40011434 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT3 +CYDEV_UCFG_B1_P2_U0_PLD_ORT3 EQU 0x40011436 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST EQU 0x40011438 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB EQU 0x4001143a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET EQU 0x4001143c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS EQU 0x4001143e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG0 +CYDEV_UCFG_B1_P2_U0_CFG0 EQU 0x40011440 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG1 +CYDEV_UCFG_B1_P2_U0_CFG1 EQU 0x40011441 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG2 +CYDEV_UCFG_B1_P2_U0_CFG2 EQU 0x40011442 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG3 +CYDEV_UCFG_B1_P2_U0_CFG3 EQU 0x40011443 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG4 +CYDEV_UCFG_B1_P2_U0_CFG4 EQU 0x40011444 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG5 +CYDEV_UCFG_B1_P2_U0_CFG5 EQU 0x40011445 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG6 +CYDEV_UCFG_B1_P2_U0_CFG6 EQU 0x40011446 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG7 +CYDEV_UCFG_B1_P2_U0_CFG7 EQU 0x40011447 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG8 +CYDEV_UCFG_B1_P2_U0_CFG8 EQU 0x40011448 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG9 +CYDEV_UCFG_B1_P2_U0_CFG9 EQU 0x40011449 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG10 +CYDEV_UCFG_B1_P2_U0_CFG10 EQU 0x4001144a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG11 +CYDEV_UCFG_B1_P2_U0_CFG11 EQU 0x4001144b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG12 +CYDEV_UCFG_B1_P2_U0_CFG12 EQU 0x4001144c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG13 +CYDEV_UCFG_B1_P2_U0_CFG13 EQU 0x4001144d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG14 +CYDEV_UCFG_B1_P2_U0_CFG14 EQU 0x4001144e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG15 +CYDEV_UCFG_B1_P2_U0_CFG15 EQU 0x4001144f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG16 +CYDEV_UCFG_B1_P2_U0_CFG16 EQU 0x40011450 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG17 +CYDEV_UCFG_B1_P2_U0_CFG17 EQU 0x40011451 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG18 +CYDEV_UCFG_B1_P2_U0_CFG18 EQU 0x40011452 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG19 +CYDEV_UCFG_B1_P2_U0_CFG19 EQU 0x40011453 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG20 +CYDEV_UCFG_B1_P2_U0_CFG20 EQU 0x40011454 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG21 +CYDEV_UCFG_B1_P2_U0_CFG21 EQU 0x40011455 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG22 +CYDEV_UCFG_B1_P2_U0_CFG22 EQU 0x40011456 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG23 +CYDEV_UCFG_B1_P2_U0_CFG23 EQU 0x40011457 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG24 +CYDEV_UCFG_B1_P2_U0_CFG24 EQU 0x40011458 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG25 +CYDEV_UCFG_B1_P2_U0_CFG25 EQU 0x40011459 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG26 +CYDEV_UCFG_B1_P2_U0_CFG26 EQU 0x4001145a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG27 +CYDEV_UCFG_B1_P2_U0_CFG27 EQU 0x4001145b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG28 +CYDEV_UCFG_B1_P2_U0_CFG28 EQU 0x4001145c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG29 +CYDEV_UCFG_B1_P2_U0_CFG29 EQU 0x4001145d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG30 +CYDEV_UCFG_B1_P2_U0_CFG30 EQU 0x4001145e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG31 +CYDEV_UCFG_B1_P2_U0_CFG31 EQU 0x4001145f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG0 +CYDEV_UCFG_B1_P2_U0_DCFG0 EQU 0x40011460 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG1 +CYDEV_UCFG_B1_P2_U0_DCFG1 EQU 0x40011462 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG2 +CYDEV_UCFG_B1_P2_U0_DCFG2 EQU 0x40011464 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG3 +CYDEV_UCFG_B1_P2_U0_DCFG3 EQU 0x40011466 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG4 +CYDEV_UCFG_B1_P2_U0_DCFG4 EQU 0x40011468 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG5 +CYDEV_UCFG_B1_P2_U0_DCFG5 EQU 0x4001146a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG6 +CYDEV_UCFG_B1_P2_U0_DCFG6 EQU 0x4001146c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG7 +CYDEV_UCFG_B1_P2_U0_DCFG7 EQU 0x4001146e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_BASE +CYDEV_UCFG_B1_P2_U1_BASE EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_SIZE +CYDEV_UCFG_B1_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT0 +CYDEV_UCFG_B1_P2_U1_PLD_IT0 EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT1 +CYDEV_UCFG_B1_P2_U1_PLD_IT1 EQU 0x40011484 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT2 +CYDEV_UCFG_B1_P2_U1_PLD_IT2 EQU 0x40011488 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT3 +CYDEV_UCFG_B1_P2_U1_PLD_IT3 EQU 0x4001148c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT4 +CYDEV_UCFG_B1_P2_U1_PLD_IT4 EQU 0x40011490 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT5 +CYDEV_UCFG_B1_P2_U1_PLD_IT5 EQU 0x40011494 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT6 +CYDEV_UCFG_B1_P2_U1_PLD_IT6 EQU 0x40011498 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT7 +CYDEV_UCFG_B1_P2_U1_PLD_IT7 EQU 0x4001149c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT8 +CYDEV_UCFG_B1_P2_U1_PLD_IT8 EQU 0x400114a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT9 +CYDEV_UCFG_B1_P2_U1_PLD_IT9 EQU 0x400114a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT10 +CYDEV_UCFG_B1_P2_U1_PLD_IT10 EQU 0x400114a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT11 +CYDEV_UCFG_B1_P2_U1_PLD_IT11 EQU 0x400114ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT0 +CYDEV_UCFG_B1_P2_U1_PLD_ORT0 EQU 0x400114b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT1 +CYDEV_UCFG_B1_P2_U1_PLD_ORT1 EQU 0x400114b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT2 +CYDEV_UCFG_B1_P2_U1_PLD_ORT2 EQU 0x400114b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT3 +CYDEV_UCFG_B1_P2_U1_PLD_ORT3 EQU 0x400114b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST EQU 0x400114b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB EQU 0x400114ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET EQU 0x400114bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS EQU 0x400114be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG0 +CYDEV_UCFG_B1_P2_U1_CFG0 EQU 0x400114c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG1 +CYDEV_UCFG_B1_P2_U1_CFG1 EQU 0x400114c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG2 +CYDEV_UCFG_B1_P2_U1_CFG2 EQU 0x400114c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG3 +CYDEV_UCFG_B1_P2_U1_CFG3 EQU 0x400114c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG4 +CYDEV_UCFG_B1_P2_U1_CFG4 EQU 0x400114c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG5 +CYDEV_UCFG_B1_P2_U1_CFG5 EQU 0x400114c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG6 +CYDEV_UCFG_B1_P2_U1_CFG6 EQU 0x400114c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG7 +CYDEV_UCFG_B1_P2_U1_CFG7 EQU 0x400114c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG8 +CYDEV_UCFG_B1_P2_U1_CFG8 EQU 0x400114c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG9 +CYDEV_UCFG_B1_P2_U1_CFG9 EQU 0x400114c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG10 +CYDEV_UCFG_B1_P2_U1_CFG10 EQU 0x400114ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG11 +CYDEV_UCFG_B1_P2_U1_CFG11 EQU 0x400114cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG12 +CYDEV_UCFG_B1_P2_U1_CFG12 EQU 0x400114cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG13 +CYDEV_UCFG_B1_P2_U1_CFG13 EQU 0x400114cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG14 +CYDEV_UCFG_B1_P2_U1_CFG14 EQU 0x400114ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG15 +CYDEV_UCFG_B1_P2_U1_CFG15 EQU 0x400114cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG16 +CYDEV_UCFG_B1_P2_U1_CFG16 EQU 0x400114d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG17 +CYDEV_UCFG_B1_P2_U1_CFG17 EQU 0x400114d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG18 +CYDEV_UCFG_B1_P2_U1_CFG18 EQU 0x400114d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG19 +CYDEV_UCFG_B1_P2_U1_CFG19 EQU 0x400114d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG20 +CYDEV_UCFG_B1_P2_U1_CFG20 EQU 0x400114d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG21 +CYDEV_UCFG_B1_P2_U1_CFG21 EQU 0x400114d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG22 +CYDEV_UCFG_B1_P2_U1_CFG22 EQU 0x400114d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG23 +CYDEV_UCFG_B1_P2_U1_CFG23 EQU 0x400114d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG24 +CYDEV_UCFG_B1_P2_U1_CFG24 EQU 0x400114d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG25 +CYDEV_UCFG_B1_P2_U1_CFG25 EQU 0x400114d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG26 +CYDEV_UCFG_B1_P2_U1_CFG26 EQU 0x400114da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG27 +CYDEV_UCFG_B1_P2_U1_CFG27 EQU 0x400114db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG28 +CYDEV_UCFG_B1_P2_U1_CFG28 EQU 0x400114dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG29 +CYDEV_UCFG_B1_P2_U1_CFG29 EQU 0x400114dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG30 +CYDEV_UCFG_B1_P2_U1_CFG30 EQU 0x400114de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG31 +CYDEV_UCFG_B1_P2_U1_CFG31 EQU 0x400114df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG0 +CYDEV_UCFG_B1_P2_U1_DCFG0 EQU 0x400114e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG1 +CYDEV_UCFG_B1_P2_U1_DCFG1 EQU 0x400114e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG2 +CYDEV_UCFG_B1_P2_U1_DCFG2 EQU 0x400114e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG3 +CYDEV_UCFG_B1_P2_U1_DCFG3 EQU 0x400114e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG4 +CYDEV_UCFG_B1_P2_U1_DCFG4 EQU 0x400114e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG5 +CYDEV_UCFG_B1_P2_U1_DCFG5 EQU 0x400114ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG6 +CYDEV_UCFG_B1_P2_U1_DCFG6 EQU 0x400114ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG7 +CYDEV_UCFG_B1_P2_U1_DCFG7 EQU 0x400114ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_BASE +CYDEV_UCFG_B1_P2_ROUTE_BASE EQU 0x40011500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_SIZE +CYDEV_UCFG_B1_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_BASE +CYDEV_UCFG_B1_P3_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_SIZE +CYDEV_UCFG_B1_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_BASE +CYDEV_UCFG_B1_P3_U0_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_SIZE +CYDEV_UCFG_B1_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT0 +CYDEV_UCFG_B1_P3_U0_PLD_IT0 EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT1 +CYDEV_UCFG_B1_P3_U0_PLD_IT1 EQU 0x40011604 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT2 +CYDEV_UCFG_B1_P3_U0_PLD_IT2 EQU 0x40011608 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT3 +CYDEV_UCFG_B1_P3_U0_PLD_IT3 EQU 0x4001160c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT4 +CYDEV_UCFG_B1_P3_U0_PLD_IT4 EQU 0x40011610 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT5 +CYDEV_UCFG_B1_P3_U0_PLD_IT5 EQU 0x40011614 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT6 +CYDEV_UCFG_B1_P3_U0_PLD_IT6 EQU 0x40011618 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT7 +CYDEV_UCFG_B1_P3_U0_PLD_IT7 EQU 0x4001161c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT8 +CYDEV_UCFG_B1_P3_U0_PLD_IT8 EQU 0x40011620 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT9 +CYDEV_UCFG_B1_P3_U0_PLD_IT9 EQU 0x40011624 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT10 +CYDEV_UCFG_B1_P3_U0_PLD_IT10 EQU 0x40011628 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT11 +CYDEV_UCFG_B1_P3_U0_PLD_IT11 EQU 0x4001162c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT0 +CYDEV_UCFG_B1_P3_U0_PLD_ORT0 EQU 0x40011630 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT1 +CYDEV_UCFG_B1_P3_U0_PLD_ORT1 EQU 0x40011632 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT2 +CYDEV_UCFG_B1_P3_U0_PLD_ORT2 EQU 0x40011634 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT3 +CYDEV_UCFG_B1_P3_U0_PLD_ORT3 EQU 0x40011636 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST EQU 0x40011638 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB EQU 0x4001163a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET EQU 0x4001163c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS EQU 0x4001163e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG0 +CYDEV_UCFG_B1_P3_U0_CFG0 EQU 0x40011640 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG1 +CYDEV_UCFG_B1_P3_U0_CFG1 EQU 0x40011641 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG2 +CYDEV_UCFG_B1_P3_U0_CFG2 EQU 0x40011642 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG3 +CYDEV_UCFG_B1_P3_U0_CFG3 EQU 0x40011643 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG4 +CYDEV_UCFG_B1_P3_U0_CFG4 EQU 0x40011644 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG5 +CYDEV_UCFG_B1_P3_U0_CFG5 EQU 0x40011645 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG6 +CYDEV_UCFG_B1_P3_U0_CFG6 EQU 0x40011646 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG7 +CYDEV_UCFG_B1_P3_U0_CFG7 EQU 0x40011647 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG8 +CYDEV_UCFG_B1_P3_U0_CFG8 EQU 0x40011648 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG9 +CYDEV_UCFG_B1_P3_U0_CFG9 EQU 0x40011649 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG10 +CYDEV_UCFG_B1_P3_U0_CFG10 EQU 0x4001164a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG11 +CYDEV_UCFG_B1_P3_U0_CFG11 EQU 0x4001164b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG12 +CYDEV_UCFG_B1_P3_U0_CFG12 EQU 0x4001164c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG13 +CYDEV_UCFG_B1_P3_U0_CFG13 EQU 0x4001164d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG14 +CYDEV_UCFG_B1_P3_U0_CFG14 EQU 0x4001164e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG15 +CYDEV_UCFG_B1_P3_U0_CFG15 EQU 0x4001164f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG16 +CYDEV_UCFG_B1_P3_U0_CFG16 EQU 0x40011650 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG17 +CYDEV_UCFG_B1_P3_U0_CFG17 EQU 0x40011651 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG18 +CYDEV_UCFG_B1_P3_U0_CFG18 EQU 0x40011652 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG19 +CYDEV_UCFG_B1_P3_U0_CFG19 EQU 0x40011653 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG20 +CYDEV_UCFG_B1_P3_U0_CFG20 EQU 0x40011654 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG21 +CYDEV_UCFG_B1_P3_U0_CFG21 EQU 0x40011655 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG22 +CYDEV_UCFG_B1_P3_U0_CFG22 EQU 0x40011656 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG23 +CYDEV_UCFG_B1_P3_U0_CFG23 EQU 0x40011657 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG24 +CYDEV_UCFG_B1_P3_U0_CFG24 EQU 0x40011658 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG25 +CYDEV_UCFG_B1_P3_U0_CFG25 EQU 0x40011659 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG26 +CYDEV_UCFG_B1_P3_U0_CFG26 EQU 0x4001165a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG27 +CYDEV_UCFG_B1_P3_U0_CFG27 EQU 0x4001165b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG28 +CYDEV_UCFG_B1_P3_U0_CFG28 EQU 0x4001165c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG29 +CYDEV_UCFG_B1_P3_U0_CFG29 EQU 0x4001165d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG30 +CYDEV_UCFG_B1_P3_U0_CFG30 EQU 0x4001165e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG31 +CYDEV_UCFG_B1_P3_U0_CFG31 EQU 0x4001165f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG0 +CYDEV_UCFG_B1_P3_U0_DCFG0 EQU 0x40011660 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG1 +CYDEV_UCFG_B1_P3_U0_DCFG1 EQU 0x40011662 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG2 +CYDEV_UCFG_B1_P3_U0_DCFG2 EQU 0x40011664 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG3 +CYDEV_UCFG_B1_P3_U0_DCFG3 EQU 0x40011666 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG4 +CYDEV_UCFG_B1_P3_U0_DCFG4 EQU 0x40011668 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG5 +CYDEV_UCFG_B1_P3_U0_DCFG5 EQU 0x4001166a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG6 +CYDEV_UCFG_B1_P3_U0_DCFG6 EQU 0x4001166c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG7 +CYDEV_UCFG_B1_P3_U0_DCFG7 EQU 0x4001166e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_BASE +CYDEV_UCFG_B1_P3_U1_BASE EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_SIZE +CYDEV_UCFG_B1_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT0 +CYDEV_UCFG_B1_P3_U1_PLD_IT0 EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT1 +CYDEV_UCFG_B1_P3_U1_PLD_IT1 EQU 0x40011684 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT2 +CYDEV_UCFG_B1_P3_U1_PLD_IT2 EQU 0x40011688 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT3 +CYDEV_UCFG_B1_P3_U1_PLD_IT3 EQU 0x4001168c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT4 +CYDEV_UCFG_B1_P3_U1_PLD_IT4 EQU 0x40011690 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT5 +CYDEV_UCFG_B1_P3_U1_PLD_IT5 EQU 0x40011694 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT6 +CYDEV_UCFG_B1_P3_U1_PLD_IT6 EQU 0x40011698 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT7 +CYDEV_UCFG_B1_P3_U1_PLD_IT7 EQU 0x4001169c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT8 +CYDEV_UCFG_B1_P3_U1_PLD_IT8 EQU 0x400116a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT9 +CYDEV_UCFG_B1_P3_U1_PLD_IT9 EQU 0x400116a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT10 +CYDEV_UCFG_B1_P3_U1_PLD_IT10 EQU 0x400116a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT11 +CYDEV_UCFG_B1_P3_U1_PLD_IT11 EQU 0x400116ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT0 +CYDEV_UCFG_B1_P3_U1_PLD_ORT0 EQU 0x400116b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT1 +CYDEV_UCFG_B1_P3_U1_PLD_ORT1 EQU 0x400116b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT2 +CYDEV_UCFG_B1_P3_U1_PLD_ORT2 EQU 0x400116b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT3 +CYDEV_UCFG_B1_P3_U1_PLD_ORT3 EQU 0x400116b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST EQU 0x400116b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB EQU 0x400116ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET EQU 0x400116bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS EQU 0x400116be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG0 +CYDEV_UCFG_B1_P3_U1_CFG0 EQU 0x400116c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG1 +CYDEV_UCFG_B1_P3_U1_CFG1 EQU 0x400116c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG2 +CYDEV_UCFG_B1_P3_U1_CFG2 EQU 0x400116c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG3 +CYDEV_UCFG_B1_P3_U1_CFG3 EQU 0x400116c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG4 +CYDEV_UCFG_B1_P3_U1_CFG4 EQU 0x400116c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG5 +CYDEV_UCFG_B1_P3_U1_CFG5 EQU 0x400116c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG6 +CYDEV_UCFG_B1_P3_U1_CFG6 EQU 0x400116c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG7 +CYDEV_UCFG_B1_P3_U1_CFG7 EQU 0x400116c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG8 +CYDEV_UCFG_B1_P3_U1_CFG8 EQU 0x400116c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG9 +CYDEV_UCFG_B1_P3_U1_CFG9 EQU 0x400116c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG10 +CYDEV_UCFG_B1_P3_U1_CFG10 EQU 0x400116ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG11 +CYDEV_UCFG_B1_P3_U1_CFG11 EQU 0x400116cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG12 +CYDEV_UCFG_B1_P3_U1_CFG12 EQU 0x400116cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG13 +CYDEV_UCFG_B1_P3_U1_CFG13 EQU 0x400116cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG14 +CYDEV_UCFG_B1_P3_U1_CFG14 EQU 0x400116ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG15 +CYDEV_UCFG_B1_P3_U1_CFG15 EQU 0x400116cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG16 +CYDEV_UCFG_B1_P3_U1_CFG16 EQU 0x400116d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG17 +CYDEV_UCFG_B1_P3_U1_CFG17 EQU 0x400116d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG18 +CYDEV_UCFG_B1_P3_U1_CFG18 EQU 0x400116d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG19 +CYDEV_UCFG_B1_P3_U1_CFG19 EQU 0x400116d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG20 +CYDEV_UCFG_B1_P3_U1_CFG20 EQU 0x400116d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG21 +CYDEV_UCFG_B1_P3_U1_CFG21 EQU 0x400116d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG22 +CYDEV_UCFG_B1_P3_U1_CFG22 EQU 0x400116d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG23 +CYDEV_UCFG_B1_P3_U1_CFG23 EQU 0x400116d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG24 +CYDEV_UCFG_B1_P3_U1_CFG24 EQU 0x400116d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG25 +CYDEV_UCFG_B1_P3_U1_CFG25 EQU 0x400116d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG26 +CYDEV_UCFG_B1_P3_U1_CFG26 EQU 0x400116da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG27 +CYDEV_UCFG_B1_P3_U1_CFG27 EQU 0x400116db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG28 +CYDEV_UCFG_B1_P3_U1_CFG28 EQU 0x400116dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG29 +CYDEV_UCFG_B1_P3_U1_CFG29 EQU 0x400116dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG30 +CYDEV_UCFG_B1_P3_U1_CFG30 EQU 0x400116de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG31 +CYDEV_UCFG_B1_P3_U1_CFG31 EQU 0x400116df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG0 +CYDEV_UCFG_B1_P3_U1_DCFG0 EQU 0x400116e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG1 +CYDEV_UCFG_B1_P3_U1_DCFG1 EQU 0x400116e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG2 +CYDEV_UCFG_B1_P3_U1_DCFG2 EQU 0x400116e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG3 +CYDEV_UCFG_B1_P3_U1_DCFG3 EQU 0x400116e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG4 +CYDEV_UCFG_B1_P3_U1_DCFG4 EQU 0x400116e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG5 +CYDEV_UCFG_B1_P3_U1_DCFG5 EQU 0x400116ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG6 +CYDEV_UCFG_B1_P3_U1_DCFG6 EQU 0x400116ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG7 +CYDEV_UCFG_B1_P3_U1_DCFG7 EQU 0x400116ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_BASE +CYDEV_UCFG_B1_P3_ROUTE_BASE EQU 0x40011700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_SIZE +CYDEV_UCFG_B1_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_BASE +CYDEV_UCFG_B1_P4_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_SIZE +CYDEV_UCFG_B1_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_BASE +CYDEV_UCFG_B1_P4_U0_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_SIZE +CYDEV_UCFG_B1_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT0 +CYDEV_UCFG_B1_P4_U0_PLD_IT0 EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT1 +CYDEV_UCFG_B1_P4_U0_PLD_IT1 EQU 0x40011804 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT2 +CYDEV_UCFG_B1_P4_U0_PLD_IT2 EQU 0x40011808 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT3 +CYDEV_UCFG_B1_P4_U0_PLD_IT3 EQU 0x4001180c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT4 +CYDEV_UCFG_B1_P4_U0_PLD_IT4 EQU 0x40011810 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT5 +CYDEV_UCFG_B1_P4_U0_PLD_IT5 EQU 0x40011814 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT6 +CYDEV_UCFG_B1_P4_U0_PLD_IT6 EQU 0x40011818 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT7 +CYDEV_UCFG_B1_P4_U0_PLD_IT7 EQU 0x4001181c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT8 +CYDEV_UCFG_B1_P4_U0_PLD_IT8 EQU 0x40011820 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT9 +CYDEV_UCFG_B1_P4_U0_PLD_IT9 EQU 0x40011824 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT10 +CYDEV_UCFG_B1_P4_U0_PLD_IT10 EQU 0x40011828 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT11 +CYDEV_UCFG_B1_P4_U0_PLD_IT11 EQU 0x4001182c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT0 +CYDEV_UCFG_B1_P4_U0_PLD_ORT0 EQU 0x40011830 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT1 +CYDEV_UCFG_B1_P4_U0_PLD_ORT1 EQU 0x40011832 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT2 +CYDEV_UCFG_B1_P4_U0_PLD_ORT2 EQU 0x40011834 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT3 +CYDEV_UCFG_B1_P4_U0_PLD_ORT3 EQU 0x40011836 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST EQU 0x40011838 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB EQU 0x4001183a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET EQU 0x4001183c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS EQU 0x4001183e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG0 +CYDEV_UCFG_B1_P4_U0_CFG0 EQU 0x40011840 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG1 +CYDEV_UCFG_B1_P4_U0_CFG1 EQU 0x40011841 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG2 +CYDEV_UCFG_B1_P4_U0_CFG2 EQU 0x40011842 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG3 +CYDEV_UCFG_B1_P4_U0_CFG3 EQU 0x40011843 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG4 +CYDEV_UCFG_B1_P4_U0_CFG4 EQU 0x40011844 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG5 +CYDEV_UCFG_B1_P4_U0_CFG5 EQU 0x40011845 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG6 +CYDEV_UCFG_B1_P4_U0_CFG6 EQU 0x40011846 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG7 +CYDEV_UCFG_B1_P4_U0_CFG7 EQU 0x40011847 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG8 +CYDEV_UCFG_B1_P4_U0_CFG8 EQU 0x40011848 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG9 +CYDEV_UCFG_B1_P4_U0_CFG9 EQU 0x40011849 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG10 +CYDEV_UCFG_B1_P4_U0_CFG10 EQU 0x4001184a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG11 +CYDEV_UCFG_B1_P4_U0_CFG11 EQU 0x4001184b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG12 +CYDEV_UCFG_B1_P4_U0_CFG12 EQU 0x4001184c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG13 +CYDEV_UCFG_B1_P4_U0_CFG13 EQU 0x4001184d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG14 +CYDEV_UCFG_B1_P4_U0_CFG14 EQU 0x4001184e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG15 +CYDEV_UCFG_B1_P4_U0_CFG15 EQU 0x4001184f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG16 +CYDEV_UCFG_B1_P4_U0_CFG16 EQU 0x40011850 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG17 +CYDEV_UCFG_B1_P4_U0_CFG17 EQU 0x40011851 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG18 +CYDEV_UCFG_B1_P4_U0_CFG18 EQU 0x40011852 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG19 +CYDEV_UCFG_B1_P4_U0_CFG19 EQU 0x40011853 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG20 +CYDEV_UCFG_B1_P4_U0_CFG20 EQU 0x40011854 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG21 +CYDEV_UCFG_B1_P4_U0_CFG21 EQU 0x40011855 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG22 +CYDEV_UCFG_B1_P4_U0_CFG22 EQU 0x40011856 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG23 +CYDEV_UCFG_B1_P4_U0_CFG23 EQU 0x40011857 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG24 +CYDEV_UCFG_B1_P4_U0_CFG24 EQU 0x40011858 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG25 +CYDEV_UCFG_B1_P4_U0_CFG25 EQU 0x40011859 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG26 +CYDEV_UCFG_B1_P4_U0_CFG26 EQU 0x4001185a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG27 +CYDEV_UCFG_B1_P4_U0_CFG27 EQU 0x4001185b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG28 +CYDEV_UCFG_B1_P4_U0_CFG28 EQU 0x4001185c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG29 +CYDEV_UCFG_B1_P4_U0_CFG29 EQU 0x4001185d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG30 +CYDEV_UCFG_B1_P4_U0_CFG30 EQU 0x4001185e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG31 +CYDEV_UCFG_B1_P4_U0_CFG31 EQU 0x4001185f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG0 +CYDEV_UCFG_B1_P4_U0_DCFG0 EQU 0x40011860 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG1 +CYDEV_UCFG_B1_P4_U0_DCFG1 EQU 0x40011862 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG2 +CYDEV_UCFG_B1_P4_U0_DCFG2 EQU 0x40011864 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG3 +CYDEV_UCFG_B1_P4_U0_DCFG3 EQU 0x40011866 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG4 +CYDEV_UCFG_B1_P4_U0_DCFG4 EQU 0x40011868 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG5 +CYDEV_UCFG_B1_P4_U0_DCFG5 EQU 0x4001186a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG6 +CYDEV_UCFG_B1_P4_U0_DCFG6 EQU 0x4001186c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG7 +CYDEV_UCFG_B1_P4_U0_DCFG7 EQU 0x4001186e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_BASE +CYDEV_UCFG_B1_P4_U1_BASE EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_SIZE +CYDEV_UCFG_B1_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT0 +CYDEV_UCFG_B1_P4_U1_PLD_IT0 EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT1 +CYDEV_UCFG_B1_P4_U1_PLD_IT1 EQU 0x40011884 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT2 +CYDEV_UCFG_B1_P4_U1_PLD_IT2 EQU 0x40011888 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT3 +CYDEV_UCFG_B1_P4_U1_PLD_IT3 EQU 0x4001188c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT4 +CYDEV_UCFG_B1_P4_U1_PLD_IT4 EQU 0x40011890 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT5 +CYDEV_UCFG_B1_P4_U1_PLD_IT5 EQU 0x40011894 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT6 +CYDEV_UCFG_B1_P4_U1_PLD_IT6 EQU 0x40011898 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT7 +CYDEV_UCFG_B1_P4_U1_PLD_IT7 EQU 0x4001189c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT8 +CYDEV_UCFG_B1_P4_U1_PLD_IT8 EQU 0x400118a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT9 +CYDEV_UCFG_B1_P4_U1_PLD_IT9 EQU 0x400118a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT10 +CYDEV_UCFG_B1_P4_U1_PLD_IT10 EQU 0x400118a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT11 +CYDEV_UCFG_B1_P4_U1_PLD_IT11 EQU 0x400118ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT0 +CYDEV_UCFG_B1_P4_U1_PLD_ORT0 EQU 0x400118b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT1 +CYDEV_UCFG_B1_P4_U1_PLD_ORT1 EQU 0x400118b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT2 +CYDEV_UCFG_B1_P4_U1_PLD_ORT2 EQU 0x400118b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT3 +CYDEV_UCFG_B1_P4_U1_PLD_ORT3 EQU 0x400118b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST EQU 0x400118b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB EQU 0x400118ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET EQU 0x400118bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS EQU 0x400118be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG0 +CYDEV_UCFG_B1_P4_U1_CFG0 EQU 0x400118c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG1 +CYDEV_UCFG_B1_P4_U1_CFG1 EQU 0x400118c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG2 +CYDEV_UCFG_B1_P4_U1_CFG2 EQU 0x400118c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG3 +CYDEV_UCFG_B1_P4_U1_CFG3 EQU 0x400118c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG4 +CYDEV_UCFG_B1_P4_U1_CFG4 EQU 0x400118c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG5 +CYDEV_UCFG_B1_P4_U1_CFG5 EQU 0x400118c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG6 +CYDEV_UCFG_B1_P4_U1_CFG6 EQU 0x400118c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG7 +CYDEV_UCFG_B1_P4_U1_CFG7 EQU 0x400118c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG8 +CYDEV_UCFG_B1_P4_U1_CFG8 EQU 0x400118c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG9 +CYDEV_UCFG_B1_P4_U1_CFG9 EQU 0x400118c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG10 +CYDEV_UCFG_B1_P4_U1_CFG10 EQU 0x400118ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG11 +CYDEV_UCFG_B1_P4_U1_CFG11 EQU 0x400118cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG12 +CYDEV_UCFG_B1_P4_U1_CFG12 EQU 0x400118cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG13 +CYDEV_UCFG_B1_P4_U1_CFG13 EQU 0x400118cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG14 +CYDEV_UCFG_B1_P4_U1_CFG14 EQU 0x400118ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG15 +CYDEV_UCFG_B1_P4_U1_CFG15 EQU 0x400118cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG16 +CYDEV_UCFG_B1_P4_U1_CFG16 EQU 0x400118d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG17 +CYDEV_UCFG_B1_P4_U1_CFG17 EQU 0x400118d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG18 +CYDEV_UCFG_B1_P4_U1_CFG18 EQU 0x400118d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG19 +CYDEV_UCFG_B1_P4_U1_CFG19 EQU 0x400118d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG20 +CYDEV_UCFG_B1_P4_U1_CFG20 EQU 0x400118d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG21 +CYDEV_UCFG_B1_P4_U1_CFG21 EQU 0x400118d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG22 +CYDEV_UCFG_B1_P4_U1_CFG22 EQU 0x400118d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG23 +CYDEV_UCFG_B1_P4_U1_CFG23 EQU 0x400118d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG24 +CYDEV_UCFG_B1_P4_U1_CFG24 EQU 0x400118d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG25 +CYDEV_UCFG_B1_P4_U1_CFG25 EQU 0x400118d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG26 +CYDEV_UCFG_B1_P4_U1_CFG26 EQU 0x400118da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG27 +CYDEV_UCFG_B1_P4_U1_CFG27 EQU 0x400118db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG28 +CYDEV_UCFG_B1_P4_U1_CFG28 EQU 0x400118dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG29 +CYDEV_UCFG_B1_P4_U1_CFG29 EQU 0x400118dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG30 +CYDEV_UCFG_B1_P4_U1_CFG30 EQU 0x400118de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG31 +CYDEV_UCFG_B1_P4_U1_CFG31 EQU 0x400118df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG0 +CYDEV_UCFG_B1_P4_U1_DCFG0 EQU 0x400118e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG1 +CYDEV_UCFG_B1_P4_U1_DCFG1 EQU 0x400118e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG2 +CYDEV_UCFG_B1_P4_U1_DCFG2 EQU 0x400118e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG3 +CYDEV_UCFG_B1_P4_U1_DCFG3 EQU 0x400118e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG4 +CYDEV_UCFG_B1_P4_U1_DCFG4 EQU 0x400118e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG5 +CYDEV_UCFG_B1_P4_U1_DCFG5 EQU 0x400118ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG6 +CYDEV_UCFG_B1_P4_U1_DCFG6 EQU 0x400118ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG7 +CYDEV_UCFG_B1_P4_U1_DCFG7 EQU 0x400118ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_BASE +CYDEV_UCFG_B1_P4_ROUTE_BASE EQU 0x40011900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_SIZE +CYDEV_UCFG_B1_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_BASE +CYDEV_UCFG_B1_P5_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_SIZE +CYDEV_UCFG_B1_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_BASE +CYDEV_UCFG_B1_P5_U0_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_SIZE +CYDEV_UCFG_B1_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT0 +CYDEV_UCFG_B1_P5_U0_PLD_IT0 EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT1 +CYDEV_UCFG_B1_P5_U0_PLD_IT1 EQU 0x40011a04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT2 +CYDEV_UCFG_B1_P5_U0_PLD_IT2 EQU 0x40011a08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT3 +CYDEV_UCFG_B1_P5_U0_PLD_IT3 EQU 0x40011a0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT4 +CYDEV_UCFG_B1_P5_U0_PLD_IT4 EQU 0x40011a10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT5 +CYDEV_UCFG_B1_P5_U0_PLD_IT5 EQU 0x40011a14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT6 +CYDEV_UCFG_B1_P5_U0_PLD_IT6 EQU 0x40011a18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT7 +CYDEV_UCFG_B1_P5_U0_PLD_IT7 EQU 0x40011a1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT8 +CYDEV_UCFG_B1_P5_U0_PLD_IT8 EQU 0x40011a20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT9 +CYDEV_UCFG_B1_P5_U0_PLD_IT9 EQU 0x40011a24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT10 +CYDEV_UCFG_B1_P5_U0_PLD_IT10 EQU 0x40011a28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT11 +CYDEV_UCFG_B1_P5_U0_PLD_IT11 EQU 0x40011a2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT0 +CYDEV_UCFG_B1_P5_U0_PLD_ORT0 EQU 0x40011a30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT1 +CYDEV_UCFG_B1_P5_U0_PLD_ORT1 EQU 0x40011a32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT2 +CYDEV_UCFG_B1_P5_U0_PLD_ORT2 EQU 0x40011a34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT3 +CYDEV_UCFG_B1_P5_U0_PLD_ORT3 EQU 0x40011a36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST EQU 0x40011a38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB EQU 0x40011a3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET EQU 0x40011a3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS EQU 0x40011a3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG0 +CYDEV_UCFG_B1_P5_U0_CFG0 EQU 0x40011a40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG1 +CYDEV_UCFG_B1_P5_U0_CFG1 EQU 0x40011a41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG2 +CYDEV_UCFG_B1_P5_U0_CFG2 EQU 0x40011a42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG3 +CYDEV_UCFG_B1_P5_U0_CFG3 EQU 0x40011a43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG4 +CYDEV_UCFG_B1_P5_U0_CFG4 EQU 0x40011a44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG5 +CYDEV_UCFG_B1_P5_U0_CFG5 EQU 0x40011a45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG6 +CYDEV_UCFG_B1_P5_U0_CFG6 EQU 0x40011a46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG7 +CYDEV_UCFG_B1_P5_U0_CFG7 EQU 0x40011a47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG8 +CYDEV_UCFG_B1_P5_U0_CFG8 EQU 0x40011a48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG9 +CYDEV_UCFG_B1_P5_U0_CFG9 EQU 0x40011a49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG10 +CYDEV_UCFG_B1_P5_U0_CFG10 EQU 0x40011a4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG11 +CYDEV_UCFG_B1_P5_U0_CFG11 EQU 0x40011a4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG12 +CYDEV_UCFG_B1_P5_U0_CFG12 EQU 0x40011a4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG13 +CYDEV_UCFG_B1_P5_U0_CFG13 EQU 0x40011a4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG14 +CYDEV_UCFG_B1_P5_U0_CFG14 EQU 0x40011a4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG15 +CYDEV_UCFG_B1_P5_U0_CFG15 EQU 0x40011a4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG16 +CYDEV_UCFG_B1_P5_U0_CFG16 EQU 0x40011a50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG17 +CYDEV_UCFG_B1_P5_U0_CFG17 EQU 0x40011a51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG18 +CYDEV_UCFG_B1_P5_U0_CFG18 EQU 0x40011a52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG19 +CYDEV_UCFG_B1_P5_U0_CFG19 EQU 0x40011a53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG20 +CYDEV_UCFG_B1_P5_U0_CFG20 EQU 0x40011a54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG21 +CYDEV_UCFG_B1_P5_U0_CFG21 EQU 0x40011a55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG22 +CYDEV_UCFG_B1_P5_U0_CFG22 EQU 0x40011a56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG23 +CYDEV_UCFG_B1_P5_U0_CFG23 EQU 0x40011a57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG24 +CYDEV_UCFG_B1_P5_U0_CFG24 EQU 0x40011a58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG25 +CYDEV_UCFG_B1_P5_U0_CFG25 EQU 0x40011a59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG26 +CYDEV_UCFG_B1_P5_U0_CFG26 EQU 0x40011a5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG27 +CYDEV_UCFG_B1_P5_U0_CFG27 EQU 0x40011a5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG28 +CYDEV_UCFG_B1_P5_U0_CFG28 EQU 0x40011a5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG29 +CYDEV_UCFG_B1_P5_U0_CFG29 EQU 0x40011a5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG30 +CYDEV_UCFG_B1_P5_U0_CFG30 EQU 0x40011a5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG31 +CYDEV_UCFG_B1_P5_U0_CFG31 EQU 0x40011a5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG0 +CYDEV_UCFG_B1_P5_U0_DCFG0 EQU 0x40011a60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG1 +CYDEV_UCFG_B1_P5_U0_DCFG1 EQU 0x40011a62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG2 +CYDEV_UCFG_B1_P5_U0_DCFG2 EQU 0x40011a64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG3 +CYDEV_UCFG_B1_P5_U0_DCFG3 EQU 0x40011a66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG4 +CYDEV_UCFG_B1_P5_U0_DCFG4 EQU 0x40011a68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG5 +CYDEV_UCFG_B1_P5_U0_DCFG5 EQU 0x40011a6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG6 +CYDEV_UCFG_B1_P5_U0_DCFG6 EQU 0x40011a6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG7 +CYDEV_UCFG_B1_P5_U0_DCFG7 EQU 0x40011a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_BASE +CYDEV_UCFG_B1_P5_U1_BASE EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_SIZE +CYDEV_UCFG_B1_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT0 +CYDEV_UCFG_B1_P5_U1_PLD_IT0 EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT1 +CYDEV_UCFG_B1_P5_U1_PLD_IT1 EQU 0x40011a84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT2 +CYDEV_UCFG_B1_P5_U1_PLD_IT2 EQU 0x40011a88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT3 +CYDEV_UCFG_B1_P5_U1_PLD_IT3 EQU 0x40011a8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT4 +CYDEV_UCFG_B1_P5_U1_PLD_IT4 EQU 0x40011a90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT5 +CYDEV_UCFG_B1_P5_U1_PLD_IT5 EQU 0x40011a94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT6 +CYDEV_UCFG_B1_P5_U1_PLD_IT6 EQU 0x40011a98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT7 +CYDEV_UCFG_B1_P5_U1_PLD_IT7 EQU 0x40011a9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT8 +CYDEV_UCFG_B1_P5_U1_PLD_IT8 EQU 0x40011aa0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT9 +CYDEV_UCFG_B1_P5_U1_PLD_IT9 EQU 0x40011aa4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT10 +CYDEV_UCFG_B1_P5_U1_PLD_IT10 EQU 0x40011aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT11 +CYDEV_UCFG_B1_P5_U1_PLD_IT11 EQU 0x40011aac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT0 +CYDEV_UCFG_B1_P5_U1_PLD_ORT0 EQU 0x40011ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT1 +CYDEV_UCFG_B1_P5_U1_PLD_ORT1 EQU 0x40011ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT2 +CYDEV_UCFG_B1_P5_U1_PLD_ORT2 EQU 0x40011ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT3 +CYDEV_UCFG_B1_P5_U1_PLD_ORT3 EQU 0x40011ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST EQU 0x40011ab8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB EQU 0x40011aba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET EQU 0x40011abc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS EQU 0x40011abe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG0 +CYDEV_UCFG_B1_P5_U1_CFG0 EQU 0x40011ac0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG1 +CYDEV_UCFG_B1_P5_U1_CFG1 EQU 0x40011ac1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG2 +CYDEV_UCFG_B1_P5_U1_CFG2 EQU 0x40011ac2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG3 +CYDEV_UCFG_B1_P5_U1_CFG3 EQU 0x40011ac3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG4 +CYDEV_UCFG_B1_P5_U1_CFG4 EQU 0x40011ac4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG5 +CYDEV_UCFG_B1_P5_U1_CFG5 EQU 0x40011ac5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG6 +CYDEV_UCFG_B1_P5_U1_CFG6 EQU 0x40011ac6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG7 +CYDEV_UCFG_B1_P5_U1_CFG7 EQU 0x40011ac7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG8 +CYDEV_UCFG_B1_P5_U1_CFG8 EQU 0x40011ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG9 +CYDEV_UCFG_B1_P5_U1_CFG9 EQU 0x40011ac9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG10 +CYDEV_UCFG_B1_P5_U1_CFG10 EQU 0x40011aca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG11 +CYDEV_UCFG_B1_P5_U1_CFG11 EQU 0x40011acb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG12 +CYDEV_UCFG_B1_P5_U1_CFG12 EQU 0x40011acc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG13 +CYDEV_UCFG_B1_P5_U1_CFG13 EQU 0x40011acd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG14 +CYDEV_UCFG_B1_P5_U1_CFG14 EQU 0x40011ace + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG15 +CYDEV_UCFG_B1_P5_U1_CFG15 EQU 0x40011acf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG16 +CYDEV_UCFG_B1_P5_U1_CFG16 EQU 0x40011ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG17 +CYDEV_UCFG_B1_P5_U1_CFG17 EQU 0x40011ad1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG18 +CYDEV_UCFG_B1_P5_U1_CFG18 EQU 0x40011ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG19 +CYDEV_UCFG_B1_P5_U1_CFG19 EQU 0x40011ad3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG20 +CYDEV_UCFG_B1_P5_U1_CFG20 EQU 0x40011ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG21 +CYDEV_UCFG_B1_P5_U1_CFG21 EQU 0x40011ad5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG22 +CYDEV_UCFG_B1_P5_U1_CFG22 EQU 0x40011ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG23 +CYDEV_UCFG_B1_P5_U1_CFG23 EQU 0x40011ad7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG24 +CYDEV_UCFG_B1_P5_U1_CFG24 EQU 0x40011ad8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG25 +CYDEV_UCFG_B1_P5_U1_CFG25 EQU 0x40011ad9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG26 +CYDEV_UCFG_B1_P5_U1_CFG26 EQU 0x40011ada + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG27 +CYDEV_UCFG_B1_P5_U1_CFG27 EQU 0x40011adb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG28 +CYDEV_UCFG_B1_P5_U1_CFG28 EQU 0x40011adc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG29 +CYDEV_UCFG_B1_P5_U1_CFG29 EQU 0x40011add + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG30 +CYDEV_UCFG_B1_P5_U1_CFG30 EQU 0x40011ade + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG31 +CYDEV_UCFG_B1_P5_U1_CFG31 EQU 0x40011adf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG0 +CYDEV_UCFG_B1_P5_U1_DCFG0 EQU 0x40011ae0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG1 +CYDEV_UCFG_B1_P5_U1_DCFG1 EQU 0x40011ae2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG2 +CYDEV_UCFG_B1_P5_U1_DCFG2 EQU 0x40011ae4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG3 +CYDEV_UCFG_B1_P5_U1_DCFG3 EQU 0x40011ae6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG4 +CYDEV_UCFG_B1_P5_U1_DCFG4 EQU 0x40011ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG5 +CYDEV_UCFG_B1_P5_U1_DCFG5 EQU 0x40011aea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG6 +CYDEV_UCFG_B1_P5_U1_DCFG6 EQU 0x40011aec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG7 +CYDEV_UCFG_B1_P5_U1_DCFG7 EQU 0x40011aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_BASE +CYDEV_UCFG_B1_P5_ROUTE_BASE EQU 0x40011b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_SIZE +CYDEV_UCFG_B1_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_BASE +CYDEV_UCFG_DSI0_BASE EQU 0x40014000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_SIZE +CYDEV_UCFG_DSI0_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_BASE +CYDEV_UCFG_DSI1_BASE EQU 0x40014100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_SIZE +CYDEV_UCFG_DSI1_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_BASE +CYDEV_UCFG_DSI2_BASE EQU 0x40014200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_SIZE +CYDEV_UCFG_DSI2_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_BASE +CYDEV_UCFG_DSI3_BASE EQU 0x40014300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_SIZE +CYDEV_UCFG_DSI3_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_BASE +CYDEV_UCFG_DSI4_BASE EQU 0x40014400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_SIZE +CYDEV_UCFG_DSI4_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_BASE +CYDEV_UCFG_DSI5_BASE EQU 0x40014500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_SIZE +CYDEV_UCFG_DSI5_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_BASE +CYDEV_UCFG_DSI6_BASE EQU 0x40014600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_SIZE +CYDEV_UCFG_DSI6_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_BASE +CYDEV_UCFG_DSI7_BASE EQU 0x40014700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_SIZE +CYDEV_UCFG_DSI7_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_BASE +CYDEV_UCFG_DSI8_BASE EQU 0x40014800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_SIZE +CYDEV_UCFG_DSI8_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_BASE +CYDEV_UCFG_DSI9_BASE EQU 0x40014900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_SIZE +CYDEV_UCFG_DSI9_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_BASE +CYDEV_UCFG_DSI12_BASE EQU 0x40014c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_SIZE +CYDEV_UCFG_DSI12_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_BASE +CYDEV_UCFG_DSI13_BASE EQU 0x40014d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_SIZE +CYDEV_UCFG_DSI13_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BASE +CYDEV_UCFG_BCTL0_BASE EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_SIZE +CYDEV_UCFG_BCTL0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_MDCLK_EN +CYDEV_UCFG_BCTL0_MDCLK_EN EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_MBCLK_EN +CYDEV_UCFG_BCTL0_MBCLK_EN EQU 0x40015001 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_WAIT_CFG +CYDEV_UCFG_BCTL0_WAIT_CFG EQU 0x40015002 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BANK_CTL +CYDEV_UCFG_BCTL0_BANK_CTL EQU 0x40015003 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_UDB_TEST_3 +CYDEV_UCFG_BCTL0_UDB_TEST_3 EQU 0x40015007 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN0 +CYDEV_UCFG_BCTL0_DCLK_EN0 EQU 0x40015008 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN0 +CYDEV_UCFG_BCTL0_BCLK_EN0 EQU 0x40015009 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN1 +CYDEV_UCFG_BCTL0_DCLK_EN1 EQU 0x4001500a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN1 +CYDEV_UCFG_BCTL0_BCLK_EN1 EQU 0x4001500b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN2 +CYDEV_UCFG_BCTL0_DCLK_EN2 EQU 0x4001500c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN2 +CYDEV_UCFG_BCTL0_BCLK_EN2 EQU 0x4001500d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN3 +CYDEV_UCFG_BCTL0_DCLK_EN3 EQU 0x4001500e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN3 +CYDEV_UCFG_BCTL0_BCLK_EN3 EQU 0x4001500f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BASE +CYDEV_UCFG_BCTL1_BASE EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_SIZE +CYDEV_UCFG_BCTL1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_MDCLK_EN +CYDEV_UCFG_BCTL1_MDCLK_EN EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_MBCLK_EN +CYDEV_UCFG_BCTL1_MBCLK_EN EQU 0x40015011 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_WAIT_CFG +CYDEV_UCFG_BCTL1_WAIT_CFG EQU 0x40015012 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BANK_CTL +CYDEV_UCFG_BCTL1_BANK_CTL EQU 0x40015013 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_UDB_TEST_3 +CYDEV_UCFG_BCTL1_UDB_TEST_3 EQU 0x40015017 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN0 +CYDEV_UCFG_BCTL1_DCLK_EN0 EQU 0x40015018 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN0 +CYDEV_UCFG_BCTL1_BCLK_EN0 EQU 0x40015019 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN1 +CYDEV_UCFG_BCTL1_DCLK_EN1 EQU 0x4001501a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN1 +CYDEV_UCFG_BCTL1_BCLK_EN1 EQU 0x4001501b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN2 +CYDEV_UCFG_BCTL1_DCLK_EN2 EQU 0x4001501c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN2 +CYDEV_UCFG_BCTL1_BCLK_EN2 EQU 0x4001501d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN3 +CYDEV_UCFG_BCTL1_DCLK_EN3 EQU 0x4001501e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN3 +CYDEV_UCFG_BCTL1_BCLK_EN3 EQU 0x4001501f + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_BASE +CYDEV_IDMUX_BASE EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_SIZE +CYDEV_IDMUX_SIZE EQU 0x00000016 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL0 +CYDEV_IDMUX_IRQ_CTL0 EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL1 +CYDEV_IDMUX_IRQ_CTL1 EQU 0x40015101 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL2 +CYDEV_IDMUX_IRQ_CTL2 EQU 0x40015102 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL3 +CYDEV_IDMUX_IRQ_CTL3 EQU 0x40015103 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL4 +CYDEV_IDMUX_IRQ_CTL4 EQU 0x40015104 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL5 +CYDEV_IDMUX_IRQ_CTL5 EQU 0x40015105 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL6 +CYDEV_IDMUX_IRQ_CTL6 EQU 0x40015106 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL7 +CYDEV_IDMUX_IRQ_CTL7 EQU 0x40015107 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL0 +CYDEV_IDMUX_DRQ_CTL0 EQU 0x40015110 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL1 +CYDEV_IDMUX_DRQ_CTL1 EQU 0x40015111 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL2 +CYDEV_IDMUX_DRQ_CTL2 EQU 0x40015112 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL3 +CYDEV_IDMUX_DRQ_CTL3 EQU 0x40015113 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL4 +CYDEV_IDMUX_DRQ_CTL4 EQU 0x40015114 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL5 +CYDEV_IDMUX_DRQ_CTL5 EQU 0x40015115 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_BASE +CYDEV_CACHERAM_BASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_SIZE +CYDEV_CACHERAM_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_DATA_MBASE +CYDEV_CACHERAM_DATA_MBASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_DATA_MSIZE +CYDEV_CACHERAM_DATA_MSIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_BASE +CYDEV_SFR_BASE EQU 0x40050100 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_SIZE +CYDEV_SFR_SIZE EQU 0x000000fb + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO0 +CYDEV_SFR_GPIO0 EQU 0x40050180 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD0 +CYDEV_SFR_GPIRD0 EQU 0x40050189 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO0_SEL +CYDEV_SFR_GPIO0_SEL EQU 0x4005018a + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO1 +CYDEV_SFR_GPIO1 EQU 0x40050190 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD1 +CYDEV_SFR_GPIRD1 EQU 0x40050191 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO2 +CYDEV_SFR_GPIO2 EQU 0x40050198 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD2 +CYDEV_SFR_GPIRD2 EQU 0x40050199 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO2_SEL +CYDEV_SFR_GPIO2_SEL EQU 0x4005019a + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO1_SEL +CYDEV_SFR_GPIO1_SEL EQU 0x400501a2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO3 +CYDEV_SFR_GPIO3 EQU 0x400501b0 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD3 +CYDEV_SFR_GPIRD3 EQU 0x400501b1 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO3_SEL +CYDEV_SFR_GPIO3_SEL EQU 0x400501b2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO4 +CYDEV_SFR_GPIO4 EQU 0x400501c0 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD4 +CYDEV_SFR_GPIRD4 EQU 0x400501c1 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO4_SEL +CYDEV_SFR_GPIO4_SEL EQU 0x400501c2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO5 +CYDEV_SFR_GPIO5 EQU 0x400501c8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD5 +CYDEV_SFR_GPIRD5 EQU 0x400501c9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO5_SEL +CYDEV_SFR_GPIO5_SEL EQU 0x400501ca + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO6 +CYDEV_SFR_GPIO6 EQU 0x400501d8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD6 +CYDEV_SFR_GPIRD6 EQU 0x400501d9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO6_SEL +CYDEV_SFR_GPIO6_SEL EQU 0x400501da + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO12 +CYDEV_SFR_GPIO12 EQU 0x400501e8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD12 +CYDEV_SFR_GPIRD12 EQU 0x400501e9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO12_SEL +CYDEV_SFR_GPIO12_SEL EQU 0x400501f2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO15 +CYDEV_SFR_GPIO15 EQU 0x400501f8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD15 +CYDEV_SFR_GPIRD15 EQU 0x400501f9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO15_SEL +CYDEV_SFR_GPIO15_SEL EQU 0x400501fa + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BASE +CYDEV_P3BA_BASE EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SIZE +CYDEV_P3BA_SIZE EQU 0x0000002b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_Y_START +CYDEV_P3BA_Y_START EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_YROLL +CYDEV_P3BA_YROLL EQU 0x40050301 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_YCFG +CYDEV_P3BA_YCFG EQU 0x40050302 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_START1 +CYDEV_P3BA_X_START1 EQU 0x40050303 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_START2 +CYDEV_P3BA_X_START2 EQU 0x40050304 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XROLL1 +CYDEV_P3BA_XROLL1 EQU 0x40050305 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XROLL2 +CYDEV_P3BA_XROLL2 EQU 0x40050306 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XINC +CYDEV_P3BA_XINC EQU 0x40050307 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XCFG +CYDEV_P3BA_XCFG EQU 0x40050308 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR1 +CYDEV_P3BA_OFFSETADDR1 EQU 0x40050309 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR2 +CYDEV_P3BA_OFFSETADDR2 EQU 0x4005030a + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR3 +CYDEV_P3BA_OFFSETADDR3 EQU 0x4005030b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR1 +CYDEV_P3BA_ABSADDR1 EQU 0x4005030c + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR2 +CYDEV_P3BA_ABSADDR2 EQU 0x4005030d + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR3 +CYDEV_P3BA_ABSADDR3 EQU 0x4005030e + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR4 +CYDEV_P3BA_ABSADDR4 EQU 0x4005030f + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATCFG1 +CYDEV_P3BA_DATCFG1 EQU 0x40050310 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATCFG2 +CYDEV_P3BA_DATCFG2 EQU 0x40050311 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT1 +CYDEV_P3BA_CMP_RSLT1 EQU 0x40050314 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT2 +CYDEV_P3BA_CMP_RSLT2 EQU 0x40050315 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT3 +CYDEV_P3BA_CMP_RSLT3 EQU 0x40050316 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT4 +CYDEV_P3BA_CMP_RSLT4 EQU 0x40050317 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG1 +CYDEV_P3BA_DATA_REG1 EQU 0x40050318 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG2 +CYDEV_P3BA_DATA_REG2 EQU 0x40050319 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG3 +CYDEV_P3BA_DATA_REG3 EQU 0x4005031a + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG4 +CYDEV_P3BA_DATA_REG4 EQU 0x4005031b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA1 +CYDEV_P3BA_EXP_DATA1 EQU 0x4005031c + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA2 +CYDEV_P3BA_EXP_DATA2 EQU 0x4005031d + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA3 +CYDEV_P3BA_EXP_DATA3 EQU 0x4005031e + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA4 +CYDEV_P3BA_EXP_DATA4 EQU 0x4005031f + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA1 +CYDEV_P3BA_MSTR_HRDATA1 EQU 0x40050320 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA2 +CYDEV_P3BA_MSTR_HRDATA2 EQU 0x40050321 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA3 +CYDEV_P3BA_MSTR_HRDATA3 EQU 0x40050322 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA4 +CYDEV_P3BA_MSTR_HRDATA4 EQU 0x40050323 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BIST_EN +CYDEV_P3BA_BIST_EN EQU 0x40050324 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_PHUB_MASTER_SSR +CYDEV_P3BA_PHUB_MASTER_SSR EQU 0x40050325 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SEQCFG1 +CYDEV_P3BA_SEQCFG1 EQU 0x40050326 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SEQCFG2 +CYDEV_P3BA_SEQCFG2 EQU 0x40050327 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_Y_CURR +CYDEV_P3BA_Y_CURR EQU 0x40050328 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_CURR1 +CYDEV_P3BA_X_CURR1 EQU 0x40050329 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_CURR2 +CYDEV_P3BA_X_CURR2 EQU 0x4005032a + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_BASE +CYDEV_PANTHER_BASE EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_SIZE +CYDEV_PANTHER_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_STCALIB_CFG +CYDEV_PANTHER_STCALIB_CFG EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_WAITPIPE +CYDEV_PANTHER_WAITPIPE EQU 0x40080004 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_TRACE_CFG +CYDEV_PANTHER_TRACE_CFG EQU 0x40080008 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_DBG_CFG +CYDEV_PANTHER_DBG_CFG EQU 0x4008000c + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_CM3_LCKRST_STAT +CYDEV_PANTHER_CM3_LCKRST_STAT EQU 0x40080018 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_DEVICE_ID +CYDEV_PANTHER_DEVICE_ID EQU 0x4008001c + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_BASE +CYDEV_FLSECC_BASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_SIZE +CYDEV_FLSECC_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_DATA_MBASE +CYDEV_FLSECC_DATA_MBASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_DATA_MSIZE +CYDEV_FLSECC_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_BASE +CYDEV_FLSHID_BASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_SIZE +CYDEV_FLSHID_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_RSVD_MBASE +CYDEV_FLSHID_RSVD_MBASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_RSVD_MSIZE +CYDEV_FLSHID_RSVD_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_MDATA_MBASE +CYDEV_FLSHID_CUST_MDATA_MBASE EQU 0x49000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_MDATA_MSIZE +CYDEV_FLSHID_CUST_MDATA_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_BASE +CYDEV_FLSHID_CUST_TABLES_BASE EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_SIZE +CYDEV_FLSHID_CUST_TABLES_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_Y_LOC +CYDEV_FLSHID_CUST_TABLES_Y_LOC EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_X_LOC +CYDEV_FLSHID_CUST_TABLES_X_LOC EQU 0x49000101 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_WAFER_NUM +CYDEV_FLSHID_CUST_TABLES_WAFER_NUM EQU 0x49000102 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_LOT_LSB +CYDEV_FLSHID_CUST_TABLES_LOT_LSB EQU 0x49000103 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_LOT_MSB +CYDEV_FLSHID_CUST_TABLES_LOT_MSB EQU 0x49000104 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_WRK_WK +CYDEV_FLSHID_CUST_TABLES_WRK_WK EQU 0x49000105 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_FAB_YR +CYDEV_FLSHID_CUST_TABLES_FAB_YR EQU 0x49000106 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_MINOR +CYDEV_FLSHID_CUST_TABLES_MINOR EQU 0x49000107 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ EQU 0x49000108 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ EQU 0x49000109 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ EQU 0x4900010a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ EQU 0x4900010b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ EQU 0x4900010c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ EQU 0x4900010d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ EQU 0x4900010e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_USB +CYDEV_FLSHID_CUST_TABLES_IMO_USB EQU 0x4900010f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS EQU 0x49000110 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS EQU 0x49000111 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS EQU 0x49000112 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS EQU 0x49000113 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS EQU 0x49000114 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS EQU 0x49000115 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS EQU 0x49000116 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS EQU 0x49000117 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M1 +CYDEV_FLSHID_CUST_TABLES_DEC_M1 EQU 0x49000118 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M2 +CYDEV_FLSHID_CUST_TABLES_DEC_M2 EQU 0x49000119 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M3 +CYDEV_FLSHID_CUST_TABLES_DEC_M3 EQU 0x4900011a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M4 +CYDEV_FLSHID_CUST_TABLES_DEC_M4 EQU 0x4900011b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M5 +CYDEV_FLSHID_CUST_TABLES_DEC_M5 EQU 0x4900011c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M6 +CYDEV_FLSHID_CUST_TABLES_DEC_M6 EQU 0x4900011d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M7 +CYDEV_FLSHID_CUST_TABLES_DEC_M7 EQU 0x4900011e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M8 +CYDEV_FLSHID_CUST_TABLES_DEC_M8 EQU 0x4900011f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M1 +CYDEV_FLSHID_CUST_TABLES_DAC0_M1 EQU 0x49000120 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M2 +CYDEV_FLSHID_CUST_TABLES_DAC0_M2 EQU 0x49000121 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M3 +CYDEV_FLSHID_CUST_TABLES_DAC0_M3 EQU 0x49000122 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M4 +CYDEV_FLSHID_CUST_TABLES_DAC0_M4 EQU 0x49000123 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M5 +CYDEV_FLSHID_CUST_TABLES_DAC0_M5 EQU 0x49000124 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M6 +CYDEV_FLSHID_CUST_TABLES_DAC0_M6 EQU 0x49000125 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M7 +CYDEV_FLSHID_CUST_TABLES_DAC0_M7 EQU 0x49000126 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M8 +CYDEV_FLSHID_CUST_TABLES_DAC0_M8 EQU 0x49000127 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M1 +CYDEV_FLSHID_CUST_TABLES_DAC2_M1 EQU 0x49000128 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M2 +CYDEV_FLSHID_CUST_TABLES_DAC2_M2 EQU 0x49000129 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M3 +CYDEV_FLSHID_CUST_TABLES_DAC2_M3 EQU 0x4900012a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M4 +CYDEV_FLSHID_CUST_TABLES_DAC2_M4 EQU 0x4900012b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M5 +CYDEV_FLSHID_CUST_TABLES_DAC2_M5 EQU 0x4900012c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M6 +CYDEV_FLSHID_CUST_TABLES_DAC2_M6 EQU 0x4900012d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M7 +CYDEV_FLSHID_CUST_TABLES_DAC2_M7 EQU 0x4900012e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M8 +CYDEV_FLSHID_CUST_TABLES_DAC2_M8 EQU 0x4900012f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M1 +CYDEV_FLSHID_CUST_TABLES_DAC1_M1 EQU 0x49000130 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M2 +CYDEV_FLSHID_CUST_TABLES_DAC1_M2 EQU 0x49000131 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M3 +CYDEV_FLSHID_CUST_TABLES_DAC1_M3 EQU 0x49000132 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M4 +CYDEV_FLSHID_CUST_TABLES_DAC1_M4 EQU 0x49000133 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M5 +CYDEV_FLSHID_CUST_TABLES_DAC1_M5 EQU 0x49000134 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M6 +CYDEV_FLSHID_CUST_TABLES_DAC1_M6 EQU 0x49000135 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M7 +CYDEV_FLSHID_CUST_TABLES_DAC1_M7 EQU 0x49000136 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M8 +CYDEV_FLSHID_CUST_TABLES_DAC1_M8 EQU 0x49000137 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M1 +CYDEV_FLSHID_CUST_TABLES_DAC3_M1 EQU 0x49000138 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M2 +CYDEV_FLSHID_CUST_TABLES_DAC3_M2 EQU 0x49000139 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M3 +CYDEV_FLSHID_CUST_TABLES_DAC3_M3 EQU 0x4900013a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M4 +CYDEV_FLSHID_CUST_TABLES_DAC3_M4 EQU 0x4900013b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M5 +CYDEV_FLSHID_CUST_TABLES_DAC3_M5 EQU 0x4900013c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M6 +CYDEV_FLSHID_CUST_TABLES_DAC3_M6 EQU 0x4900013d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M7 +CYDEV_FLSHID_CUST_TABLES_DAC3_M7 EQU 0x4900013e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M8 +CYDEV_FLSHID_CUST_TABLES_DAC3_M8 EQU 0x4900013f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BASE +CYDEV_FLSHID_MFG_CFG_BASE EQU 0x49000180 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_SIZE +CYDEV_FLSHID_MFG_CFG_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_IMO_TR1 +CYDEV_FLSHID_MFG_CFG_IMO_TR1 EQU 0x49000188 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP0_TR0 +CYDEV_FLSHID_MFG_CFG_CMP0_TR0 EQU 0x490001ac + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP1_TR0 +CYDEV_FLSHID_MFG_CFG_CMP1_TR0 EQU 0x490001ae + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP2_TR0 +CYDEV_FLSHID_MFG_CFG_CMP2_TR0 EQU 0x490001b0 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP3_TR0 +CYDEV_FLSHID_MFG_CFG_CMP3_TR0 EQU 0x490001b2 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP0_TR1 +CYDEV_FLSHID_MFG_CFG_CMP0_TR1 EQU 0x490001b4 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP1_TR1 +CYDEV_FLSHID_MFG_CFG_CMP1_TR1 EQU 0x490001b6 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP2_TR1 +CYDEV_FLSHID_MFG_CFG_CMP2_TR1 EQU 0x490001b8 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP3_TR1 +CYDEV_FLSHID_MFG_CFG_CMP3_TR1 EQU 0x490001ba + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM +CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM EQU 0x490001ce + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_BASE +CYDEV_EXTMEM_BASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_SIZE +CYDEV_EXTMEM_SIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_DATA_MBASE +CYDEV_EXTMEM_DATA_MBASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_DATA_MSIZE +CYDEV_EXTMEM_DATA_MSIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_BASE +CYDEV_ITM_BASE EQU 0xe0000000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_SIZE +CYDEV_ITM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_EN +CYDEV_ITM_TRACE_EN EQU 0xe0000e00 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_PRIVILEGE +CYDEV_ITM_TRACE_PRIVILEGE EQU 0xe0000e40 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_CTRL +CYDEV_ITM_TRACE_CTRL EQU 0xe0000e80 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_LOCK_ACCESS +CYDEV_ITM_LOCK_ACCESS EQU 0xe0000fb0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_LOCK_STATUS +CYDEV_ITM_LOCK_STATUS EQU 0xe0000fb4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID4 +CYDEV_ITM_PID4 EQU 0xe0000fd0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID5 +CYDEV_ITM_PID5 EQU 0xe0000fd4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID6 +CYDEV_ITM_PID6 EQU 0xe0000fd8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID7 +CYDEV_ITM_PID7 EQU 0xe0000fdc + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID0 +CYDEV_ITM_PID0 EQU 0xe0000fe0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID1 +CYDEV_ITM_PID1 EQU 0xe0000fe4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID2 +CYDEV_ITM_PID2 EQU 0xe0000fe8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID3 +CYDEV_ITM_PID3 EQU 0xe0000fec + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID0 +CYDEV_ITM_CID0 EQU 0xe0000ff0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID1 +CYDEV_ITM_CID1 EQU 0xe0000ff4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID2 +CYDEV_ITM_CID2 EQU 0xe0000ff8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID3 +CYDEV_ITM_CID3 EQU 0xe0000ffc + ENDIF + IF :LNOT::DEF:CYDEV_DWT_BASE +CYDEV_DWT_BASE EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SIZE +CYDEV_DWT_SIZE EQU 0x0000005c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CTRL +CYDEV_DWT_CTRL EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CYCLE_COUNT +CYDEV_DWT_CYCLE_COUNT EQU 0xe0001004 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CPI_COUNT +CYDEV_DWT_CPI_COUNT EQU 0xe0001008 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_EXC_OVHD_COUNT +CYDEV_DWT_EXC_OVHD_COUNT EQU 0xe000100c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SLEEP_COUNT +CYDEV_DWT_SLEEP_COUNT EQU 0xe0001010 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_LSU_COUNT +CYDEV_DWT_LSU_COUNT EQU 0xe0001014 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FOLD_COUNT +CYDEV_DWT_FOLD_COUNT EQU 0xe0001018 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_PC_SAMPLE +CYDEV_DWT_PC_SAMPLE EQU 0xe000101c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_0 +CYDEV_DWT_COMP_0 EQU 0xe0001020 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_0 +CYDEV_DWT_MASK_0 EQU 0xe0001024 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_0 +CYDEV_DWT_FUNCTION_0 EQU 0xe0001028 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_1 +CYDEV_DWT_COMP_1 EQU 0xe0001030 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_1 +CYDEV_DWT_MASK_1 EQU 0xe0001034 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_1 +CYDEV_DWT_FUNCTION_1 EQU 0xe0001038 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_2 +CYDEV_DWT_COMP_2 EQU 0xe0001040 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_2 +CYDEV_DWT_MASK_2 EQU 0xe0001044 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_2 +CYDEV_DWT_FUNCTION_2 EQU 0xe0001048 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_3 +CYDEV_DWT_COMP_3 EQU 0xe0001050 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_3 +CYDEV_DWT_MASK_3 EQU 0xe0001054 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_3 +CYDEV_DWT_FUNCTION_3 EQU 0xe0001058 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_BASE +CYDEV_FPB_BASE EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_SIZE +CYDEV_FPB_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CTRL +CYDEV_FPB_CTRL EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_REMAP +CYDEV_FPB_REMAP EQU 0xe0002004 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_0 +CYDEV_FPB_FP_COMP_0 EQU 0xe0002008 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_1 +CYDEV_FPB_FP_COMP_1 EQU 0xe000200c + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_2 +CYDEV_FPB_FP_COMP_2 EQU 0xe0002010 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_3 +CYDEV_FPB_FP_COMP_3 EQU 0xe0002014 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_4 +CYDEV_FPB_FP_COMP_4 EQU 0xe0002018 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_5 +CYDEV_FPB_FP_COMP_5 EQU 0xe000201c + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_6 +CYDEV_FPB_FP_COMP_6 EQU 0xe0002020 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_7 +CYDEV_FPB_FP_COMP_7 EQU 0xe0002024 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID4 +CYDEV_FPB_PID4 EQU 0xe0002fd0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID5 +CYDEV_FPB_PID5 EQU 0xe0002fd4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID6 +CYDEV_FPB_PID6 EQU 0xe0002fd8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID7 +CYDEV_FPB_PID7 EQU 0xe0002fdc + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID0 +CYDEV_FPB_PID0 EQU 0xe0002fe0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID1 +CYDEV_FPB_PID1 EQU 0xe0002fe4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID2 +CYDEV_FPB_PID2 EQU 0xe0002fe8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID3 +CYDEV_FPB_PID3 EQU 0xe0002fec + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID0 +CYDEV_FPB_CID0 EQU 0xe0002ff0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID1 +CYDEV_FPB_CID1 EQU 0xe0002ff4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID2 +CYDEV_FPB_CID2 EQU 0xe0002ff8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID3 +CYDEV_FPB_CID3 EQU 0xe0002ffc + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BASE +CYDEV_NVIC_BASE EQU 0xe000e000 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SIZE +CYDEV_NVIC_SIZE EQU 0x00000d3c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_INT_CTL_TYPE +CYDEV_NVIC_INT_CTL_TYPE EQU 0xe000e004 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CTL +CYDEV_NVIC_SYSTICK_CTL EQU 0xe000e010 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_RELOAD +CYDEV_NVIC_SYSTICK_RELOAD EQU 0xe000e014 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CURRENT +CYDEV_NVIC_SYSTICK_CURRENT EQU 0xe000e018 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CAL +CYDEV_NVIC_SYSTICK_CAL EQU 0xe000e01c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SETENA0 +CYDEV_NVIC_SETENA0 EQU 0xe000e100 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CLRENA0 +CYDEV_NVIC_CLRENA0 EQU 0xe000e180 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SETPEND0 +CYDEV_NVIC_SETPEND0 EQU 0xe000e200 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CLRPEND0 +CYDEV_NVIC_CLRPEND0 EQU 0xe000e280 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_ACTIVE0 +CYDEV_NVIC_ACTIVE0 EQU 0xe000e300 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_0 +CYDEV_NVIC_PRI_0 EQU 0xe000e400 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_1 +CYDEV_NVIC_PRI_1 EQU 0xe000e401 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_2 +CYDEV_NVIC_PRI_2 EQU 0xe000e402 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_3 +CYDEV_NVIC_PRI_3 EQU 0xe000e403 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_4 +CYDEV_NVIC_PRI_4 EQU 0xe000e404 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_5 +CYDEV_NVIC_PRI_5 EQU 0xe000e405 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_6 +CYDEV_NVIC_PRI_6 EQU 0xe000e406 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_7 +CYDEV_NVIC_PRI_7 EQU 0xe000e407 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_8 +CYDEV_NVIC_PRI_8 EQU 0xe000e408 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_9 +CYDEV_NVIC_PRI_9 EQU 0xe000e409 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_10 +CYDEV_NVIC_PRI_10 EQU 0xe000e40a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_11 +CYDEV_NVIC_PRI_11 EQU 0xe000e40b + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_12 +CYDEV_NVIC_PRI_12 EQU 0xe000e40c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_13 +CYDEV_NVIC_PRI_13 EQU 0xe000e40d + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_14 +CYDEV_NVIC_PRI_14 EQU 0xe000e40e + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_15 +CYDEV_NVIC_PRI_15 EQU 0xe000e40f + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_16 +CYDEV_NVIC_PRI_16 EQU 0xe000e410 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_17 +CYDEV_NVIC_PRI_17 EQU 0xe000e411 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_18 +CYDEV_NVIC_PRI_18 EQU 0xe000e412 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_19 +CYDEV_NVIC_PRI_19 EQU 0xe000e413 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_20 +CYDEV_NVIC_PRI_20 EQU 0xe000e414 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_21 +CYDEV_NVIC_PRI_21 EQU 0xe000e415 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_22 +CYDEV_NVIC_PRI_22 EQU 0xe000e416 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_23 +CYDEV_NVIC_PRI_23 EQU 0xe000e417 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_24 +CYDEV_NVIC_PRI_24 EQU 0xe000e418 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_25 +CYDEV_NVIC_PRI_25 EQU 0xe000e419 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_26 +CYDEV_NVIC_PRI_26 EQU 0xe000e41a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_27 +CYDEV_NVIC_PRI_27 EQU 0xe000e41b + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_28 +CYDEV_NVIC_PRI_28 EQU 0xe000e41c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_29 +CYDEV_NVIC_PRI_29 EQU 0xe000e41d + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_30 +CYDEV_NVIC_PRI_30 EQU 0xe000e41e + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_31 +CYDEV_NVIC_PRI_31 EQU 0xe000e41f + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CPUID_BASE +CYDEV_NVIC_CPUID_BASE EQU 0xe000ed00 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_INTR_CTRL_STATE +CYDEV_NVIC_INTR_CTRL_STATE EQU 0xe000ed04 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_VECT_OFFSET +CYDEV_NVIC_VECT_OFFSET EQU 0xe000ed08 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_APPLN_INTR +CYDEV_NVIC_APPLN_INTR EQU 0xe000ed0c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTEM_CONTROL +CYDEV_NVIC_SYSTEM_CONTROL EQU 0xe000ed10 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CFG_CONTROL +CYDEV_NVIC_CFG_CONTROL EQU 0xe000ed14 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 +CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 EQU 0xe000ed18 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 +CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 EQU 0xe000ed1c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 +CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 EQU 0xe000ed20 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_HANDLER_CSR +CYDEV_NVIC_SYS_HANDLER_CSR EQU 0xe000ed24 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_MEMMAN_FAULT_STATUS +CYDEV_NVIC_MEMMAN_FAULT_STATUS EQU 0xe000ed28 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BUS_FAULT_STATUS +CYDEV_NVIC_BUS_FAULT_STATUS EQU 0xe000ed29 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_USAGE_FAULT_STATUS +CYDEV_NVIC_USAGE_FAULT_STATUS EQU 0xe000ed2a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_HARD_FAULT_STATUS +CYDEV_NVIC_HARD_FAULT_STATUS EQU 0xe000ed2c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_DEBUG_FAULT_STATUS +CYDEV_NVIC_DEBUG_FAULT_STATUS EQU 0xe000ed30 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_MEMMAN_FAULT_ADD +CYDEV_NVIC_MEMMAN_FAULT_ADD EQU 0xe000ed34 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BUS_FAULT_ADD +CYDEV_NVIC_BUS_FAULT_ADD EQU 0xe000ed38 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_BASE +CYDEV_CORE_DBG_BASE EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_SIZE +CYDEV_CORE_DBG_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_HLT_CS +CYDEV_CORE_DBG_DBG_HLT_CS EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_REG_SEL +CYDEV_CORE_DBG_DBG_REG_SEL EQU 0xe000edf4 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_REG_DATA +CYDEV_CORE_DBG_DBG_REG_DATA EQU 0xe000edf8 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_EXC_MON_CTL +CYDEV_CORE_DBG_EXC_MON_CTL EQU 0xe000edfc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_BASE +CYDEV_TPIU_BASE EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SIZE +CYDEV_TPIU_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ +CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CURRENT_SYNC_PRT_SZ +CYDEV_TPIU_CURRENT_SYNC_PRT_SZ EQU 0xe0040004 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ASYNC_CLK_PRESCALER +CYDEV_TPIU_ASYNC_CLK_PRESCALER EQU 0xe0040010 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PROTOCOL +CYDEV_TPIU_PROTOCOL EQU 0xe00400f0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_FORM_FLUSH_STAT +CYDEV_TPIU_FORM_FLUSH_STAT EQU 0xe0040300 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_FORM_FLUSH_CTRL +CYDEV_TPIU_FORM_FLUSH_CTRL EQU 0xe0040304 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_TRIGGER +CYDEV_TPIU_TRIGGER EQU 0xe0040ee8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITETMDATA +CYDEV_TPIU_ITETMDATA EQU 0xe0040eec + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITATBCTR2 +CYDEV_TPIU_ITATBCTR2 EQU 0xe0040ef0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITATBCTR0 +CYDEV_TPIU_ITATBCTR0 EQU 0xe0040ef8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITITMDATA +CYDEV_TPIU_ITITMDATA EQU 0xe0040efc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITCTRL +CYDEV_TPIU_ITCTRL EQU 0xe0040f00 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_DEVID +CYDEV_TPIU_DEVID EQU 0xe0040fc8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_DEVTYPE +CYDEV_TPIU_DEVTYPE EQU 0xe0040fcc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID4 +CYDEV_TPIU_PID4 EQU 0xe0040fd0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID5 +CYDEV_TPIU_PID5 EQU 0xe0040fd4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID6 +CYDEV_TPIU_PID6 EQU 0xe0040fd8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID7 +CYDEV_TPIU_PID7 EQU 0xe0040fdc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID0 +CYDEV_TPIU_PID0 EQU 0xe0040fe0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID1 +CYDEV_TPIU_PID1 EQU 0xe0040fe4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID2 +CYDEV_TPIU_PID2 EQU 0xe0040fe8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID3 +CYDEV_TPIU_PID3 EQU 0xe0040fec + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID0 +CYDEV_TPIU_CID0 EQU 0xe0040ff0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID1 +CYDEV_TPIU_CID1 EQU 0xe0040ff4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID2 +CYDEV_TPIU_CID2 EQU 0xe0040ff8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID3 +CYDEV_TPIU_CID3 EQU 0xe0040ffc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_BASE +CYDEV_ETM_BASE EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SIZE +CYDEV_ETM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CTL +CYDEV_ETM_CTL EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CFG_CODE +CYDEV_ETM_CFG_CODE EQU 0xe0041004 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRIG_EVENT +CYDEV_ETM_TRIG_EVENT EQU 0xe0041008 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_STATUS +CYDEV_ETM_STATUS EQU 0xe0041010 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SYS_CFG +CYDEV_ETM_SYS_CFG EQU 0xe0041014 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRACE_ENB_EVENT +CYDEV_ETM_TRACE_ENB_EVENT EQU 0xe0041020 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRACE_EN_CTRL1 +CYDEV_ETM_TRACE_EN_CTRL1 EQU 0xe0041024 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_FIFOFULL_LEVEL +CYDEV_ETM_FIFOFULL_LEVEL EQU 0xe004102c + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SYNC_FREQ +CYDEV_ETM_SYNC_FREQ EQU 0xe00411e0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ETM_ID +CYDEV_ETM_ETM_ID EQU 0xe00411e4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CFG_CODE_EXT +CYDEV_ETM_CFG_CODE_EXT EQU 0xe00411e8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TR_SS_EMBICE_CTRL +CYDEV_ETM_TR_SS_EMBICE_CTRL EQU 0xe00411f0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CS_TRACE_ID +CYDEV_ETM_CS_TRACE_ID EQU 0xe0041200 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_OS_LOCK_ACCESS +CYDEV_ETM_OS_LOCK_ACCESS EQU 0xe0041300 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_OS_LOCK_STATUS +CYDEV_ETM_OS_LOCK_STATUS EQU 0xe0041304 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PDSR +CYDEV_ETM_PDSR EQU 0xe0041314 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITMISCIN +CYDEV_ETM_ITMISCIN EQU 0xe0041ee0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITTRIGOUT +CYDEV_ETM_ITTRIGOUT EQU 0xe0041ee8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITATBCTR2 +CYDEV_ETM_ITATBCTR2 EQU 0xe0041ef0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITATBCTR0 +CYDEV_ETM_ITATBCTR0 EQU 0xe0041ef8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_INT_MODE_CTRL +CYDEV_ETM_INT_MODE_CTRL EQU 0xe0041f00 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CLM_TAG_SET +CYDEV_ETM_CLM_TAG_SET EQU 0xe0041fa0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CLM_TAG_CLR +CYDEV_ETM_CLM_TAG_CLR EQU 0xe0041fa4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_LOCK_ACCESS +CYDEV_ETM_LOCK_ACCESS EQU 0xe0041fb0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_LOCK_STATUS +CYDEV_ETM_LOCK_STATUS EQU 0xe0041fb4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_AUTH_STATUS +CYDEV_ETM_AUTH_STATUS EQU 0xe0041fb8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_DEV_TYPE +CYDEV_ETM_DEV_TYPE EQU 0xe0041fcc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID4 +CYDEV_ETM_PID4 EQU 0xe0041fd0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID5 +CYDEV_ETM_PID5 EQU 0xe0041fd4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID6 +CYDEV_ETM_PID6 EQU 0xe0041fd8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID7 +CYDEV_ETM_PID7 EQU 0xe0041fdc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID0 +CYDEV_ETM_PID0 EQU 0xe0041fe0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID1 +CYDEV_ETM_PID1 EQU 0xe0041fe4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID2 +CYDEV_ETM_PID2 EQU 0xe0041fe8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID3 +CYDEV_ETM_PID3 EQU 0xe0041fec + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID0 +CYDEV_ETM_CID0 EQU 0xe0041ff0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID1 +CYDEV_ETM_CID1 EQU 0xe0041ff4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID2 +CYDEV_ETM_CID2 EQU 0xe0041ff8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID3 +CYDEV_ETM_CID3 EQU 0xe0041ffc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_BASE +CYDEV_ROM_TABLE_BASE EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_SIZE +CYDEV_ROM_TABLE_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_NVIC +CYDEV_ROM_TABLE_NVIC EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_DWT +CYDEV_ROM_TABLE_DWT EQU 0xe00ff004 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_FPB +CYDEV_ROM_TABLE_FPB EQU 0xe00ff008 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_ITM +CYDEV_ROM_TABLE_ITM EQU 0xe00ff00c + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_TPIU +CYDEV_ROM_TABLE_TPIU EQU 0xe00ff010 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_ETM +CYDEV_ROM_TABLE_ETM EQU 0xe00ff014 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_END +CYDEV_ROM_TABLE_END EQU 0xe00ff018 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_MEMTYPE +CYDEV_ROM_TABLE_MEMTYPE EQU 0xe00fffcc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID4 +CYDEV_ROM_TABLE_PID4 EQU 0xe00fffd0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID5 +CYDEV_ROM_TABLE_PID5 EQU 0xe00fffd4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID6 +CYDEV_ROM_TABLE_PID6 EQU 0xe00fffd8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID7 +CYDEV_ROM_TABLE_PID7 EQU 0xe00fffdc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID0 +CYDEV_ROM_TABLE_PID0 EQU 0xe00fffe0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID1 +CYDEV_ROM_TABLE_PID1 EQU 0xe00fffe4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID2 +CYDEV_ROM_TABLE_PID2 EQU 0xe00fffe8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID3 +CYDEV_ROM_TABLE_PID3 EQU 0xe00fffec + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID0 +CYDEV_ROM_TABLE_CID0 EQU 0xe00ffff0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID1 +CYDEV_ROM_TABLE_CID1 EQU 0xe00ffff4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID2 +CYDEV_ROM_TABLE_CID2 EQU 0xe00ffff8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID3 +CYDEV_ROM_TABLE_CID3 EQU 0xe00ffffc + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SIZE +CYDEV_FLS_SIZE EQU CYDEV_FLASH_SIZE + ENDIF + IF :LNOT::DEF:CYDEV_ECC_BASE +CYDEV_ECC_BASE EQU CYDEV_FLSECC_BASE + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SECTOR_SIZE +CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE +CYDEV_FLS_ROW_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE +CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_ROW_SIZE +CYDEV_ECC_ROW_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_SECTOR_SIZE +CYDEV_EEPROM_SECTOR_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_ROW_SIZE +CYDEV_EEPROM_ROW_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PERIPH_BASE +CYDEV_PERIPH_BASE EQU CYDEV_CLKDIST_BASE + ENDIF + IF :LNOT::DEF:CYCLK_LD_DISABLE +CYCLK_LD_DISABLE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYCLK_LD_SYNC_EN +CYCLK_LD_SYNC_EN EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYCLK_LD_LOAD +CYCLK_LD_LOAD EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYCLK_PIPE +CYCLK_PIPE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYCLK_SSS +CYCLK_SSS EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYCLK_EARLY +CYCLK_EARLY EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYCLK_DUTY +CYCLK_DUTY EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYCLK_SYNC +CYCLK_SYNC EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_D +CYCLK_SRC_SEL_CLK_SYNC_D EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_SYNC_DIG +CYCLK_SRC_SEL_SYNC_DIG EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_IMO +CYCLK_SRC_SEL_IMO EQU 1 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_MHZ +CYCLK_SRC_SEL_XTAL_MHZ EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALM +CYCLK_SRC_SEL_XTALM EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_ILO +CYCLK_SRC_SEL_ILO EQU 3 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_PLL +CYCLK_SRC_SEL_PLL EQU 4 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_KHZ +CYCLK_SRC_SEL_XTAL_KHZ EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALK +CYCLK_SRC_SEL_XTALK EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_G +CYCLK_SRC_SEL_DSI_G EQU 6 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_D +CYCLK_SRC_SEL_DSI_D EQU 7 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_A +CYCLK_SRC_SEL_CLK_SYNC_A EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_A +CYCLK_SRC_SEL_DSI_A EQU 7 + ENDIF + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv_trm.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv_trm.inc new file mode 100755 index 0000000..232c2fc --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydevicerv_trm.inc @@ -0,0 +1,16039 @@ +; +; FILENAME: cydevicerv_trm.inc +; +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + IF :LNOT::DEF:CYDEV_FLASH_BASE +CYDEV_FLASH_BASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_SIZE +CYDEV_FLASH_SIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYREG_FLASH_DATA_MBASE +CYREG_FLASH_DATA_MBASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYREG_FLASH_DATA_MSIZE +CYREG_FLASH_DATA_MSIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_BASE +CYDEV_SRAM_BASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_SIZE +CYDEV_SRAM_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE64K_MBASE +CYREG_SRAM_CODE64K_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE64K_MSIZE +CYREG_SRAM_CODE64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE32K_MBASE +CYREG_SRAM_CODE32K_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE32K_MSIZE +CYREG_SRAM_CODE32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE16K_MBASE +CYREG_SRAM_CODE16K_MBASE EQU 0x1fffe000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE16K_MSIZE +CYREG_SRAM_CODE16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE_MBASE +CYREG_SRAM_CODE_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE_MSIZE +CYREG_SRAM_CODE_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA_MBASE +CYREG_SRAM_DATA_MBASE EQU 0x20000000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA_MSIZE +CYREG_SRAM_DATA_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA16K_MBASE +CYREG_SRAM_DATA16K_MBASE EQU 0x20001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA16K_MSIZE +CYREG_SRAM_DATA16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA32K_MBASE +CYREG_SRAM_DATA32K_MBASE EQU 0x20002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA32K_MSIZE +CYREG_SRAM_DATA32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA64K_MBASE +CYREG_SRAM_DATA64K_MBASE EQU 0x20004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA64K_MSIZE +CYREG_SRAM_DATA64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_BASE +CYDEV_DMA_BASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SIZE +CYDEV_DMA_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM64K_MBASE +CYREG_DMA_SRAM64K_MBASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM64K_MSIZE +CYREG_DMA_SRAM64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM32K_MBASE +CYREG_DMA_SRAM32K_MBASE EQU 0x2000c000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM32K_MSIZE +CYREG_DMA_SRAM32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM16K_MBASE +CYREG_DMA_SRAM16K_MBASE EQU 0x2000e000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM16K_MSIZE +CYREG_DMA_SRAM16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM_MBASE +CYREG_DMA_SRAM_MBASE EQU 0x2000f000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM_MSIZE +CYREG_DMA_SRAM_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BASE +CYDEV_CLKDIST_BASE EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_SIZE +CYDEV_CLKDIST_SIZE EQU 0x00000110 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_CR +CYREG_CLKDIST_CR EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_LD +CYREG_CLKDIST_LD EQU 0x40004001 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_WRK0 +CYREG_CLKDIST_WRK0 EQU 0x40004002 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_WRK1 +CYREG_CLKDIST_WRK1 EQU 0x40004003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_MSTR0 +CYREG_CLKDIST_MSTR0 EQU 0x40004004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_MSTR1 +CYREG_CLKDIST_MSTR1 EQU 0x40004005 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG0 +CYREG_CLKDIST_BCFG0 EQU 0x40004006 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG1 +CYREG_CLKDIST_BCFG1 EQU 0x40004007 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG2 +CYREG_CLKDIST_BCFG2 EQU 0x40004008 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_UCFG +CYREG_CLKDIST_UCFG EQU 0x40004009 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DLY0 +CYREG_CLKDIST_DLY0 EQU 0x4000400a + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DLY1 +CYREG_CLKDIST_DLY1 EQU 0x4000400b + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DMASK +CYREG_CLKDIST_DMASK EQU 0x40004010 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_AMASK +CYREG_CLKDIST_AMASK EQU 0x40004014 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_BASE +CYDEV_CLKDIST_DCFG0_BASE EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_SIZE +CYDEV_CLKDIST_DCFG0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG0 +CYREG_CLKDIST_DCFG0_CFG0 EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG1 +CYREG_CLKDIST_DCFG0_CFG1 EQU 0x40004081 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG2 +CYREG_CLKDIST_DCFG0_CFG2 EQU 0x40004082 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_BASE +CYDEV_CLKDIST_DCFG1_BASE EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_SIZE +CYDEV_CLKDIST_DCFG1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG0 +CYREG_CLKDIST_DCFG1_CFG0 EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG1 +CYREG_CLKDIST_DCFG1_CFG1 EQU 0x40004085 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG2 +CYREG_CLKDIST_DCFG1_CFG2 EQU 0x40004086 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_BASE +CYDEV_CLKDIST_DCFG2_BASE EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_SIZE +CYDEV_CLKDIST_DCFG2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG0 +CYREG_CLKDIST_DCFG2_CFG0 EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG1 +CYREG_CLKDIST_DCFG2_CFG1 EQU 0x40004089 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG2 +CYREG_CLKDIST_DCFG2_CFG2 EQU 0x4000408a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_BASE +CYDEV_CLKDIST_DCFG3_BASE EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_SIZE +CYDEV_CLKDIST_DCFG3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG0 +CYREG_CLKDIST_DCFG3_CFG0 EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG1 +CYREG_CLKDIST_DCFG3_CFG1 EQU 0x4000408d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG2 +CYREG_CLKDIST_DCFG3_CFG2 EQU 0x4000408e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_BASE +CYDEV_CLKDIST_DCFG4_BASE EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_SIZE +CYDEV_CLKDIST_DCFG4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG0 +CYREG_CLKDIST_DCFG4_CFG0 EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG1 +CYREG_CLKDIST_DCFG4_CFG1 EQU 0x40004091 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG2 +CYREG_CLKDIST_DCFG4_CFG2 EQU 0x40004092 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_BASE +CYDEV_CLKDIST_DCFG5_BASE EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_SIZE +CYDEV_CLKDIST_DCFG5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG0 +CYREG_CLKDIST_DCFG5_CFG0 EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG1 +CYREG_CLKDIST_DCFG5_CFG1 EQU 0x40004095 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG2 +CYREG_CLKDIST_DCFG5_CFG2 EQU 0x40004096 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_BASE +CYDEV_CLKDIST_DCFG6_BASE EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_SIZE +CYDEV_CLKDIST_DCFG6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG0 +CYREG_CLKDIST_DCFG6_CFG0 EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG1 +CYREG_CLKDIST_DCFG6_CFG1 EQU 0x40004099 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG2 +CYREG_CLKDIST_DCFG6_CFG2 EQU 0x4000409a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_BASE +CYDEV_CLKDIST_DCFG7_BASE EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_SIZE +CYDEV_CLKDIST_DCFG7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG0 +CYREG_CLKDIST_DCFG7_CFG0 EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG1 +CYREG_CLKDIST_DCFG7_CFG1 EQU 0x4000409d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG2 +CYREG_CLKDIST_DCFG7_CFG2 EQU 0x4000409e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_BASE +CYDEV_CLKDIST_ACFG0_BASE EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_SIZE +CYDEV_CLKDIST_ACFG0_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG0 +CYREG_CLKDIST_ACFG0_CFG0 EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG1 +CYREG_CLKDIST_ACFG0_CFG1 EQU 0x40004101 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG2 +CYREG_CLKDIST_ACFG0_CFG2 EQU 0x40004102 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG3 +CYREG_CLKDIST_ACFG0_CFG3 EQU 0x40004103 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_BASE +CYDEV_CLKDIST_ACFG1_BASE EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_SIZE +CYDEV_CLKDIST_ACFG1_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG0 +CYREG_CLKDIST_ACFG1_CFG0 EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG1 +CYREG_CLKDIST_ACFG1_CFG1 EQU 0x40004105 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG2 +CYREG_CLKDIST_ACFG1_CFG2 EQU 0x40004106 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG3 +CYREG_CLKDIST_ACFG1_CFG3 EQU 0x40004107 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_BASE +CYDEV_CLKDIST_ACFG2_BASE EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_SIZE +CYDEV_CLKDIST_ACFG2_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG0 +CYREG_CLKDIST_ACFG2_CFG0 EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG1 +CYREG_CLKDIST_ACFG2_CFG1 EQU 0x40004109 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG2 +CYREG_CLKDIST_ACFG2_CFG2 EQU 0x4000410a + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG3 +CYREG_CLKDIST_ACFG2_CFG3 EQU 0x4000410b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_BASE +CYDEV_CLKDIST_ACFG3_BASE EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_SIZE +CYDEV_CLKDIST_ACFG3_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG0 +CYREG_CLKDIST_ACFG3_CFG0 EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG1 +CYREG_CLKDIST_ACFG3_CFG1 EQU 0x4000410d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG2 +CYREG_CLKDIST_ACFG3_CFG2 EQU 0x4000410e + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG3 +CYREG_CLKDIST_ACFG3_CFG3 EQU 0x4000410f + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_BASE +CYDEV_FASTCLK_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_SIZE +CYDEV_FASTCLK_SIZE EQU 0x00000026 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_BASE +CYDEV_FASTCLK_IMO_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_SIZE +CYDEV_FASTCLK_IMO_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_IMO_CR +CYREG_FASTCLK_IMO_CR EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_BASE +CYDEV_FASTCLK_XMHZ_BASE EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_SIZE +CYDEV_FASTCLK_XMHZ_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CSR +CYREG_FASTCLK_XMHZ_CSR EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CFG0 +CYREG_FASTCLK_XMHZ_CFG0 EQU 0x40004212 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CFG1 +CYREG_FASTCLK_XMHZ_CFG1 EQU 0x40004213 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_BASE +CYDEV_FASTCLK_PLL_BASE EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SIZE +CYDEV_FASTCLK_PLL_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_CFG0 +CYREG_FASTCLK_PLL_CFG0 EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_CFG1 +CYREG_FASTCLK_PLL_CFG1 EQU 0x40004221 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_P +CYREG_FASTCLK_PLL_P EQU 0x40004222 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_Q +CYREG_FASTCLK_PLL_Q EQU 0x40004223 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_SR +CYREG_FASTCLK_PLL_SR EQU 0x40004225 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_BASE +CYDEV_SLOWCLK_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_SIZE +CYDEV_SLOWCLK_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_BASE +CYDEV_SLOWCLK_ILO_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_SIZE +CYDEV_SLOWCLK_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_ILO_CR0 +CYREG_SLOWCLK_ILO_CR0 EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_ILO_CR1 +CYREG_SLOWCLK_ILO_CR1 EQU 0x40004301 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_BASE +CYDEV_SLOWCLK_X32_BASE EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_SIZE +CYDEV_SLOWCLK_X32_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_CR +CYREG_SLOWCLK_X32_CR EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_CFG +CYREG_SLOWCLK_X32_CFG EQU 0x40004309 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_TST +CYREG_SLOWCLK_X32_TST EQU 0x4000430a + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_BASE +CYDEV_BOOST_BASE EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SIZE +CYDEV_BOOST_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR0 +CYREG_BOOST_CR0 EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR1 +CYREG_BOOST_CR1 EQU 0x40004321 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR2 +CYREG_BOOST_CR2 EQU 0x40004322 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR3 +CYREG_BOOST_CR3 EQU 0x40004323 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_SR +CYREG_BOOST_SR EQU 0x40004324 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR4 +CYREG_BOOST_CR4 EQU 0x40004325 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_SR2 +CYREG_BOOST_SR2 EQU 0x40004326 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_BASE +CYDEV_PWRSYS_BASE EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_SIZE +CYDEV_PWRSYS_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_CR0 +CYREG_PWRSYS_CR0 EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_CR1 +CYREG_PWRSYS_CR1 EQU 0x40004331 + ENDIF + IF :LNOT::DEF:CYDEV_PM_BASE +CYDEV_PM_BASE EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_SIZE +CYDEV_PM_SIZE EQU 0x00000057 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG0 +CYREG_PM_TW_CFG0 EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG1 +CYREG_PM_TW_CFG1 EQU 0x40004381 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG2 +CYREG_PM_TW_CFG2 EQU 0x40004382 + ENDIF + IF :LNOT::DEF:CYREG_PM_WDT_CFG +CYREG_PM_WDT_CFG EQU 0x40004383 + ENDIF + IF :LNOT::DEF:CYREG_PM_WDT_CR +CYREG_PM_WDT_CR EQU 0x40004384 + ENDIF + IF :LNOT::DEF:CYREG_PM_INT_SR +CYREG_PM_INT_SR EQU 0x40004390 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CFG0 +CYREG_PM_MODE_CFG0 EQU 0x40004391 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CFG1 +CYREG_PM_MODE_CFG1 EQU 0x40004392 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CSR +CYREG_PM_MODE_CSR EQU 0x40004393 + ENDIF + IF :LNOT::DEF:CYREG_PM_USB_CR0 +CYREG_PM_USB_CR0 EQU 0x40004394 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG0 +CYREG_PM_WAKEUP_CFG0 EQU 0x40004398 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG1 +CYREG_PM_WAKEUP_CFG1 EQU 0x40004399 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG2 +CYREG_PM_WAKEUP_CFG2 EQU 0x4000439a + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_BASE +CYDEV_PM_ACT_BASE EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_SIZE +CYDEV_PM_ACT_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG0 +CYREG_PM_ACT_CFG0 EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG1 +CYREG_PM_ACT_CFG1 EQU 0x400043a1 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG2 +CYREG_PM_ACT_CFG2 EQU 0x400043a2 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG3 +CYREG_PM_ACT_CFG3 EQU 0x400043a3 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG4 +CYREG_PM_ACT_CFG4 EQU 0x400043a4 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG5 +CYREG_PM_ACT_CFG5 EQU 0x400043a5 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG6 +CYREG_PM_ACT_CFG6 EQU 0x400043a6 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG7 +CYREG_PM_ACT_CFG7 EQU 0x400043a7 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG8 +CYREG_PM_ACT_CFG8 EQU 0x400043a8 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG9 +CYREG_PM_ACT_CFG9 EQU 0x400043a9 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG10 +CYREG_PM_ACT_CFG10 EQU 0x400043aa + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG11 +CYREG_PM_ACT_CFG11 EQU 0x400043ab + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG12 +CYREG_PM_ACT_CFG12 EQU 0x400043ac + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG13 +CYREG_PM_ACT_CFG13 EQU 0x400043ad + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_BASE +CYDEV_PM_STBY_BASE EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_SIZE +CYDEV_PM_STBY_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG0 +CYREG_PM_STBY_CFG0 EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG1 +CYREG_PM_STBY_CFG1 EQU 0x400043b1 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG2 +CYREG_PM_STBY_CFG2 EQU 0x400043b2 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG3 +CYREG_PM_STBY_CFG3 EQU 0x400043b3 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG4 +CYREG_PM_STBY_CFG4 EQU 0x400043b4 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG5 +CYREG_PM_STBY_CFG5 EQU 0x400043b5 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG6 +CYREG_PM_STBY_CFG6 EQU 0x400043b6 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG7 +CYREG_PM_STBY_CFG7 EQU 0x400043b7 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG8 +CYREG_PM_STBY_CFG8 EQU 0x400043b8 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG9 +CYREG_PM_STBY_CFG9 EQU 0x400043b9 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG10 +CYREG_PM_STBY_CFG10 EQU 0x400043ba + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG11 +CYREG_PM_STBY_CFG11 EQU 0x400043bb + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG12 +CYREG_PM_STBY_CFG12 EQU 0x400043bc + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG13 +CYREG_PM_STBY_CFG13 EQU 0x400043bd + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_BASE +CYDEV_PM_AVAIL_BASE EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SIZE +CYDEV_PM_AVAIL_SIZE EQU 0x00000017 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR0 +CYREG_PM_AVAIL_CR0 EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR1 +CYREG_PM_AVAIL_CR1 EQU 0x400043c1 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR2 +CYREG_PM_AVAIL_CR2 EQU 0x400043c2 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR3 +CYREG_PM_AVAIL_CR3 EQU 0x400043c3 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR4 +CYREG_PM_AVAIL_CR4 EQU 0x400043c4 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR5 +CYREG_PM_AVAIL_CR5 EQU 0x400043c5 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR6 +CYREG_PM_AVAIL_CR6 EQU 0x400043c6 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR0 +CYREG_PM_AVAIL_SR0 EQU 0x400043d0 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR1 +CYREG_PM_AVAIL_SR1 EQU 0x400043d1 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR2 +CYREG_PM_AVAIL_SR2 EQU 0x400043d2 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR3 +CYREG_PM_AVAIL_SR3 EQU 0x400043d3 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR4 +CYREG_PM_AVAIL_SR4 EQU 0x400043d4 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR5 +CYREG_PM_AVAIL_SR5 EQU 0x400043d5 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR6 +CYREG_PM_AVAIL_SR6 EQU 0x400043d6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_BASE +CYDEV_PICU_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SIZE +CYDEV_PICU_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_BASE +CYDEV_PICU_INTTYPE_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_SIZE +CYDEV_PICU_INTTYPE_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_BASE +CYDEV_PICU_INTTYPE_PICU0_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_SIZE +CYDEV_PICU_INTTYPE_PICU0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE0 +CYREG_PICU0_INTTYPE0 EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE1 +CYREG_PICU0_INTTYPE1 EQU 0x40004501 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE2 +CYREG_PICU0_INTTYPE2 EQU 0x40004502 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE3 +CYREG_PICU0_INTTYPE3 EQU 0x40004503 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE4 +CYREG_PICU0_INTTYPE4 EQU 0x40004504 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE5 +CYREG_PICU0_INTTYPE5 EQU 0x40004505 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE6 +CYREG_PICU0_INTTYPE6 EQU 0x40004506 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE7 +CYREG_PICU0_INTTYPE7 EQU 0x40004507 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_BASE +CYDEV_PICU_INTTYPE_PICU1_BASE EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_SIZE +CYDEV_PICU_INTTYPE_PICU1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE0 +CYREG_PICU1_INTTYPE0 EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE1 +CYREG_PICU1_INTTYPE1 EQU 0x40004509 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE2 +CYREG_PICU1_INTTYPE2 EQU 0x4000450a + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE3 +CYREG_PICU1_INTTYPE3 EQU 0x4000450b + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE4 +CYREG_PICU1_INTTYPE4 EQU 0x4000450c + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE5 +CYREG_PICU1_INTTYPE5 EQU 0x4000450d + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE6 +CYREG_PICU1_INTTYPE6 EQU 0x4000450e + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE7 +CYREG_PICU1_INTTYPE7 EQU 0x4000450f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_BASE +CYDEV_PICU_INTTYPE_PICU2_BASE EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_SIZE +CYDEV_PICU_INTTYPE_PICU2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE0 +CYREG_PICU2_INTTYPE0 EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE1 +CYREG_PICU2_INTTYPE1 EQU 0x40004511 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE2 +CYREG_PICU2_INTTYPE2 EQU 0x40004512 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE3 +CYREG_PICU2_INTTYPE3 EQU 0x40004513 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE4 +CYREG_PICU2_INTTYPE4 EQU 0x40004514 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE5 +CYREG_PICU2_INTTYPE5 EQU 0x40004515 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE6 +CYREG_PICU2_INTTYPE6 EQU 0x40004516 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE7 +CYREG_PICU2_INTTYPE7 EQU 0x40004517 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_BASE +CYDEV_PICU_INTTYPE_PICU3_BASE EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_SIZE +CYDEV_PICU_INTTYPE_PICU3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE0 +CYREG_PICU3_INTTYPE0 EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE1 +CYREG_PICU3_INTTYPE1 EQU 0x40004519 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE2 +CYREG_PICU3_INTTYPE2 EQU 0x4000451a + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE3 +CYREG_PICU3_INTTYPE3 EQU 0x4000451b + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE4 +CYREG_PICU3_INTTYPE4 EQU 0x4000451c + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE5 +CYREG_PICU3_INTTYPE5 EQU 0x4000451d + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE6 +CYREG_PICU3_INTTYPE6 EQU 0x4000451e + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE7 +CYREG_PICU3_INTTYPE7 EQU 0x4000451f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_BASE +CYDEV_PICU_INTTYPE_PICU4_BASE EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_SIZE +CYDEV_PICU_INTTYPE_PICU4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE0 +CYREG_PICU4_INTTYPE0 EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE1 +CYREG_PICU4_INTTYPE1 EQU 0x40004521 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE2 +CYREG_PICU4_INTTYPE2 EQU 0x40004522 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE3 +CYREG_PICU4_INTTYPE3 EQU 0x40004523 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE4 +CYREG_PICU4_INTTYPE4 EQU 0x40004524 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE5 +CYREG_PICU4_INTTYPE5 EQU 0x40004525 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE6 +CYREG_PICU4_INTTYPE6 EQU 0x40004526 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE7 +CYREG_PICU4_INTTYPE7 EQU 0x40004527 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_BASE +CYDEV_PICU_INTTYPE_PICU5_BASE EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_SIZE +CYDEV_PICU_INTTYPE_PICU5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE0 +CYREG_PICU5_INTTYPE0 EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE1 +CYREG_PICU5_INTTYPE1 EQU 0x40004529 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE2 +CYREG_PICU5_INTTYPE2 EQU 0x4000452a + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE3 +CYREG_PICU5_INTTYPE3 EQU 0x4000452b + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE4 +CYREG_PICU5_INTTYPE4 EQU 0x4000452c + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE5 +CYREG_PICU5_INTTYPE5 EQU 0x4000452d + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE6 +CYREG_PICU5_INTTYPE6 EQU 0x4000452e + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE7 +CYREG_PICU5_INTTYPE7 EQU 0x4000452f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_BASE +CYDEV_PICU_INTTYPE_PICU6_BASE EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_SIZE +CYDEV_PICU_INTTYPE_PICU6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE0 +CYREG_PICU6_INTTYPE0 EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE1 +CYREG_PICU6_INTTYPE1 EQU 0x40004531 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE2 +CYREG_PICU6_INTTYPE2 EQU 0x40004532 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE3 +CYREG_PICU6_INTTYPE3 EQU 0x40004533 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE4 +CYREG_PICU6_INTTYPE4 EQU 0x40004534 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE5 +CYREG_PICU6_INTTYPE5 EQU 0x40004535 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE6 +CYREG_PICU6_INTTYPE6 EQU 0x40004536 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE7 +CYREG_PICU6_INTTYPE7 EQU 0x40004537 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_BASE +CYDEV_PICU_INTTYPE_PICU12_BASE EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_SIZE +CYDEV_PICU_INTTYPE_PICU12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE0 +CYREG_PICU12_INTTYPE0 EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE1 +CYREG_PICU12_INTTYPE1 EQU 0x40004561 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE2 +CYREG_PICU12_INTTYPE2 EQU 0x40004562 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE3 +CYREG_PICU12_INTTYPE3 EQU 0x40004563 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE4 +CYREG_PICU12_INTTYPE4 EQU 0x40004564 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE5 +CYREG_PICU12_INTTYPE5 EQU 0x40004565 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE6 +CYREG_PICU12_INTTYPE6 EQU 0x40004566 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE7 +CYREG_PICU12_INTTYPE7 EQU 0x40004567 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_BASE +CYDEV_PICU_INTTYPE_PICU15_BASE EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_SIZE +CYDEV_PICU_INTTYPE_PICU15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE0 +CYREG_PICU15_INTTYPE0 EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE1 +CYREG_PICU15_INTTYPE1 EQU 0x40004579 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE2 +CYREG_PICU15_INTTYPE2 EQU 0x4000457a + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE3 +CYREG_PICU15_INTTYPE3 EQU 0x4000457b + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE4 +CYREG_PICU15_INTTYPE4 EQU 0x4000457c + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE5 +CYREG_PICU15_INTTYPE5 EQU 0x4000457d + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE6 +CYREG_PICU15_INTTYPE6 EQU 0x4000457e + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE7 +CYREG_PICU15_INTTYPE7 EQU 0x4000457f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_BASE +CYDEV_PICU_STAT_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_SIZE +CYDEV_PICU_STAT_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_BASE +CYDEV_PICU_STAT_PICU0_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_SIZE +CYDEV_PICU_STAT_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTSTAT +CYREG_PICU0_INTSTAT EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_BASE +CYDEV_PICU_STAT_PICU1_BASE EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_SIZE +CYDEV_PICU_STAT_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTSTAT +CYREG_PICU1_INTSTAT EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_BASE +CYDEV_PICU_STAT_PICU2_BASE EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_SIZE +CYDEV_PICU_STAT_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTSTAT +CYREG_PICU2_INTSTAT EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_BASE +CYDEV_PICU_STAT_PICU3_BASE EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_SIZE +CYDEV_PICU_STAT_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTSTAT +CYREG_PICU3_INTSTAT EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_BASE +CYDEV_PICU_STAT_PICU4_BASE EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_SIZE +CYDEV_PICU_STAT_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTSTAT +CYREG_PICU4_INTSTAT EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_BASE +CYDEV_PICU_STAT_PICU5_BASE EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_SIZE +CYDEV_PICU_STAT_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTSTAT +CYREG_PICU5_INTSTAT EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_BASE +CYDEV_PICU_STAT_PICU6_BASE EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_SIZE +CYDEV_PICU_STAT_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTSTAT +CYREG_PICU6_INTSTAT EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_BASE +CYDEV_PICU_STAT_PICU12_BASE EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_SIZE +CYDEV_PICU_STAT_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTSTAT +CYREG_PICU12_INTSTAT EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_BASE +CYDEV_PICU_STAT_PICU15_BASE EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_SIZE +CYDEV_PICU_STAT_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTSTAT +CYREG_PICU15_INTSTAT EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_BASE +CYDEV_PICU_SNAP_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_SIZE +CYDEV_PICU_SNAP_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_BASE +CYDEV_PICU_SNAP_PICU0_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SIZE +CYDEV_PICU_SNAP_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_SNAP +CYREG_PICU0_SNAP EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_BASE +CYDEV_PICU_SNAP_PICU1_BASE EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SIZE +CYDEV_PICU_SNAP_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_SNAP +CYREG_PICU1_SNAP EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_BASE +CYDEV_PICU_SNAP_PICU2_BASE EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SIZE +CYDEV_PICU_SNAP_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_SNAP +CYREG_PICU2_SNAP EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_BASE +CYDEV_PICU_SNAP_PICU3_BASE EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SIZE +CYDEV_PICU_SNAP_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_SNAP +CYREG_PICU3_SNAP EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_BASE +CYDEV_PICU_SNAP_PICU4_BASE EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SIZE +CYDEV_PICU_SNAP_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_SNAP +CYREG_PICU4_SNAP EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_BASE +CYDEV_PICU_SNAP_PICU5_BASE EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SIZE +CYDEV_PICU_SNAP_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_SNAP +CYREG_PICU5_SNAP EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_BASE +CYDEV_PICU_SNAP_PICU6_BASE EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SIZE +CYDEV_PICU_SNAP_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_SNAP +CYREG_PICU6_SNAP EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_BASE +CYDEV_PICU_SNAP_PICU12_BASE EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SIZE +CYDEV_PICU_SNAP_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_SNAP +CYREG_PICU12_SNAP EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_BASE +CYDEV_PICU_SNAP_PICU_15_BASE EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SIZE +CYDEV_PICU_SNAP_PICU_15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU_15_SNAP_15 +CYREG_PICU_15_SNAP_15 EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_BASE +CYDEV_PICU_DISABLE_COR_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_SIZE +CYDEV_PICU_DISABLE_COR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_BASE +CYDEV_PICU_DISABLE_COR_PICU0_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_SIZE +CYDEV_PICU_DISABLE_COR_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_DISABLE_COR +CYREG_PICU0_DISABLE_COR EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_BASE +CYDEV_PICU_DISABLE_COR_PICU1_BASE EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_SIZE +CYDEV_PICU_DISABLE_COR_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_DISABLE_COR +CYREG_PICU1_DISABLE_COR EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_BASE +CYDEV_PICU_DISABLE_COR_PICU2_BASE EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_SIZE +CYDEV_PICU_DISABLE_COR_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_DISABLE_COR +CYREG_PICU2_DISABLE_COR EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_BASE +CYDEV_PICU_DISABLE_COR_PICU3_BASE EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_SIZE +CYDEV_PICU_DISABLE_COR_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_DISABLE_COR +CYREG_PICU3_DISABLE_COR EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_BASE +CYDEV_PICU_DISABLE_COR_PICU4_BASE EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_SIZE +CYDEV_PICU_DISABLE_COR_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_DISABLE_COR +CYREG_PICU4_DISABLE_COR EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_BASE +CYDEV_PICU_DISABLE_COR_PICU5_BASE EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_SIZE +CYDEV_PICU_DISABLE_COR_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_DISABLE_COR +CYREG_PICU5_DISABLE_COR EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_BASE +CYDEV_PICU_DISABLE_COR_PICU6_BASE EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_SIZE +CYDEV_PICU_DISABLE_COR_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_DISABLE_COR +CYREG_PICU6_DISABLE_COR EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_BASE +CYDEV_PICU_DISABLE_COR_PICU12_BASE EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_SIZE +CYDEV_PICU_DISABLE_COR_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_DISABLE_COR +CYREG_PICU12_DISABLE_COR EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_BASE +CYDEV_PICU_DISABLE_COR_PICU15_BASE EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_SIZE +CYDEV_PICU_DISABLE_COR_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_DISABLE_COR +CYREG_PICU15_DISABLE_COR EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_BASE +CYDEV_MFGCFG_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_SIZE +CYDEV_MFGCFG_SIZE EQU 0x000000ed + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_BASE +CYDEV_MFGCFG_ANAIF_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SIZE +CYDEV_MFGCFG_ANAIF_SIZE EQU 0x00000038 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_BASE +CYDEV_MFGCFG_ANAIF_DAC0_BASE EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_SIZE +CYDEV_MFGCFG_ANAIF_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_TR +CYREG_DAC0_TR EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_BASE +CYDEV_MFGCFG_ANAIF_DAC1_BASE EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_SIZE +CYDEV_MFGCFG_ANAIF_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_TR +CYREG_DAC1_TR EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_BASE +CYDEV_MFGCFG_ANAIF_DAC2_BASE EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_SIZE +CYDEV_MFGCFG_ANAIF_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_TR +CYREG_DAC2_TR EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_BASE +CYDEV_MFGCFG_ANAIF_DAC3_BASE EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_SIZE +CYDEV_MFGCFG_ANAIF_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_TR +CYREG_DAC3_TR EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_DSM_TR0 +CYREG_NPUMP_DSM_TR0 EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_SC_TR0 +CYREG_NPUMP_SC_TR0 EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_OPAMP_TR0 +CYREG_NPUMP_OPAMP_TR0 EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_BASE +CYDEV_MFGCFG_ANAIF_SAR0_BASE EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_SIZE +CYDEV_MFGCFG_ANAIF_SAR0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_TR0 +CYREG_SAR0_TR0 EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_BASE +CYDEV_MFGCFG_ANAIF_SAR1_BASE EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_SIZE +CYDEV_MFGCFG_ANAIF_SAR1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_TR0 +CYREG_SAR1_TR0 EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_BASE +CYDEV_MFGCFG_ANAIF_OPAMP0_BASE EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_TR0 +CYREG_OPAMP0_TR0 EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_TR1 +CYREG_OPAMP0_TR1 EQU 0x40004621 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_BASE +CYDEV_MFGCFG_ANAIF_OPAMP1_BASE EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_TR0 +CYREG_OPAMP1_TR0 EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_TR1 +CYREG_OPAMP1_TR1 EQU 0x40004623 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_BASE +CYDEV_MFGCFG_ANAIF_OPAMP2_BASE EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_TR0 +CYREG_OPAMP2_TR0 EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_TR1 +CYREG_OPAMP2_TR1 EQU 0x40004625 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_BASE +CYDEV_MFGCFG_ANAIF_OPAMP3_BASE EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_TR0 +CYREG_OPAMP3_TR0 EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_TR1 +CYREG_OPAMP3_TR1 EQU 0x40004627 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_BASE +CYDEV_MFGCFG_ANAIF_CMP0_BASE EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_SIZE +CYDEV_MFGCFG_ANAIF_CMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_TR0 +CYREG_CMP0_TR0 EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_TR1 +CYREG_CMP0_TR1 EQU 0x40004631 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_BASE +CYDEV_MFGCFG_ANAIF_CMP1_BASE EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_SIZE +CYDEV_MFGCFG_ANAIF_CMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_TR0 +CYREG_CMP1_TR0 EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_TR1 +CYREG_CMP1_TR1 EQU 0x40004633 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_BASE +CYDEV_MFGCFG_ANAIF_CMP2_BASE EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_SIZE +CYDEV_MFGCFG_ANAIF_CMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_TR0 +CYREG_CMP2_TR0 EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_TR1 +CYREG_CMP2_TR1 EQU 0x40004635 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_BASE +CYDEV_MFGCFG_ANAIF_CMP3_BASE EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_SIZE +CYDEV_MFGCFG_ANAIF_CMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_TR0 +CYREG_CMP3_TR0 EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_TR1 +CYREG_CMP3_TR1 EQU 0x40004637 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BASE +CYDEV_MFGCFG_PWRSYS_BASE EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SIZE +CYDEV_MFGCFG_PWRSYS_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_HIB_TR0 +CYREG_PWRSYS_HIB_TR0 EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_HIB_TR1 +CYREG_PWRSYS_HIB_TR1 EQU 0x40004681 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_I2C_TR +CYREG_PWRSYS_I2C_TR EQU 0x40004682 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_SLP_TR +CYREG_PWRSYS_SLP_TR EQU 0x40004683 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BUZZ_TR +CYREG_PWRSYS_BUZZ_TR EQU 0x40004684 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR0 +CYREG_PWRSYS_WAKE_TR0 EQU 0x40004685 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR1 +CYREG_PWRSYS_WAKE_TR1 EQU 0x40004686 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BREF_TR +CYREG_PWRSYS_BREF_TR EQU 0x40004687 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BG_TR +CYREG_PWRSYS_BG_TR EQU 0x40004688 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR2 +CYREG_PWRSYS_WAKE_TR2 EQU 0x40004689 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR3 +CYREG_PWRSYS_WAKE_TR3 EQU 0x4000468a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_BASE +CYDEV_MFGCFG_ILO_BASE EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_SIZE +CYDEV_MFGCFG_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_ILO_TR0 +CYREG_ILO_TR0 EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYREG_ILO_TR1 +CYREG_ILO_TR1 EQU 0x40004691 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_BASE +CYDEV_MFGCFG_X32_BASE EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_SIZE +CYDEV_MFGCFG_X32_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_X32_TR +CYREG_X32_TR EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_BASE +CYDEV_MFGCFG_IMO_BASE EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_SIZE +CYDEV_MFGCFG_IMO_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR0 +CYREG_IMO_TR0 EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR1 +CYREG_IMO_TR1 EQU 0x400046a1 + ENDIF + IF :LNOT::DEF:CYREG_IMO_GAIN +CYREG_IMO_GAIN EQU 0x400046a2 + ENDIF + IF :LNOT::DEF:CYREG_IMO_C36M +CYREG_IMO_C36M EQU 0x400046a3 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR2 +CYREG_IMO_TR2 EQU 0x400046a4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_BASE +CYDEV_MFGCFG_XMHZ_BASE EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_SIZE +CYDEV_MFGCFG_XMHZ_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_XMHZ_TR +CYREG_XMHZ_TR EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYREG_MFGCFG_DLY +CYREG_MFGCFG_DLY EQU 0x400046c0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_BASE +CYDEV_MFGCFG_MLOGIC_BASE EQU 0x400046e0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SIZE +CYDEV_MFGCFG_MLOGIC_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_DMPSTR +CYREG_MLOGIC_DMPSTR EQU 0x400046e2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_BASE +CYDEV_MFGCFG_MLOGIC_SEG_BASE EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_SIZE +CYDEV_MFGCFG_MLOGIC_SEG_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_SEG_CR +CYREG_MLOGIC_SEG_CR EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_SEG_CFG0 +CYREG_MLOGIC_SEG_CFG0 EQU 0x400046e5 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_DEBUG +CYREG_MLOGIC_DEBUG EQU 0x400046e8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_CPU_SCR_CPU_SCR +CYREG_MLOGIC_CPU_SCR_CPU_SCR EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_REV_ID +CYREG_MLOGIC_REV_ID EQU 0x400046ec + ENDIF + IF :LNOT::DEF:CYDEV_RESET_BASE +CYDEV_RESET_BASE EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SIZE +CYDEV_RESET_SIZE EQU 0x0000000f + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR0 +CYREG_RESET_IPOR_CR0 EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR1 +CYREG_RESET_IPOR_CR1 EQU 0x400046f1 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR2 +CYREG_RESET_IPOR_CR2 EQU 0x400046f2 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR3 +CYREG_RESET_IPOR_CR3 EQU 0x400046f3 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR0 +CYREG_RESET_CR0 EQU 0x400046f4 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR1 +CYREG_RESET_CR1 EQU 0x400046f5 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR2 +CYREG_RESET_CR2 EQU 0x400046f6 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR3 +CYREG_RESET_CR3 EQU 0x400046f7 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR4 +CYREG_RESET_CR4 EQU 0x400046f8 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR5 +CYREG_RESET_CR5 EQU 0x400046f9 + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR0 +CYREG_RESET_SR0 EQU 0x400046fa + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR1 +CYREG_RESET_SR1 EQU 0x400046fb + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR2 +CYREG_RESET_SR2 EQU 0x400046fc + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR3 +CYREG_RESET_SR3 EQU 0x400046fd + ENDIF + IF :LNOT::DEF:CYREG_RESET_TR +CYREG_RESET_TR EQU 0x400046fe + ENDIF + IF :LNOT::DEF:CYDEV_SPC_BASE +CYDEV_SPC_BASE EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SIZE +CYDEV_SPC_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_SPC_FM_EE_CR +CYREG_SPC_FM_EE_CR EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYREG_SPC_FM_EE_WAKE_CNT +CYREG_SPC_FM_EE_WAKE_CNT EQU 0x40004701 + ENDIF + IF :LNOT::DEF:CYREG_SPC_EE_SCR +CYREG_SPC_EE_SCR EQU 0x40004702 + ENDIF + IF :LNOT::DEF:CYREG_SPC_EE_ERR +CYREG_SPC_EE_ERR EQU 0x40004703 + ENDIF + IF :LNOT::DEF:CYREG_SPC_CPU_DATA +CYREG_SPC_CPU_DATA EQU 0x40004720 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMA_DATA +CYREG_SPC_DMA_DATA EQU 0x40004721 + ENDIF + IF :LNOT::DEF:CYREG_SPC_SR +CYREG_SPC_SR EQU 0x40004722 + ENDIF + IF :LNOT::DEF:CYREG_SPC_CR +CYREG_SPC_CR EQU 0x40004723 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_BASE +CYDEV_SPC_DMM_MAP_BASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SIZE +CYDEV_SPC_DMM_MAP_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMM_MAP_SRAM_MBASE +CYREG_SPC_DMM_MAP_SRAM_MBASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMM_MAP_SRAM_MSIZE +CYREG_SPC_DMM_MAP_SRAM_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_BASE +CYDEV_CACHE_BASE EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_SIZE +CYDEV_CACHE_SIZE EQU 0x0000009c + ENDIF + IF :LNOT::DEF:CYREG_CACHE_CC_CTL +CYREG_CACHE_CC_CTL EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_ECC_CORR +CYREG_CACHE_ECC_CORR EQU 0x40004880 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_ECC_ERR +CYREG_CACHE_ECC_ERR EQU 0x40004888 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_FLASH_ERR +CYREG_CACHE_FLASH_ERR EQU 0x40004890 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_HITMISS +CYREG_CACHE_HITMISS EQU 0x40004898 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_BASE +CYDEV_I2C_BASE EQU 0x40004900 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_SIZE +CYDEV_I2C_SIZE EQU 0x000000e1 + ENDIF + IF :LNOT::DEF:CYREG_I2C_XCFG +CYREG_I2C_XCFG EQU 0x400049c8 + ENDIF + IF :LNOT::DEF:CYREG_I2C_ADR +CYREG_I2C_ADR EQU 0x400049ca + ENDIF + IF :LNOT::DEF:CYREG_I2C_CFG +CYREG_I2C_CFG EQU 0x400049d6 + ENDIF + IF :LNOT::DEF:CYREG_I2C_CSR +CYREG_I2C_CSR EQU 0x400049d7 + ENDIF + IF :LNOT::DEF:CYREG_I2C_D +CYREG_I2C_D EQU 0x400049d8 + ENDIF + IF :LNOT::DEF:CYREG_I2C_MCSR +CYREG_I2C_MCSR EQU 0x400049d9 + ENDIF + IF :LNOT::DEF:CYREG_I2C_CLK_DIV1 +CYREG_I2C_CLK_DIV1 EQU 0x400049db + ENDIF + IF :LNOT::DEF:CYREG_I2C_CLK_DIV2 +CYREG_I2C_CLK_DIV2 EQU 0x400049dc + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CSR +CYREG_I2C_TMOUT_CSR EQU 0x400049dd + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_SR +CYREG_I2C_TMOUT_SR EQU 0x400049de + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CFG0 +CYREG_I2C_TMOUT_CFG0 EQU 0x400049df + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CFG1 +CYREG_I2C_TMOUT_CFG1 EQU 0x400049e0 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_BASE +CYDEV_DEC_BASE EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SIZE +CYDEV_DEC_SIZE EQU 0x00000015 + ENDIF + IF :LNOT::DEF:CYREG_DEC_CR +CYREG_DEC_CR EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SR +CYREG_DEC_SR EQU 0x40004e01 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SHIFT1 +CYREG_DEC_SHIFT1 EQU 0x40004e02 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SHIFT2 +CYREG_DEC_SHIFT2 EQU 0x40004e03 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR2 +CYREG_DEC_DR2 EQU 0x40004e04 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR2H +CYREG_DEC_DR2H EQU 0x40004e05 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR1 +CYREG_DEC_DR1 EQU 0x40004e06 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCOR +CYREG_DEC_OCOR EQU 0x40004e08 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCORM +CYREG_DEC_OCORM EQU 0x40004e09 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCORH +CYREG_DEC_OCORH EQU 0x40004e0a + ENDIF + IF :LNOT::DEF:CYREG_DEC_GCOR +CYREG_DEC_GCOR EQU 0x40004e0c + ENDIF + IF :LNOT::DEF:CYREG_DEC_GCORH +CYREG_DEC_GCORH EQU 0x40004e0d + ENDIF + IF :LNOT::DEF:CYREG_DEC_GVAL +CYREG_DEC_GVAL EQU 0x40004e0e + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMP +CYREG_DEC_OUTSAMP EQU 0x40004e10 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPM +CYREG_DEC_OUTSAMPM EQU 0x40004e11 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPH +CYREG_DEC_OUTSAMPH EQU 0x40004e12 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPS +CYREG_DEC_OUTSAMPS EQU 0x40004e13 + ENDIF + IF :LNOT::DEF:CYREG_DEC_COHER +CYREG_DEC_COHER EQU 0x40004e14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_BASE +CYDEV_TMR0_BASE EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SIZE +CYDEV_TMR0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG0 +CYREG_TMR0_CFG0 EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG1 +CYREG_TMR0_CFG1 EQU 0x40004f01 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG2 +CYREG_TMR0_CFG2 EQU 0x40004f02 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_SR0 +CYREG_TMR0_SR0 EQU 0x40004f03 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_PER0 +CYREG_TMR0_PER0 EQU 0x40004f04 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_PER1 +CYREG_TMR0_PER1 EQU 0x40004f05 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CNT_CMP0 +CYREG_TMR0_CNT_CMP0 EQU 0x40004f06 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CNT_CMP1 +CYREG_TMR0_CNT_CMP1 EQU 0x40004f07 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CAP0 +CYREG_TMR0_CAP0 EQU 0x40004f08 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CAP1 +CYREG_TMR0_CAP1 EQU 0x40004f09 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_RT0 +CYREG_TMR0_RT0 EQU 0x40004f0a + ENDIF + IF :LNOT::DEF:CYREG_TMR0_RT1 +CYREG_TMR0_RT1 EQU 0x40004f0b + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_BASE +CYDEV_TMR1_BASE EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SIZE +CYDEV_TMR1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG0 +CYREG_TMR1_CFG0 EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG1 +CYREG_TMR1_CFG1 EQU 0x40004f0d + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG2 +CYREG_TMR1_CFG2 EQU 0x40004f0e + ENDIF + IF :LNOT::DEF:CYREG_TMR1_SR0 +CYREG_TMR1_SR0 EQU 0x40004f0f + ENDIF + IF :LNOT::DEF:CYREG_TMR1_PER0 +CYREG_TMR1_PER0 EQU 0x40004f10 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_PER1 +CYREG_TMR1_PER1 EQU 0x40004f11 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CNT_CMP0 +CYREG_TMR1_CNT_CMP0 EQU 0x40004f12 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CNT_CMP1 +CYREG_TMR1_CNT_CMP1 EQU 0x40004f13 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CAP0 +CYREG_TMR1_CAP0 EQU 0x40004f14 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CAP1 +CYREG_TMR1_CAP1 EQU 0x40004f15 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_RT0 +CYREG_TMR1_RT0 EQU 0x40004f16 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_RT1 +CYREG_TMR1_RT1 EQU 0x40004f17 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_BASE +CYDEV_TMR2_BASE EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SIZE +CYDEV_TMR2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG0 +CYREG_TMR2_CFG0 EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG1 +CYREG_TMR2_CFG1 EQU 0x40004f19 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG2 +CYREG_TMR2_CFG2 EQU 0x40004f1a + ENDIF + IF :LNOT::DEF:CYREG_TMR2_SR0 +CYREG_TMR2_SR0 EQU 0x40004f1b + ENDIF + IF :LNOT::DEF:CYREG_TMR2_PER0 +CYREG_TMR2_PER0 EQU 0x40004f1c + ENDIF + IF :LNOT::DEF:CYREG_TMR2_PER1 +CYREG_TMR2_PER1 EQU 0x40004f1d + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CNT_CMP0 +CYREG_TMR2_CNT_CMP0 EQU 0x40004f1e + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CNT_CMP1 +CYREG_TMR2_CNT_CMP1 EQU 0x40004f1f + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CAP0 +CYREG_TMR2_CAP0 EQU 0x40004f20 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CAP1 +CYREG_TMR2_CAP1 EQU 0x40004f21 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_RT0 +CYREG_TMR2_RT0 EQU 0x40004f22 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_RT1 +CYREG_TMR2_RT1 EQU 0x40004f23 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_BASE +CYDEV_TMR3_BASE EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SIZE +CYDEV_TMR3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG0 +CYREG_TMR3_CFG0 EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG1 +CYREG_TMR3_CFG1 EQU 0x40004f25 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG2 +CYREG_TMR3_CFG2 EQU 0x40004f26 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_SR0 +CYREG_TMR3_SR0 EQU 0x40004f27 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_PER0 +CYREG_TMR3_PER0 EQU 0x40004f28 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_PER1 +CYREG_TMR3_PER1 EQU 0x40004f29 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CNT_CMP0 +CYREG_TMR3_CNT_CMP0 EQU 0x40004f2a + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CNT_CMP1 +CYREG_TMR3_CNT_CMP1 EQU 0x40004f2b + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CAP0 +CYREG_TMR3_CAP0 EQU 0x40004f2c + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CAP1 +CYREG_TMR3_CAP1 EQU 0x40004f2d + ENDIF + IF :LNOT::DEF:CYREG_TMR3_RT0 +CYREG_TMR3_RT0 EQU 0x40004f2e + ENDIF + IF :LNOT::DEF:CYREG_TMR3_RT1 +CYREG_TMR3_RT1 EQU 0x40004f2f + ENDIF + IF :LNOT::DEF:CYDEV_IO_BASE +CYDEV_IO_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_SIZE +CYDEV_IO_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_BASE +CYDEV_IO_PC_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_SIZE +CYDEV_IO_PC_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_BASE +CYDEV_IO_PC_PRT0_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_SIZE +CYDEV_IO_PC_PRT0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC0 +CYREG_PRT0_PC0 EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC1 +CYREG_PRT0_PC1 EQU 0x40005001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC2 +CYREG_PRT0_PC2 EQU 0x40005002 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC3 +CYREG_PRT0_PC3 EQU 0x40005003 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC4 +CYREG_PRT0_PC4 EQU 0x40005004 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC5 +CYREG_PRT0_PC5 EQU 0x40005005 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC6 +CYREG_PRT0_PC6 EQU 0x40005006 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC7 +CYREG_PRT0_PC7 EQU 0x40005007 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_BASE +CYDEV_IO_PC_PRT1_BASE EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_SIZE +CYDEV_IO_PC_PRT1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC0 +CYREG_PRT1_PC0 EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC1 +CYREG_PRT1_PC1 EQU 0x40005009 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC2 +CYREG_PRT1_PC2 EQU 0x4000500a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC3 +CYREG_PRT1_PC3 EQU 0x4000500b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC4 +CYREG_PRT1_PC4 EQU 0x4000500c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC5 +CYREG_PRT1_PC5 EQU 0x4000500d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC6 +CYREG_PRT1_PC6 EQU 0x4000500e + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC7 +CYREG_PRT1_PC7 EQU 0x4000500f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_BASE +CYDEV_IO_PC_PRT2_BASE EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_SIZE +CYDEV_IO_PC_PRT2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC0 +CYREG_PRT2_PC0 EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC1 +CYREG_PRT2_PC1 EQU 0x40005011 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC2 +CYREG_PRT2_PC2 EQU 0x40005012 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC3 +CYREG_PRT2_PC3 EQU 0x40005013 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC4 +CYREG_PRT2_PC4 EQU 0x40005014 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC5 +CYREG_PRT2_PC5 EQU 0x40005015 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC6 +CYREG_PRT2_PC6 EQU 0x40005016 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC7 +CYREG_PRT2_PC7 EQU 0x40005017 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_BASE +CYDEV_IO_PC_PRT3_BASE EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_SIZE +CYDEV_IO_PC_PRT3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC0 +CYREG_PRT3_PC0 EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC1 +CYREG_PRT3_PC1 EQU 0x40005019 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC2 +CYREG_PRT3_PC2 EQU 0x4000501a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC3 +CYREG_PRT3_PC3 EQU 0x4000501b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC4 +CYREG_PRT3_PC4 EQU 0x4000501c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC5 +CYREG_PRT3_PC5 EQU 0x4000501d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC6 +CYREG_PRT3_PC6 EQU 0x4000501e + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC7 +CYREG_PRT3_PC7 EQU 0x4000501f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_BASE +CYDEV_IO_PC_PRT4_BASE EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_SIZE +CYDEV_IO_PC_PRT4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC0 +CYREG_PRT4_PC0 EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC1 +CYREG_PRT4_PC1 EQU 0x40005021 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC2 +CYREG_PRT4_PC2 EQU 0x40005022 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC3 +CYREG_PRT4_PC3 EQU 0x40005023 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC4 +CYREG_PRT4_PC4 EQU 0x40005024 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC5 +CYREG_PRT4_PC5 EQU 0x40005025 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC6 +CYREG_PRT4_PC6 EQU 0x40005026 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC7 +CYREG_PRT4_PC7 EQU 0x40005027 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_BASE +CYDEV_IO_PC_PRT5_BASE EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_SIZE +CYDEV_IO_PC_PRT5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC0 +CYREG_PRT5_PC0 EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC1 +CYREG_PRT5_PC1 EQU 0x40005029 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC2 +CYREG_PRT5_PC2 EQU 0x4000502a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC3 +CYREG_PRT5_PC3 EQU 0x4000502b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC4 +CYREG_PRT5_PC4 EQU 0x4000502c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC5 +CYREG_PRT5_PC5 EQU 0x4000502d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC6 +CYREG_PRT5_PC6 EQU 0x4000502e + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC7 +CYREG_PRT5_PC7 EQU 0x4000502f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_BASE +CYDEV_IO_PC_PRT6_BASE EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_SIZE +CYDEV_IO_PC_PRT6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC0 +CYREG_PRT6_PC0 EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC1 +CYREG_PRT6_PC1 EQU 0x40005031 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC2 +CYREG_PRT6_PC2 EQU 0x40005032 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC3 +CYREG_PRT6_PC3 EQU 0x40005033 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC4 +CYREG_PRT6_PC4 EQU 0x40005034 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC5 +CYREG_PRT6_PC5 EQU 0x40005035 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC6 +CYREG_PRT6_PC6 EQU 0x40005036 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC7 +CYREG_PRT6_PC7 EQU 0x40005037 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_BASE +CYDEV_IO_PC_PRT12_BASE EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_SIZE +CYDEV_IO_PC_PRT12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC0 +CYREG_PRT12_PC0 EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC1 +CYREG_PRT12_PC1 EQU 0x40005061 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC2 +CYREG_PRT12_PC2 EQU 0x40005062 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC3 +CYREG_PRT12_PC3 EQU 0x40005063 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC4 +CYREG_PRT12_PC4 EQU 0x40005064 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC5 +CYREG_PRT12_PC5 EQU 0x40005065 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC6 +CYREG_PRT12_PC6 EQU 0x40005066 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC7 +CYREG_PRT12_PC7 EQU 0x40005067 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_BASE +CYDEV_IO_PC_PRT15_BASE EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_SIZE +CYDEV_IO_PC_PRT15_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC0 +CYREG_IO_PC_PRT15_PC0 EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC1 +CYREG_IO_PC_PRT15_PC1 EQU 0x40005079 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC2 +CYREG_IO_PC_PRT15_PC2 EQU 0x4000507a + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC3 +CYREG_IO_PC_PRT15_PC3 EQU 0x4000507b + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC4 +CYREG_IO_PC_PRT15_PC4 EQU 0x4000507c + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC5 +CYREG_IO_PC_PRT15_PC5 EQU 0x4000507d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_BASE +CYDEV_IO_PC_PRT15_7_6_BASE EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_SIZE +CYDEV_IO_PC_PRT15_7_6_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_7_6_PC0 +CYREG_IO_PC_PRT15_7_6_PC0 EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_7_6_PC1 +CYREG_IO_PC_PRT15_7_6_PC1 EQU 0x4000507f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_BASE +CYDEV_IO_DR_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_SIZE +CYDEV_IO_DR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_BASE +CYDEV_IO_DR_PRT0_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_SIZE +CYDEV_IO_DR_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DR_ALIAS +CYREG_PRT0_DR_ALIAS EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_BASE +CYDEV_IO_DR_PRT1_BASE EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_SIZE +CYDEV_IO_DR_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DR_ALIAS +CYREG_PRT1_DR_ALIAS EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_BASE +CYDEV_IO_DR_PRT2_BASE EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_SIZE +CYDEV_IO_DR_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DR_ALIAS +CYREG_PRT2_DR_ALIAS EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_BASE +CYDEV_IO_DR_PRT3_BASE EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_SIZE +CYDEV_IO_DR_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DR_ALIAS +CYREG_PRT3_DR_ALIAS EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_BASE +CYDEV_IO_DR_PRT4_BASE EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_SIZE +CYDEV_IO_DR_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DR_ALIAS +CYREG_PRT4_DR_ALIAS EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_BASE +CYDEV_IO_DR_PRT5_BASE EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_SIZE +CYDEV_IO_DR_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DR_ALIAS +CYREG_PRT5_DR_ALIAS EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_BASE +CYDEV_IO_DR_PRT6_BASE EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_SIZE +CYDEV_IO_DR_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DR_ALIAS +CYREG_PRT6_DR_ALIAS EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_BASE +CYDEV_IO_DR_PRT12_BASE EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_SIZE +CYDEV_IO_DR_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DR_ALIAS +CYREG_PRT12_DR_ALIAS EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_BASE +CYDEV_IO_DR_PRT15_BASE EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_SIZE +CYDEV_IO_DR_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DR_15_ALIAS +CYREG_PRT15_DR_15_ALIAS EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_BASE +CYDEV_IO_PS_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_SIZE +CYDEV_IO_PS_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_BASE +CYDEV_IO_PS_PRT0_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_SIZE +CYDEV_IO_PS_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PS_ALIAS +CYREG_PRT0_PS_ALIAS EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_BASE +CYDEV_IO_PS_PRT1_BASE EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_SIZE +CYDEV_IO_PS_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PS_ALIAS +CYREG_PRT1_PS_ALIAS EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_BASE +CYDEV_IO_PS_PRT2_BASE EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_SIZE +CYDEV_IO_PS_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PS_ALIAS +CYREG_PRT2_PS_ALIAS EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_BASE +CYDEV_IO_PS_PRT3_BASE EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_SIZE +CYDEV_IO_PS_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PS_ALIAS +CYREG_PRT3_PS_ALIAS EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_BASE +CYDEV_IO_PS_PRT4_BASE EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_SIZE +CYDEV_IO_PS_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PS_ALIAS +CYREG_PRT4_PS_ALIAS EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_BASE +CYDEV_IO_PS_PRT5_BASE EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_SIZE +CYDEV_IO_PS_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PS_ALIAS +CYREG_PRT5_PS_ALIAS EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_BASE +CYDEV_IO_PS_PRT6_BASE EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_SIZE +CYDEV_IO_PS_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PS_ALIAS +CYREG_PRT6_PS_ALIAS EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_BASE +CYDEV_IO_PS_PRT12_BASE EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_SIZE +CYDEV_IO_PS_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PS_ALIAS +CYREG_PRT12_PS_ALIAS EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_BASE +CYDEV_IO_PS_PRT15_BASE EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_SIZE +CYDEV_IO_PS_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PS15_ALIAS +CYREG_PRT15_PS15_ALIAS EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_BASE +CYDEV_IO_PRT_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_SIZE +CYDEV_IO_PRT_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BASE +CYDEV_IO_PRT_PRT0_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SIZE +CYDEV_IO_PRT_PRT0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DR +CYREG_PRT0_DR EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PS +CYREG_PRT0_PS EQU 0x40005101 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM0 +CYREG_PRT0_DM0 EQU 0x40005102 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM1 +CYREG_PRT0_DM1 EQU 0x40005103 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM2 +CYREG_PRT0_DM2 EQU 0x40005104 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_SLW +CYREG_PRT0_SLW EQU 0x40005105 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BYP +CYREG_PRT0_BYP EQU 0x40005106 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BIE +CYREG_PRT0_BIE EQU 0x40005107 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_INP_DIS +CYREG_PRT0_INP_DIS EQU 0x40005108 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_CTL +CYREG_PRT0_CTL EQU 0x40005109 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PRT +CYREG_PRT0_PRT EQU 0x4000510a + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BIT_MASK +CYREG_PRT0_BIT_MASK EQU 0x4000510b + ENDIF + IF :LNOT::DEF:CYREG_PRT0_AMUX +CYREG_PRT0_AMUX EQU 0x4000510c + ENDIF + IF :LNOT::DEF:CYREG_PRT0_AG +CYREG_PRT0_AG EQU 0x4000510d + ENDIF + IF :LNOT::DEF:CYREG_PRT0_LCD_COM_SEG +CYREG_PRT0_LCD_COM_SEG EQU 0x4000510e + ENDIF + IF :LNOT::DEF:CYREG_PRT0_LCD_EN +CYREG_PRT0_LCD_EN EQU 0x4000510f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BASE +CYDEV_IO_PRT_PRT1_BASE EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SIZE +CYDEV_IO_PRT_PRT1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DR +CYREG_PRT1_DR EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PS +CYREG_PRT1_PS EQU 0x40005111 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM0 +CYREG_PRT1_DM0 EQU 0x40005112 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM1 +CYREG_PRT1_DM1 EQU 0x40005113 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM2 +CYREG_PRT1_DM2 EQU 0x40005114 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_SLW +CYREG_PRT1_SLW EQU 0x40005115 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BYP +CYREG_PRT1_BYP EQU 0x40005116 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BIE +CYREG_PRT1_BIE EQU 0x40005117 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_INP_DIS +CYREG_PRT1_INP_DIS EQU 0x40005118 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_CTL +CYREG_PRT1_CTL EQU 0x40005119 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PRT +CYREG_PRT1_PRT EQU 0x4000511a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BIT_MASK +CYREG_PRT1_BIT_MASK EQU 0x4000511b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_AMUX +CYREG_PRT1_AMUX EQU 0x4000511c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_AG +CYREG_PRT1_AG EQU 0x4000511d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_LCD_COM_SEG +CYREG_PRT1_LCD_COM_SEG EQU 0x4000511e + ENDIF + IF :LNOT::DEF:CYREG_PRT1_LCD_EN +CYREG_PRT1_LCD_EN EQU 0x4000511f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BASE +CYDEV_IO_PRT_PRT2_BASE EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SIZE +CYDEV_IO_PRT_PRT2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DR +CYREG_PRT2_DR EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PS +CYREG_PRT2_PS EQU 0x40005121 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM0 +CYREG_PRT2_DM0 EQU 0x40005122 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM1 +CYREG_PRT2_DM1 EQU 0x40005123 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM2 +CYREG_PRT2_DM2 EQU 0x40005124 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_SLW +CYREG_PRT2_SLW EQU 0x40005125 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BYP +CYREG_PRT2_BYP EQU 0x40005126 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BIE +CYREG_PRT2_BIE EQU 0x40005127 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_INP_DIS +CYREG_PRT2_INP_DIS EQU 0x40005128 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_CTL +CYREG_PRT2_CTL EQU 0x40005129 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PRT +CYREG_PRT2_PRT EQU 0x4000512a + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BIT_MASK +CYREG_PRT2_BIT_MASK EQU 0x4000512b + ENDIF + IF :LNOT::DEF:CYREG_PRT2_AMUX +CYREG_PRT2_AMUX EQU 0x4000512c + ENDIF + IF :LNOT::DEF:CYREG_PRT2_AG +CYREG_PRT2_AG EQU 0x4000512d + ENDIF + IF :LNOT::DEF:CYREG_PRT2_LCD_COM_SEG +CYREG_PRT2_LCD_COM_SEG EQU 0x4000512e + ENDIF + IF :LNOT::DEF:CYREG_PRT2_LCD_EN +CYREG_PRT2_LCD_EN EQU 0x4000512f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BASE +CYDEV_IO_PRT_PRT3_BASE EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SIZE +CYDEV_IO_PRT_PRT3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DR +CYREG_PRT3_DR EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PS +CYREG_PRT3_PS EQU 0x40005131 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM0 +CYREG_PRT3_DM0 EQU 0x40005132 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM1 +CYREG_PRT3_DM1 EQU 0x40005133 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM2 +CYREG_PRT3_DM2 EQU 0x40005134 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_SLW +CYREG_PRT3_SLW EQU 0x40005135 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BYP +CYREG_PRT3_BYP EQU 0x40005136 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BIE +CYREG_PRT3_BIE EQU 0x40005137 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_INP_DIS +CYREG_PRT3_INP_DIS EQU 0x40005138 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_CTL +CYREG_PRT3_CTL EQU 0x40005139 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PRT +CYREG_PRT3_PRT EQU 0x4000513a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BIT_MASK +CYREG_PRT3_BIT_MASK EQU 0x4000513b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_AMUX +CYREG_PRT3_AMUX EQU 0x4000513c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_AG +CYREG_PRT3_AG EQU 0x4000513d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_LCD_COM_SEG +CYREG_PRT3_LCD_COM_SEG EQU 0x4000513e + ENDIF + IF :LNOT::DEF:CYREG_PRT3_LCD_EN +CYREG_PRT3_LCD_EN EQU 0x4000513f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BASE +CYDEV_IO_PRT_PRT4_BASE EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SIZE +CYDEV_IO_PRT_PRT4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DR +CYREG_PRT4_DR EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PS +CYREG_PRT4_PS EQU 0x40005141 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM0 +CYREG_PRT4_DM0 EQU 0x40005142 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM1 +CYREG_PRT4_DM1 EQU 0x40005143 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM2 +CYREG_PRT4_DM2 EQU 0x40005144 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_SLW +CYREG_PRT4_SLW EQU 0x40005145 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BYP +CYREG_PRT4_BYP EQU 0x40005146 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BIE +CYREG_PRT4_BIE EQU 0x40005147 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_INP_DIS +CYREG_PRT4_INP_DIS EQU 0x40005148 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_CTL +CYREG_PRT4_CTL EQU 0x40005149 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PRT +CYREG_PRT4_PRT EQU 0x4000514a + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BIT_MASK +CYREG_PRT4_BIT_MASK EQU 0x4000514b + ENDIF + IF :LNOT::DEF:CYREG_PRT4_AMUX +CYREG_PRT4_AMUX EQU 0x4000514c + ENDIF + IF :LNOT::DEF:CYREG_PRT4_AG +CYREG_PRT4_AG EQU 0x4000514d + ENDIF + IF :LNOT::DEF:CYREG_PRT4_LCD_COM_SEG +CYREG_PRT4_LCD_COM_SEG EQU 0x4000514e + ENDIF + IF :LNOT::DEF:CYREG_PRT4_LCD_EN +CYREG_PRT4_LCD_EN EQU 0x4000514f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BASE +CYDEV_IO_PRT_PRT5_BASE EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SIZE +CYDEV_IO_PRT_PRT5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DR +CYREG_PRT5_DR EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PS +CYREG_PRT5_PS EQU 0x40005151 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM0 +CYREG_PRT5_DM0 EQU 0x40005152 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM1 +CYREG_PRT5_DM1 EQU 0x40005153 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM2 +CYREG_PRT5_DM2 EQU 0x40005154 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_SLW +CYREG_PRT5_SLW EQU 0x40005155 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BYP +CYREG_PRT5_BYP EQU 0x40005156 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BIE +CYREG_PRT5_BIE EQU 0x40005157 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_INP_DIS +CYREG_PRT5_INP_DIS EQU 0x40005158 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_CTL +CYREG_PRT5_CTL EQU 0x40005159 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PRT +CYREG_PRT5_PRT EQU 0x4000515a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BIT_MASK +CYREG_PRT5_BIT_MASK EQU 0x4000515b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_AMUX +CYREG_PRT5_AMUX EQU 0x4000515c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_AG +CYREG_PRT5_AG EQU 0x4000515d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_LCD_COM_SEG +CYREG_PRT5_LCD_COM_SEG EQU 0x4000515e + ENDIF + IF :LNOT::DEF:CYREG_PRT5_LCD_EN +CYREG_PRT5_LCD_EN EQU 0x4000515f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BASE +CYDEV_IO_PRT_PRT6_BASE EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SIZE +CYDEV_IO_PRT_PRT6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DR +CYREG_PRT6_DR EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PS +CYREG_PRT6_PS EQU 0x40005161 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM0 +CYREG_PRT6_DM0 EQU 0x40005162 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM1 +CYREG_PRT6_DM1 EQU 0x40005163 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM2 +CYREG_PRT6_DM2 EQU 0x40005164 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_SLW +CYREG_PRT6_SLW EQU 0x40005165 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BYP +CYREG_PRT6_BYP EQU 0x40005166 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BIE +CYREG_PRT6_BIE EQU 0x40005167 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_INP_DIS +CYREG_PRT6_INP_DIS EQU 0x40005168 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_CTL +CYREG_PRT6_CTL EQU 0x40005169 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PRT +CYREG_PRT6_PRT EQU 0x4000516a + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BIT_MASK +CYREG_PRT6_BIT_MASK EQU 0x4000516b + ENDIF + IF :LNOT::DEF:CYREG_PRT6_AMUX +CYREG_PRT6_AMUX EQU 0x4000516c + ENDIF + IF :LNOT::DEF:CYREG_PRT6_AG +CYREG_PRT6_AG EQU 0x4000516d + ENDIF + IF :LNOT::DEF:CYREG_PRT6_LCD_COM_SEG +CYREG_PRT6_LCD_COM_SEG EQU 0x4000516e + ENDIF + IF :LNOT::DEF:CYREG_PRT6_LCD_EN +CYREG_PRT6_LCD_EN EQU 0x4000516f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BASE +CYDEV_IO_PRT_PRT12_BASE EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIZE +CYDEV_IO_PRT_PRT12_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DR +CYREG_PRT12_DR EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PS +CYREG_PRT12_PS EQU 0x400051c1 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM0 +CYREG_PRT12_DM0 EQU 0x400051c2 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM1 +CYREG_PRT12_DM1 EQU 0x400051c3 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM2 +CYREG_PRT12_DM2 EQU 0x400051c4 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SLW +CYREG_PRT12_SLW EQU 0x400051c5 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BYP +CYREG_PRT12_BYP EQU 0x400051c6 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BIE +CYREG_PRT12_BIE EQU 0x400051c7 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_INP_DIS +CYREG_PRT12_INP_DIS EQU 0x400051c8 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_HYST_EN +CYREG_PRT12_SIO_HYST_EN EQU 0x400051c9 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PRT +CYREG_PRT12_PRT EQU 0x400051ca + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BIT_MASK +CYREG_PRT12_BIT_MASK EQU 0x400051cb + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_REG_HIFREQ +CYREG_PRT12_SIO_REG_HIFREQ EQU 0x400051cc + ENDIF + IF :LNOT::DEF:CYREG_PRT12_AG +CYREG_PRT12_AG EQU 0x400051cd + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_CFG +CYREG_PRT12_SIO_CFG EQU 0x400051ce + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_DIFF +CYREG_PRT12_SIO_DIFF EQU 0x400051cf + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BASE +CYDEV_IO_PRT_PRT15_BASE EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SIZE +CYDEV_IO_PRT_PRT15_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DR +CYREG_PRT15_DR EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PS +CYREG_PRT15_PS EQU 0x400051f1 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM0 +CYREG_PRT15_DM0 EQU 0x400051f2 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM1 +CYREG_PRT15_DM1 EQU 0x400051f3 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM2 +CYREG_PRT15_DM2 EQU 0x400051f4 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_SLW +CYREG_PRT15_SLW EQU 0x400051f5 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BYP +CYREG_PRT15_BYP EQU 0x400051f6 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BIE +CYREG_PRT15_BIE EQU 0x400051f7 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_INP_DIS +CYREG_PRT15_INP_DIS EQU 0x400051f8 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_CTL +CYREG_PRT15_CTL EQU 0x400051f9 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PRT +CYREG_PRT15_PRT EQU 0x400051fa + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BIT_MASK +CYREG_PRT15_BIT_MASK EQU 0x400051fb + ENDIF + IF :LNOT::DEF:CYREG_PRT15_AMUX +CYREG_PRT15_AMUX EQU 0x400051fc + ENDIF + IF :LNOT::DEF:CYREG_PRT15_AG +CYREG_PRT15_AG EQU 0x400051fd + ENDIF + IF :LNOT::DEF:CYREG_PRT15_LCD_COM_SEG +CYREG_PRT15_LCD_COM_SEG EQU 0x400051fe + ENDIF + IF :LNOT::DEF:CYREG_PRT15_LCD_EN +CYREG_PRT15_LCD_EN EQU 0x400051ff + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_BASE +CYDEV_PRTDSI_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_SIZE +CYDEV_PRTDSI_SIZE EQU 0x0000007f + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_BASE +CYDEV_PRTDSI_PRT0_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SIZE +CYDEV_PRTDSI_PRT0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OUT_SEL0 +CYREG_PRT0_OUT_SEL0 EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OUT_SEL1 +CYREG_PRT0_OUT_SEL1 EQU 0x40005201 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OE_SEL0 +CYREG_PRT0_OE_SEL0 EQU 0x40005202 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OE_SEL1 +CYREG_PRT0_OE_SEL1 EQU 0x40005203 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DBL_SYNC_IN +CYREG_PRT0_DBL_SYNC_IN EQU 0x40005204 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_SYNC_OUT +CYREG_PRT0_SYNC_OUT EQU 0x40005205 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_CAPS_SEL +CYREG_PRT0_CAPS_SEL EQU 0x40005206 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_BASE +CYDEV_PRTDSI_PRT1_BASE EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SIZE +CYDEV_PRTDSI_PRT1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OUT_SEL0 +CYREG_PRT1_OUT_SEL0 EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OUT_SEL1 +CYREG_PRT1_OUT_SEL1 EQU 0x40005209 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OE_SEL0 +CYREG_PRT1_OE_SEL0 EQU 0x4000520a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OE_SEL1 +CYREG_PRT1_OE_SEL1 EQU 0x4000520b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DBL_SYNC_IN +CYREG_PRT1_DBL_SYNC_IN EQU 0x4000520c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_SYNC_OUT +CYREG_PRT1_SYNC_OUT EQU 0x4000520d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_CAPS_SEL +CYREG_PRT1_CAPS_SEL EQU 0x4000520e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_BASE +CYDEV_PRTDSI_PRT2_BASE EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SIZE +CYDEV_PRTDSI_PRT2_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OUT_SEL0 +CYREG_PRT2_OUT_SEL0 EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OUT_SEL1 +CYREG_PRT2_OUT_SEL1 EQU 0x40005211 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OE_SEL0 +CYREG_PRT2_OE_SEL0 EQU 0x40005212 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OE_SEL1 +CYREG_PRT2_OE_SEL1 EQU 0x40005213 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DBL_SYNC_IN +CYREG_PRT2_DBL_SYNC_IN EQU 0x40005214 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_SYNC_OUT +CYREG_PRT2_SYNC_OUT EQU 0x40005215 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_CAPS_SEL +CYREG_PRT2_CAPS_SEL EQU 0x40005216 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_BASE +CYDEV_PRTDSI_PRT3_BASE EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SIZE +CYDEV_PRTDSI_PRT3_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OUT_SEL0 +CYREG_PRT3_OUT_SEL0 EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OUT_SEL1 +CYREG_PRT3_OUT_SEL1 EQU 0x40005219 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OE_SEL0 +CYREG_PRT3_OE_SEL0 EQU 0x4000521a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OE_SEL1 +CYREG_PRT3_OE_SEL1 EQU 0x4000521b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DBL_SYNC_IN +CYREG_PRT3_DBL_SYNC_IN EQU 0x4000521c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_SYNC_OUT +CYREG_PRT3_SYNC_OUT EQU 0x4000521d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_CAPS_SEL +CYREG_PRT3_CAPS_SEL EQU 0x4000521e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_BASE +CYDEV_PRTDSI_PRT4_BASE EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SIZE +CYDEV_PRTDSI_PRT4_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OUT_SEL0 +CYREG_PRT4_OUT_SEL0 EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OUT_SEL1 +CYREG_PRT4_OUT_SEL1 EQU 0x40005221 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OE_SEL0 +CYREG_PRT4_OE_SEL0 EQU 0x40005222 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OE_SEL1 +CYREG_PRT4_OE_SEL1 EQU 0x40005223 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DBL_SYNC_IN +CYREG_PRT4_DBL_SYNC_IN EQU 0x40005224 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_SYNC_OUT +CYREG_PRT4_SYNC_OUT EQU 0x40005225 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_CAPS_SEL +CYREG_PRT4_CAPS_SEL EQU 0x40005226 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_BASE +CYDEV_PRTDSI_PRT5_BASE EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SIZE +CYDEV_PRTDSI_PRT5_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OUT_SEL0 +CYREG_PRT5_OUT_SEL0 EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OUT_SEL1 +CYREG_PRT5_OUT_SEL1 EQU 0x40005229 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OE_SEL0 +CYREG_PRT5_OE_SEL0 EQU 0x4000522a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OE_SEL1 +CYREG_PRT5_OE_SEL1 EQU 0x4000522b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DBL_SYNC_IN +CYREG_PRT5_DBL_SYNC_IN EQU 0x4000522c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_SYNC_OUT +CYREG_PRT5_SYNC_OUT EQU 0x4000522d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_CAPS_SEL +CYREG_PRT5_CAPS_SEL EQU 0x4000522e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_BASE +CYDEV_PRTDSI_PRT6_BASE EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SIZE +CYDEV_PRTDSI_PRT6_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OUT_SEL0 +CYREG_PRT6_OUT_SEL0 EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OUT_SEL1 +CYREG_PRT6_OUT_SEL1 EQU 0x40005231 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OE_SEL0 +CYREG_PRT6_OE_SEL0 EQU 0x40005232 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OE_SEL1 +CYREG_PRT6_OE_SEL1 EQU 0x40005233 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DBL_SYNC_IN +CYREG_PRT6_DBL_SYNC_IN EQU 0x40005234 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_SYNC_OUT +CYREG_PRT6_SYNC_OUT EQU 0x40005235 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_CAPS_SEL +CYREG_PRT6_CAPS_SEL EQU 0x40005236 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_BASE +CYDEV_PRTDSI_PRT12_BASE EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SIZE +CYDEV_PRTDSI_PRT12_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OUT_SEL0 +CYREG_PRT12_OUT_SEL0 EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OUT_SEL1 +CYREG_PRT12_OUT_SEL1 EQU 0x40005261 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OE_SEL0 +CYREG_PRT12_OE_SEL0 EQU 0x40005262 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OE_SEL1 +CYREG_PRT12_OE_SEL1 EQU 0x40005263 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DBL_SYNC_IN +CYREG_PRT12_DBL_SYNC_IN EQU 0x40005264 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SYNC_OUT +CYREG_PRT12_SYNC_OUT EQU 0x40005265 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_BASE +CYDEV_PRTDSI_PRT15_BASE EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SIZE +CYDEV_PRTDSI_PRT15_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OUT_SEL0 +CYREG_PRT15_OUT_SEL0 EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OUT_SEL1 +CYREG_PRT15_OUT_SEL1 EQU 0x40005279 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OE_SEL0 +CYREG_PRT15_OE_SEL0 EQU 0x4000527a + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OE_SEL1 +CYREG_PRT15_OE_SEL1 EQU 0x4000527b + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DBL_SYNC_IN +CYREG_PRT15_DBL_SYNC_IN EQU 0x4000527c + ENDIF + IF :LNOT::DEF:CYREG_PRT15_SYNC_OUT +CYREG_PRT15_SYNC_OUT EQU 0x4000527d + ENDIF + IF :LNOT::DEF:CYREG_PRT15_CAPS_SEL +CYREG_PRT15_CAPS_SEL EQU 0x4000527e + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_BASE +CYDEV_EMIF_BASE EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_SIZE +CYDEV_EMIF_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_NO_UDB +CYREG_EMIF_NO_UDB EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_RP_WAIT_STATES +CYREG_EMIF_RP_WAIT_STATES EQU 0x40005401 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_MEM_DWN +CYREG_EMIF_MEM_DWN EQU 0x40005402 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_MEMCLK_DIV +CYREG_EMIF_MEMCLK_DIV EQU 0x40005403 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_CLOCK_EN +CYREG_EMIF_CLOCK_EN EQU 0x40005404 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_EM_TYPE +CYREG_EMIF_EM_TYPE EQU 0x40005405 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_WP_WAIT_STATES +CYREG_EMIF_WP_WAIT_STATES EQU 0x40005406 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_BASE +CYDEV_ANAIF_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_SIZE +CYDEV_ANAIF_SIZE EQU 0x000003a9 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BASE +CYDEV_ANAIF_CFG_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SIZE +CYDEV_ANAIF_CFG_SIZE EQU 0x0000010f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_BASE +CYDEV_ANAIF_CFG_SC0_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_SIZE +CYDEV_ANAIF_CFG_SC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR0 +CYREG_SC0_CR0 EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR1 +CYREG_SC0_CR1 EQU 0x40005801 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR2 +CYREG_SC0_CR2 EQU 0x40005802 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_BASE +CYDEV_ANAIF_CFG_SC1_BASE EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_SIZE +CYDEV_ANAIF_CFG_SC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR0 +CYREG_SC1_CR0 EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR1 +CYREG_SC1_CR1 EQU 0x40005805 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR2 +CYREG_SC1_CR2 EQU 0x40005806 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_BASE +CYDEV_ANAIF_CFG_SC2_BASE EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_SIZE +CYDEV_ANAIF_CFG_SC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR0 +CYREG_SC2_CR0 EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR1 +CYREG_SC2_CR1 EQU 0x40005809 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR2 +CYREG_SC2_CR2 EQU 0x4000580a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_BASE +CYDEV_ANAIF_CFG_SC3_BASE EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_SIZE +CYDEV_ANAIF_CFG_SC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR0 +CYREG_SC3_CR0 EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR1 +CYREG_SC3_CR1 EQU 0x4000580d + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR2 +CYREG_SC3_CR2 EQU 0x4000580e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_BASE +CYDEV_ANAIF_CFG_DAC0_BASE EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_SIZE +CYDEV_ANAIF_CFG_DAC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_CR0 +CYREG_DAC0_CR0 EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_CR1 +CYREG_DAC0_CR1 EQU 0x40005821 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_TST +CYREG_DAC0_TST EQU 0x40005822 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_BASE +CYDEV_ANAIF_CFG_DAC1_BASE EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_SIZE +CYDEV_ANAIF_CFG_DAC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_CR0 +CYREG_DAC1_CR0 EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_CR1 +CYREG_DAC1_CR1 EQU 0x40005825 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_TST +CYREG_DAC1_TST EQU 0x40005826 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_BASE +CYDEV_ANAIF_CFG_DAC2_BASE EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_SIZE +CYDEV_ANAIF_CFG_DAC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_CR0 +CYREG_DAC2_CR0 EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_CR1 +CYREG_DAC2_CR1 EQU 0x40005829 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_TST +CYREG_DAC2_TST EQU 0x4000582a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_BASE +CYDEV_ANAIF_CFG_DAC3_BASE EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_SIZE +CYDEV_ANAIF_CFG_DAC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_CR0 +CYREG_DAC3_CR0 EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYREG_DAC3_CR1 +CYREG_DAC3_CR1 EQU 0x4000582d + ENDIF + IF :LNOT::DEF:CYREG_DAC3_TST +CYREG_DAC3_TST EQU 0x4000582e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_BASE +CYDEV_ANAIF_CFG_CMP0_BASE EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_SIZE +CYDEV_ANAIF_CFG_CMP0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_CR +CYREG_CMP0_CR EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_BASE +CYDEV_ANAIF_CFG_CMP1_BASE EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_SIZE +CYDEV_ANAIF_CFG_CMP1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_CR +CYREG_CMP1_CR EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_BASE +CYDEV_ANAIF_CFG_CMP2_BASE EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_SIZE +CYDEV_ANAIF_CFG_CMP2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_CR +CYREG_CMP2_CR EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_BASE +CYDEV_ANAIF_CFG_CMP3_BASE EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_SIZE +CYDEV_ANAIF_CFG_CMP3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_CR +CYREG_CMP3_CR EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_BASE +CYDEV_ANAIF_CFG_LUT0_BASE EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_SIZE +CYDEV_ANAIF_CFG_LUT0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT0_CR +CYREG_LUT0_CR EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYREG_LUT0_MX +CYREG_LUT0_MX EQU 0x40005849 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_BASE +CYDEV_ANAIF_CFG_LUT1_BASE EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_SIZE +CYDEV_ANAIF_CFG_LUT1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT1_CR +CYREG_LUT1_CR EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYREG_LUT1_MX +CYREG_LUT1_MX EQU 0x4000584b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_BASE +CYDEV_ANAIF_CFG_LUT2_BASE EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_SIZE +CYDEV_ANAIF_CFG_LUT2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT2_CR +CYREG_LUT2_CR EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYREG_LUT2_MX +CYREG_LUT2_MX EQU 0x4000584d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_BASE +CYDEV_ANAIF_CFG_LUT3_BASE EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_SIZE +CYDEV_ANAIF_CFG_LUT3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT3_CR +CYREG_LUT3_CR EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYREG_LUT3_MX +CYREG_LUT3_MX EQU 0x4000584f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_BASE +CYDEV_ANAIF_CFG_OPAMP0_BASE EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_SIZE +CYDEV_ANAIF_CFG_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_CR +CYREG_OPAMP0_CR EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_RSVD +CYREG_OPAMP0_RSVD EQU 0x40005859 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_BASE +CYDEV_ANAIF_CFG_OPAMP1_BASE EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_SIZE +CYDEV_ANAIF_CFG_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_CR +CYREG_OPAMP1_CR EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_RSVD +CYREG_OPAMP1_RSVD EQU 0x4000585b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_BASE +CYDEV_ANAIF_CFG_OPAMP2_BASE EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_SIZE +CYDEV_ANAIF_CFG_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_CR +CYREG_OPAMP2_CR EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_RSVD +CYREG_OPAMP2_RSVD EQU 0x4000585d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_BASE +CYDEV_ANAIF_CFG_OPAMP3_BASE EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_SIZE +CYDEV_ANAIF_CFG_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_CR +CYREG_OPAMP3_CR EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_RSVD +CYREG_OPAMP3_RSVD EQU 0x4000585f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_BASE +CYDEV_ANAIF_CFG_LCDDAC_BASE EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_SIZE +CYDEV_ANAIF_CFG_LCDDAC_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_CR0 +CYREG_LCDDAC_CR0 EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_CR1 +CYREG_LCDDAC_CR1 EQU 0x40005869 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_BASE +CYDEV_ANAIF_CFG_LCDDRV_BASE EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_SIZE +CYDEV_ANAIF_CFG_LCDDRV_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_LCDDRV_CR +CYREG_LCDDRV_CR EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_BASE +CYDEV_ANAIF_CFG_LCDTMR_BASE EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_SIZE +CYDEV_ANAIF_CFG_LCDTMR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_LCDTMR_CFG +CYREG_LCDTMR_CFG EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_BASE +CYDEV_ANAIF_CFG_BG_BASE EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_SIZE +CYDEV_ANAIF_CFG_BG_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_BG_CR0 +CYREG_BG_CR0 EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYREG_BG_RSVD +CYREG_BG_RSVD EQU 0x4000586d + ENDIF + IF :LNOT::DEF:CYREG_BG_DFT0 +CYREG_BG_DFT0 EQU 0x4000586e + ENDIF + IF :LNOT::DEF:CYREG_BG_DFT1 +CYREG_BG_DFT1 EQU 0x4000586f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_BASE +CYDEV_ANAIF_CFG_CAPSL_BASE EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_SIZE +CYDEV_ANAIF_CFG_CAPSL_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CAPSL_CFG0 +CYREG_CAPSL_CFG0 EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYREG_CAPSL_CFG1 +CYREG_CAPSL_CFG1 EQU 0x40005871 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_BASE +CYDEV_ANAIF_CFG_CAPSR_BASE EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_SIZE +CYDEV_ANAIF_CFG_CAPSR_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CAPSR_CFG0 +CYREG_CAPSR_CFG0 EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYREG_CAPSR_CFG1 +CYREG_CAPSR_CFG1 EQU 0x40005873 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_BASE +CYDEV_ANAIF_CFG_PUMP_BASE EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_SIZE +CYDEV_ANAIF_CFG_PUMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_PUMP_CR0 +CYREG_PUMP_CR0 EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYREG_PUMP_CR1 +CYREG_PUMP_CR1 EQU 0x40005877 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_BASE +CYDEV_ANAIF_CFG_LPF0_BASE EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_SIZE +CYDEV_ANAIF_CFG_LPF0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LPF0_CR0 +CYREG_LPF0_CR0 EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYREG_LPF0_RSVD +CYREG_LPF0_RSVD EQU 0x40005879 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_BASE +CYDEV_ANAIF_CFG_LPF1_BASE EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_SIZE +CYDEV_ANAIF_CFG_LPF1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LPF1_CR0 +CYREG_LPF1_CR0 EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYREG_LPF1_RSVD +CYREG_LPF1_RSVD EQU 0x4000587b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_BASE +CYDEV_ANAIF_CFG_MISC_BASE EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_SIZE +CYDEV_ANAIF_CFG_MISC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_ANAIF_CFG_MISC_CR0 +CYREG_ANAIF_CFG_MISC_CR0 EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BASE +CYDEV_ANAIF_CFG_DSM0_BASE EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_SIZE +CYDEV_ANAIF_CFG_DSM0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR0 +CYREG_DSM0_CR0 EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR1 +CYREG_DSM0_CR1 EQU 0x40005881 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR2 +CYREG_DSM0_CR2 EQU 0x40005882 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR3 +CYREG_DSM0_CR3 EQU 0x40005883 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR4 +CYREG_DSM0_CR4 EQU 0x40005884 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR5 +CYREG_DSM0_CR5 EQU 0x40005885 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR6 +CYREG_DSM0_CR6 EQU 0x40005886 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR7 +CYREG_DSM0_CR7 EQU 0x40005887 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR8 +CYREG_DSM0_CR8 EQU 0x40005888 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR9 +CYREG_DSM0_CR9 EQU 0x40005889 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR10 +CYREG_DSM0_CR10 EQU 0x4000588a + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR11 +CYREG_DSM0_CR11 EQU 0x4000588b + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR12 +CYREG_DSM0_CR12 EQU 0x4000588c + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR13 +CYREG_DSM0_CR13 EQU 0x4000588d + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR14 +CYREG_DSM0_CR14 EQU 0x4000588e + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR15 +CYREG_DSM0_CR15 EQU 0x4000588f + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR16 +CYREG_DSM0_CR16 EQU 0x40005890 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR17 +CYREG_DSM0_CR17 EQU 0x40005891 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF0 +CYREG_DSM0_REF0 EQU 0x40005892 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF1 +CYREG_DSM0_REF1 EQU 0x40005893 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF2 +CYREG_DSM0_REF2 EQU 0x40005894 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF3 +CYREG_DSM0_REF3 EQU 0x40005895 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_DEM0 +CYREG_DSM0_DEM0 EQU 0x40005896 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_DEM1 +CYREG_DSM0_DEM1 EQU 0x40005897 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_TST0 +CYREG_DSM0_TST0 EQU 0x40005898 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_TST1 +CYREG_DSM0_TST1 EQU 0x40005899 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF0 +CYREG_DSM0_BUF0 EQU 0x4000589a + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF1 +CYREG_DSM0_BUF1 EQU 0x4000589b + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF2 +CYREG_DSM0_BUF2 EQU 0x4000589c + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF3 +CYREG_DSM0_BUF3 EQU 0x4000589d + ENDIF + IF :LNOT::DEF:CYREG_DSM0_MISC +CYREG_DSM0_MISC EQU 0x4000589e + ENDIF + IF :LNOT::DEF:CYREG_DSM0_RSVD1 +CYREG_DSM0_RSVD1 EQU 0x4000589f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_BASE +CYDEV_ANAIF_CFG_SAR0_BASE EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_SIZE +CYDEV_ANAIF_CFG_SAR0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR0 +CYREG_SAR0_CSR0 EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR1 +CYREG_SAR0_CSR1 EQU 0x40005901 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR2 +CYREG_SAR0_CSR2 EQU 0x40005902 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR3 +CYREG_SAR0_CSR3 EQU 0x40005903 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR4 +CYREG_SAR0_CSR4 EQU 0x40005904 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR5 +CYREG_SAR0_CSR5 EQU 0x40005905 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR6 +CYREG_SAR0_CSR6 EQU 0x40005906 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_BASE +CYDEV_ANAIF_CFG_SAR1_BASE EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_SIZE +CYDEV_ANAIF_CFG_SAR1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR0 +CYREG_SAR1_CSR0 EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR1 +CYREG_SAR1_CSR1 EQU 0x40005909 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR2 +CYREG_SAR1_CSR2 EQU 0x4000590a + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR3 +CYREG_SAR1_CSR3 EQU 0x4000590b + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR4 +CYREG_SAR1_CSR4 EQU 0x4000590c + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR5 +CYREG_SAR1_CSR5 EQU 0x4000590d + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR6 +CYREG_SAR1_CSR6 EQU 0x4000590e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BASE +CYDEV_ANAIF_RT_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SIZE +CYDEV_ANAIF_RT_SIZE EQU 0x00000162 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BASE +CYDEV_ANAIF_RT_SC0_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SIZE +CYDEV_ANAIF_RT_SC0_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW0 +CYREG_SC0_SW0 EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW2 +CYREG_SC0_SW2 EQU 0x40005a02 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW3 +CYREG_SC0_SW3 EQU 0x40005a03 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW4 +CYREG_SC0_SW4 EQU 0x40005a04 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW6 +CYREG_SC0_SW6 EQU 0x40005a06 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW7 +CYREG_SC0_SW7 EQU 0x40005a07 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW8 +CYREG_SC0_SW8 EQU 0x40005a08 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW10 +CYREG_SC0_SW10 EQU 0x40005a0a + ENDIF + IF :LNOT::DEF:CYREG_SC0_CLK +CYREG_SC0_CLK EQU 0x40005a0b + ENDIF + IF :LNOT::DEF:CYREG_SC0_BST +CYREG_SC0_BST EQU 0x40005a0c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BASE +CYDEV_ANAIF_RT_SC1_BASE EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SIZE +CYDEV_ANAIF_RT_SC1_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW0 +CYREG_SC1_SW0 EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW2 +CYREG_SC1_SW2 EQU 0x40005a12 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW3 +CYREG_SC1_SW3 EQU 0x40005a13 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW4 +CYREG_SC1_SW4 EQU 0x40005a14 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW6 +CYREG_SC1_SW6 EQU 0x40005a16 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW7 +CYREG_SC1_SW7 EQU 0x40005a17 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW8 +CYREG_SC1_SW8 EQU 0x40005a18 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW10 +CYREG_SC1_SW10 EQU 0x40005a1a + ENDIF + IF :LNOT::DEF:CYREG_SC1_CLK +CYREG_SC1_CLK EQU 0x40005a1b + ENDIF + IF :LNOT::DEF:CYREG_SC1_BST +CYREG_SC1_BST EQU 0x40005a1c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BASE +CYDEV_ANAIF_RT_SC2_BASE EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SIZE +CYDEV_ANAIF_RT_SC2_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW0 +CYREG_SC2_SW0 EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW2 +CYREG_SC2_SW2 EQU 0x40005a22 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW3 +CYREG_SC2_SW3 EQU 0x40005a23 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW4 +CYREG_SC2_SW4 EQU 0x40005a24 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW6 +CYREG_SC2_SW6 EQU 0x40005a26 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW7 +CYREG_SC2_SW7 EQU 0x40005a27 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW8 +CYREG_SC2_SW8 EQU 0x40005a28 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW10 +CYREG_SC2_SW10 EQU 0x40005a2a + ENDIF + IF :LNOT::DEF:CYREG_SC2_CLK +CYREG_SC2_CLK EQU 0x40005a2b + ENDIF + IF :LNOT::DEF:CYREG_SC2_BST +CYREG_SC2_BST EQU 0x40005a2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BASE +CYDEV_ANAIF_RT_SC3_BASE EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SIZE +CYDEV_ANAIF_RT_SC3_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW0 +CYREG_SC3_SW0 EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW2 +CYREG_SC3_SW2 EQU 0x40005a32 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW3 +CYREG_SC3_SW3 EQU 0x40005a33 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW4 +CYREG_SC3_SW4 EQU 0x40005a34 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW6 +CYREG_SC3_SW6 EQU 0x40005a36 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW7 +CYREG_SC3_SW7 EQU 0x40005a37 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW8 +CYREG_SC3_SW8 EQU 0x40005a38 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW10 +CYREG_SC3_SW10 EQU 0x40005a3a + ENDIF + IF :LNOT::DEF:CYREG_SC3_CLK +CYREG_SC3_CLK EQU 0x40005a3b + ENDIF + IF :LNOT::DEF:CYREG_SC3_BST +CYREG_SC3_BST EQU 0x40005a3c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_BASE +CYDEV_ANAIF_RT_DAC0_BASE EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SIZE +CYDEV_ANAIF_RT_DAC0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW0 +CYREG_DAC0_SW0 EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW2 +CYREG_DAC0_SW2 EQU 0x40005a82 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW3 +CYREG_DAC0_SW3 EQU 0x40005a83 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW4 +CYREG_DAC0_SW4 EQU 0x40005a84 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_STROBE +CYREG_DAC0_STROBE EQU 0x40005a87 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_BASE +CYDEV_ANAIF_RT_DAC1_BASE EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SIZE +CYDEV_ANAIF_RT_DAC1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW0 +CYREG_DAC1_SW0 EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW2 +CYREG_DAC1_SW2 EQU 0x40005a8a + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW3 +CYREG_DAC1_SW3 EQU 0x40005a8b + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW4 +CYREG_DAC1_SW4 EQU 0x40005a8c + ENDIF + IF :LNOT::DEF:CYREG_DAC1_STROBE +CYREG_DAC1_STROBE EQU 0x40005a8f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_BASE +CYDEV_ANAIF_RT_DAC2_BASE EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SIZE +CYDEV_ANAIF_RT_DAC2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW0 +CYREG_DAC2_SW0 EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW2 +CYREG_DAC2_SW2 EQU 0x40005a92 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW3 +CYREG_DAC2_SW3 EQU 0x40005a93 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW4 +CYREG_DAC2_SW4 EQU 0x40005a94 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_STROBE +CYREG_DAC2_STROBE EQU 0x40005a97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_BASE +CYDEV_ANAIF_RT_DAC3_BASE EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SIZE +CYDEV_ANAIF_RT_DAC3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW0 +CYREG_DAC3_SW0 EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW2 +CYREG_DAC3_SW2 EQU 0x40005a9a + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW3 +CYREG_DAC3_SW3 EQU 0x40005a9b + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW4 +CYREG_DAC3_SW4 EQU 0x40005a9c + ENDIF + IF :LNOT::DEF:CYREG_DAC3_STROBE +CYREG_DAC3_STROBE EQU 0x40005a9f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_BASE +CYDEV_ANAIF_RT_CMP0_BASE EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SIZE +CYDEV_ANAIF_RT_CMP0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW0 +CYREG_CMP0_SW0 EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW2 +CYREG_CMP0_SW2 EQU 0x40005ac2 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW3 +CYREG_CMP0_SW3 EQU 0x40005ac3 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW4 +CYREG_CMP0_SW4 EQU 0x40005ac4 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW6 +CYREG_CMP0_SW6 EQU 0x40005ac6 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_CLK +CYREG_CMP0_CLK EQU 0x40005ac7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_BASE +CYDEV_ANAIF_RT_CMP1_BASE EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SIZE +CYDEV_ANAIF_RT_CMP1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW0 +CYREG_CMP1_SW0 EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW2 +CYREG_CMP1_SW2 EQU 0x40005aca + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW3 +CYREG_CMP1_SW3 EQU 0x40005acb + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW4 +CYREG_CMP1_SW4 EQU 0x40005acc + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW6 +CYREG_CMP1_SW6 EQU 0x40005ace + ENDIF + IF :LNOT::DEF:CYREG_CMP1_CLK +CYREG_CMP1_CLK EQU 0x40005acf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_BASE +CYDEV_ANAIF_RT_CMP2_BASE EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SIZE +CYDEV_ANAIF_RT_CMP2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW0 +CYREG_CMP2_SW0 EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW2 +CYREG_CMP2_SW2 EQU 0x40005ad2 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW3 +CYREG_CMP2_SW3 EQU 0x40005ad3 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW4 +CYREG_CMP2_SW4 EQU 0x40005ad4 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW6 +CYREG_CMP2_SW6 EQU 0x40005ad6 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_CLK +CYREG_CMP2_CLK EQU 0x40005ad7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_BASE +CYDEV_ANAIF_RT_CMP3_BASE EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SIZE +CYDEV_ANAIF_RT_CMP3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW0 +CYREG_CMP3_SW0 EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW2 +CYREG_CMP3_SW2 EQU 0x40005ada + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW3 +CYREG_CMP3_SW3 EQU 0x40005adb + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW4 +CYREG_CMP3_SW4 EQU 0x40005adc + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW6 +CYREG_CMP3_SW6 EQU 0x40005ade + ENDIF + IF :LNOT::DEF:CYREG_CMP3_CLK +CYREG_CMP3_CLK EQU 0x40005adf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_BASE +CYDEV_ANAIF_RT_DSM0_BASE EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SIZE +CYDEV_ANAIF_RT_DSM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW0 +CYREG_DSM0_SW0 EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW2 +CYREG_DSM0_SW2 EQU 0x40005b02 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW3 +CYREG_DSM0_SW3 EQU 0x40005b03 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW4 +CYREG_DSM0_SW4 EQU 0x40005b04 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW6 +CYREG_DSM0_SW6 EQU 0x40005b06 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CLK +CYREG_DSM0_CLK EQU 0x40005b07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_BASE +CYDEV_ANAIF_RT_SAR0_BASE EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SIZE +CYDEV_ANAIF_RT_SAR0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW0 +CYREG_SAR0_SW0 EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW2 +CYREG_SAR0_SW2 EQU 0x40005b22 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW3 +CYREG_SAR0_SW3 EQU 0x40005b23 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW4 +CYREG_SAR0_SW4 EQU 0x40005b24 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW6 +CYREG_SAR0_SW6 EQU 0x40005b26 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CLK +CYREG_SAR0_CLK EQU 0x40005b27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_BASE +CYDEV_ANAIF_RT_SAR1_BASE EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SIZE +CYDEV_ANAIF_RT_SAR1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW0 +CYREG_SAR1_SW0 EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW2 +CYREG_SAR1_SW2 EQU 0x40005b2a + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW3 +CYREG_SAR1_SW3 EQU 0x40005b2b + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW4 +CYREG_SAR1_SW4 EQU 0x40005b2c + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW6 +CYREG_SAR1_SW6 EQU 0x40005b2e + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CLK +CYREG_SAR1_CLK EQU 0x40005b2f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_BASE +CYDEV_ANAIF_RT_OPAMP0_BASE EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SIZE +CYDEV_ANAIF_RT_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_MX +CYREG_OPAMP0_MX EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_SW +CYREG_OPAMP0_SW EQU 0x40005b41 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_BASE +CYDEV_ANAIF_RT_OPAMP1_BASE EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SIZE +CYDEV_ANAIF_RT_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_MX +CYREG_OPAMP1_MX EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_SW +CYREG_OPAMP1_SW EQU 0x40005b43 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_BASE +CYDEV_ANAIF_RT_OPAMP2_BASE EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SIZE +CYDEV_ANAIF_RT_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_MX +CYREG_OPAMP2_MX EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_SW +CYREG_OPAMP2_SW EQU 0x40005b45 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_BASE +CYDEV_ANAIF_RT_OPAMP3_BASE EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SIZE +CYDEV_ANAIF_RT_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_MX +CYREG_OPAMP3_MX EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_SW +CYREG_OPAMP3_SW EQU 0x40005b47 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_BASE +CYDEV_ANAIF_RT_LCDDAC_BASE EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SIZE +CYDEV_ANAIF_RT_LCDDAC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW0 +CYREG_LCDDAC_SW0 EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW1 +CYREG_LCDDAC_SW1 EQU 0x40005b51 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW2 +CYREG_LCDDAC_SW2 EQU 0x40005b52 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW3 +CYREG_LCDDAC_SW3 EQU 0x40005b53 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW4 +CYREG_LCDDAC_SW4 EQU 0x40005b54 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_BASE +CYDEV_ANAIF_RT_SC_BASE EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_SIZE +CYDEV_ANAIF_RT_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SC_MISC +CYREG_SC_MISC EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_BASE +CYDEV_ANAIF_RT_BUS_BASE EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SIZE +CYDEV_ANAIF_RT_BUS_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW0 +CYREG_BUS_SW0 EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW2 +CYREG_BUS_SW2 EQU 0x40005b5a + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW3 +CYREG_BUS_SW3 EQU 0x40005b5b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_BASE +CYDEV_ANAIF_RT_DFT_BASE EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_SIZE +CYDEV_ANAIF_RT_DFT_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR0 +CYREG_DFT_CR0 EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR1 +CYREG_DFT_CR1 EQU 0x40005b5d + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR2 +CYREG_DFT_CR2 EQU 0x40005b5e + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR3 +CYREG_DFT_CR3 EQU 0x40005b5f + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR4 +CYREG_DFT_CR4 EQU 0x40005b60 + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR5 +CYREG_DFT_CR5 EQU 0x40005b61 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_BASE +CYDEV_ANAIF_WRK_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SIZE +CYDEV_ANAIF_WRK_SIZE EQU 0x00000029 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_BASE +CYDEV_ANAIF_WRK_DAC0_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_SIZE +CYDEV_ANAIF_WRK_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_D +CYREG_DAC0_D EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_BASE +CYDEV_ANAIF_WRK_DAC1_BASE EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_SIZE +CYDEV_ANAIF_WRK_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_D +CYREG_DAC1_D EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_BASE +CYDEV_ANAIF_WRK_DAC2_BASE EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_SIZE +CYDEV_ANAIF_WRK_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_D +CYREG_DAC2_D EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_BASE +CYDEV_ANAIF_WRK_DAC3_BASE EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_SIZE +CYDEV_ANAIF_WRK_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_D +CYREG_DAC3_D EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_BASE +CYDEV_ANAIF_WRK_DSM0_BASE EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_SIZE +CYDEV_ANAIF_WRK_DSM0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_OUT0 +CYREG_DSM0_OUT0 EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_OUT1 +CYREG_DSM0_OUT1 EQU 0x40005b89 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_BASE +CYDEV_ANAIF_WRK_LUT_BASE EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SIZE +CYDEV_ANAIF_WRK_LUT_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_LUT_SR +CYREG_LUT_SR EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYREG_LUT_WRK1 +CYREG_LUT_WRK1 EQU 0x40005b91 + ENDIF + IF :LNOT::DEF:CYREG_LUT_MSK +CYREG_LUT_MSK EQU 0x40005b92 + ENDIF + IF :LNOT::DEF:CYREG_LUT_CLK +CYREG_LUT_CLK EQU 0x40005b93 + ENDIF + IF :LNOT::DEF:CYREG_LUT_CPTR +CYREG_LUT_CPTR EQU 0x40005b94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_BASE +CYDEV_ANAIF_WRK_CMP_BASE EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_SIZE +CYDEV_ANAIF_WRK_CMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP_WRK +CYREG_CMP_WRK EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYREG_CMP_TST +CYREG_CMP_TST EQU 0x40005b97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_BASE +CYDEV_ANAIF_WRK_SC_BASE EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SIZE +CYDEV_ANAIF_WRK_SC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_SC_SR +CYREG_SC_SR EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYREG_SC_WRK1 +CYREG_SC_WRK1 EQU 0x40005b99 + ENDIF + IF :LNOT::DEF:CYREG_SC_MSK +CYREG_SC_MSK EQU 0x40005b9a + ENDIF + IF :LNOT::DEF:CYREG_SC_CMPINV +CYREG_SC_CMPINV EQU 0x40005b9b + ENDIF + IF :LNOT::DEF:CYREG_SC_CPTR +CYREG_SC_CPTR EQU 0x40005b9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_BASE +CYDEV_ANAIF_WRK_SAR0_BASE EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_SIZE +CYDEV_ANAIF_WRK_SAR0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_WRK0 +CYREG_SAR0_WRK0 EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_WRK1 +CYREG_SAR0_WRK1 EQU 0x40005ba1 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_BASE +CYDEV_ANAIF_WRK_SAR1_BASE EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_SIZE +CYDEV_ANAIF_WRK_SAR1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_WRK0 +CYREG_SAR1_WRK0 EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_WRK1 +CYREG_SAR1_WRK1 EQU 0x40005ba3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_BASE +CYDEV_ANAIF_WRK_SARS_BASE EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SIZE +CYDEV_ANAIF_WRK_SARS_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_ANAIF_WRK_SARS_SOF +CYREG_ANAIF_WRK_SARS_SOF EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BASE +CYDEV_USB_BASE EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIZE +CYDEV_USB_SIZE EQU 0x00000300 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR0 +CYREG_USB_EP0_DR0 EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR1 +CYREG_USB_EP0_DR1 EQU 0x40006001 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR2 +CYREG_USB_EP0_DR2 EQU 0x40006002 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR3 +CYREG_USB_EP0_DR3 EQU 0x40006003 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR4 +CYREG_USB_EP0_DR4 EQU 0x40006004 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR5 +CYREG_USB_EP0_DR5 EQU 0x40006005 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR6 +CYREG_USB_EP0_DR6 EQU 0x40006006 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR7 +CYREG_USB_EP0_DR7 EQU 0x40006007 + ENDIF + IF :LNOT::DEF:CYREG_USB_CR0 +CYREG_USB_CR0 EQU 0x40006008 + ENDIF + IF :LNOT::DEF:CYREG_USB_CR1 +CYREG_USB_CR1 EQU 0x40006009 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP_INT_EN +CYREG_USB_SIE_EP_INT_EN EQU 0x4000600a + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP_INT_SR +CYREG_USB_SIE_EP_INT_SR EQU 0x4000600b + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_BASE +CYDEV_USB_SIE_EP1_BASE EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_SIZE +CYDEV_USB_SIE_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CNT0 +CYREG_USB_SIE_EP1_CNT0 EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CNT1 +CYREG_USB_SIE_EP1_CNT1 EQU 0x4000600d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CR0 +CYREG_USB_SIE_EP1_CR0 EQU 0x4000600e + ENDIF + IF :LNOT::DEF:CYREG_USB_USBIO_CR0 +CYREG_USB_USBIO_CR0 EQU 0x40006010 + ENDIF + IF :LNOT::DEF:CYREG_USB_USBIO_CR1 +CYREG_USB_USBIO_CR1 EQU 0x40006012 + ENDIF + IF :LNOT::DEF:CYREG_USB_DYN_RECONFIG +CYREG_USB_DYN_RECONFIG EQU 0x40006014 + ENDIF + IF :LNOT::DEF:CYREG_USB_SOF0 +CYREG_USB_SOF0 EQU 0x40006018 + ENDIF + IF :LNOT::DEF:CYREG_USB_SOF1 +CYREG_USB_SOF1 EQU 0x40006019 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_BASE +CYDEV_USB_SIE_EP2_BASE EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_SIZE +CYDEV_USB_SIE_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CNT0 +CYREG_USB_SIE_EP2_CNT0 EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CNT1 +CYREG_USB_SIE_EP2_CNT1 EQU 0x4000601d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CR0 +CYREG_USB_SIE_EP2_CR0 EQU 0x4000601e + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_CR +CYREG_USB_EP0_CR EQU 0x40006028 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_CNT +CYREG_USB_EP0_CNT EQU 0x40006029 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_BASE +CYDEV_USB_SIE_EP3_BASE EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_SIZE +CYDEV_USB_SIE_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CNT0 +CYREG_USB_SIE_EP3_CNT0 EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CNT1 +CYREG_USB_SIE_EP3_CNT1 EQU 0x4000602d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CR0 +CYREG_USB_SIE_EP3_CR0 EQU 0x4000602e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_BASE +CYDEV_USB_SIE_EP4_BASE EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_SIZE +CYDEV_USB_SIE_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CNT0 +CYREG_USB_SIE_EP4_CNT0 EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CNT1 +CYREG_USB_SIE_EP4_CNT1 EQU 0x4000603d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CR0 +CYREG_USB_SIE_EP4_CR0 EQU 0x4000603e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_BASE +CYDEV_USB_SIE_EP5_BASE EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_SIZE +CYDEV_USB_SIE_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CNT0 +CYREG_USB_SIE_EP5_CNT0 EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CNT1 +CYREG_USB_SIE_EP5_CNT1 EQU 0x4000604d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CR0 +CYREG_USB_SIE_EP5_CR0 EQU 0x4000604e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_BASE +CYDEV_USB_SIE_EP6_BASE EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_SIZE +CYDEV_USB_SIE_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CNT0 +CYREG_USB_SIE_EP6_CNT0 EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CNT1 +CYREG_USB_SIE_EP6_CNT1 EQU 0x4000605d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CR0 +CYREG_USB_SIE_EP6_CR0 EQU 0x4000605e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_BASE +CYDEV_USB_SIE_EP7_BASE EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_SIZE +CYDEV_USB_SIE_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CNT0 +CYREG_USB_SIE_EP7_CNT0 EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CNT1 +CYREG_USB_SIE_EP7_CNT1 EQU 0x4000606d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CR0 +CYREG_USB_SIE_EP7_CR0 EQU 0x4000606e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_BASE +CYDEV_USB_SIE_EP8_BASE EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_SIZE +CYDEV_USB_SIE_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CNT0 +CYREG_USB_SIE_EP8_CNT0 EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CNT1 +CYREG_USB_SIE_EP8_CNT1 EQU 0x4000607d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CR0 +CYREG_USB_SIE_EP8_CR0 EQU 0x4000607e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_BASE +CYDEV_USB_ARB_EP1_BASE EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SIZE +CYDEV_USB_ARB_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_CFG +CYREG_USB_ARB_EP1_CFG EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_INT_EN +CYREG_USB_ARB_EP1_INT_EN EQU 0x40006081 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_SR +CYREG_USB_ARB_EP1_SR EQU 0x40006082 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_BASE +CYDEV_USB_ARB_RW1_BASE EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_SIZE +CYDEV_USB_ARB_RW1_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_WA +CYREG_USB_ARB_RW1_WA EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_WA_MSB +CYREG_USB_ARB_RW1_WA_MSB EQU 0x40006085 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_RA +CYREG_USB_ARB_RW1_RA EQU 0x40006086 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_RA_MSB +CYREG_USB_ARB_RW1_RA_MSB EQU 0x40006087 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_DR +CYREG_USB_ARB_RW1_DR EQU 0x40006088 + ENDIF + IF :LNOT::DEF:CYREG_USB_BUF_SIZE +CYREG_USB_BUF_SIZE EQU 0x4000608c + ENDIF + IF :LNOT::DEF:CYREG_USB_EP_ACTIVE +CYREG_USB_EP_ACTIVE EQU 0x4000608e + ENDIF + IF :LNOT::DEF:CYREG_USB_EP_TYPE +CYREG_USB_EP_TYPE EQU 0x4000608f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_BASE +CYDEV_USB_ARB_EP2_BASE EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SIZE +CYDEV_USB_ARB_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_CFG +CYREG_USB_ARB_EP2_CFG EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_INT_EN +CYREG_USB_ARB_EP2_INT_EN EQU 0x40006091 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_SR +CYREG_USB_ARB_EP2_SR EQU 0x40006092 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_BASE +CYDEV_USB_ARB_RW2_BASE EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_SIZE +CYDEV_USB_ARB_RW2_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_WA +CYREG_USB_ARB_RW2_WA EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_WA_MSB +CYREG_USB_ARB_RW2_WA_MSB EQU 0x40006095 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_RA +CYREG_USB_ARB_RW2_RA EQU 0x40006096 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_RA_MSB +CYREG_USB_ARB_RW2_RA_MSB EQU 0x40006097 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_DR +CYREG_USB_ARB_RW2_DR EQU 0x40006098 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_CFG +CYREG_USB_ARB_CFG EQU 0x4000609c + ENDIF + IF :LNOT::DEF:CYREG_USB_USB_CLK_EN +CYREG_USB_USB_CLK_EN EQU 0x4000609d + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_INT_EN +CYREG_USB_ARB_INT_EN EQU 0x4000609e + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_INT_SR +CYREG_USB_ARB_INT_SR EQU 0x4000609f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_BASE +CYDEV_USB_ARB_EP3_BASE EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SIZE +CYDEV_USB_ARB_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_CFG +CYREG_USB_ARB_EP3_CFG EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_INT_EN +CYREG_USB_ARB_EP3_INT_EN EQU 0x400060a1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_SR +CYREG_USB_ARB_EP3_SR EQU 0x400060a2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_BASE +CYDEV_USB_ARB_RW3_BASE EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_SIZE +CYDEV_USB_ARB_RW3_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_WA +CYREG_USB_ARB_RW3_WA EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_WA_MSB +CYREG_USB_ARB_RW3_WA_MSB EQU 0x400060a5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_RA +CYREG_USB_ARB_RW3_RA EQU 0x400060a6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_RA_MSB +CYREG_USB_ARB_RW3_RA_MSB EQU 0x400060a7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_DR +CYREG_USB_ARB_RW3_DR EQU 0x400060a8 + ENDIF + IF :LNOT::DEF:CYREG_USB_CWA +CYREG_USB_CWA EQU 0x400060ac + ENDIF + IF :LNOT::DEF:CYREG_USB_CWA_MSB +CYREG_USB_CWA_MSB EQU 0x400060ad + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_BASE +CYDEV_USB_ARB_EP4_BASE EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SIZE +CYDEV_USB_ARB_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_CFG +CYREG_USB_ARB_EP4_CFG EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_INT_EN +CYREG_USB_ARB_EP4_INT_EN EQU 0x400060b1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_SR +CYREG_USB_ARB_EP4_SR EQU 0x400060b2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_BASE +CYDEV_USB_ARB_RW4_BASE EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_SIZE +CYDEV_USB_ARB_RW4_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_WA +CYREG_USB_ARB_RW4_WA EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_WA_MSB +CYREG_USB_ARB_RW4_WA_MSB EQU 0x400060b5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_RA +CYREG_USB_ARB_RW4_RA EQU 0x400060b6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_RA_MSB +CYREG_USB_ARB_RW4_RA_MSB EQU 0x400060b7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_DR +CYREG_USB_ARB_RW4_DR EQU 0x400060b8 + ENDIF + IF :LNOT::DEF:CYREG_USB_DMA_THRES +CYREG_USB_DMA_THRES EQU 0x400060bc + ENDIF + IF :LNOT::DEF:CYREG_USB_DMA_THRES_MSB +CYREG_USB_DMA_THRES_MSB EQU 0x400060bd + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_BASE +CYDEV_USB_ARB_EP5_BASE EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SIZE +CYDEV_USB_ARB_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_CFG +CYREG_USB_ARB_EP5_CFG EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_INT_EN +CYREG_USB_ARB_EP5_INT_EN EQU 0x400060c1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_SR +CYREG_USB_ARB_EP5_SR EQU 0x400060c2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_BASE +CYDEV_USB_ARB_RW5_BASE EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_SIZE +CYDEV_USB_ARB_RW5_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_WA +CYREG_USB_ARB_RW5_WA EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_WA_MSB +CYREG_USB_ARB_RW5_WA_MSB EQU 0x400060c5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_RA +CYREG_USB_ARB_RW5_RA EQU 0x400060c6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_RA_MSB +CYREG_USB_ARB_RW5_RA_MSB EQU 0x400060c7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_DR +CYREG_USB_ARB_RW5_DR EQU 0x400060c8 + ENDIF + IF :LNOT::DEF:CYREG_USB_BUS_RST_CNT +CYREG_USB_BUS_RST_CNT EQU 0x400060cc + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_BASE +CYDEV_USB_ARB_EP6_BASE EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SIZE +CYDEV_USB_ARB_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_CFG +CYREG_USB_ARB_EP6_CFG EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_INT_EN +CYREG_USB_ARB_EP6_INT_EN EQU 0x400060d1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_SR +CYREG_USB_ARB_EP6_SR EQU 0x400060d2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_BASE +CYDEV_USB_ARB_RW6_BASE EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_SIZE +CYDEV_USB_ARB_RW6_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_WA +CYREG_USB_ARB_RW6_WA EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_WA_MSB +CYREG_USB_ARB_RW6_WA_MSB EQU 0x400060d5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_RA +CYREG_USB_ARB_RW6_RA EQU 0x400060d6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_RA_MSB +CYREG_USB_ARB_RW6_RA_MSB EQU 0x400060d7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_DR +CYREG_USB_ARB_RW6_DR EQU 0x400060d8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_BASE +CYDEV_USB_ARB_EP7_BASE EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SIZE +CYDEV_USB_ARB_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_CFG +CYREG_USB_ARB_EP7_CFG EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_INT_EN +CYREG_USB_ARB_EP7_INT_EN EQU 0x400060e1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_SR +CYREG_USB_ARB_EP7_SR EQU 0x400060e2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_BASE +CYDEV_USB_ARB_RW7_BASE EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_SIZE +CYDEV_USB_ARB_RW7_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_WA +CYREG_USB_ARB_RW7_WA EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_WA_MSB +CYREG_USB_ARB_RW7_WA_MSB EQU 0x400060e5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_RA +CYREG_USB_ARB_RW7_RA EQU 0x400060e6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_RA_MSB +CYREG_USB_ARB_RW7_RA_MSB EQU 0x400060e7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_DR +CYREG_USB_ARB_RW7_DR EQU 0x400060e8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_BASE +CYDEV_USB_ARB_EP8_BASE EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SIZE +CYDEV_USB_ARB_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_CFG +CYREG_USB_ARB_EP8_CFG EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_INT_EN +CYREG_USB_ARB_EP8_INT_EN EQU 0x400060f1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_SR +CYREG_USB_ARB_EP8_SR EQU 0x400060f2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_BASE +CYDEV_USB_ARB_RW8_BASE EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_SIZE +CYDEV_USB_ARB_RW8_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_WA +CYREG_USB_ARB_RW8_WA EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_WA_MSB +CYREG_USB_ARB_RW8_WA_MSB EQU 0x400060f5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_RA +CYREG_USB_ARB_RW8_RA EQU 0x400060f6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_RA_MSB +CYREG_USB_ARB_RW8_RA_MSB EQU 0x400060f7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_DR +CYREG_USB_ARB_RW8_DR EQU 0x400060f8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_BASE +CYDEV_USB_MEM_BASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_SIZE +CYDEV_USB_MEM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_USB_MEM_DATA_MBASE +CYREG_USB_MEM_DATA_MBASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYREG_USB_MEM_DATA_MSIZE +CYREG_USB_MEM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_BASE +CYDEV_UWRK_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_SIZE +CYDEV_UWRK_SIZE EQU 0x00000b60 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_BASE +CYDEV_UWRK_UWRK8_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_SIZE +CYDEV_UWRK_UWRK8_SIZE EQU 0x000003b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_BASE +CYDEV_UWRK_UWRK8_B0_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_SIZE +CYDEV_UWRK_UWRK8_B0_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A0 +CYREG_B0_UDB00_A0 EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A0 +CYREG_B0_UDB01_A0 EQU 0x40006401 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A0 +CYREG_B0_UDB02_A0 EQU 0x40006402 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A0 +CYREG_B0_UDB03_A0 EQU 0x40006403 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A0 +CYREG_B0_UDB04_A0 EQU 0x40006404 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A0 +CYREG_B0_UDB05_A0 EQU 0x40006405 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A0 +CYREG_B0_UDB06_A0 EQU 0x40006406 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A0 +CYREG_B0_UDB07_A0 EQU 0x40006407 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A0 +CYREG_B0_UDB08_A0 EQU 0x40006408 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A0 +CYREG_B0_UDB09_A0 EQU 0x40006409 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A0 +CYREG_B0_UDB10_A0 EQU 0x4000640a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A0 +CYREG_B0_UDB11_A0 EQU 0x4000640b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A0 +CYREG_B0_UDB12_A0 EQU 0x4000640c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A0 +CYREG_B0_UDB13_A0 EQU 0x4000640d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A0 +CYREG_B0_UDB14_A0 EQU 0x4000640e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A0 +CYREG_B0_UDB15_A0 EQU 0x4000640f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A1 +CYREG_B0_UDB00_A1 EQU 0x40006410 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A1 +CYREG_B0_UDB01_A1 EQU 0x40006411 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A1 +CYREG_B0_UDB02_A1 EQU 0x40006412 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A1 +CYREG_B0_UDB03_A1 EQU 0x40006413 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A1 +CYREG_B0_UDB04_A1 EQU 0x40006414 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A1 +CYREG_B0_UDB05_A1 EQU 0x40006415 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A1 +CYREG_B0_UDB06_A1 EQU 0x40006416 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A1 +CYREG_B0_UDB07_A1 EQU 0x40006417 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A1 +CYREG_B0_UDB08_A1 EQU 0x40006418 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A1 +CYREG_B0_UDB09_A1 EQU 0x40006419 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A1 +CYREG_B0_UDB10_A1 EQU 0x4000641a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A1 +CYREG_B0_UDB11_A1 EQU 0x4000641b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A1 +CYREG_B0_UDB12_A1 EQU 0x4000641c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A1 +CYREG_B0_UDB13_A1 EQU 0x4000641d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A1 +CYREG_B0_UDB14_A1 EQU 0x4000641e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A1 +CYREG_B0_UDB15_A1 EQU 0x4000641f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D0 +CYREG_B0_UDB00_D0 EQU 0x40006420 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D0 +CYREG_B0_UDB01_D0 EQU 0x40006421 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D0 +CYREG_B0_UDB02_D0 EQU 0x40006422 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D0 +CYREG_B0_UDB03_D0 EQU 0x40006423 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D0 +CYREG_B0_UDB04_D0 EQU 0x40006424 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D0 +CYREG_B0_UDB05_D0 EQU 0x40006425 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D0 +CYREG_B0_UDB06_D0 EQU 0x40006426 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D0 +CYREG_B0_UDB07_D0 EQU 0x40006427 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D0 +CYREG_B0_UDB08_D0 EQU 0x40006428 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D0 +CYREG_B0_UDB09_D0 EQU 0x40006429 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D0 +CYREG_B0_UDB10_D0 EQU 0x4000642a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D0 +CYREG_B0_UDB11_D0 EQU 0x4000642b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D0 +CYREG_B0_UDB12_D0 EQU 0x4000642c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D0 +CYREG_B0_UDB13_D0 EQU 0x4000642d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D0 +CYREG_B0_UDB14_D0 EQU 0x4000642e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D0 +CYREG_B0_UDB15_D0 EQU 0x4000642f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D1 +CYREG_B0_UDB00_D1 EQU 0x40006430 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D1 +CYREG_B0_UDB01_D1 EQU 0x40006431 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D1 +CYREG_B0_UDB02_D1 EQU 0x40006432 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D1 +CYREG_B0_UDB03_D1 EQU 0x40006433 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D1 +CYREG_B0_UDB04_D1 EQU 0x40006434 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D1 +CYREG_B0_UDB05_D1 EQU 0x40006435 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D1 +CYREG_B0_UDB06_D1 EQU 0x40006436 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D1 +CYREG_B0_UDB07_D1 EQU 0x40006437 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D1 +CYREG_B0_UDB08_D1 EQU 0x40006438 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D1 +CYREG_B0_UDB09_D1 EQU 0x40006439 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D1 +CYREG_B0_UDB10_D1 EQU 0x4000643a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D1 +CYREG_B0_UDB11_D1 EQU 0x4000643b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D1 +CYREG_B0_UDB12_D1 EQU 0x4000643c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D1 +CYREG_B0_UDB13_D1 EQU 0x4000643d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D1 +CYREG_B0_UDB14_D1 EQU 0x4000643e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D1 +CYREG_B0_UDB15_D1 EQU 0x4000643f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F0 +CYREG_B0_UDB00_F0 EQU 0x40006440 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F0 +CYREG_B0_UDB01_F0 EQU 0x40006441 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F0 +CYREG_B0_UDB02_F0 EQU 0x40006442 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F0 +CYREG_B0_UDB03_F0 EQU 0x40006443 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F0 +CYREG_B0_UDB04_F0 EQU 0x40006444 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F0 +CYREG_B0_UDB05_F0 EQU 0x40006445 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F0 +CYREG_B0_UDB06_F0 EQU 0x40006446 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F0 +CYREG_B0_UDB07_F0 EQU 0x40006447 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F0 +CYREG_B0_UDB08_F0 EQU 0x40006448 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F0 +CYREG_B0_UDB09_F0 EQU 0x40006449 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F0 +CYREG_B0_UDB10_F0 EQU 0x4000644a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F0 +CYREG_B0_UDB11_F0 EQU 0x4000644b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F0 +CYREG_B0_UDB12_F0 EQU 0x4000644c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F0 +CYREG_B0_UDB13_F0 EQU 0x4000644d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F0 +CYREG_B0_UDB14_F0 EQU 0x4000644e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F0 +CYREG_B0_UDB15_F0 EQU 0x4000644f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F1 +CYREG_B0_UDB00_F1 EQU 0x40006450 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F1 +CYREG_B0_UDB01_F1 EQU 0x40006451 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F1 +CYREG_B0_UDB02_F1 EQU 0x40006452 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F1 +CYREG_B0_UDB03_F1 EQU 0x40006453 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F1 +CYREG_B0_UDB04_F1 EQU 0x40006454 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F1 +CYREG_B0_UDB05_F1 EQU 0x40006455 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F1 +CYREG_B0_UDB06_F1 EQU 0x40006456 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F1 +CYREG_B0_UDB07_F1 EQU 0x40006457 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F1 +CYREG_B0_UDB08_F1 EQU 0x40006458 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F1 +CYREG_B0_UDB09_F1 EQU 0x40006459 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F1 +CYREG_B0_UDB10_F1 EQU 0x4000645a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F1 +CYREG_B0_UDB11_F1 EQU 0x4000645b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F1 +CYREG_B0_UDB12_F1 EQU 0x4000645c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F1 +CYREG_B0_UDB13_F1 EQU 0x4000645d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F1 +CYREG_B0_UDB14_F1 EQU 0x4000645e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F1 +CYREG_B0_UDB15_F1 EQU 0x4000645f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ST +CYREG_B0_UDB00_ST EQU 0x40006460 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ST +CYREG_B0_UDB01_ST EQU 0x40006461 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ST +CYREG_B0_UDB02_ST EQU 0x40006462 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ST +CYREG_B0_UDB03_ST EQU 0x40006463 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ST +CYREG_B0_UDB04_ST EQU 0x40006464 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ST +CYREG_B0_UDB05_ST EQU 0x40006465 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ST +CYREG_B0_UDB06_ST EQU 0x40006466 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ST +CYREG_B0_UDB07_ST EQU 0x40006467 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ST +CYREG_B0_UDB08_ST EQU 0x40006468 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ST +CYREG_B0_UDB09_ST EQU 0x40006469 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ST +CYREG_B0_UDB10_ST EQU 0x4000646a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ST +CYREG_B0_UDB11_ST EQU 0x4000646b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ST +CYREG_B0_UDB12_ST EQU 0x4000646c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ST +CYREG_B0_UDB13_ST EQU 0x4000646d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ST +CYREG_B0_UDB14_ST EQU 0x4000646e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ST +CYREG_B0_UDB15_ST EQU 0x4000646f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_CTL +CYREG_B0_UDB00_CTL EQU 0x40006470 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_CTL +CYREG_B0_UDB01_CTL EQU 0x40006471 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_CTL +CYREG_B0_UDB02_CTL EQU 0x40006472 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_CTL +CYREG_B0_UDB03_CTL EQU 0x40006473 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_CTL +CYREG_B0_UDB04_CTL EQU 0x40006474 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_CTL +CYREG_B0_UDB05_CTL EQU 0x40006475 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_CTL +CYREG_B0_UDB06_CTL EQU 0x40006476 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_CTL +CYREG_B0_UDB07_CTL EQU 0x40006477 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_CTL +CYREG_B0_UDB08_CTL EQU 0x40006478 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_CTL +CYREG_B0_UDB09_CTL EQU 0x40006479 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_CTL +CYREG_B0_UDB10_CTL EQU 0x4000647a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_CTL +CYREG_B0_UDB11_CTL EQU 0x4000647b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_CTL +CYREG_B0_UDB12_CTL EQU 0x4000647c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_CTL +CYREG_B0_UDB13_CTL EQU 0x4000647d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_CTL +CYREG_B0_UDB14_CTL EQU 0x4000647e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_CTL +CYREG_B0_UDB15_CTL EQU 0x4000647f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MSK +CYREG_B0_UDB00_MSK EQU 0x40006480 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MSK +CYREG_B0_UDB01_MSK EQU 0x40006481 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MSK +CYREG_B0_UDB02_MSK EQU 0x40006482 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MSK +CYREG_B0_UDB03_MSK EQU 0x40006483 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MSK +CYREG_B0_UDB04_MSK EQU 0x40006484 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MSK +CYREG_B0_UDB05_MSK EQU 0x40006485 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MSK +CYREG_B0_UDB06_MSK EQU 0x40006486 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MSK +CYREG_B0_UDB07_MSK EQU 0x40006487 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MSK +CYREG_B0_UDB08_MSK EQU 0x40006488 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MSK +CYREG_B0_UDB09_MSK EQU 0x40006489 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MSK +CYREG_B0_UDB10_MSK EQU 0x4000648a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MSK +CYREG_B0_UDB11_MSK EQU 0x4000648b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MSK +CYREG_B0_UDB12_MSK EQU 0x4000648c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MSK +CYREG_B0_UDB13_MSK EQU 0x4000648d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MSK +CYREG_B0_UDB14_MSK EQU 0x4000648e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MSK +CYREG_B0_UDB15_MSK EQU 0x4000648f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ACTL +CYREG_B0_UDB00_ACTL EQU 0x40006490 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ACTL +CYREG_B0_UDB01_ACTL EQU 0x40006491 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ACTL +CYREG_B0_UDB02_ACTL EQU 0x40006492 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ACTL +CYREG_B0_UDB03_ACTL EQU 0x40006493 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ACTL +CYREG_B0_UDB04_ACTL EQU 0x40006494 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ACTL +CYREG_B0_UDB05_ACTL EQU 0x40006495 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ACTL +CYREG_B0_UDB06_ACTL EQU 0x40006496 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ACTL +CYREG_B0_UDB07_ACTL EQU 0x40006497 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ACTL +CYREG_B0_UDB08_ACTL EQU 0x40006498 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ACTL +CYREG_B0_UDB09_ACTL EQU 0x40006499 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ACTL +CYREG_B0_UDB10_ACTL EQU 0x4000649a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ACTL +CYREG_B0_UDB11_ACTL EQU 0x4000649b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ACTL +CYREG_B0_UDB12_ACTL EQU 0x4000649c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ACTL +CYREG_B0_UDB13_ACTL EQU 0x4000649d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ACTL +CYREG_B0_UDB14_ACTL EQU 0x4000649e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ACTL +CYREG_B0_UDB15_ACTL EQU 0x4000649f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MC +CYREG_B0_UDB00_MC EQU 0x400064a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MC +CYREG_B0_UDB01_MC EQU 0x400064a1 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MC +CYREG_B0_UDB02_MC EQU 0x400064a2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MC +CYREG_B0_UDB03_MC EQU 0x400064a3 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MC +CYREG_B0_UDB04_MC EQU 0x400064a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MC +CYREG_B0_UDB05_MC EQU 0x400064a5 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MC +CYREG_B0_UDB06_MC EQU 0x400064a6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MC +CYREG_B0_UDB07_MC EQU 0x400064a7 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MC +CYREG_B0_UDB08_MC EQU 0x400064a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MC +CYREG_B0_UDB09_MC EQU 0x400064a9 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MC +CYREG_B0_UDB10_MC EQU 0x400064aa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MC +CYREG_B0_UDB11_MC EQU 0x400064ab + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MC +CYREG_B0_UDB12_MC EQU 0x400064ac + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MC +CYREG_B0_UDB13_MC EQU 0x400064ad + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MC +CYREG_B0_UDB14_MC EQU 0x400064ae + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MC +CYREG_B0_UDB15_MC EQU 0x400064af + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_BASE +CYDEV_UWRK_UWRK8_B1_BASE EQU 0x40006500 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_SIZE +CYDEV_UWRK_UWRK8_B1_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A0 +CYREG_B1_UDB04_A0 EQU 0x40006504 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A0 +CYREG_B1_UDB05_A0 EQU 0x40006505 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A0 +CYREG_B1_UDB06_A0 EQU 0x40006506 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A0 +CYREG_B1_UDB07_A0 EQU 0x40006507 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A0 +CYREG_B1_UDB08_A0 EQU 0x40006508 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A0 +CYREG_B1_UDB09_A0 EQU 0x40006509 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A0 +CYREG_B1_UDB10_A0 EQU 0x4000650a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A0 +CYREG_B1_UDB11_A0 EQU 0x4000650b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A1 +CYREG_B1_UDB04_A1 EQU 0x40006514 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A1 +CYREG_B1_UDB05_A1 EQU 0x40006515 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A1 +CYREG_B1_UDB06_A1 EQU 0x40006516 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A1 +CYREG_B1_UDB07_A1 EQU 0x40006517 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A1 +CYREG_B1_UDB08_A1 EQU 0x40006518 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A1 +CYREG_B1_UDB09_A1 EQU 0x40006519 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A1 +CYREG_B1_UDB10_A1 EQU 0x4000651a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A1 +CYREG_B1_UDB11_A1 EQU 0x4000651b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D0 +CYREG_B1_UDB04_D0 EQU 0x40006524 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D0 +CYREG_B1_UDB05_D0 EQU 0x40006525 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D0 +CYREG_B1_UDB06_D0 EQU 0x40006526 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D0 +CYREG_B1_UDB07_D0 EQU 0x40006527 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D0 +CYREG_B1_UDB08_D0 EQU 0x40006528 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D0 +CYREG_B1_UDB09_D0 EQU 0x40006529 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D0 +CYREG_B1_UDB10_D0 EQU 0x4000652a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D0 +CYREG_B1_UDB11_D0 EQU 0x4000652b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D1 +CYREG_B1_UDB04_D1 EQU 0x40006534 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D1 +CYREG_B1_UDB05_D1 EQU 0x40006535 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D1 +CYREG_B1_UDB06_D1 EQU 0x40006536 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D1 +CYREG_B1_UDB07_D1 EQU 0x40006537 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D1 +CYREG_B1_UDB08_D1 EQU 0x40006538 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D1 +CYREG_B1_UDB09_D1 EQU 0x40006539 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D1 +CYREG_B1_UDB10_D1 EQU 0x4000653a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D1 +CYREG_B1_UDB11_D1 EQU 0x4000653b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F0 +CYREG_B1_UDB04_F0 EQU 0x40006544 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F0 +CYREG_B1_UDB05_F0 EQU 0x40006545 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F0 +CYREG_B1_UDB06_F0 EQU 0x40006546 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F0 +CYREG_B1_UDB07_F0 EQU 0x40006547 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F0 +CYREG_B1_UDB08_F0 EQU 0x40006548 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F0 +CYREG_B1_UDB09_F0 EQU 0x40006549 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F0 +CYREG_B1_UDB10_F0 EQU 0x4000654a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F0 +CYREG_B1_UDB11_F0 EQU 0x4000654b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F1 +CYREG_B1_UDB04_F1 EQU 0x40006554 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F1 +CYREG_B1_UDB05_F1 EQU 0x40006555 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F1 +CYREG_B1_UDB06_F1 EQU 0x40006556 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F1 +CYREG_B1_UDB07_F1 EQU 0x40006557 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F1 +CYREG_B1_UDB08_F1 EQU 0x40006558 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F1 +CYREG_B1_UDB09_F1 EQU 0x40006559 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F1 +CYREG_B1_UDB10_F1 EQU 0x4000655a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F1 +CYREG_B1_UDB11_F1 EQU 0x4000655b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ST +CYREG_B1_UDB04_ST EQU 0x40006564 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ST +CYREG_B1_UDB05_ST EQU 0x40006565 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ST +CYREG_B1_UDB06_ST EQU 0x40006566 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ST +CYREG_B1_UDB07_ST EQU 0x40006567 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ST +CYREG_B1_UDB08_ST EQU 0x40006568 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ST +CYREG_B1_UDB09_ST EQU 0x40006569 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ST +CYREG_B1_UDB10_ST EQU 0x4000656a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ST +CYREG_B1_UDB11_ST EQU 0x4000656b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_CTL +CYREG_B1_UDB04_CTL EQU 0x40006574 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_CTL +CYREG_B1_UDB05_CTL EQU 0x40006575 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_CTL +CYREG_B1_UDB06_CTL EQU 0x40006576 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_CTL +CYREG_B1_UDB07_CTL EQU 0x40006577 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_CTL +CYREG_B1_UDB08_CTL EQU 0x40006578 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_CTL +CYREG_B1_UDB09_CTL EQU 0x40006579 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_CTL +CYREG_B1_UDB10_CTL EQU 0x4000657a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_CTL +CYREG_B1_UDB11_CTL EQU 0x4000657b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MSK +CYREG_B1_UDB04_MSK EQU 0x40006584 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MSK +CYREG_B1_UDB05_MSK EQU 0x40006585 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MSK +CYREG_B1_UDB06_MSK EQU 0x40006586 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MSK +CYREG_B1_UDB07_MSK EQU 0x40006587 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MSK +CYREG_B1_UDB08_MSK EQU 0x40006588 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MSK +CYREG_B1_UDB09_MSK EQU 0x40006589 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MSK +CYREG_B1_UDB10_MSK EQU 0x4000658a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MSK +CYREG_B1_UDB11_MSK EQU 0x4000658b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ACTL +CYREG_B1_UDB04_ACTL EQU 0x40006594 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ACTL +CYREG_B1_UDB05_ACTL EQU 0x40006595 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ACTL +CYREG_B1_UDB06_ACTL EQU 0x40006596 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ACTL +CYREG_B1_UDB07_ACTL EQU 0x40006597 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ACTL +CYREG_B1_UDB08_ACTL EQU 0x40006598 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ACTL +CYREG_B1_UDB09_ACTL EQU 0x40006599 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ACTL +CYREG_B1_UDB10_ACTL EQU 0x4000659a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ACTL +CYREG_B1_UDB11_ACTL EQU 0x4000659b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MC +CYREG_B1_UDB04_MC EQU 0x400065a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MC +CYREG_B1_UDB05_MC EQU 0x400065a5 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MC +CYREG_B1_UDB06_MC EQU 0x400065a6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MC +CYREG_B1_UDB07_MC EQU 0x400065a7 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MC +CYREG_B1_UDB08_MC EQU 0x400065a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MC +CYREG_B1_UDB09_MC EQU 0x400065a9 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MC +CYREG_B1_UDB10_MC EQU 0x400065aa + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MC +CYREG_B1_UDB11_MC EQU 0x400065ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_BASE +CYDEV_UWRK_UWRK16_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_SIZE +CYDEV_UWRK_UWRK16_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_BASE +CYDEV_UWRK_UWRK16_CAT_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_SIZE +CYDEV_UWRK_UWRK16_CAT_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_BASE +CYDEV_UWRK_UWRK16_CAT_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_SIZE +CYDEV_UWRK_UWRK16_CAT_B0_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A0_A1 +CYREG_B0_UDB00_A0_A1 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A0_A1 +CYREG_B0_UDB01_A0_A1 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A0_A1 +CYREG_B0_UDB02_A0_A1 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A0_A1 +CYREG_B0_UDB03_A0_A1 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A0_A1 +CYREG_B0_UDB04_A0_A1 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A0_A1 +CYREG_B0_UDB05_A0_A1 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A0_A1 +CYREG_B0_UDB06_A0_A1 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A0_A1 +CYREG_B0_UDB07_A0_A1 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A0_A1 +CYREG_B0_UDB08_A0_A1 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A0_A1 +CYREG_B0_UDB09_A0_A1 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A0_A1 +CYREG_B0_UDB10_A0_A1 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A0_A1 +CYREG_B0_UDB11_A0_A1 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A0_A1 +CYREG_B0_UDB12_A0_A1 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A0_A1 +CYREG_B0_UDB13_A0_A1 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A0_A1 +CYREG_B0_UDB14_A0_A1 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A0_A1 +CYREG_B0_UDB15_A0_A1 EQU 0x4000681e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D0_D1 +CYREG_B0_UDB00_D0_D1 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D0_D1 +CYREG_B0_UDB01_D0_D1 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D0_D1 +CYREG_B0_UDB02_D0_D1 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D0_D1 +CYREG_B0_UDB03_D0_D1 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D0_D1 +CYREG_B0_UDB04_D0_D1 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D0_D1 +CYREG_B0_UDB05_D0_D1 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D0_D1 +CYREG_B0_UDB06_D0_D1 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D0_D1 +CYREG_B0_UDB07_D0_D1 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D0_D1 +CYREG_B0_UDB08_D0_D1 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D0_D1 +CYREG_B0_UDB09_D0_D1 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D0_D1 +CYREG_B0_UDB10_D0_D1 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D0_D1 +CYREG_B0_UDB11_D0_D1 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D0_D1 +CYREG_B0_UDB12_D0_D1 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D0_D1 +CYREG_B0_UDB13_D0_D1 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D0_D1 +CYREG_B0_UDB14_D0_D1 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D0_D1 +CYREG_B0_UDB15_D0_D1 EQU 0x4000685e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F0_F1 +CYREG_B0_UDB00_F0_F1 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F0_F1 +CYREG_B0_UDB01_F0_F1 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F0_F1 +CYREG_B0_UDB02_F0_F1 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F0_F1 +CYREG_B0_UDB03_F0_F1 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F0_F1 +CYREG_B0_UDB04_F0_F1 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F0_F1 +CYREG_B0_UDB05_F0_F1 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F0_F1 +CYREG_B0_UDB06_F0_F1 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F0_F1 +CYREG_B0_UDB07_F0_F1 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F0_F1 +CYREG_B0_UDB08_F0_F1 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F0_F1 +CYREG_B0_UDB09_F0_F1 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F0_F1 +CYREG_B0_UDB10_F0_F1 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F0_F1 +CYREG_B0_UDB11_F0_F1 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F0_F1 +CYREG_B0_UDB12_F0_F1 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F0_F1 +CYREG_B0_UDB13_F0_F1 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F0_F1 +CYREG_B0_UDB14_F0_F1 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F0_F1 +CYREG_B0_UDB15_F0_F1 EQU 0x4000689e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ST_CTL +CYREG_B0_UDB00_ST_CTL EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ST_CTL +CYREG_B0_UDB01_ST_CTL EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ST_CTL +CYREG_B0_UDB02_ST_CTL EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ST_CTL +CYREG_B0_UDB03_ST_CTL EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ST_CTL +CYREG_B0_UDB04_ST_CTL EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ST_CTL +CYREG_B0_UDB05_ST_CTL EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ST_CTL +CYREG_B0_UDB06_ST_CTL EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ST_CTL +CYREG_B0_UDB07_ST_CTL EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ST_CTL +CYREG_B0_UDB08_ST_CTL EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ST_CTL +CYREG_B0_UDB09_ST_CTL EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ST_CTL +CYREG_B0_UDB10_ST_CTL EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ST_CTL +CYREG_B0_UDB11_ST_CTL EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ST_CTL +CYREG_B0_UDB12_ST_CTL EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ST_CTL +CYREG_B0_UDB13_ST_CTL EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ST_CTL +CYREG_B0_UDB14_ST_CTL EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ST_CTL +CYREG_B0_UDB15_ST_CTL EQU 0x400068de + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MSK_ACTL +CYREG_B0_UDB00_MSK_ACTL EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MSK_ACTL +CYREG_B0_UDB01_MSK_ACTL EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MSK_ACTL +CYREG_B0_UDB02_MSK_ACTL EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MSK_ACTL +CYREG_B0_UDB03_MSK_ACTL EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MSK_ACTL +CYREG_B0_UDB04_MSK_ACTL EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MSK_ACTL +CYREG_B0_UDB05_MSK_ACTL EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MSK_ACTL +CYREG_B0_UDB06_MSK_ACTL EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MSK_ACTL +CYREG_B0_UDB07_MSK_ACTL EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MSK_ACTL +CYREG_B0_UDB08_MSK_ACTL EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MSK_ACTL +CYREG_B0_UDB09_MSK_ACTL EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MSK_ACTL +CYREG_B0_UDB10_MSK_ACTL EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MSK_ACTL +CYREG_B0_UDB11_MSK_ACTL EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MSK_ACTL +CYREG_B0_UDB12_MSK_ACTL EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MSK_ACTL +CYREG_B0_UDB13_MSK_ACTL EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MSK_ACTL +CYREG_B0_UDB14_MSK_ACTL EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MSK_ACTL +CYREG_B0_UDB15_MSK_ACTL EQU 0x4000691e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MC_00 +CYREG_B0_UDB00_MC_00 EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MC_00 +CYREG_B0_UDB01_MC_00 EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MC_00 +CYREG_B0_UDB02_MC_00 EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MC_00 +CYREG_B0_UDB03_MC_00 EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MC_00 +CYREG_B0_UDB04_MC_00 EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MC_00 +CYREG_B0_UDB05_MC_00 EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MC_00 +CYREG_B0_UDB06_MC_00 EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MC_00 +CYREG_B0_UDB07_MC_00 EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MC_00 +CYREG_B0_UDB08_MC_00 EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MC_00 +CYREG_B0_UDB09_MC_00 EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MC_00 +CYREG_B0_UDB10_MC_00 EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MC_00 +CYREG_B0_UDB11_MC_00 EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MC_00 +CYREG_B0_UDB12_MC_00 EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MC_00 +CYREG_B0_UDB13_MC_00 EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MC_00 +CYREG_B0_UDB14_MC_00 EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MC_00 +CYREG_B0_UDB15_MC_00 EQU 0x4000695e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_BASE +CYDEV_UWRK_UWRK16_CAT_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_SIZE +CYDEV_UWRK_UWRK16_CAT_B1_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A0_A1 +CYREG_B1_UDB04_A0_A1 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A0_A1 +CYREG_B1_UDB05_A0_A1 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A0_A1 +CYREG_B1_UDB06_A0_A1 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A0_A1 +CYREG_B1_UDB07_A0_A1 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A0_A1 +CYREG_B1_UDB08_A0_A1 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A0_A1 +CYREG_B1_UDB09_A0_A1 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A0_A1 +CYREG_B1_UDB10_A0_A1 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A0_A1 +CYREG_B1_UDB11_A0_A1 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D0_D1 +CYREG_B1_UDB04_D0_D1 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D0_D1 +CYREG_B1_UDB05_D0_D1 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D0_D1 +CYREG_B1_UDB06_D0_D1 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D0_D1 +CYREG_B1_UDB07_D0_D1 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D0_D1 +CYREG_B1_UDB08_D0_D1 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D0_D1 +CYREG_B1_UDB09_D0_D1 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D0_D1 +CYREG_B1_UDB10_D0_D1 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D0_D1 +CYREG_B1_UDB11_D0_D1 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F0_F1 +CYREG_B1_UDB04_F0_F1 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F0_F1 +CYREG_B1_UDB05_F0_F1 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F0_F1 +CYREG_B1_UDB06_F0_F1 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F0_F1 +CYREG_B1_UDB07_F0_F1 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F0_F1 +CYREG_B1_UDB08_F0_F1 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F0_F1 +CYREG_B1_UDB09_F0_F1 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F0_F1 +CYREG_B1_UDB10_F0_F1 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F0_F1 +CYREG_B1_UDB11_F0_F1 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ST_CTL +CYREG_B1_UDB04_ST_CTL EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ST_CTL +CYREG_B1_UDB05_ST_CTL EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ST_CTL +CYREG_B1_UDB06_ST_CTL EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ST_CTL +CYREG_B1_UDB07_ST_CTL EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ST_CTL +CYREG_B1_UDB08_ST_CTL EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ST_CTL +CYREG_B1_UDB09_ST_CTL EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ST_CTL +CYREG_B1_UDB10_ST_CTL EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ST_CTL +CYREG_B1_UDB11_ST_CTL EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MSK_ACTL +CYREG_B1_UDB04_MSK_ACTL EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MSK_ACTL +CYREG_B1_UDB05_MSK_ACTL EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MSK_ACTL +CYREG_B1_UDB06_MSK_ACTL EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MSK_ACTL +CYREG_B1_UDB07_MSK_ACTL EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MSK_ACTL +CYREG_B1_UDB08_MSK_ACTL EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MSK_ACTL +CYREG_B1_UDB09_MSK_ACTL EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MSK_ACTL +CYREG_B1_UDB10_MSK_ACTL EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MSK_ACTL +CYREG_B1_UDB11_MSK_ACTL EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MC_00 +CYREG_B1_UDB04_MC_00 EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MC_00 +CYREG_B1_UDB05_MC_00 EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MC_00 +CYREG_B1_UDB06_MC_00 EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MC_00 +CYREG_B1_UDB07_MC_00 EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MC_00 +CYREG_B1_UDB08_MC_00 EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MC_00 +CYREG_B1_UDB09_MC_00 EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MC_00 +CYREG_B1_UDB10_MC_00 EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MC_00 +CYREG_B1_UDB11_MC_00 EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_BASE +CYDEV_UWRK_UWRK16_DEF_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_SIZE +CYDEV_UWRK_UWRK16_DEF_SIZE EQU 0x0000075e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_BASE +CYDEV_UWRK_UWRK16_DEF_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_SIZE +CYDEV_UWRK_UWRK16_DEF_B0_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_A0 +CYREG_B0_UDB00_01_A0 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_A0 +CYREG_B0_UDB01_02_A0 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_A0 +CYREG_B0_UDB02_03_A0 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_A0 +CYREG_B0_UDB03_04_A0 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_A0 +CYREG_B0_UDB04_05_A0 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_A0 +CYREG_B0_UDB05_06_A0 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_A0 +CYREG_B0_UDB06_07_A0 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_A0 +CYREG_B0_UDB07_08_A0 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_A0 +CYREG_B0_UDB08_09_A0 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_A0 +CYREG_B0_UDB09_10_A0 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_A0 +CYREG_B0_UDB10_11_A0 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_A0 +CYREG_B0_UDB11_12_A0 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_A0 +CYREG_B0_UDB12_13_A0 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_A0 +CYREG_B0_UDB13_14_A0 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_A0 +CYREG_B0_UDB14_15_A0 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_A1 +CYREG_B0_UDB00_01_A1 EQU 0x40006820 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_A1 +CYREG_B0_UDB01_02_A1 EQU 0x40006822 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_A1 +CYREG_B0_UDB02_03_A1 EQU 0x40006824 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_A1 +CYREG_B0_UDB03_04_A1 EQU 0x40006826 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_A1 +CYREG_B0_UDB04_05_A1 EQU 0x40006828 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_A1 +CYREG_B0_UDB05_06_A1 EQU 0x4000682a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_A1 +CYREG_B0_UDB06_07_A1 EQU 0x4000682c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_A1 +CYREG_B0_UDB07_08_A1 EQU 0x4000682e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_A1 +CYREG_B0_UDB08_09_A1 EQU 0x40006830 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_A1 +CYREG_B0_UDB09_10_A1 EQU 0x40006832 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_A1 +CYREG_B0_UDB10_11_A1 EQU 0x40006834 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_A1 +CYREG_B0_UDB11_12_A1 EQU 0x40006836 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_A1 +CYREG_B0_UDB12_13_A1 EQU 0x40006838 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_A1 +CYREG_B0_UDB13_14_A1 EQU 0x4000683a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_A1 +CYREG_B0_UDB14_15_A1 EQU 0x4000683c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_D0 +CYREG_B0_UDB00_01_D0 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_D0 +CYREG_B0_UDB01_02_D0 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_D0 +CYREG_B0_UDB02_03_D0 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_D0 +CYREG_B0_UDB03_04_D0 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_D0 +CYREG_B0_UDB04_05_D0 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_D0 +CYREG_B0_UDB05_06_D0 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_D0 +CYREG_B0_UDB06_07_D0 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_D0 +CYREG_B0_UDB07_08_D0 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_D0 +CYREG_B0_UDB08_09_D0 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_D0 +CYREG_B0_UDB09_10_D0 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_D0 +CYREG_B0_UDB10_11_D0 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_D0 +CYREG_B0_UDB11_12_D0 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_D0 +CYREG_B0_UDB12_13_D0 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_D0 +CYREG_B0_UDB13_14_D0 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_D0 +CYREG_B0_UDB14_15_D0 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_D1 +CYREG_B0_UDB00_01_D1 EQU 0x40006860 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_D1 +CYREG_B0_UDB01_02_D1 EQU 0x40006862 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_D1 +CYREG_B0_UDB02_03_D1 EQU 0x40006864 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_D1 +CYREG_B0_UDB03_04_D1 EQU 0x40006866 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_D1 +CYREG_B0_UDB04_05_D1 EQU 0x40006868 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_D1 +CYREG_B0_UDB05_06_D1 EQU 0x4000686a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_D1 +CYREG_B0_UDB06_07_D1 EQU 0x4000686c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_D1 +CYREG_B0_UDB07_08_D1 EQU 0x4000686e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_D1 +CYREG_B0_UDB08_09_D1 EQU 0x40006870 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_D1 +CYREG_B0_UDB09_10_D1 EQU 0x40006872 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_D1 +CYREG_B0_UDB10_11_D1 EQU 0x40006874 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_D1 +CYREG_B0_UDB11_12_D1 EQU 0x40006876 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_D1 +CYREG_B0_UDB12_13_D1 EQU 0x40006878 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_D1 +CYREG_B0_UDB13_14_D1 EQU 0x4000687a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_D1 +CYREG_B0_UDB14_15_D1 EQU 0x4000687c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_F0 +CYREG_B0_UDB00_01_F0 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_F0 +CYREG_B0_UDB01_02_F0 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_F0 +CYREG_B0_UDB02_03_F0 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_F0 +CYREG_B0_UDB03_04_F0 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_F0 +CYREG_B0_UDB04_05_F0 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_F0 +CYREG_B0_UDB05_06_F0 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_F0 +CYREG_B0_UDB06_07_F0 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_F0 +CYREG_B0_UDB07_08_F0 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_F0 +CYREG_B0_UDB08_09_F0 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_F0 +CYREG_B0_UDB09_10_F0 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_F0 +CYREG_B0_UDB10_11_F0 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_F0 +CYREG_B0_UDB11_12_F0 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_F0 +CYREG_B0_UDB12_13_F0 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_F0 +CYREG_B0_UDB13_14_F0 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_F0 +CYREG_B0_UDB14_15_F0 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_F1 +CYREG_B0_UDB00_01_F1 EQU 0x400068a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_F1 +CYREG_B0_UDB01_02_F1 EQU 0x400068a2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_F1 +CYREG_B0_UDB02_03_F1 EQU 0x400068a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_F1 +CYREG_B0_UDB03_04_F1 EQU 0x400068a6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_F1 +CYREG_B0_UDB04_05_F1 EQU 0x400068a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_F1 +CYREG_B0_UDB05_06_F1 EQU 0x400068aa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_F1 +CYREG_B0_UDB06_07_F1 EQU 0x400068ac + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_F1 +CYREG_B0_UDB07_08_F1 EQU 0x400068ae + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_F1 +CYREG_B0_UDB08_09_F1 EQU 0x400068b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_F1 +CYREG_B0_UDB09_10_F1 EQU 0x400068b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_F1 +CYREG_B0_UDB10_11_F1 EQU 0x400068b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_F1 +CYREG_B0_UDB11_12_F1 EQU 0x400068b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_F1 +CYREG_B0_UDB12_13_F1 EQU 0x400068b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_F1 +CYREG_B0_UDB13_14_F1 EQU 0x400068ba + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_F1 +CYREG_B0_UDB14_15_F1 EQU 0x400068bc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_ST +CYREG_B0_UDB00_01_ST EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_ST +CYREG_B0_UDB01_02_ST EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_ST +CYREG_B0_UDB02_03_ST EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_ST +CYREG_B0_UDB03_04_ST EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_ST +CYREG_B0_UDB04_05_ST EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_ST +CYREG_B0_UDB05_06_ST EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_ST +CYREG_B0_UDB06_07_ST EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_ST +CYREG_B0_UDB07_08_ST EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_ST +CYREG_B0_UDB08_09_ST EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_ST +CYREG_B0_UDB09_10_ST EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_ST +CYREG_B0_UDB10_11_ST EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_ST +CYREG_B0_UDB11_12_ST EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_ST +CYREG_B0_UDB12_13_ST EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_ST +CYREG_B0_UDB13_14_ST EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_ST +CYREG_B0_UDB14_15_ST EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_CTL +CYREG_B0_UDB00_01_CTL EQU 0x400068e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_CTL +CYREG_B0_UDB01_02_CTL EQU 0x400068e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_CTL +CYREG_B0_UDB02_03_CTL EQU 0x400068e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_CTL +CYREG_B0_UDB03_04_CTL EQU 0x400068e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_CTL +CYREG_B0_UDB04_05_CTL EQU 0x400068e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_CTL +CYREG_B0_UDB05_06_CTL EQU 0x400068ea + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_CTL +CYREG_B0_UDB06_07_CTL EQU 0x400068ec + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_CTL +CYREG_B0_UDB07_08_CTL EQU 0x400068ee + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_CTL +CYREG_B0_UDB08_09_CTL EQU 0x400068f0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_CTL +CYREG_B0_UDB09_10_CTL EQU 0x400068f2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_CTL +CYREG_B0_UDB10_11_CTL EQU 0x400068f4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_CTL +CYREG_B0_UDB11_12_CTL EQU 0x400068f6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_CTL +CYREG_B0_UDB12_13_CTL EQU 0x400068f8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_CTL +CYREG_B0_UDB13_14_CTL EQU 0x400068fa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_CTL +CYREG_B0_UDB14_15_CTL EQU 0x400068fc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_MSK +CYREG_B0_UDB00_01_MSK EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_MSK +CYREG_B0_UDB01_02_MSK EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_MSK +CYREG_B0_UDB02_03_MSK EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_MSK +CYREG_B0_UDB03_04_MSK EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_MSK +CYREG_B0_UDB04_05_MSK EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_MSK +CYREG_B0_UDB05_06_MSK EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_MSK +CYREG_B0_UDB06_07_MSK EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_MSK +CYREG_B0_UDB07_08_MSK EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_MSK +CYREG_B0_UDB08_09_MSK EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_MSK +CYREG_B0_UDB09_10_MSK EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_MSK +CYREG_B0_UDB10_11_MSK EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_MSK +CYREG_B0_UDB11_12_MSK EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_MSK +CYREG_B0_UDB12_13_MSK EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_MSK +CYREG_B0_UDB13_14_MSK EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_MSK +CYREG_B0_UDB14_15_MSK EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_ACTL +CYREG_B0_UDB00_01_ACTL EQU 0x40006920 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_ACTL +CYREG_B0_UDB01_02_ACTL EQU 0x40006922 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_ACTL +CYREG_B0_UDB02_03_ACTL EQU 0x40006924 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_ACTL +CYREG_B0_UDB03_04_ACTL EQU 0x40006926 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_ACTL +CYREG_B0_UDB04_05_ACTL EQU 0x40006928 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_ACTL +CYREG_B0_UDB05_06_ACTL EQU 0x4000692a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_ACTL +CYREG_B0_UDB06_07_ACTL EQU 0x4000692c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_ACTL +CYREG_B0_UDB07_08_ACTL EQU 0x4000692e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_ACTL +CYREG_B0_UDB08_09_ACTL EQU 0x40006930 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_ACTL +CYREG_B0_UDB09_10_ACTL EQU 0x40006932 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_ACTL +CYREG_B0_UDB10_11_ACTL EQU 0x40006934 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_ACTL +CYREG_B0_UDB11_12_ACTL EQU 0x40006936 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_ACTL +CYREG_B0_UDB12_13_ACTL EQU 0x40006938 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_ACTL +CYREG_B0_UDB13_14_ACTL EQU 0x4000693a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_ACTL +CYREG_B0_UDB14_15_ACTL EQU 0x4000693c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_MC +CYREG_B0_UDB00_01_MC EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_MC +CYREG_B0_UDB01_02_MC EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_MC +CYREG_B0_UDB02_03_MC EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_MC +CYREG_B0_UDB03_04_MC EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_MC +CYREG_B0_UDB04_05_MC EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_MC +CYREG_B0_UDB05_06_MC EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_MC +CYREG_B0_UDB06_07_MC EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_MC +CYREG_B0_UDB07_08_MC EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_MC +CYREG_B0_UDB08_09_MC EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_MC +CYREG_B0_UDB09_10_MC EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_MC +CYREG_B0_UDB10_11_MC EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_MC +CYREG_B0_UDB11_12_MC EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_MC +CYREG_B0_UDB12_13_MC EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_MC +CYREG_B0_UDB13_14_MC EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_MC +CYREG_B0_UDB14_15_MC EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_BASE +CYDEV_UWRK_UWRK16_DEF_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_SIZE +CYDEV_UWRK_UWRK16_DEF_B1_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_A0 +CYREG_B1_UDB04_05_A0 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_A0 +CYREG_B1_UDB05_06_A0 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_A0 +CYREG_B1_UDB06_07_A0 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_A0 +CYREG_B1_UDB07_08_A0 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_A0 +CYREG_B1_UDB08_09_A0 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_A0 +CYREG_B1_UDB09_10_A0 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_A0 +CYREG_B1_UDB10_11_A0 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_A0 +CYREG_B1_UDB11_12_A0 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_A1 +CYREG_B1_UDB04_05_A1 EQU 0x40006a28 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_A1 +CYREG_B1_UDB05_06_A1 EQU 0x40006a2a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_A1 +CYREG_B1_UDB06_07_A1 EQU 0x40006a2c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_A1 +CYREG_B1_UDB07_08_A1 EQU 0x40006a2e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_A1 +CYREG_B1_UDB08_09_A1 EQU 0x40006a30 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_A1 +CYREG_B1_UDB09_10_A1 EQU 0x40006a32 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_A1 +CYREG_B1_UDB10_11_A1 EQU 0x40006a34 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_A1 +CYREG_B1_UDB11_12_A1 EQU 0x40006a36 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_D0 +CYREG_B1_UDB04_05_D0 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_D0 +CYREG_B1_UDB05_06_D0 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_D0 +CYREG_B1_UDB06_07_D0 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_D0 +CYREG_B1_UDB07_08_D0 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_D0 +CYREG_B1_UDB08_09_D0 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_D0 +CYREG_B1_UDB09_10_D0 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_D0 +CYREG_B1_UDB10_11_D0 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_D0 +CYREG_B1_UDB11_12_D0 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_D1 +CYREG_B1_UDB04_05_D1 EQU 0x40006a68 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_D1 +CYREG_B1_UDB05_06_D1 EQU 0x40006a6a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_D1 +CYREG_B1_UDB06_07_D1 EQU 0x40006a6c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_D1 +CYREG_B1_UDB07_08_D1 EQU 0x40006a6e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_D1 +CYREG_B1_UDB08_09_D1 EQU 0x40006a70 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_D1 +CYREG_B1_UDB09_10_D1 EQU 0x40006a72 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_D1 +CYREG_B1_UDB10_11_D1 EQU 0x40006a74 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_D1 +CYREG_B1_UDB11_12_D1 EQU 0x40006a76 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_F0 +CYREG_B1_UDB04_05_F0 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_F0 +CYREG_B1_UDB05_06_F0 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_F0 +CYREG_B1_UDB06_07_F0 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_F0 +CYREG_B1_UDB07_08_F0 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_F0 +CYREG_B1_UDB08_09_F0 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_F0 +CYREG_B1_UDB09_10_F0 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_F0 +CYREG_B1_UDB10_11_F0 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_F0 +CYREG_B1_UDB11_12_F0 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_F1 +CYREG_B1_UDB04_05_F1 EQU 0x40006aa8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_F1 +CYREG_B1_UDB05_06_F1 EQU 0x40006aaa + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_F1 +CYREG_B1_UDB06_07_F1 EQU 0x40006aac + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_F1 +CYREG_B1_UDB07_08_F1 EQU 0x40006aae + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_F1 +CYREG_B1_UDB08_09_F1 EQU 0x40006ab0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_F1 +CYREG_B1_UDB09_10_F1 EQU 0x40006ab2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_F1 +CYREG_B1_UDB10_11_F1 EQU 0x40006ab4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_F1 +CYREG_B1_UDB11_12_F1 EQU 0x40006ab6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_ST +CYREG_B1_UDB04_05_ST EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_ST +CYREG_B1_UDB05_06_ST EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_ST +CYREG_B1_UDB06_07_ST EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_ST +CYREG_B1_UDB07_08_ST EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_ST +CYREG_B1_UDB08_09_ST EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_ST +CYREG_B1_UDB09_10_ST EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_ST +CYREG_B1_UDB10_11_ST EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_ST +CYREG_B1_UDB11_12_ST EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_CTL +CYREG_B1_UDB04_05_CTL EQU 0x40006ae8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_CTL +CYREG_B1_UDB05_06_CTL EQU 0x40006aea + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_CTL +CYREG_B1_UDB06_07_CTL EQU 0x40006aec + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_CTL +CYREG_B1_UDB07_08_CTL EQU 0x40006aee + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_CTL +CYREG_B1_UDB08_09_CTL EQU 0x40006af0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_CTL +CYREG_B1_UDB09_10_CTL EQU 0x40006af2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_CTL +CYREG_B1_UDB10_11_CTL EQU 0x40006af4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_CTL +CYREG_B1_UDB11_12_CTL EQU 0x40006af6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_MSK +CYREG_B1_UDB04_05_MSK EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_MSK +CYREG_B1_UDB05_06_MSK EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_MSK +CYREG_B1_UDB06_07_MSK EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_MSK +CYREG_B1_UDB07_08_MSK EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_MSK +CYREG_B1_UDB08_09_MSK EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_MSK +CYREG_B1_UDB09_10_MSK EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_MSK +CYREG_B1_UDB10_11_MSK EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_MSK +CYREG_B1_UDB11_12_MSK EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_ACTL +CYREG_B1_UDB04_05_ACTL EQU 0x40006b28 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_ACTL +CYREG_B1_UDB05_06_ACTL EQU 0x40006b2a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_ACTL +CYREG_B1_UDB06_07_ACTL EQU 0x40006b2c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_ACTL +CYREG_B1_UDB07_08_ACTL EQU 0x40006b2e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_ACTL +CYREG_B1_UDB08_09_ACTL EQU 0x40006b30 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_ACTL +CYREG_B1_UDB09_10_ACTL EQU 0x40006b32 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_ACTL +CYREG_B1_UDB10_11_ACTL EQU 0x40006b34 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_ACTL +CYREG_B1_UDB11_12_ACTL EQU 0x40006b36 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_MC +CYREG_B1_UDB04_05_MC EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_MC +CYREG_B1_UDB05_06_MC EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_MC +CYREG_B1_UDB06_07_MC EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_MC +CYREG_B1_UDB07_08_MC EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_MC +CYREG_B1_UDB08_09_MC EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_MC +CYREG_B1_UDB09_10_MC EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_MC +CYREG_B1_UDB10_11_MC EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_MC +CYREG_B1_UDB11_12_MC EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_BASE +CYDEV_PHUB_BASE EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_SIZE +CYDEV_PHUB_SIZE EQU 0x00000c00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFG +CYREG_PHUB_CFG EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_ERR +CYREG_PHUB_ERR EQU 0x40007004 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_ERR_ADR +CYREG_PHUB_ERR_ADR EQU 0x40007008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASE +CYDEV_PHUB_CH0_BASE EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_SIZE +CYDEV_PHUB_CH0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_BASIC_CFG +CYREG_PHUB_CH0_BASIC_CFG EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_ACTION +CYREG_PHUB_CH0_ACTION EQU 0x40007014 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_BASIC_STATUS +CYREG_PHUB_CH0_BASIC_STATUS EQU 0x40007018 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASE +CYDEV_PHUB_CH1_BASE EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_SIZE +CYDEV_PHUB_CH1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_BASIC_CFG +CYREG_PHUB_CH1_BASIC_CFG EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_ACTION +CYREG_PHUB_CH1_ACTION EQU 0x40007024 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_BASIC_STATUS +CYREG_PHUB_CH1_BASIC_STATUS EQU 0x40007028 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASE +CYDEV_PHUB_CH2_BASE EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_SIZE +CYDEV_PHUB_CH2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_BASIC_CFG +CYREG_PHUB_CH2_BASIC_CFG EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_ACTION +CYREG_PHUB_CH2_ACTION EQU 0x40007034 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_BASIC_STATUS +CYREG_PHUB_CH2_BASIC_STATUS EQU 0x40007038 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASE +CYDEV_PHUB_CH3_BASE EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_SIZE +CYDEV_PHUB_CH3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_BASIC_CFG +CYREG_PHUB_CH3_BASIC_CFG EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_ACTION +CYREG_PHUB_CH3_ACTION EQU 0x40007044 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_BASIC_STATUS +CYREG_PHUB_CH3_BASIC_STATUS EQU 0x40007048 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASE +CYDEV_PHUB_CH4_BASE EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_SIZE +CYDEV_PHUB_CH4_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_BASIC_CFG +CYREG_PHUB_CH4_BASIC_CFG EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_ACTION +CYREG_PHUB_CH4_ACTION EQU 0x40007054 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_BASIC_STATUS +CYREG_PHUB_CH4_BASIC_STATUS EQU 0x40007058 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASE +CYDEV_PHUB_CH5_BASE EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_SIZE +CYDEV_PHUB_CH5_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_BASIC_CFG +CYREG_PHUB_CH5_BASIC_CFG EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_ACTION +CYREG_PHUB_CH5_ACTION EQU 0x40007064 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_BASIC_STATUS +CYREG_PHUB_CH5_BASIC_STATUS EQU 0x40007068 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASE +CYDEV_PHUB_CH6_BASE EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_SIZE +CYDEV_PHUB_CH6_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_BASIC_CFG +CYREG_PHUB_CH6_BASIC_CFG EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_ACTION +CYREG_PHUB_CH6_ACTION EQU 0x40007074 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_BASIC_STATUS +CYREG_PHUB_CH6_BASIC_STATUS EQU 0x40007078 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASE +CYDEV_PHUB_CH7_BASE EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_SIZE +CYDEV_PHUB_CH7_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_BASIC_CFG +CYREG_PHUB_CH7_BASIC_CFG EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_ACTION +CYREG_PHUB_CH7_ACTION EQU 0x40007084 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_BASIC_STATUS +CYREG_PHUB_CH7_BASIC_STATUS EQU 0x40007088 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASE +CYDEV_PHUB_CH8_BASE EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_SIZE +CYDEV_PHUB_CH8_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_BASIC_CFG +CYREG_PHUB_CH8_BASIC_CFG EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_ACTION +CYREG_PHUB_CH8_ACTION EQU 0x40007094 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_BASIC_STATUS +CYREG_PHUB_CH8_BASIC_STATUS EQU 0x40007098 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASE +CYDEV_PHUB_CH9_BASE EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_SIZE +CYDEV_PHUB_CH9_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_BASIC_CFG +CYREG_PHUB_CH9_BASIC_CFG EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_ACTION +CYREG_PHUB_CH9_ACTION EQU 0x400070a4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_BASIC_STATUS +CYREG_PHUB_CH9_BASIC_STATUS EQU 0x400070a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASE +CYDEV_PHUB_CH10_BASE EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_SIZE +CYDEV_PHUB_CH10_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_BASIC_CFG +CYREG_PHUB_CH10_BASIC_CFG EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_ACTION +CYREG_PHUB_CH10_ACTION EQU 0x400070b4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_BASIC_STATUS +CYREG_PHUB_CH10_BASIC_STATUS EQU 0x400070b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASE +CYDEV_PHUB_CH11_BASE EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_SIZE +CYDEV_PHUB_CH11_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_BASIC_CFG +CYREG_PHUB_CH11_BASIC_CFG EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_ACTION +CYREG_PHUB_CH11_ACTION EQU 0x400070c4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_BASIC_STATUS +CYREG_PHUB_CH11_BASIC_STATUS EQU 0x400070c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASE +CYDEV_PHUB_CH12_BASE EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_SIZE +CYDEV_PHUB_CH12_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_BASIC_CFG +CYREG_PHUB_CH12_BASIC_CFG EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_ACTION +CYREG_PHUB_CH12_ACTION EQU 0x400070d4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_BASIC_STATUS +CYREG_PHUB_CH12_BASIC_STATUS EQU 0x400070d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASE +CYDEV_PHUB_CH13_BASE EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_SIZE +CYDEV_PHUB_CH13_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_BASIC_CFG +CYREG_PHUB_CH13_BASIC_CFG EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_ACTION +CYREG_PHUB_CH13_ACTION EQU 0x400070e4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_BASIC_STATUS +CYREG_PHUB_CH13_BASIC_STATUS EQU 0x400070e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASE +CYDEV_PHUB_CH14_BASE EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_SIZE +CYDEV_PHUB_CH14_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_BASIC_CFG +CYREG_PHUB_CH14_BASIC_CFG EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_ACTION +CYREG_PHUB_CH14_ACTION EQU 0x400070f4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_BASIC_STATUS +CYREG_PHUB_CH14_BASIC_STATUS EQU 0x400070f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASE +CYDEV_PHUB_CH15_BASE EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_SIZE +CYDEV_PHUB_CH15_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_BASIC_CFG +CYREG_PHUB_CH15_BASIC_CFG EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_ACTION +CYREG_PHUB_CH15_ACTION EQU 0x40007104 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_BASIC_STATUS +CYREG_PHUB_CH15_BASIC_STATUS EQU 0x40007108 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASE +CYDEV_PHUB_CH16_BASE EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_SIZE +CYDEV_PHUB_CH16_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_BASIC_CFG +CYREG_PHUB_CH16_BASIC_CFG EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_ACTION +CYREG_PHUB_CH16_ACTION EQU 0x40007114 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_BASIC_STATUS +CYREG_PHUB_CH16_BASIC_STATUS EQU 0x40007118 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASE +CYDEV_PHUB_CH17_BASE EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_SIZE +CYDEV_PHUB_CH17_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_BASIC_CFG +CYREG_PHUB_CH17_BASIC_CFG EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_ACTION +CYREG_PHUB_CH17_ACTION EQU 0x40007124 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_BASIC_STATUS +CYREG_PHUB_CH17_BASIC_STATUS EQU 0x40007128 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASE +CYDEV_PHUB_CH18_BASE EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_SIZE +CYDEV_PHUB_CH18_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_BASIC_CFG +CYREG_PHUB_CH18_BASIC_CFG EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_ACTION +CYREG_PHUB_CH18_ACTION EQU 0x40007134 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_BASIC_STATUS +CYREG_PHUB_CH18_BASIC_STATUS EQU 0x40007138 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASE +CYDEV_PHUB_CH19_BASE EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_SIZE +CYDEV_PHUB_CH19_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_BASIC_CFG +CYREG_PHUB_CH19_BASIC_CFG EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_ACTION +CYREG_PHUB_CH19_ACTION EQU 0x40007144 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_BASIC_STATUS +CYREG_PHUB_CH19_BASIC_STATUS EQU 0x40007148 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASE +CYDEV_PHUB_CH20_BASE EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_SIZE +CYDEV_PHUB_CH20_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_BASIC_CFG +CYREG_PHUB_CH20_BASIC_CFG EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_ACTION +CYREG_PHUB_CH20_ACTION EQU 0x40007154 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_BASIC_STATUS +CYREG_PHUB_CH20_BASIC_STATUS EQU 0x40007158 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASE +CYDEV_PHUB_CH21_BASE EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_SIZE +CYDEV_PHUB_CH21_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_BASIC_CFG +CYREG_PHUB_CH21_BASIC_CFG EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_ACTION +CYREG_PHUB_CH21_ACTION EQU 0x40007164 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_BASIC_STATUS +CYREG_PHUB_CH21_BASIC_STATUS EQU 0x40007168 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASE +CYDEV_PHUB_CH22_BASE EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_SIZE +CYDEV_PHUB_CH22_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_BASIC_CFG +CYREG_PHUB_CH22_BASIC_CFG EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_ACTION +CYREG_PHUB_CH22_ACTION EQU 0x40007174 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_BASIC_STATUS +CYREG_PHUB_CH22_BASIC_STATUS EQU 0x40007178 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASE +CYDEV_PHUB_CH23_BASE EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_SIZE +CYDEV_PHUB_CH23_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_BASIC_CFG +CYREG_PHUB_CH23_BASIC_CFG EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_ACTION +CYREG_PHUB_CH23_ACTION EQU 0x40007184 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_BASIC_STATUS +CYREG_PHUB_CH23_BASIC_STATUS EQU 0x40007188 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_BASE +CYDEV_PHUB_CFGMEM0_BASE EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_SIZE +CYDEV_PHUB_CFGMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM0_CFG0 +CYREG_PHUB_CFGMEM0_CFG0 EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM0_CFG1 +CYREG_PHUB_CFGMEM0_CFG1 EQU 0x40007604 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_BASE +CYDEV_PHUB_CFGMEM1_BASE EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_SIZE +CYDEV_PHUB_CFGMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM1_CFG0 +CYREG_PHUB_CFGMEM1_CFG0 EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM1_CFG1 +CYREG_PHUB_CFGMEM1_CFG1 EQU 0x4000760c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_BASE +CYDEV_PHUB_CFGMEM2_BASE EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_SIZE +CYDEV_PHUB_CFGMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM2_CFG0 +CYREG_PHUB_CFGMEM2_CFG0 EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM2_CFG1 +CYREG_PHUB_CFGMEM2_CFG1 EQU 0x40007614 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_BASE +CYDEV_PHUB_CFGMEM3_BASE EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_SIZE +CYDEV_PHUB_CFGMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM3_CFG0 +CYREG_PHUB_CFGMEM3_CFG0 EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM3_CFG1 +CYREG_PHUB_CFGMEM3_CFG1 EQU 0x4000761c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_BASE +CYDEV_PHUB_CFGMEM4_BASE EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_SIZE +CYDEV_PHUB_CFGMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM4_CFG0 +CYREG_PHUB_CFGMEM4_CFG0 EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM4_CFG1 +CYREG_PHUB_CFGMEM4_CFG1 EQU 0x40007624 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_BASE +CYDEV_PHUB_CFGMEM5_BASE EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_SIZE +CYDEV_PHUB_CFGMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM5_CFG0 +CYREG_PHUB_CFGMEM5_CFG0 EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM5_CFG1 +CYREG_PHUB_CFGMEM5_CFG1 EQU 0x4000762c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_BASE +CYDEV_PHUB_CFGMEM6_BASE EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_SIZE +CYDEV_PHUB_CFGMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM6_CFG0 +CYREG_PHUB_CFGMEM6_CFG0 EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM6_CFG1 +CYREG_PHUB_CFGMEM6_CFG1 EQU 0x40007634 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_BASE +CYDEV_PHUB_CFGMEM7_BASE EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_SIZE +CYDEV_PHUB_CFGMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM7_CFG0 +CYREG_PHUB_CFGMEM7_CFG0 EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM7_CFG1 +CYREG_PHUB_CFGMEM7_CFG1 EQU 0x4000763c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_BASE +CYDEV_PHUB_CFGMEM8_BASE EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_SIZE +CYDEV_PHUB_CFGMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM8_CFG0 +CYREG_PHUB_CFGMEM8_CFG0 EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM8_CFG1 +CYREG_PHUB_CFGMEM8_CFG1 EQU 0x40007644 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_BASE +CYDEV_PHUB_CFGMEM9_BASE EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_SIZE +CYDEV_PHUB_CFGMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM9_CFG0 +CYREG_PHUB_CFGMEM9_CFG0 EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM9_CFG1 +CYREG_PHUB_CFGMEM9_CFG1 EQU 0x4000764c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_BASE +CYDEV_PHUB_CFGMEM10_BASE EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_SIZE +CYDEV_PHUB_CFGMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM10_CFG0 +CYREG_PHUB_CFGMEM10_CFG0 EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM10_CFG1 +CYREG_PHUB_CFGMEM10_CFG1 EQU 0x40007654 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_BASE +CYDEV_PHUB_CFGMEM11_BASE EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_SIZE +CYDEV_PHUB_CFGMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM11_CFG0 +CYREG_PHUB_CFGMEM11_CFG0 EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM11_CFG1 +CYREG_PHUB_CFGMEM11_CFG1 EQU 0x4000765c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_BASE +CYDEV_PHUB_CFGMEM12_BASE EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_SIZE +CYDEV_PHUB_CFGMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM12_CFG0 +CYREG_PHUB_CFGMEM12_CFG0 EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM12_CFG1 +CYREG_PHUB_CFGMEM12_CFG1 EQU 0x40007664 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_BASE +CYDEV_PHUB_CFGMEM13_BASE EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_SIZE +CYDEV_PHUB_CFGMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM13_CFG0 +CYREG_PHUB_CFGMEM13_CFG0 EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM13_CFG1 +CYREG_PHUB_CFGMEM13_CFG1 EQU 0x4000766c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_BASE +CYDEV_PHUB_CFGMEM14_BASE EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_SIZE +CYDEV_PHUB_CFGMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM14_CFG0 +CYREG_PHUB_CFGMEM14_CFG0 EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM14_CFG1 +CYREG_PHUB_CFGMEM14_CFG1 EQU 0x40007674 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_BASE +CYDEV_PHUB_CFGMEM15_BASE EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_SIZE +CYDEV_PHUB_CFGMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM15_CFG0 +CYREG_PHUB_CFGMEM15_CFG0 EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM15_CFG1 +CYREG_PHUB_CFGMEM15_CFG1 EQU 0x4000767c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_BASE +CYDEV_PHUB_CFGMEM16_BASE EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_SIZE +CYDEV_PHUB_CFGMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM16_CFG0 +CYREG_PHUB_CFGMEM16_CFG0 EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM16_CFG1 +CYREG_PHUB_CFGMEM16_CFG1 EQU 0x40007684 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_BASE +CYDEV_PHUB_CFGMEM17_BASE EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_SIZE +CYDEV_PHUB_CFGMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM17_CFG0 +CYREG_PHUB_CFGMEM17_CFG0 EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM17_CFG1 +CYREG_PHUB_CFGMEM17_CFG1 EQU 0x4000768c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_BASE +CYDEV_PHUB_CFGMEM18_BASE EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_SIZE +CYDEV_PHUB_CFGMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM18_CFG0 +CYREG_PHUB_CFGMEM18_CFG0 EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM18_CFG1 +CYREG_PHUB_CFGMEM18_CFG1 EQU 0x40007694 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_BASE +CYDEV_PHUB_CFGMEM19_BASE EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_SIZE +CYDEV_PHUB_CFGMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM19_CFG0 +CYREG_PHUB_CFGMEM19_CFG0 EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM19_CFG1 +CYREG_PHUB_CFGMEM19_CFG1 EQU 0x4000769c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_BASE +CYDEV_PHUB_CFGMEM20_BASE EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_SIZE +CYDEV_PHUB_CFGMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM20_CFG0 +CYREG_PHUB_CFGMEM20_CFG0 EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM20_CFG1 +CYREG_PHUB_CFGMEM20_CFG1 EQU 0x400076a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_BASE +CYDEV_PHUB_CFGMEM21_BASE EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_SIZE +CYDEV_PHUB_CFGMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM21_CFG0 +CYREG_PHUB_CFGMEM21_CFG0 EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM21_CFG1 +CYREG_PHUB_CFGMEM21_CFG1 EQU 0x400076ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_BASE +CYDEV_PHUB_CFGMEM22_BASE EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_SIZE +CYDEV_PHUB_CFGMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM22_CFG0 +CYREG_PHUB_CFGMEM22_CFG0 EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM22_CFG1 +CYREG_PHUB_CFGMEM22_CFG1 EQU 0x400076b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_BASE +CYDEV_PHUB_CFGMEM23_BASE EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_SIZE +CYDEV_PHUB_CFGMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM23_CFG0 +CYREG_PHUB_CFGMEM23_CFG0 EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM23_CFG1 +CYREG_PHUB_CFGMEM23_CFG1 EQU 0x400076bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_BASE +CYDEV_PHUB_TDMEM0_BASE EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_SIZE +CYDEV_PHUB_TDMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM0_ORIG_TD0 +CYREG_PHUB_TDMEM0_ORIG_TD0 EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM0_ORIG_TD1 +CYREG_PHUB_TDMEM0_ORIG_TD1 EQU 0x40007804 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_BASE +CYDEV_PHUB_TDMEM1_BASE EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_SIZE +CYDEV_PHUB_TDMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM1_ORIG_TD0 +CYREG_PHUB_TDMEM1_ORIG_TD0 EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM1_ORIG_TD1 +CYREG_PHUB_TDMEM1_ORIG_TD1 EQU 0x4000780c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_BASE +CYDEV_PHUB_TDMEM2_BASE EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_SIZE +CYDEV_PHUB_TDMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM2_ORIG_TD0 +CYREG_PHUB_TDMEM2_ORIG_TD0 EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM2_ORIG_TD1 +CYREG_PHUB_TDMEM2_ORIG_TD1 EQU 0x40007814 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_BASE +CYDEV_PHUB_TDMEM3_BASE EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_SIZE +CYDEV_PHUB_TDMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM3_ORIG_TD0 +CYREG_PHUB_TDMEM3_ORIG_TD0 EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM3_ORIG_TD1 +CYREG_PHUB_TDMEM3_ORIG_TD1 EQU 0x4000781c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_BASE +CYDEV_PHUB_TDMEM4_BASE EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_SIZE +CYDEV_PHUB_TDMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM4_ORIG_TD0 +CYREG_PHUB_TDMEM4_ORIG_TD0 EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM4_ORIG_TD1 +CYREG_PHUB_TDMEM4_ORIG_TD1 EQU 0x40007824 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_BASE +CYDEV_PHUB_TDMEM5_BASE EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_SIZE +CYDEV_PHUB_TDMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM5_ORIG_TD0 +CYREG_PHUB_TDMEM5_ORIG_TD0 EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM5_ORIG_TD1 +CYREG_PHUB_TDMEM5_ORIG_TD1 EQU 0x4000782c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_BASE +CYDEV_PHUB_TDMEM6_BASE EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_SIZE +CYDEV_PHUB_TDMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM6_ORIG_TD0 +CYREG_PHUB_TDMEM6_ORIG_TD0 EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM6_ORIG_TD1 +CYREG_PHUB_TDMEM6_ORIG_TD1 EQU 0x40007834 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_BASE +CYDEV_PHUB_TDMEM7_BASE EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_SIZE +CYDEV_PHUB_TDMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM7_ORIG_TD0 +CYREG_PHUB_TDMEM7_ORIG_TD0 EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM7_ORIG_TD1 +CYREG_PHUB_TDMEM7_ORIG_TD1 EQU 0x4000783c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_BASE +CYDEV_PHUB_TDMEM8_BASE EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_SIZE +CYDEV_PHUB_TDMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM8_ORIG_TD0 +CYREG_PHUB_TDMEM8_ORIG_TD0 EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM8_ORIG_TD1 +CYREG_PHUB_TDMEM8_ORIG_TD1 EQU 0x40007844 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_BASE +CYDEV_PHUB_TDMEM9_BASE EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_SIZE +CYDEV_PHUB_TDMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM9_ORIG_TD0 +CYREG_PHUB_TDMEM9_ORIG_TD0 EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM9_ORIG_TD1 +CYREG_PHUB_TDMEM9_ORIG_TD1 EQU 0x4000784c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_BASE +CYDEV_PHUB_TDMEM10_BASE EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_SIZE +CYDEV_PHUB_TDMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM10_ORIG_TD0 +CYREG_PHUB_TDMEM10_ORIG_TD0 EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM10_ORIG_TD1 +CYREG_PHUB_TDMEM10_ORIG_TD1 EQU 0x40007854 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_BASE +CYDEV_PHUB_TDMEM11_BASE EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_SIZE +CYDEV_PHUB_TDMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM11_ORIG_TD0 +CYREG_PHUB_TDMEM11_ORIG_TD0 EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM11_ORIG_TD1 +CYREG_PHUB_TDMEM11_ORIG_TD1 EQU 0x4000785c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_BASE +CYDEV_PHUB_TDMEM12_BASE EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_SIZE +CYDEV_PHUB_TDMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM12_ORIG_TD0 +CYREG_PHUB_TDMEM12_ORIG_TD0 EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM12_ORIG_TD1 +CYREG_PHUB_TDMEM12_ORIG_TD1 EQU 0x40007864 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_BASE +CYDEV_PHUB_TDMEM13_BASE EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_SIZE +CYDEV_PHUB_TDMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM13_ORIG_TD0 +CYREG_PHUB_TDMEM13_ORIG_TD0 EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM13_ORIG_TD1 +CYREG_PHUB_TDMEM13_ORIG_TD1 EQU 0x4000786c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_BASE +CYDEV_PHUB_TDMEM14_BASE EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_SIZE +CYDEV_PHUB_TDMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM14_ORIG_TD0 +CYREG_PHUB_TDMEM14_ORIG_TD0 EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM14_ORIG_TD1 +CYREG_PHUB_TDMEM14_ORIG_TD1 EQU 0x40007874 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_BASE +CYDEV_PHUB_TDMEM15_BASE EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_SIZE +CYDEV_PHUB_TDMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM15_ORIG_TD0 +CYREG_PHUB_TDMEM15_ORIG_TD0 EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM15_ORIG_TD1 +CYREG_PHUB_TDMEM15_ORIG_TD1 EQU 0x4000787c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_BASE +CYDEV_PHUB_TDMEM16_BASE EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_SIZE +CYDEV_PHUB_TDMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM16_ORIG_TD0 +CYREG_PHUB_TDMEM16_ORIG_TD0 EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM16_ORIG_TD1 +CYREG_PHUB_TDMEM16_ORIG_TD1 EQU 0x40007884 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_BASE +CYDEV_PHUB_TDMEM17_BASE EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_SIZE +CYDEV_PHUB_TDMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM17_ORIG_TD0 +CYREG_PHUB_TDMEM17_ORIG_TD0 EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM17_ORIG_TD1 +CYREG_PHUB_TDMEM17_ORIG_TD1 EQU 0x4000788c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_BASE +CYDEV_PHUB_TDMEM18_BASE EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_SIZE +CYDEV_PHUB_TDMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM18_ORIG_TD0 +CYREG_PHUB_TDMEM18_ORIG_TD0 EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM18_ORIG_TD1 +CYREG_PHUB_TDMEM18_ORIG_TD1 EQU 0x40007894 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_BASE +CYDEV_PHUB_TDMEM19_BASE EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_SIZE +CYDEV_PHUB_TDMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM19_ORIG_TD0 +CYREG_PHUB_TDMEM19_ORIG_TD0 EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM19_ORIG_TD1 +CYREG_PHUB_TDMEM19_ORIG_TD1 EQU 0x4000789c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_BASE +CYDEV_PHUB_TDMEM20_BASE EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_SIZE +CYDEV_PHUB_TDMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM20_ORIG_TD0 +CYREG_PHUB_TDMEM20_ORIG_TD0 EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM20_ORIG_TD1 +CYREG_PHUB_TDMEM20_ORIG_TD1 EQU 0x400078a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_BASE +CYDEV_PHUB_TDMEM21_BASE EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_SIZE +CYDEV_PHUB_TDMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM21_ORIG_TD0 +CYREG_PHUB_TDMEM21_ORIG_TD0 EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM21_ORIG_TD1 +CYREG_PHUB_TDMEM21_ORIG_TD1 EQU 0x400078ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_BASE +CYDEV_PHUB_TDMEM22_BASE EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_SIZE +CYDEV_PHUB_TDMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM22_ORIG_TD0 +CYREG_PHUB_TDMEM22_ORIG_TD0 EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM22_ORIG_TD1 +CYREG_PHUB_TDMEM22_ORIG_TD1 EQU 0x400078b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_BASE +CYDEV_PHUB_TDMEM23_BASE EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_SIZE +CYDEV_PHUB_TDMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM23_ORIG_TD0 +CYREG_PHUB_TDMEM23_ORIG_TD0 EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM23_ORIG_TD1 +CYREG_PHUB_TDMEM23_ORIG_TD1 EQU 0x400078bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_BASE +CYDEV_PHUB_TDMEM24_BASE EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_SIZE +CYDEV_PHUB_TDMEM24_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM24_ORIG_TD0 +CYREG_PHUB_TDMEM24_ORIG_TD0 EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM24_ORIG_TD1 +CYREG_PHUB_TDMEM24_ORIG_TD1 EQU 0x400078c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_BASE +CYDEV_PHUB_TDMEM25_BASE EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_SIZE +CYDEV_PHUB_TDMEM25_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM25_ORIG_TD0 +CYREG_PHUB_TDMEM25_ORIG_TD0 EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM25_ORIG_TD1 +CYREG_PHUB_TDMEM25_ORIG_TD1 EQU 0x400078cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_BASE +CYDEV_PHUB_TDMEM26_BASE EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_SIZE +CYDEV_PHUB_TDMEM26_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM26_ORIG_TD0 +CYREG_PHUB_TDMEM26_ORIG_TD0 EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM26_ORIG_TD1 +CYREG_PHUB_TDMEM26_ORIG_TD1 EQU 0x400078d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_BASE +CYDEV_PHUB_TDMEM27_BASE EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_SIZE +CYDEV_PHUB_TDMEM27_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM27_ORIG_TD0 +CYREG_PHUB_TDMEM27_ORIG_TD0 EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM27_ORIG_TD1 +CYREG_PHUB_TDMEM27_ORIG_TD1 EQU 0x400078dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_BASE +CYDEV_PHUB_TDMEM28_BASE EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_SIZE +CYDEV_PHUB_TDMEM28_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM28_ORIG_TD0 +CYREG_PHUB_TDMEM28_ORIG_TD0 EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM28_ORIG_TD1 +CYREG_PHUB_TDMEM28_ORIG_TD1 EQU 0x400078e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_BASE +CYDEV_PHUB_TDMEM29_BASE EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_SIZE +CYDEV_PHUB_TDMEM29_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM29_ORIG_TD0 +CYREG_PHUB_TDMEM29_ORIG_TD0 EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM29_ORIG_TD1 +CYREG_PHUB_TDMEM29_ORIG_TD1 EQU 0x400078ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_BASE +CYDEV_PHUB_TDMEM30_BASE EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_SIZE +CYDEV_PHUB_TDMEM30_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM30_ORIG_TD0 +CYREG_PHUB_TDMEM30_ORIG_TD0 EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM30_ORIG_TD1 +CYREG_PHUB_TDMEM30_ORIG_TD1 EQU 0x400078f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_BASE +CYDEV_PHUB_TDMEM31_BASE EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_SIZE +CYDEV_PHUB_TDMEM31_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM31_ORIG_TD0 +CYREG_PHUB_TDMEM31_ORIG_TD0 EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM31_ORIG_TD1 +CYREG_PHUB_TDMEM31_ORIG_TD1 EQU 0x400078fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_BASE +CYDEV_PHUB_TDMEM32_BASE EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_SIZE +CYDEV_PHUB_TDMEM32_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM32_ORIG_TD0 +CYREG_PHUB_TDMEM32_ORIG_TD0 EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM32_ORIG_TD1 +CYREG_PHUB_TDMEM32_ORIG_TD1 EQU 0x40007904 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_BASE +CYDEV_PHUB_TDMEM33_BASE EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_SIZE +CYDEV_PHUB_TDMEM33_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM33_ORIG_TD0 +CYREG_PHUB_TDMEM33_ORIG_TD0 EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM33_ORIG_TD1 +CYREG_PHUB_TDMEM33_ORIG_TD1 EQU 0x4000790c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_BASE +CYDEV_PHUB_TDMEM34_BASE EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_SIZE +CYDEV_PHUB_TDMEM34_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM34_ORIG_TD0 +CYREG_PHUB_TDMEM34_ORIG_TD0 EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM34_ORIG_TD1 +CYREG_PHUB_TDMEM34_ORIG_TD1 EQU 0x40007914 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_BASE +CYDEV_PHUB_TDMEM35_BASE EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_SIZE +CYDEV_PHUB_TDMEM35_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM35_ORIG_TD0 +CYREG_PHUB_TDMEM35_ORIG_TD0 EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM35_ORIG_TD1 +CYREG_PHUB_TDMEM35_ORIG_TD1 EQU 0x4000791c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_BASE +CYDEV_PHUB_TDMEM36_BASE EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_SIZE +CYDEV_PHUB_TDMEM36_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM36_ORIG_TD0 +CYREG_PHUB_TDMEM36_ORIG_TD0 EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM36_ORIG_TD1 +CYREG_PHUB_TDMEM36_ORIG_TD1 EQU 0x40007924 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_BASE +CYDEV_PHUB_TDMEM37_BASE EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_SIZE +CYDEV_PHUB_TDMEM37_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM37_ORIG_TD0 +CYREG_PHUB_TDMEM37_ORIG_TD0 EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM37_ORIG_TD1 +CYREG_PHUB_TDMEM37_ORIG_TD1 EQU 0x4000792c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_BASE +CYDEV_PHUB_TDMEM38_BASE EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_SIZE +CYDEV_PHUB_TDMEM38_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM38_ORIG_TD0 +CYREG_PHUB_TDMEM38_ORIG_TD0 EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM38_ORIG_TD1 +CYREG_PHUB_TDMEM38_ORIG_TD1 EQU 0x40007934 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_BASE +CYDEV_PHUB_TDMEM39_BASE EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_SIZE +CYDEV_PHUB_TDMEM39_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM39_ORIG_TD0 +CYREG_PHUB_TDMEM39_ORIG_TD0 EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM39_ORIG_TD1 +CYREG_PHUB_TDMEM39_ORIG_TD1 EQU 0x4000793c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_BASE +CYDEV_PHUB_TDMEM40_BASE EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_SIZE +CYDEV_PHUB_TDMEM40_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM40_ORIG_TD0 +CYREG_PHUB_TDMEM40_ORIG_TD0 EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM40_ORIG_TD1 +CYREG_PHUB_TDMEM40_ORIG_TD1 EQU 0x40007944 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_BASE +CYDEV_PHUB_TDMEM41_BASE EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_SIZE +CYDEV_PHUB_TDMEM41_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM41_ORIG_TD0 +CYREG_PHUB_TDMEM41_ORIG_TD0 EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM41_ORIG_TD1 +CYREG_PHUB_TDMEM41_ORIG_TD1 EQU 0x4000794c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_BASE +CYDEV_PHUB_TDMEM42_BASE EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_SIZE +CYDEV_PHUB_TDMEM42_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM42_ORIG_TD0 +CYREG_PHUB_TDMEM42_ORIG_TD0 EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM42_ORIG_TD1 +CYREG_PHUB_TDMEM42_ORIG_TD1 EQU 0x40007954 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_BASE +CYDEV_PHUB_TDMEM43_BASE EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_SIZE +CYDEV_PHUB_TDMEM43_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM43_ORIG_TD0 +CYREG_PHUB_TDMEM43_ORIG_TD0 EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM43_ORIG_TD1 +CYREG_PHUB_TDMEM43_ORIG_TD1 EQU 0x4000795c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_BASE +CYDEV_PHUB_TDMEM44_BASE EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_SIZE +CYDEV_PHUB_TDMEM44_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM44_ORIG_TD0 +CYREG_PHUB_TDMEM44_ORIG_TD0 EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM44_ORIG_TD1 +CYREG_PHUB_TDMEM44_ORIG_TD1 EQU 0x40007964 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_BASE +CYDEV_PHUB_TDMEM45_BASE EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_SIZE +CYDEV_PHUB_TDMEM45_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM45_ORIG_TD0 +CYREG_PHUB_TDMEM45_ORIG_TD0 EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM45_ORIG_TD1 +CYREG_PHUB_TDMEM45_ORIG_TD1 EQU 0x4000796c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_BASE +CYDEV_PHUB_TDMEM46_BASE EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_SIZE +CYDEV_PHUB_TDMEM46_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM46_ORIG_TD0 +CYREG_PHUB_TDMEM46_ORIG_TD0 EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM46_ORIG_TD1 +CYREG_PHUB_TDMEM46_ORIG_TD1 EQU 0x40007974 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_BASE +CYDEV_PHUB_TDMEM47_BASE EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_SIZE +CYDEV_PHUB_TDMEM47_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM47_ORIG_TD0 +CYREG_PHUB_TDMEM47_ORIG_TD0 EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM47_ORIG_TD1 +CYREG_PHUB_TDMEM47_ORIG_TD1 EQU 0x4000797c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_BASE +CYDEV_PHUB_TDMEM48_BASE EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_SIZE +CYDEV_PHUB_TDMEM48_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM48_ORIG_TD0 +CYREG_PHUB_TDMEM48_ORIG_TD0 EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM48_ORIG_TD1 +CYREG_PHUB_TDMEM48_ORIG_TD1 EQU 0x40007984 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_BASE +CYDEV_PHUB_TDMEM49_BASE EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_SIZE +CYDEV_PHUB_TDMEM49_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM49_ORIG_TD0 +CYREG_PHUB_TDMEM49_ORIG_TD0 EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM49_ORIG_TD1 +CYREG_PHUB_TDMEM49_ORIG_TD1 EQU 0x4000798c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_BASE +CYDEV_PHUB_TDMEM50_BASE EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_SIZE +CYDEV_PHUB_TDMEM50_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM50_ORIG_TD0 +CYREG_PHUB_TDMEM50_ORIG_TD0 EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM50_ORIG_TD1 +CYREG_PHUB_TDMEM50_ORIG_TD1 EQU 0x40007994 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_BASE +CYDEV_PHUB_TDMEM51_BASE EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_SIZE +CYDEV_PHUB_TDMEM51_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM51_ORIG_TD0 +CYREG_PHUB_TDMEM51_ORIG_TD0 EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM51_ORIG_TD1 +CYREG_PHUB_TDMEM51_ORIG_TD1 EQU 0x4000799c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_BASE +CYDEV_PHUB_TDMEM52_BASE EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_SIZE +CYDEV_PHUB_TDMEM52_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM52_ORIG_TD0 +CYREG_PHUB_TDMEM52_ORIG_TD0 EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM52_ORIG_TD1 +CYREG_PHUB_TDMEM52_ORIG_TD1 EQU 0x400079a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_BASE +CYDEV_PHUB_TDMEM53_BASE EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_SIZE +CYDEV_PHUB_TDMEM53_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM53_ORIG_TD0 +CYREG_PHUB_TDMEM53_ORIG_TD0 EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM53_ORIG_TD1 +CYREG_PHUB_TDMEM53_ORIG_TD1 EQU 0x400079ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_BASE +CYDEV_PHUB_TDMEM54_BASE EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_SIZE +CYDEV_PHUB_TDMEM54_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM54_ORIG_TD0 +CYREG_PHUB_TDMEM54_ORIG_TD0 EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM54_ORIG_TD1 +CYREG_PHUB_TDMEM54_ORIG_TD1 EQU 0x400079b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_BASE +CYDEV_PHUB_TDMEM55_BASE EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_SIZE +CYDEV_PHUB_TDMEM55_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM55_ORIG_TD0 +CYREG_PHUB_TDMEM55_ORIG_TD0 EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM55_ORIG_TD1 +CYREG_PHUB_TDMEM55_ORIG_TD1 EQU 0x400079bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_BASE +CYDEV_PHUB_TDMEM56_BASE EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_SIZE +CYDEV_PHUB_TDMEM56_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM56_ORIG_TD0 +CYREG_PHUB_TDMEM56_ORIG_TD0 EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM56_ORIG_TD1 +CYREG_PHUB_TDMEM56_ORIG_TD1 EQU 0x400079c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_BASE +CYDEV_PHUB_TDMEM57_BASE EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_SIZE +CYDEV_PHUB_TDMEM57_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM57_ORIG_TD0 +CYREG_PHUB_TDMEM57_ORIG_TD0 EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM57_ORIG_TD1 +CYREG_PHUB_TDMEM57_ORIG_TD1 EQU 0x400079cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_BASE +CYDEV_PHUB_TDMEM58_BASE EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_SIZE +CYDEV_PHUB_TDMEM58_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM58_ORIG_TD0 +CYREG_PHUB_TDMEM58_ORIG_TD0 EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM58_ORIG_TD1 +CYREG_PHUB_TDMEM58_ORIG_TD1 EQU 0x400079d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_BASE +CYDEV_PHUB_TDMEM59_BASE EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_SIZE +CYDEV_PHUB_TDMEM59_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM59_ORIG_TD0 +CYREG_PHUB_TDMEM59_ORIG_TD0 EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM59_ORIG_TD1 +CYREG_PHUB_TDMEM59_ORIG_TD1 EQU 0x400079dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_BASE +CYDEV_PHUB_TDMEM60_BASE EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_SIZE +CYDEV_PHUB_TDMEM60_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM60_ORIG_TD0 +CYREG_PHUB_TDMEM60_ORIG_TD0 EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM60_ORIG_TD1 +CYREG_PHUB_TDMEM60_ORIG_TD1 EQU 0x400079e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_BASE +CYDEV_PHUB_TDMEM61_BASE EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_SIZE +CYDEV_PHUB_TDMEM61_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM61_ORIG_TD0 +CYREG_PHUB_TDMEM61_ORIG_TD0 EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM61_ORIG_TD1 +CYREG_PHUB_TDMEM61_ORIG_TD1 EQU 0x400079ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_BASE +CYDEV_PHUB_TDMEM62_BASE EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_SIZE +CYDEV_PHUB_TDMEM62_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM62_ORIG_TD0 +CYREG_PHUB_TDMEM62_ORIG_TD0 EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM62_ORIG_TD1 +CYREG_PHUB_TDMEM62_ORIG_TD1 EQU 0x400079f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_BASE +CYDEV_PHUB_TDMEM63_BASE EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_SIZE +CYDEV_PHUB_TDMEM63_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM63_ORIG_TD0 +CYREG_PHUB_TDMEM63_ORIG_TD0 EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM63_ORIG_TD1 +CYREG_PHUB_TDMEM63_ORIG_TD1 EQU 0x400079fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_BASE +CYDEV_PHUB_TDMEM64_BASE EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_SIZE +CYDEV_PHUB_TDMEM64_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM64_ORIG_TD0 +CYREG_PHUB_TDMEM64_ORIG_TD0 EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM64_ORIG_TD1 +CYREG_PHUB_TDMEM64_ORIG_TD1 EQU 0x40007a04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_BASE +CYDEV_PHUB_TDMEM65_BASE EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_SIZE +CYDEV_PHUB_TDMEM65_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM65_ORIG_TD0 +CYREG_PHUB_TDMEM65_ORIG_TD0 EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM65_ORIG_TD1 +CYREG_PHUB_TDMEM65_ORIG_TD1 EQU 0x40007a0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_BASE +CYDEV_PHUB_TDMEM66_BASE EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_SIZE +CYDEV_PHUB_TDMEM66_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM66_ORIG_TD0 +CYREG_PHUB_TDMEM66_ORIG_TD0 EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM66_ORIG_TD1 +CYREG_PHUB_TDMEM66_ORIG_TD1 EQU 0x40007a14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_BASE +CYDEV_PHUB_TDMEM67_BASE EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_SIZE +CYDEV_PHUB_TDMEM67_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM67_ORIG_TD0 +CYREG_PHUB_TDMEM67_ORIG_TD0 EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM67_ORIG_TD1 +CYREG_PHUB_TDMEM67_ORIG_TD1 EQU 0x40007a1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_BASE +CYDEV_PHUB_TDMEM68_BASE EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_SIZE +CYDEV_PHUB_TDMEM68_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM68_ORIG_TD0 +CYREG_PHUB_TDMEM68_ORIG_TD0 EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM68_ORIG_TD1 +CYREG_PHUB_TDMEM68_ORIG_TD1 EQU 0x40007a24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_BASE +CYDEV_PHUB_TDMEM69_BASE EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_SIZE +CYDEV_PHUB_TDMEM69_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM69_ORIG_TD0 +CYREG_PHUB_TDMEM69_ORIG_TD0 EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM69_ORIG_TD1 +CYREG_PHUB_TDMEM69_ORIG_TD1 EQU 0x40007a2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_BASE +CYDEV_PHUB_TDMEM70_BASE EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_SIZE +CYDEV_PHUB_TDMEM70_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM70_ORIG_TD0 +CYREG_PHUB_TDMEM70_ORIG_TD0 EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM70_ORIG_TD1 +CYREG_PHUB_TDMEM70_ORIG_TD1 EQU 0x40007a34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_BASE +CYDEV_PHUB_TDMEM71_BASE EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_SIZE +CYDEV_PHUB_TDMEM71_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM71_ORIG_TD0 +CYREG_PHUB_TDMEM71_ORIG_TD0 EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM71_ORIG_TD1 +CYREG_PHUB_TDMEM71_ORIG_TD1 EQU 0x40007a3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_BASE +CYDEV_PHUB_TDMEM72_BASE EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_SIZE +CYDEV_PHUB_TDMEM72_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM72_ORIG_TD0 +CYREG_PHUB_TDMEM72_ORIG_TD0 EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM72_ORIG_TD1 +CYREG_PHUB_TDMEM72_ORIG_TD1 EQU 0x40007a44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_BASE +CYDEV_PHUB_TDMEM73_BASE EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_SIZE +CYDEV_PHUB_TDMEM73_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM73_ORIG_TD0 +CYREG_PHUB_TDMEM73_ORIG_TD0 EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM73_ORIG_TD1 +CYREG_PHUB_TDMEM73_ORIG_TD1 EQU 0x40007a4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_BASE +CYDEV_PHUB_TDMEM74_BASE EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_SIZE +CYDEV_PHUB_TDMEM74_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM74_ORIG_TD0 +CYREG_PHUB_TDMEM74_ORIG_TD0 EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM74_ORIG_TD1 +CYREG_PHUB_TDMEM74_ORIG_TD1 EQU 0x40007a54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_BASE +CYDEV_PHUB_TDMEM75_BASE EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_SIZE +CYDEV_PHUB_TDMEM75_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM75_ORIG_TD0 +CYREG_PHUB_TDMEM75_ORIG_TD0 EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM75_ORIG_TD1 +CYREG_PHUB_TDMEM75_ORIG_TD1 EQU 0x40007a5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_BASE +CYDEV_PHUB_TDMEM76_BASE EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_SIZE +CYDEV_PHUB_TDMEM76_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM76_ORIG_TD0 +CYREG_PHUB_TDMEM76_ORIG_TD0 EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM76_ORIG_TD1 +CYREG_PHUB_TDMEM76_ORIG_TD1 EQU 0x40007a64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_BASE +CYDEV_PHUB_TDMEM77_BASE EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_SIZE +CYDEV_PHUB_TDMEM77_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM77_ORIG_TD0 +CYREG_PHUB_TDMEM77_ORIG_TD0 EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM77_ORIG_TD1 +CYREG_PHUB_TDMEM77_ORIG_TD1 EQU 0x40007a6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_BASE +CYDEV_PHUB_TDMEM78_BASE EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_SIZE +CYDEV_PHUB_TDMEM78_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM78_ORIG_TD0 +CYREG_PHUB_TDMEM78_ORIG_TD0 EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM78_ORIG_TD1 +CYREG_PHUB_TDMEM78_ORIG_TD1 EQU 0x40007a74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_BASE +CYDEV_PHUB_TDMEM79_BASE EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_SIZE +CYDEV_PHUB_TDMEM79_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM79_ORIG_TD0 +CYREG_PHUB_TDMEM79_ORIG_TD0 EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM79_ORIG_TD1 +CYREG_PHUB_TDMEM79_ORIG_TD1 EQU 0x40007a7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_BASE +CYDEV_PHUB_TDMEM80_BASE EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_SIZE +CYDEV_PHUB_TDMEM80_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM80_ORIG_TD0 +CYREG_PHUB_TDMEM80_ORIG_TD0 EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM80_ORIG_TD1 +CYREG_PHUB_TDMEM80_ORIG_TD1 EQU 0x40007a84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_BASE +CYDEV_PHUB_TDMEM81_BASE EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_SIZE +CYDEV_PHUB_TDMEM81_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM81_ORIG_TD0 +CYREG_PHUB_TDMEM81_ORIG_TD0 EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM81_ORIG_TD1 +CYREG_PHUB_TDMEM81_ORIG_TD1 EQU 0x40007a8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_BASE +CYDEV_PHUB_TDMEM82_BASE EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_SIZE +CYDEV_PHUB_TDMEM82_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM82_ORIG_TD0 +CYREG_PHUB_TDMEM82_ORIG_TD0 EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM82_ORIG_TD1 +CYREG_PHUB_TDMEM82_ORIG_TD1 EQU 0x40007a94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_BASE +CYDEV_PHUB_TDMEM83_BASE EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_SIZE +CYDEV_PHUB_TDMEM83_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM83_ORIG_TD0 +CYREG_PHUB_TDMEM83_ORIG_TD0 EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM83_ORIG_TD1 +CYREG_PHUB_TDMEM83_ORIG_TD1 EQU 0x40007a9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_BASE +CYDEV_PHUB_TDMEM84_BASE EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_SIZE +CYDEV_PHUB_TDMEM84_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM84_ORIG_TD0 +CYREG_PHUB_TDMEM84_ORIG_TD0 EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM84_ORIG_TD1 +CYREG_PHUB_TDMEM84_ORIG_TD1 EQU 0x40007aa4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_BASE +CYDEV_PHUB_TDMEM85_BASE EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_SIZE +CYDEV_PHUB_TDMEM85_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM85_ORIG_TD0 +CYREG_PHUB_TDMEM85_ORIG_TD0 EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM85_ORIG_TD1 +CYREG_PHUB_TDMEM85_ORIG_TD1 EQU 0x40007aac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_BASE +CYDEV_PHUB_TDMEM86_BASE EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_SIZE +CYDEV_PHUB_TDMEM86_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM86_ORIG_TD0 +CYREG_PHUB_TDMEM86_ORIG_TD0 EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM86_ORIG_TD1 +CYREG_PHUB_TDMEM86_ORIG_TD1 EQU 0x40007ab4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_BASE +CYDEV_PHUB_TDMEM87_BASE EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_SIZE +CYDEV_PHUB_TDMEM87_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM87_ORIG_TD0 +CYREG_PHUB_TDMEM87_ORIG_TD0 EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM87_ORIG_TD1 +CYREG_PHUB_TDMEM87_ORIG_TD1 EQU 0x40007abc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_BASE +CYDEV_PHUB_TDMEM88_BASE EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_SIZE +CYDEV_PHUB_TDMEM88_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM88_ORIG_TD0 +CYREG_PHUB_TDMEM88_ORIG_TD0 EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM88_ORIG_TD1 +CYREG_PHUB_TDMEM88_ORIG_TD1 EQU 0x40007ac4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_BASE +CYDEV_PHUB_TDMEM89_BASE EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_SIZE +CYDEV_PHUB_TDMEM89_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM89_ORIG_TD0 +CYREG_PHUB_TDMEM89_ORIG_TD0 EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM89_ORIG_TD1 +CYREG_PHUB_TDMEM89_ORIG_TD1 EQU 0x40007acc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_BASE +CYDEV_PHUB_TDMEM90_BASE EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_SIZE +CYDEV_PHUB_TDMEM90_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM90_ORIG_TD0 +CYREG_PHUB_TDMEM90_ORIG_TD0 EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM90_ORIG_TD1 +CYREG_PHUB_TDMEM90_ORIG_TD1 EQU 0x40007ad4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_BASE +CYDEV_PHUB_TDMEM91_BASE EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_SIZE +CYDEV_PHUB_TDMEM91_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM91_ORIG_TD0 +CYREG_PHUB_TDMEM91_ORIG_TD0 EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM91_ORIG_TD1 +CYREG_PHUB_TDMEM91_ORIG_TD1 EQU 0x40007adc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_BASE +CYDEV_PHUB_TDMEM92_BASE EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_SIZE +CYDEV_PHUB_TDMEM92_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM92_ORIG_TD0 +CYREG_PHUB_TDMEM92_ORIG_TD0 EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM92_ORIG_TD1 +CYREG_PHUB_TDMEM92_ORIG_TD1 EQU 0x40007ae4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_BASE +CYDEV_PHUB_TDMEM93_BASE EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_SIZE +CYDEV_PHUB_TDMEM93_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM93_ORIG_TD0 +CYREG_PHUB_TDMEM93_ORIG_TD0 EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM93_ORIG_TD1 +CYREG_PHUB_TDMEM93_ORIG_TD1 EQU 0x40007aec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_BASE +CYDEV_PHUB_TDMEM94_BASE EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_SIZE +CYDEV_PHUB_TDMEM94_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM94_ORIG_TD0 +CYREG_PHUB_TDMEM94_ORIG_TD0 EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM94_ORIG_TD1 +CYREG_PHUB_TDMEM94_ORIG_TD1 EQU 0x40007af4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_BASE +CYDEV_PHUB_TDMEM95_BASE EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_SIZE +CYDEV_PHUB_TDMEM95_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM95_ORIG_TD0 +CYREG_PHUB_TDMEM95_ORIG_TD0 EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM95_ORIG_TD1 +CYREG_PHUB_TDMEM95_ORIG_TD1 EQU 0x40007afc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_BASE +CYDEV_PHUB_TDMEM96_BASE EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_SIZE +CYDEV_PHUB_TDMEM96_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM96_ORIG_TD0 +CYREG_PHUB_TDMEM96_ORIG_TD0 EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM96_ORIG_TD1 +CYREG_PHUB_TDMEM96_ORIG_TD1 EQU 0x40007b04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_BASE +CYDEV_PHUB_TDMEM97_BASE EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_SIZE +CYDEV_PHUB_TDMEM97_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM97_ORIG_TD0 +CYREG_PHUB_TDMEM97_ORIG_TD0 EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM97_ORIG_TD1 +CYREG_PHUB_TDMEM97_ORIG_TD1 EQU 0x40007b0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_BASE +CYDEV_PHUB_TDMEM98_BASE EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_SIZE +CYDEV_PHUB_TDMEM98_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM98_ORIG_TD0 +CYREG_PHUB_TDMEM98_ORIG_TD0 EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM98_ORIG_TD1 +CYREG_PHUB_TDMEM98_ORIG_TD1 EQU 0x40007b14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_BASE +CYDEV_PHUB_TDMEM99_BASE EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_SIZE +CYDEV_PHUB_TDMEM99_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM99_ORIG_TD0 +CYREG_PHUB_TDMEM99_ORIG_TD0 EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM99_ORIG_TD1 +CYREG_PHUB_TDMEM99_ORIG_TD1 EQU 0x40007b1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_BASE +CYDEV_PHUB_TDMEM100_BASE EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_SIZE +CYDEV_PHUB_TDMEM100_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM100_ORIG_TD0 +CYREG_PHUB_TDMEM100_ORIG_TD0 EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM100_ORIG_TD1 +CYREG_PHUB_TDMEM100_ORIG_TD1 EQU 0x40007b24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_BASE +CYDEV_PHUB_TDMEM101_BASE EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_SIZE +CYDEV_PHUB_TDMEM101_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM101_ORIG_TD0 +CYREG_PHUB_TDMEM101_ORIG_TD0 EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM101_ORIG_TD1 +CYREG_PHUB_TDMEM101_ORIG_TD1 EQU 0x40007b2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_BASE +CYDEV_PHUB_TDMEM102_BASE EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_SIZE +CYDEV_PHUB_TDMEM102_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM102_ORIG_TD0 +CYREG_PHUB_TDMEM102_ORIG_TD0 EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM102_ORIG_TD1 +CYREG_PHUB_TDMEM102_ORIG_TD1 EQU 0x40007b34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_BASE +CYDEV_PHUB_TDMEM103_BASE EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_SIZE +CYDEV_PHUB_TDMEM103_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM103_ORIG_TD0 +CYREG_PHUB_TDMEM103_ORIG_TD0 EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM103_ORIG_TD1 +CYREG_PHUB_TDMEM103_ORIG_TD1 EQU 0x40007b3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_BASE +CYDEV_PHUB_TDMEM104_BASE EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_SIZE +CYDEV_PHUB_TDMEM104_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM104_ORIG_TD0 +CYREG_PHUB_TDMEM104_ORIG_TD0 EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM104_ORIG_TD1 +CYREG_PHUB_TDMEM104_ORIG_TD1 EQU 0x40007b44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_BASE +CYDEV_PHUB_TDMEM105_BASE EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_SIZE +CYDEV_PHUB_TDMEM105_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM105_ORIG_TD0 +CYREG_PHUB_TDMEM105_ORIG_TD0 EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM105_ORIG_TD1 +CYREG_PHUB_TDMEM105_ORIG_TD1 EQU 0x40007b4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_BASE +CYDEV_PHUB_TDMEM106_BASE EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_SIZE +CYDEV_PHUB_TDMEM106_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM106_ORIG_TD0 +CYREG_PHUB_TDMEM106_ORIG_TD0 EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM106_ORIG_TD1 +CYREG_PHUB_TDMEM106_ORIG_TD1 EQU 0x40007b54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_BASE +CYDEV_PHUB_TDMEM107_BASE EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_SIZE +CYDEV_PHUB_TDMEM107_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM107_ORIG_TD0 +CYREG_PHUB_TDMEM107_ORIG_TD0 EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM107_ORIG_TD1 +CYREG_PHUB_TDMEM107_ORIG_TD1 EQU 0x40007b5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_BASE +CYDEV_PHUB_TDMEM108_BASE EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_SIZE +CYDEV_PHUB_TDMEM108_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM108_ORIG_TD0 +CYREG_PHUB_TDMEM108_ORIG_TD0 EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM108_ORIG_TD1 +CYREG_PHUB_TDMEM108_ORIG_TD1 EQU 0x40007b64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_BASE +CYDEV_PHUB_TDMEM109_BASE EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_SIZE +CYDEV_PHUB_TDMEM109_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM109_ORIG_TD0 +CYREG_PHUB_TDMEM109_ORIG_TD0 EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM109_ORIG_TD1 +CYREG_PHUB_TDMEM109_ORIG_TD1 EQU 0x40007b6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_BASE +CYDEV_PHUB_TDMEM110_BASE EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_SIZE +CYDEV_PHUB_TDMEM110_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM110_ORIG_TD0 +CYREG_PHUB_TDMEM110_ORIG_TD0 EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM110_ORIG_TD1 +CYREG_PHUB_TDMEM110_ORIG_TD1 EQU 0x40007b74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_BASE +CYDEV_PHUB_TDMEM111_BASE EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_SIZE +CYDEV_PHUB_TDMEM111_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM111_ORIG_TD0 +CYREG_PHUB_TDMEM111_ORIG_TD0 EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM111_ORIG_TD1 +CYREG_PHUB_TDMEM111_ORIG_TD1 EQU 0x40007b7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_BASE +CYDEV_PHUB_TDMEM112_BASE EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_SIZE +CYDEV_PHUB_TDMEM112_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM112_ORIG_TD0 +CYREG_PHUB_TDMEM112_ORIG_TD0 EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM112_ORIG_TD1 +CYREG_PHUB_TDMEM112_ORIG_TD1 EQU 0x40007b84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_BASE +CYDEV_PHUB_TDMEM113_BASE EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_SIZE +CYDEV_PHUB_TDMEM113_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM113_ORIG_TD0 +CYREG_PHUB_TDMEM113_ORIG_TD0 EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM113_ORIG_TD1 +CYREG_PHUB_TDMEM113_ORIG_TD1 EQU 0x40007b8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_BASE +CYDEV_PHUB_TDMEM114_BASE EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_SIZE +CYDEV_PHUB_TDMEM114_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM114_ORIG_TD0 +CYREG_PHUB_TDMEM114_ORIG_TD0 EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM114_ORIG_TD1 +CYREG_PHUB_TDMEM114_ORIG_TD1 EQU 0x40007b94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_BASE +CYDEV_PHUB_TDMEM115_BASE EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_SIZE +CYDEV_PHUB_TDMEM115_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM115_ORIG_TD0 +CYREG_PHUB_TDMEM115_ORIG_TD0 EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM115_ORIG_TD1 +CYREG_PHUB_TDMEM115_ORIG_TD1 EQU 0x40007b9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_BASE +CYDEV_PHUB_TDMEM116_BASE EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_SIZE +CYDEV_PHUB_TDMEM116_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM116_ORIG_TD0 +CYREG_PHUB_TDMEM116_ORIG_TD0 EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM116_ORIG_TD1 +CYREG_PHUB_TDMEM116_ORIG_TD1 EQU 0x40007ba4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_BASE +CYDEV_PHUB_TDMEM117_BASE EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_SIZE +CYDEV_PHUB_TDMEM117_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM117_ORIG_TD0 +CYREG_PHUB_TDMEM117_ORIG_TD0 EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM117_ORIG_TD1 +CYREG_PHUB_TDMEM117_ORIG_TD1 EQU 0x40007bac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_BASE +CYDEV_PHUB_TDMEM118_BASE EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_SIZE +CYDEV_PHUB_TDMEM118_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM118_ORIG_TD0 +CYREG_PHUB_TDMEM118_ORIG_TD0 EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM118_ORIG_TD1 +CYREG_PHUB_TDMEM118_ORIG_TD1 EQU 0x40007bb4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_BASE +CYDEV_PHUB_TDMEM119_BASE EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_SIZE +CYDEV_PHUB_TDMEM119_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM119_ORIG_TD0 +CYREG_PHUB_TDMEM119_ORIG_TD0 EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM119_ORIG_TD1 +CYREG_PHUB_TDMEM119_ORIG_TD1 EQU 0x40007bbc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_BASE +CYDEV_PHUB_TDMEM120_BASE EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_SIZE +CYDEV_PHUB_TDMEM120_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM120_ORIG_TD0 +CYREG_PHUB_TDMEM120_ORIG_TD0 EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM120_ORIG_TD1 +CYREG_PHUB_TDMEM120_ORIG_TD1 EQU 0x40007bc4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_BASE +CYDEV_PHUB_TDMEM121_BASE EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_SIZE +CYDEV_PHUB_TDMEM121_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM121_ORIG_TD0 +CYREG_PHUB_TDMEM121_ORIG_TD0 EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM121_ORIG_TD1 +CYREG_PHUB_TDMEM121_ORIG_TD1 EQU 0x40007bcc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_BASE +CYDEV_PHUB_TDMEM122_BASE EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_SIZE +CYDEV_PHUB_TDMEM122_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM122_ORIG_TD0 +CYREG_PHUB_TDMEM122_ORIG_TD0 EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM122_ORIG_TD1 +CYREG_PHUB_TDMEM122_ORIG_TD1 EQU 0x40007bd4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_BASE +CYDEV_PHUB_TDMEM123_BASE EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_SIZE +CYDEV_PHUB_TDMEM123_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM123_ORIG_TD0 +CYREG_PHUB_TDMEM123_ORIG_TD0 EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM123_ORIG_TD1 +CYREG_PHUB_TDMEM123_ORIG_TD1 EQU 0x40007bdc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_BASE +CYDEV_PHUB_TDMEM124_BASE EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_SIZE +CYDEV_PHUB_TDMEM124_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM124_ORIG_TD0 +CYREG_PHUB_TDMEM124_ORIG_TD0 EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM124_ORIG_TD1 +CYREG_PHUB_TDMEM124_ORIG_TD1 EQU 0x40007be4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_BASE +CYDEV_PHUB_TDMEM125_BASE EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_SIZE +CYDEV_PHUB_TDMEM125_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM125_ORIG_TD0 +CYREG_PHUB_TDMEM125_ORIG_TD0 EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM125_ORIG_TD1 +CYREG_PHUB_TDMEM125_ORIG_TD1 EQU 0x40007bec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_BASE +CYDEV_PHUB_TDMEM126_BASE EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_SIZE +CYDEV_PHUB_TDMEM126_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM126_ORIG_TD0 +CYREG_PHUB_TDMEM126_ORIG_TD0 EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM126_ORIG_TD1 +CYREG_PHUB_TDMEM126_ORIG_TD1 EQU 0x40007bf4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_BASE +CYDEV_PHUB_TDMEM127_BASE EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_SIZE +CYDEV_PHUB_TDMEM127_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM127_ORIG_TD0 +CYREG_PHUB_TDMEM127_ORIG_TD0 EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM127_ORIG_TD1 +CYREG_PHUB_TDMEM127_ORIG_TD1 EQU 0x40007bfc + ENDIF + IF :LNOT::DEF:CYDEV_EE_BASE +CYDEV_EE_BASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_SIZE +CYDEV_EE_SIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYREG_EE_DATA_MBASE +CYREG_EE_DATA_MBASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYREG_EE_DATA_MSIZE +CYREG_EE_DATA_MSIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_BASE +CYDEV_CAN0_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_SIZE +CYDEV_CAN0_SIZE EQU 0x000002a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BASE +CYDEV_CAN0_CSR_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_SIZE +CYDEV_CAN0_CSR_SIZE EQU 0x00000018 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_INT_SR +CYREG_CAN0_CSR_INT_SR EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_INT_EN +CYREG_CAN0_CSR_INT_EN EQU 0x4000a004 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_BUF_SR +CYREG_CAN0_CSR_BUF_SR EQU 0x4000a008 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_ERR_SR +CYREG_CAN0_CSR_ERR_SR EQU 0x4000a00c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_CMD +CYREG_CAN0_CSR_CMD EQU 0x4000a010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_CFG +CYREG_CAN0_CSR_CFG EQU 0x4000a014 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_BASE +CYDEV_CAN0_TX0_BASE EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_SIZE +CYDEV_CAN0_TX0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_CMD +CYREG_CAN0_TX0_CMD EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_ID +CYREG_CAN0_TX0_ID EQU 0x4000a024 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_DH +CYREG_CAN0_TX0_DH EQU 0x4000a028 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_DL +CYREG_CAN0_TX0_DL EQU 0x4000a02c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_BASE +CYDEV_CAN0_TX1_BASE EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_SIZE +CYDEV_CAN0_TX1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_CMD +CYREG_CAN0_TX1_CMD EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_ID +CYREG_CAN0_TX1_ID EQU 0x4000a034 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_DH +CYREG_CAN0_TX1_DH EQU 0x4000a038 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_DL +CYREG_CAN0_TX1_DL EQU 0x4000a03c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_BASE +CYDEV_CAN0_TX2_BASE EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_SIZE +CYDEV_CAN0_TX2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_CMD +CYREG_CAN0_TX2_CMD EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_ID +CYREG_CAN0_TX2_ID EQU 0x4000a044 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_DH +CYREG_CAN0_TX2_DH EQU 0x4000a048 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_DL +CYREG_CAN0_TX2_DL EQU 0x4000a04c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_BASE +CYDEV_CAN0_TX3_BASE EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_SIZE +CYDEV_CAN0_TX3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_CMD +CYREG_CAN0_TX3_CMD EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_ID +CYREG_CAN0_TX3_ID EQU 0x4000a054 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_DH +CYREG_CAN0_TX3_DH EQU 0x4000a058 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_DL +CYREG_CAN0_TX3_DL EQU 0x4000a05c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_BASE +CYDEV_CAN0_TX4_BASE EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_SIZE +CYDEV_CAN0_TX4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_CMD +CYREG_CAN0_TX4_CMD EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_ID +CYREG_CAN0_TX4_ID EQU 0x4000a064 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_DH +CYREG_CAN0_TX4_DH EQU 0x4000a068 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_DL +CYREG_CAN0_TX4_DL EQU 0x4000a06c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_BASE +CYDEV_CAN0_TX5_BASE EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_SIZE +CYDEV_CAN0_TX5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_CMD +CYREG_CAN0_TX5_CMD EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_ID +CYREG_CAN0_TX5_ID EQU 0x4000a074 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_DH +CYREG_CAN0_TX5_DH EQU 0x4000a078 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_DL +CYREG_CAN0_TX5_DL EQU 0x4000a07c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_BASE +CYDEV_CAN0_TX6_BASE EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_SIZE +CYDEV_CAN0_TX6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_CMD +CYREG_CAN0_TX6_CMD EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_ID +CYREG_CAN0_TX6_ID EQU 0x4000a084 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_DH +CYREG_CAN0_TX6_DH EQU 0x4000a088 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_DL +CYREG_CAN0_TX6_DL EQU 0x4000a08c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_BASE +CYDEV_CAN0_TX7_BASE EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_SIZE +CYDEV_CAN0_TX7_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_CMD +CYREG_CAN0_TX7_CMD EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_ID +CYREG_CAN0_TX7_ID EQU 0x4000a094 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_DH +CYREG_CAN0_TX7_DH EQU 0x4000a098 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_DL +CYREG_CAN0_TX7_DL EQU 0x4000a09c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_BASE +CYDEV_CAN0_RX0_BASE EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_SIZE +CYDEV_CAN0_RX0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_CMD +CYREG_CAN0_RX0_CMD EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ID +CYREG_CAN0_RX0_ID EQU 0x4000a0a4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_DH +CYREG_CAN0_RX0_DH EQU 0x4000a0a8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_DL +CYREG_CAN0_RX0_DL EQU 0x4000a0ac + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_AMR +CYREG_CAN0_RX0_AMR EQU 0x4000a0b0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ACR +CYREG_CAN0_RX0_ACR EQU 0x4000a0b4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_AMRD +CYREG_CAN0_RX0_AMRD EQU 0x4000a0b8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ACRD +CYREG_CAN0_RX0_ACRD EQU 0x4000a0bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_BASE +CYDEV_CAN0_RX1_BASE EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_SIZE +CYDEV_CAN0_RX1_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_CMD +CYREG_CAN0_RX1_CMD EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ID +CYREG_CAN0_RX1_ID EQU 0x4000a0c4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_DH +CYREG_CAN0_RX1_DH EQU 0x4000a0c8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_DL +CYREG_CAN0_RX1_DL EQU 0x4000a0cc + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_AMR +CYREG_CAN0_RX1_AMR EQU 0x4000a0d0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ACR +CYREG_CAN0_RX1_ACR EQU 0x4000a0d4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_AMRD +CYREG_CAN0_RX1_AMRD EQU 0x4000a0d8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ACRD +CYREG_CAN0_RX1_ACRD EQU 0x4000a0dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_BASE +CYDEV_CAN0_RX2_BASE EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_SIZE +CYDEV_CAN0_RX2_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_CMD +CYREG_CAN0_RX2_CMD EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ID +CYREG_CAN0_RX2_ID EQU 0x4000a0e4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_DH +CYREG_CAN0_RX2_DH EQU 0x4000a0e8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_DL +CYREG_CAN0_RX2_DL EQU 0x4000a0ec + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_AMR +CYREG_CAN0_RX2_AMR EQU 0x4000a0f0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ACR +CYREG_CAN0_RX2_ACR EQU 0x4000a0f4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_AMRD +CYREG_CAN0_RX2_AMRD EQU 0x4000a0f8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ACRD +CYREG_CAN0_RX2_ACRD EQU 0x4000a0fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_BASE +CYDEV_CAN0_RX3_BASE EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_SIZE +CYDEV_CAN0_RX3_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_CMD +CYREG_CAN0_RX3_CMD EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ID +CYREG_CAN0_RX3_ID EQU 0x4000a104 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_DH +CYREG_CAN0_RX3_DH EQU 0x4000a108 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_DL +CYREG_CAN0_RX3_DL EQU 0x4000a10c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_AMR +CYREG_CAN0_RX3_AMR EQU 0x4000a110 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ACR +CYREG_CAN0_RX3_ACR EQU 0x4000a114 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_AMRD +CYREG_CAN0_RX3_AMRD EQU 0x4000a118 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ACRD +CYREG_CAN0_RX3_ACRD EQU 0x4000a11c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_BASE +CYDEV_CAN0_RX4_BASE EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_SIZE +CYDEV_CAN0_RX4_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_CMD +CYREG_CAN0_RX4_CMD EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ID +CYREG_CAN0_RX4_ID EQU 0x4000a124 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_DH +CYREG_CAN0_RX4_DH EQU 0x4000a128 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_DL +CYREG_CAN0_RX4_DL EQU 0x4000a12c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_AMR +CYREG_CAN0_RX4_AMR EQU 0x4000a130 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ACR +CYREG_CAN0_RX4_ACR EQU 0x4000a134 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_AMRD +CYREG_CAN0_RX4_AMRD EQU 0x4000a138 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ACRD +CYREG_CAN0_RX4_ACRD EQU 0x4000a13c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_BASE +CYDEV_CAN0_RX5_BASE EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_SIZE +CYDEV_CAN0_RX5_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_CMD +CYREG_CAN0_RX5_CMD EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ID +CYREG_CAN0_RX5_ID EQU 0x4000a144 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_DH +CYREG_CAN0_RX5_DH EQU 0x4000a148 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_DL +CYREG_CAN0_RX5_DL EQU 0x4000a14c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_AMR +CYREG_CAN0_RX5_AMR EQU 0x4000a150 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ACR +CYREG_CAN0_RX5_ACR EQU 0x4000a154 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_AMRD +CYREG_CAN0_RX5_AMRD EQU 0x4000a158 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ACRD +CYREG_CAN0_RX5_ACRD EQU 0x4000a15c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_BASE +CYDEV_CAN0_RX6_BASE EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_SIZE +CYDEV_CAN0_RX6_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_CMD +CYREG_CAN0_RX6_CMD EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ID +CYREG_CAN0_RX6_ID EQU 0x4000a164 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_DH +CYREG_CAN0_RX6_DH EQU 0x4000a168 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_DL +CYREG_CAN0_RX6_DL EQU 0x4000a16c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_AMR +CYREG_CAN0_RX6_AMR EQU 0x4000a170 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ACR +CYREG_CAN0_RX6_ACR EQU 0x4000a174 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_AMRD +CYREG_CAN0_RX6_AMRD EQU 0x4000a178 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ACRD +CYREG_CAN0_RX6_ACRD EQU 0x4000a17c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_BASE +CYDEV_CAN0_RX7_BASE EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_SIZE +CYDEV_CAN0_RX7_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_CMD +CYREG_CAN0_RX7_CMD EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ID +CYREG_CAN0_RX7_ID EQU 0x4000a184 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_DH +CYREG_CAN0_RX7_DH EQU 0x4000a188 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_DL +CYREG_CAN0_RX7_DL EQU 0x4000a18c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_AMR +CYREG_CAN0_RX7_AMR EQU 0x4000a190 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ACR +CYREG_CAN0_RX7_ACR EQU 0x4000a194 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_AMRD +CYREG_CAN0_RX7_AMRD EQU 0x4000a198 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ACRD +CYREG_CAN0_RX7_ACRD EQU 0x4000a19c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_BASE +CYDEV_CAN0_RX8_BASE EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_SIZE +CYDEV_CAN0_RX8_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_CMD +CYREG_CAN0_RX8_CMD EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ID +CYREG_CAN0_RX8_ID EQU 0x4000a1a4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_DH +CYREG_CAN0_RX8_DH EQU 0x4000a1a8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_DL +CYREG_CAN0_RX8_DL EQU 0x4000a1ac + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_AMR +CYREG_CAN0_RX8_AMR EQU 0x4000a1b0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ACR +CYREG_CAN0_RX8_ACR EQU 0x4000a1b4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_AMRD +CYREG_CAN0_RX8_AMRD EQU 0x4000a1b8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ACRD +CYREG_CAN0_RX8_ACRD EQU 0x4000a1bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_BASE +CYDEV_CAN0_RX9_BASE EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_SIZE +CYDEV_CAN0_RX9_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_CMD +CYREG_CAN0_RX9_CMD EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ID +CYREG_CAN0_RX9_ID EQU 0x4000a1c4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_DH +CYREG_CAN0_RX9_DH EQU 0x4000a1c8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_DL +CYREG_CAN0_RX9_DL EQU 0x4000a1cc + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_AMR +CYREG_CAN0_RX9_AMR EQU 0x4000a1d0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ACR +CYREG_CAN0_RX9_ACR EQU 0x4000a1d4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_AMRD +CYREG_CAN0_RX9_AMRD EQU 0x4000a1d8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ACRD +CYREG_CAN0_RX9_ACRD EQU 0x4000a1dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_BASE +CYDEV_CAN0_RX10_BASE EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_SIZE +CYDEV_CAN0_RX10_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_CMD +CYREG_CAN0_RX10_CMD EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ID +CYREG_CAN0_RX10_ID EQU 0x4000a1e4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_DH +CYREG_CAN0_RX10_DH EQU 0x4000a1e8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_DL +CYREG_CAN0_RX10_DL EQU 0x4000a1ec + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_AMR +CYREG_CAN0_RX10_AMR EQU 0x4000a1f0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ACR +CYREG_CAN0_RX10_ACR EQU 0x4000a1f4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_AMRD +CYREG_CAN0_RX10_AMRD EQU 0x4000a1f8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ACRD +CYREG_CAN0_RX10_ACRD EQU 0x4000a1fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_BASE +CYDEV_CAN0_RX11_BASE EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_SIZE +CYDEV_CAN0_RX11_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_CMD +CYREG_CAN0_RX11_CMD EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ID +CYREG_CAN0_RX11_ID EQU 0x4000a204 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_DH +CYREG_CAN0_RX11_DH EQU 0x4000a208 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_DL +CYREG_CAN0_RX11_DL EQU 0x4000a20c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_AMR +CYREG_CAN0_RX11_AMR EQU 0x4000a210 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ACR +CYREG_CAN0_RX11_ACR EQU 0x4000a214 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_AMRD +CYREG_CAN0_RX11_AMRD EQU 0x4000a218 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ACRD +CYREG_CAN0_RX11_ACRD EQU 0x4000a21c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_BASE +CYDEV_CAN0_RX12_BASE EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_SIZE +CYDEV_CAN0_RX12_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_CMD +CYREG_CAN0_RX12_CMD EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ID +CYREG_CAN0_RX12_ID EQU 0x4000a224 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_DH +CYREG_CAN0_RX12_DH EQU 0x4000a228 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_DL +CYREG_CAN0_RX12_DL EQU 0x4000a22c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_AMR +CYREG_CAN0_RX12_AMR EQU 0x4000a230 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ACR +CYREG_CAN0_RX12_ACR EQU 0x4000a234 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_AMRD +CYREG_CAN0_RX12_AMRD EQU 0x4000a238 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ACRD +CYREG_CAN0_RX12_ACRD EQU 0x4000a23c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_BASE +CYDEV_CAN0_RX13_BASE EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_SIZE +CYDEV_CAN0_RX13_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_CMD +CYREG_CAN0_RX13_CMD EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ID +CYREG_CAN0_RX13_ID EQU 0x4000a244 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_DH +CYREG_CAN0_RX13_DH EQU 0x4000a248 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_DL +CYREG_CAN0_RX13_DL EQU 0x4000a24c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_AMR +CYREG_CAN0_RX13_AMR EQU 0x4000a250 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ACR +CYREG_CAN0_RX13_ACR EQU 0x4000a254 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_AMRD +CYREG_CAN0_RX13_AMRD EQU 0x4000a258 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ACRD +CYREG_CAN0_RX13_ACRD EQU 0x4000a25c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_BASE +CYDEV_CAN0_RX14_BASE EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_SIZE +CYDEV_CAN0_RX14_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_CMD +CYREG_CAN0_RX14_CMD EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ID +CYREG_CAN0_RX14_ID EQU 0x4000a264 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_DH +CYREG_CAN0_RX14_DH EQU 0x4000a268 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_DL +CYREG_CAN0_RX14_DL EQU 0x4000a26c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_AMR +CYREG_CAN0_RX14_AMR EQU 0x4000a270 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ACR +CYREG_CAN0_RX14_ACR EQU 0x4000a274 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_AMRD +CYREG_CAN0_RX14_AMRD EQU 0x4000a278 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ACRD +CYREG_CAN0_RX14_ACRD EQU 0x4000a27c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_BASE +CYDEV_CAN0_RX15_BASE EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_SIZE +CYDEV_CAN0_RX15_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_CMD +CYREG_CAN0_RX15_CMD EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ID +CYREG_CAN0_RX15_ID EQU 0x4000a284 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_DH +CYREG_CAN0_RX15_DH EQU 0x4000a288 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_DL +CYREG_CAN0_RX15_DL EQU 0x4000a28c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_AMR +CYREG_CAN0_RX15_AMR EQU 0x4000a290 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ACR +CYREG_CAN0_RX15_ACR EQU 0x4000a294 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_AMRD +CYREG_CAN0_RX15_AMRD EQU 0x4000a298 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ACRD +CYREG_CAN0_RX15_ACRD EQU 0x4000a29c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_BASE +CYDEV_DFB0_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SIZE +CYDEV_DFB0_SIZE EQU 0x000007b5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_BASE +CYDEV_DFB0_DPA_SRAM_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_SIZE +CYDEV_DFB0_DPA_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPA_SRAM_DATA_MBASE +CYREG_DFB0_DPA_SRAM_DATA_MBASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPA_SRAM_DATA_MSIZE +CYREG_DFB0_DPA_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_BASE +CYDEV_DFB0_DPB_SRAM_BASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_SIZE +CYDEV_DFB0_DPB_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPB_SRAM_DATA_MBASE +CYREG_DFB0_DPB_SRAM_DATA_MBASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPB_SRAM_DATA_MSIZE +CYREG_DFB0_DPB_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_BASE +CYDEV_DFB0_CSA_SRAM_BASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_SIZE +CYDEV_DFB0_CSA_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSA_SRAM_DATA_MBASE +CYREG_DFB0_CSA_SRAM_DATA_MBASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSA_SRAM_DATA_MSIZE +CYREG_DFB0_CSA_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_BASE +CYDEV_DFB0_CSB_SRAM_BASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_SIZE +CYDEV_DFB0_CSB_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSB_SRAM_DATA_MBASE +CYREG_DFB0_CSB_SRAM_DATA_MBASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSB_SRAM_DATA_MSIZE +CYREG_DFB0_CSB_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_BASE +CYDEV_DFB0_FSM_SRAM_BASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_SIZE +CYDEV_DFB0_FSM_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_FSM_SRAM_DATA_MBASE +CYREG_DFB0_FSM_SRAM_DATA_MBASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_FSM_SRAM_DATA_MSIZE +CYREG_DFB0_FSM_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_BASE +CYDEV_DFB0_ACU_SRAM_BASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_SIZE +CYDEV_DFB0_ACU_SRAM_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_ACU_SRAM_DATA_MBASE +CYREG_DFB0_ACU_SRAM_DATA_MBASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_ACU_SRAM_DATA_MSIZE +CYREG_DFB0_ACU_SRAM_DATA_MSIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CR +CYREG_DFB0_CR EQU 0x4000c780 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_SR +CYREG_DFB0_SR EQU 0x4000c784 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_RAM_EN +CYREG_DFB0_RAM_EN EQU 0x4000c788 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_RAM_DIR +CYREG_DFB0_RAM_DIR EQU 0x4000c78c + ENDIF + IF :LNOT::DEF:CYREG_DFB0_SEMA +CYREG_DFB0_SEMA EQU 0x4000c790 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DSI_CTRL +CYREG_DFB0_DSI_CTRL EQU 0x4000c794 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_INT_CTRL +CYREG_DFB0_INT_CTRL EQU 0x4000c798 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DMA_CTRL +CYREG_DFB0_DMA_CTRL EQU 0x4000c79c + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEA +CYREG_DFB0_STAGEA EQU 0x4000c7a0 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEAM +CYREG_DFB0_STAGEAM EQU 0x4000c7a1 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEAH +CYREG_DFB0_STAGEAH EQU 0x4000c7a2 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEB +CYREG_DFB0_STAGEB EQU 0x4000c7a4 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEBM +CYREG_DFB0_STAGEBM EQU 0x4000c7a5 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEBH +CYREG_DFB0_STAGEBH EQU 0x4000c7a6 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDA +CYREG_DFB0_HOLDA EQU 0x4000c7a8 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAM +CYREG_DFB0_HOLDAM EQU 0x4000c7a9 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAH +CYREG_DFB0_HOLDAH EQU 0x4000c7aa + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAS +CYREG_DFB0_HOLDAS EQU 0x4000c7ab + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDB +CYREG_DFB0_HOLDB EQU 0x4000c7ac + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBM +CYREG_DFB0_HOLDBM EQU 0x4000c7ad + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBH +CYREG_DFB0_HOLDBH EQU 0x4000c7ae + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBS +CYREG_DFB0_HOLDBS EQU 0x4000c7af + ENDIF + IF :LNOT::DEF:CYREG_DFB0_COHER +CYREG_DFB0_COHER EQU 0x4000c7b0 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DALIGN +CYREG_DFB0_DALIGN EQU 0x4000c7b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BASE +CYDEV_UCFG_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_SIZE +CYDEV_UCFG_SIZE EQU 0x00005040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_BASE +CYDEV_UCFG_B0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_SIZE +CYDEV_UCFG_B0_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_BASE +CYDEV_UCFG_B0_P0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_SIZE +CYDEV_UCFG_B0_P0_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_BASE +CYDEV_UCFG_B0_P0_U0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_SIZE +CYDEV_UCFG_B0_P0_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT0 +CYREG_B0_P0_U0_PLD_IT0 EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT1 +CYREG_B0_P0_U0_PLD_IT1 EQU 0x40010004 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT2 +CYREG_B0_P0_U0_PLD_IT2 EQU 0x40010008 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT3 +CYREG_B0_P0_U0_PLD_IT3 EQU 0x4001000c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT4 +CYREG_B0_P0_U0_PLD_IT4 EQU 0x40010010 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT5 +CYREG_B0_P0_U0_PLD_IT5 EQU 0x40010014 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT6 +CYREG_B0_P0_U0_PLD_IT6 EQU 0x40010018 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT7 +CYREG_B0_P0_U0_PLD_IT7 EQU 0x4001001c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT8 +CYREG_B0_P0_U0_PLD_IT8 EQU 0x40010020 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT9 +CYREG_B0_P0_U0_PLD_IT9 EQU 0x40010024 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT10 +CYREG_B0_P0_U0_PLD_IT10 EQU 0x40010028 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT11 +CYREG_B0_P0_U0_PLD_IT11 EQU 0x4001002c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT0 +CYREG_B0_P0_U0_PLD_ORT0 EQU 0x40010030 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT1 +CYREG_B0_P0_U0_PLD_ORT1 EQU 0x40010032 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT2 +CYREG_B0_P0_U0_PLD_ORT2 EQU 0x40010034 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT3 +CYREG_B0_P0_U0_PLD_ORT3 EQU 0x40010036 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_CEN_CONST +CYREG_B0_P0_U0_MC_CFG_CEN_CONST EQU 0x40010038 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_XORFB +CYREG_B0_P0_U0_MC_CFG_XORFB EQU 0x4001003a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_SET_RESET +CYREG_B0_P0_U0_MC_CFG_SET_RESET EQU 0x4001003c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_BYPASS +CYREG_B0_P0_U0_MC_CFG_BYPASS EQU 0x4001003e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG0 +CYREG_B0_P0_U0_CFG0 EQU 0x40010040 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG1 +CYREG_B0_P0_U0_CFG1 EQU 0x40010041 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG2 +CYREG_B0_P0_U0_CFG2 EQU 0x40010042 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG3 +CYREG_B0_P0_U0_CFG3 EQU 0x40010043 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG4 +CYREG_B0_P0_U0_CFG4 EQU 0x40010044 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG5 +CYREG_B0_P0_U0_CFG5 EQU 0x40010045 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG6 +CYREG_B0_P0_U0_CFG6 EQU 0x40010046 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG7 +CYREG_B0_P0_U0_CFG7 EQU 0x40010047 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG8 +CYREG_B0_P0_U0_CFG8 EQU 0x40010048 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG9 +CYREG_B0_P0_U0_CFG9 EQU 0x40010049 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG10 +CYREG_B0_P0_U0_CFG10 EQU 0x4001004a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG11 +CYREG_B0_P0_U0_CFG11 EQU 0x4001004b + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG12 +CYREG_B0_P0_U0_CFG12 EQU 0x4001004c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG13 +CYREG_B0_P0_U0_CFG13 EQU 0x4001004d + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG14 +CYREG_B0_P0_U0_CFG14 EQU 0x4001004e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG15 +CYREG_B0_P0_U0_CFG15 EQU 0x4001004f + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG16 +CYREG_B0_P0_U0_CFG16 EQU 0x40010050 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG17 +CYREG_B0_P0_U0_CFG17 EQU 0x40010051 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG18 +CYREG_B0_P0_U0_CFG18 EQU 0x40010052 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG19 +CYREG_B0_P0_U0_CFG19 EQU 0x40010053 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG20 +CYREG_B0_P0_U0_CFG20 EQU 0x40010054 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG21 +CYREG_B0_P0_U0_CFG21 EQU 0x40010055 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG22 +CYREG_B0_P0_U0_CFG22 EQU 0x40010056 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG23 +CYREG_B0_P0_U0_CFG23 EQU 0x40010057 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG24 +CYREG_B0_P0_U0_CFG24 EQU 0x40010058 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG25 +CYREG_B0_P0_U0_CFG25 EQU 0x40010059 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG26 +CYREG_B0_P0_U0_CFG26 EQU 0x4001005a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG27 +CYREG_B0_P0_U0_CFG27 EQU 0x4001005b + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG28 +CYREG_B0_P0_U0_CFG28 EQU 0x4001005c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG29 +CYREG_B0_P0_U0_CFG29 EQU 0x4001005d + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG30 +CYREG_B0_P0_U0_CFG30 EQU 0x4001005e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG31 +CYREG_B0_P0_U0_CFG31 EQU 0x4001005f + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG0 +CYREG_B0_P0_U0_DCFG0 EQU 0x40010060 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG1 +CYREG_B0_P0_U0_DCFG1 EQU 0x40010062 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG2 +CYREG_B0_P0_U0_DCFG2 EQU 0x40010064 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG3 +CYREG_B0_P0_U0_DCFG3 EQU 0x40010066 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG4 +CYREG_B0_P0_U0_DCFG4 EQU 0x40010068 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG5 +CYREG_B0_P0_U0_DCFG5 EQU 0x4001006a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG6 +CYREG_B0_P0_U0_DCFG6 EQU 0x4001006c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG7 +CYREG_B0_P0_U0_DCFG7 EQU 0x4001006e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_BASE +CYDEV_UCFG_B0_P0_U1_BASE EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_SIZE +CYDEV_UCFG_B0_P0_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT0 +CYREG_B0_P0_U1_PLD_IT0 EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT1 +CYREG_B0_P0_U1_PLD_IT1 EQU 0x40010084 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT2 +CYREG_B0_P0_U1_PLD_IT2 EQU 0x40010088 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT3 +CYREG_B0_P0_U1_PLD_IT3 EQU 0x4001008c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT4 +CYREG_B0_P0_U1_PLD_IT4 EQU 0x40010090 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT5 +CYREG_B0_P0_U1_PLD_IT5 EQU 0x40010094 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT6 +CYREG_B0_P0_U1_PLD_IT6 EQU 0x40010098 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT7 +CYREG_B0_P0_U1_PLD_IT7 EQU 0x4001009c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT8 +CYREG_B0_P0_U1_PLD_IT8 EQU 0x400100a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT9 +CYREG_B0_P0_U1_PLD_IT9 EQU 0x400100a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT10 +CYREG_B0_P0_U1_PLD_IT10 EQU 0x400100a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT11 +CYREG_B0_P0_U1_PLD_IT11 EQU 0x400100ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT0 +CYREG_B0_P0_U1_PLD_ORT0 EQU 0x400100b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT1 +CYREG_B0_P0_U1_PLD_ORT1 EQU 0x400100b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT2 +CYREG_B0_P0_U1_PLD_ORT2 EQU 0x400100b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT3 +CYREG_B0_P0_U1_PLD_ORT3 EQU 0x400100b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_CEN_CONST +CYREG_B0_P0_U1_MC_CFG_CEN_CONST EQU 0x400100b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_XORFB +CYREG_B0_P0_U1_MC_CFG_XORFB EQU 0x400100ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_SET_RESET +CYREG_B0_P0_U1_MC_CFG_SET_RESET EQU 0x400100bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_BYPASS +CYREG_B0_P0_U1_MC_CFG_BYPASS EQU 0x400100be + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG0 +CYREG_B0_P0_U1_CFG0 EQU 0x400100c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG1 +CYREG_B0_P0_U1_CFG1 EQU 0x400100c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG2 +CYREG_B0_P0_U1_CFG2 EQU 0x400100c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG3 +CYREG_B0_P0_U1_CFG3 EQU 0x400100c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG4 +CYREG_B0_P0_U1_CFG4 EQU 0x400100c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG5 +CYREG_B0_P0_U1_CFG5 EQU 0x400100c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG6 +CYREG_B0_P0_U1_CFG6 EQU 0x400100c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG7 +CYREG_B0_P0_U1_CFG7 EQU 0x400100c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG8 +CYREG_B0_P0_U1_CFG8 EQU 0x400100c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG9 +CYREG_B0_P0_U1_CFG9 EQU 0x400100c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG10 +CYREG_B0_P0_U1_CFG10 EQU 0x400100ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG11 +CYREG_B0_P0_U1_CFG11 EQU 0x400100cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG12 +CYREG_B0_P0_U1_CFG12 EQU 0x400100cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG13 +CYREG_B0_P0_U1_CFG13 EQU 0x400100cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG14 +CYREG_B0_P0_U1_CFG14 EQU 0x400100ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG15 +CYREG_B0_P0_U1_CFG15 EQU 0x400100cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG16 +CYREG_B0_P0_U1_CFG16 EQU 0x400100d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG17 +CYREG_B0_P0_U1_CFG17 EQU 0x400100d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG18 +CYREG_B0_P0_U1_CFG18 EQU 0x400100d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG19 +CYREG_B0_P0_U1_CFG19 EQU 0x400100d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG20 +CYREG_B0_P0_U1_CFG20 EQU 0x400100d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG21 +CYREG_B0_P0_U1_CFG21 EQU 0x400100d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG22 +CYREG_B0_P0_U1_CFG22 EQU 0x400100d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG23 +CYREG_B0_P0_U1_CFG23 EQU 0x400100d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG24 +CYREG_B0_P0_U1_CFG24 EQU 0x400100d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG25 +CYREG_B0_P0_U1_CFG25 EQU 0x400100d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG26 +CYREG_B0_P0_U1_CFG26 EQU 0x400100da + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG27 +CYREG_B0_P0_U1_CFG27 EQU 0x400100db + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG28 +CYREG_B0_P0_U1_CFG28 EQU 0x400100dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG29 +CYREG_B0_P0_U1_CFG29 EQU 0x400100dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG30 +CYREG_B0_P0_U1_CFG30 EQU 0x400100de + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG31 +CYREG_B0_P0_U1_CFG31 EQU 0x400100df + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG0 +CYREG_B0_P0_U1_DCFG0 EQU 0x400100e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG1 +CYREG_B0_P0_U1_DCFG1 EQU 0x400100e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG2 +CYREG_B0_P0_U1_DCFG2 EQU 0x400100e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG3 +CYREG_B0_P0_U1_DCFG3 EQU 0x400100e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG4 +CYREG_B0_P0_U1_DCFG4 EQU 0x400100e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG5 +CYREG_B0_P0_U1_DCFG5 EQU 0x400100ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG6 +CYREG_B0_P0_U1_DCFG6 EQU 0x400100ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG7 +CYREG_B0_P0_U1_DCFG7 EQU 0x400100ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_BASE +CYDEV_UCFG_B0_P0_ROUTE_BASE EQU 0x40010100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_SIZE +CYDEV_UCFG_B0_P0_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_BASE +CYDEV_UCFG_B0_P1_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_SIZE +CYDEV_UCFG_B0_P1_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_BASE +CYDEV_UCFG_B0_P1_U0_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_SIZE +CYDEV_UCFG_B0_P1_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT0 +CYREG_B0_P1_U0_PLD_IT0 EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT1 +CYREG_B0_P1_U0_PLD_IT1 EQU 0x40010204 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT2 +CYREG_B0_P1_U0_PLD_IT2 EQU 0x40010208 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT3 +CYREG_B0_P1_U0_PLD_IT3 EQU 0x4001020c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT4 +CYREG_B0_P1_U0_PLD_IT4 EQU 0x40010210 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT5 +CYREG_B0_P1_U0_PLD_IT5 EQU 0x40010214 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT6 +CYREG_B0_P1_U0_PLD_IT6 EQU 0x40010218 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT7 +CYREG_B0_P1_U0_PLD_IT7 EQU 0x4001021c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT8 +CYREG_B0_P1_U0_PLD_IT8 EQU 0x40010220 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT9 +CYREG_B0_P1_U0_PLD_IT9 EQU 0x40010224 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT10 +CYREG_B0_P1_U0_PLD_IT10 EQU 0x40010228 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT11 +CYREG_B0_P1_U0_PLD_IT11 EQU 0x4001022c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT0 +CYREG_B0_P1_U0_PLD_ORT0 EQU 0x40010230 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT1 +CYREG_B0_P1_U0_PLD_ORT1 EQU 0x40010232 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT2 +CYREG_B0_P1_U0_PLD_ORT2 EQU 0x40010234 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT3 +CYREG_B0_P1_U0_PLD_ORT3 EQU 0x40010236 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_CEN_CONST +CYREG_B0_P1_U0_MC_CFG_CEN_CONST EQU 0x40010238 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_XORFB +CYREG_B0_P1_U0_MC_CFG_XORFB EQU 0x4001023a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_SET_RESET +CYREG_B0_P1_U0_MC_CFG_SET_RESET EQU 0x4001023c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_BYPASS +CYREG_B0_P1_U0_MC_CFG_BYPASS EQU 0x4001023e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG0 +CYREG_B0_P1_U0_CFG0 EQU 0x40010240 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG1 +CYREG_B0_P1_U0_CFG1 EQU 0x40010241 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG2 +CYREG_B0_P1_U0_CFG2 EQU 0x40010242 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG3 +CYREG_B0_P1_U0_CFG3 EQU 0x40010243 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG4 +CYREG_B0_P1_U0_CFG4 EQU 0x40010244 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG5 +CYREG_B0_P1_U0_CFG5 EQU 0x40010245 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG6 +CYREG_B0_P1_U0_CFG6 EQU 0x40010246 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG7 +CYREG_B0_P1_U0_CFG7 EQU 0x40010247 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG8 +CYREG_B0_P1_U0_CFG8 EQU 0x40010248 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG9 +CYREG_B0_P1_U0_CFG9 EQU 0x40010249 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG10 +CYREG_B0_P1_U0_CFG10 EQU 0x4001024a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG11 +CYREG_B0_P1_U0_CFG11 EQU 0x4001024b + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG12 +CYREG_B0_P1_U0_CFG12 EQU 0x4001024c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG13 +CYREG_B0_P1_U0_CFG13 EQU 0x4001024d + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG14 +CYREG_B0_P1_U0_CFG14 EQU 0x4001024e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG15 +CYREG_B0_P1_U0_CFG15 EQU 0x4001024f + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG16 +CYREG_B0_P1_U0_CFG16 EQU 0x40010250 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG17 +CYREG_B0_P1_U0_CFG17 EQU 0x40010251 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG18 +CYREG_B0_P1_U0_CFG18 EQU 0x40010252 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG19 +CYREG_B0_P1_U0_CFG19 EQU 0x40010253 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG20 +CYREG_B0_P1_U0_CFG20 EQU 0x40010254 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG21 +CYREG_B0_P1_U0_CFG21 EQU 0x40010255 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG22 +CYREG_B0_P1_U0_CFG22 EQU 0x40010256 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG23 +CYREG_B0_P1_U0_CFG23 EQU 0x40010257 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG24 +CYREG_B0_P1_U0_CFG24 EQU 0x40010258 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG25 +CYREG_B0_P1_U0_CFG25 EQU 0x40010259 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG26 +CYREG_B0_P1_U0_CFG26 EQU 0x4001025a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG27 +CYREG_B0_P1_U0_CFG27 EQU 0x4001025b + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG28 +CYREG_B0_P1_U0_CFG28 EQU 0x4001025c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG29 +CYREG_B0_P1_U0_CFG29 EQU 0x4001025d + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG30 +CYREG_B0_P1_U0_CFG30 EQU 0x4001025e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG31 +CYREG_B0_P1_U0_CFG31 EQU 0x4001025f + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG0 +CYREG_B0_P1_U0_DCFG0 EQU 0x40010260 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG1 +CYREG_B0_P1_U0_DCFG1 EQU 0x40010262 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG2 +CYREG_B0_P1_U0_DCFG2 EQU 0x40010264 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG3 +CYREG_B0_P1_U0_DCFG3 EQU 0x40010266 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG4 +CYREG_B0_P1_U0_DCFG4 EQU 0x40010268 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG5 +CYREG_B0_P1_U0_DCFG5 EQU 0x4001026a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG6 +CYREG_B0_P1_U0_DCFG6 EQU 0x4001026c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG7 +CYREG_B0_P1_U0_DCFG7 EQU 0x4001026e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_BASE +CYDEV_UCFG_B0_P1_U1_BASE EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_SIZE +CYDEV_UCFG_B0_P1_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT0 +CYREG_B0_P1_U1_PLD_IT0 EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT1 +CYREG_B0_P1_U1_PLD_IT1 EQU 0x40010284 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT2 +CYREG_B0_P1_U1_PLD_IT2 EQU 0x40010288 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT3 +CYREG_B0_P1_U1_PLD_IT3 EQU 0x4001028c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT4 +CYREG_B0_P1_U1_PLD_IT4 EQU 0x40010290 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT5 +CYREG_B0_P1_U1_PLD_IT5 EQU 0x40010294 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT6 +CYREG_B0_P1_U1_PLD_IT6 EQU 0x40010298 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT7 +CYREG_B0_P1_U1_PLD_IT7 EQU 0x4001029c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT8 +CYREG_B0_P1_U1_PLD_IT8 EQU 0x400102a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT9 +CYREG_B0_P1_U1_PLD_IT9 EQU 0x400102a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT10 +CYREG_B0_P1_U1_PLD_IT10 EQU 0x400102a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT11 +CYREG_B0_P1_U1_PLD_IT11 EQU 0x400102ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT0 +CYREG_B0_P1_U1_PLD_ORT0 EQU 0x400102b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT1 +CYREG_B0_P1_U1_PLD_ORT1 EQU 0x400102b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT2 +CYREG_B0_P1_U1_PLD_ORT2 EQU 0x400102b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT3 +CYREG_B0_P1_U1_PLD_ORT3 EQU 0x400102b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_CEN_CONST +CYREG_B0_P1_U1_MC_CFG_CEN_CONST EQU 0x400102b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_XORFB +CYREG_B0_P1_U1_MC_CFG_XORFB EQU 0x400102ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_SET_RESET +CYREG_B0_P1_U1_MC_CFG_SET_RESET EQU 0x400102bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_BYPASS +CYREG_B0_P1_U1_MC_CFG_BYPASS EQU 0x400102be + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG0 +CYREG_B0_P1_U1_CFG0 EQU 0x400102c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG1 +CYREG_B0_P1_U1_CFG1 EQU 0x400102c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG2 +CYREG_B0_P1_U1_CFG2 EQU 0x400102c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG3 +CYREG_B0_P1_U1_CFG3 EQU 0x400102c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG4 +CYREG_B0_P1_U1_CFG4 EQU 0x400102c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG5 +CYREG_B0_P1_U1_CFG5 EQU 0x400102c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG6 +CYREG_B0_P1_U1_CFG6 EQU 0x400102c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG7 +CYREG_B0_P1_U1_CFG7 EQU 0x400102c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG8 +CYREG_B0_P1_U1_CFG8 EQU 0x400102c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG9 +CYREG_B0_P1_U1_CFG9 EQU 0x400102c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG10 +CYREG_B0_P1_U1_CFG10 EQU 0x400102ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG11 +CYREG_B0_P1_U1_CFG11 EQU 0x400102cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG12 +CYREG_B0_P1_U1_CFG12 EQU 0x400102cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG13 +CYREG_B0_P1_U1_CFG13 EQU 0x400102cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG14 +CYREG_B0_P1_U1_CFG14 EQU 0x400102ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG15 +CYREG_B0_P1_U1_CFG15 EQU 0x400102cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG16 +CYREG_B0_P1_U1_CFG16 EQU 0x400102d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG17 +CYREG_B0_P1_U1_CFG17 EQU 0x400102d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG18 +CYREG_B0_P1_U1_CFG18 EQU 0x400102d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG19 +CYREG_B0_P1_U1_CFG19 EQU 0x400102d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG20 +CYREG_B0_P1_U1_CFG20 EQU 0x400102d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG21 +CYREG_B0_P1_U1_CFG21 EQU 0x400102d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG22 +CYREG_B0_P1_U1_CFG22 EQU 0x400102d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG23 +CYREG_B0_P1_U1_CFG23 EQU 0x400102d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG24 +CYREG_B0_P1_U1_CFG24 EQU 0x400102d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG25 +CYREG_B0_P1_U1_CFG25 EQU 0x400102d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG26 +CYREG_B0_P1_U1_CFG26 EQU 0x400102da + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG27 +CYREG_B0_P1_U1_CFG27 EQU 0x400102db + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG28 +CYREG_B0_P1_U1_CFG28 EQU 0x400102dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG29 +CYREG_B0_P1_U1_CFG29 EQU 0x400102dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG30 +CYREG_B0_P1_U1_CFG30 EQU 0x400102de + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG31 +CYREG_B0_P1_U1_CFG31 EQU 0x400102df + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG0 +CYREG_B0_P1_U1_DCFG0 EQU 0x400102e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG1 +CYREG_B0_P1_U1_DCFG1 EQU 0x400102e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG2 +CYREG_B0_P1_U1_DCFG2 EQU 0x400102e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG3 +CYREG_B0_P1_U1_DCFG3 EQU 0x400102e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG4 +CYREG_B0_P1_U1_DCFG4 EQU 0x400102e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG5 +CYREG_B0_P1_U1_DCFG5 EQU 0x400102ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG6 +CYREG_B0_P1_U1_DCFG6 EQU 0x400102ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG7 +CYREG_B0_P1_U1_DCFG7 EQU 0x400102ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_BASE +CYDEV_UCFG_B0_P1_ROUTE_BASE EQU 0x40010300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_SIZE +CYDEV_UCFG_B0_P1_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_BASE +CYDEV_UCFG_B0_P2_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_SIZE +CYDEV_UCFG_B0_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_BASE +CYDEV_UCFG_B0_P2_U0_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_SIZE +CYDEV_UCFG_B0_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT0 +CYREG_B0_P2_U0_PLD_IT0 EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT1 +CYREG_B0_P2_U0_PLD_IT1 EQU 0x40010404 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT2 +CYREG_B0_P2_U0_PLD_IT2 EQU 0x40010408 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT3 +CYREG_B0_P2_U0_PLD_IT3 EQU 0x4001040c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT4 +CYREG_B0_P2_U0_PLD_IT4 EQU 0x40010410 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT5 +CYREG_B0_P2_U0_PLD_IT5 EQU 0x40010414 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT6 +CYREG_B0_P2_U0_PLD_IT6 EQU 0x40010418 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT7 +CYREG_B0_P2_U0_PLD_IT7 EQU 0x4001041c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT8 +CYREG_B0_P2_U0_PLD_IT8 EQU 0x40010420 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT9 +CYREG_B0_P2_U0_PLD_IT9 EQU 0x40010424 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT10 +CYREG_B0_P2_U0_PLD_IT10 EQU 0x40010428 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT11 +CYREG_B0_P2_U0_PLD_IT11 EQU 0x4001042c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT0 +CYREG_B0_P2_U0_PLD_ORT0 EQU 0x40010430 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT1 +CYREG_B0_P2_U0_PLD_ORT1 EQU 0x40010432 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT2 +CYREG_B0_P2_U0_PLD_ORT2 EQU 0x40010434 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT3 +CYREG_B0_P2_U0_PLD_ORT3 EQU 0x40010436 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_CEN_CONST +CYREG_B0_P2_U0_MC_CFG_CEN_CONST EQU 0x40010438 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_XORFB +CYREG_B0_P2_U0_MC_CFG_XORFB EQU 0x4001043a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_SET_RESET +CYREG_B0_P2_U0_MC_CFG_SET_RESET EQU 0x4001043c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_BYPASS +CYREG_B0_P2_U0_MC_CFG_BYPASS EQU 0x4001043e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG0 +CYREG_B0_P2_U0_CFG0 EQU 0x40010440 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG1 +CYREG_B0_P2_U0_CFG1 EQU 0x40010441 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG2 +CYREG_B0_P2_U0_CFG2 EQU 0x40010442 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG3 +CYREG_B0_P2_U0_CFG3 EQU 0x40010443 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG4 +CYREG_B0_P2_U0_CFG4 EQU 0x40010444 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG5 +CYREG_B0_P2_U0_CFG5 EQU 0x40010445 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG6 +CYREG_B0_P2_U0_CFG6 EQU 0x40010446 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG7 +CYREG_B0_P2_U0_CFG7 EQU 0x40010447 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG8 +CYREG_B0_P2_U0_CFG8 EQU 0x40010448 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG9 +CYREG_B0_P2_U0_CFG9 EQU 0x40010449 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG10 +CYREG_B0_P2_U0_CFG10 EQU 0x4001044a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG11 +CYREG_B0_P2_U0_CFG11 EQU 0x4001044b + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG12 +CYREG_B0_P2_U0_CFG12 EQU 0x4001044c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG13 +CYREG_B0_P2_U0_CFG13 EQU 0x4001044d + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG14 +CYREG_B0_P2_U0_CFG14 EQU 0x4001044e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG15 +CYREG_B0_P2_U0_CFG15 EQU 0x4001044f + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG16 +CYREG_B0_P2_U0_CFG16 EQU 0x40010450 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG17 +CYREG_B0_P2_U0_CFG17 EQU 0x40010451 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG18 +CYREG_B0_P2_U0_CFG18 EQU 0x40010452 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG19 +CYREG_B0_P2_U0_CFG19 EQU 0x40010453 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG20 +CYREG_B0_P2_U0_CFG20 EQU 0x40010454 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG21 +CYREG_B0_P2_U0_CFG21 EQU 0x40010455 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG22 +CYREG_B0_P2_U0_CFG22 EQU 0x40010456 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG23 +CYREG_B0_P2_U0_CFG23 EQU 0x40010457 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG24 +CYREG_B0_P2_U0_CFG24 EQU 0x40010458 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG25 +CYREG_B0_P2_U0_CFG25 EQU 0x40010459 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG26 +CYREG_B0_P2_U0_CFG26 EQU 0x4001045a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG27 +CYREG_B0_P2_U0_CFG27 EQU 0x4001045b + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG28 +CYREG_B0_P2_U0_CFG28 EQU 0x4001045c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG29 +CYREG_B0_P2_U0_CFG29 EQU 0x4001045d + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG30 +CYREG_B0_P2_U0_CFG30 EQU 0x4001045e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG31 +CYREG_B0_P2_U0_CFG31 EQU 0x4001045f + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG0 +CYREG_B0_P2_U0_DCFG0 EQU 0x40010460 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG1 +CYREG_B0_P2_U0_DCFG1 EQU 0x40010462 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG2 +CYREG_B0_P2_U0_DCFG2 EQU 0x40010464 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG3 +CYREG_B0_P2_U0_DCFG3 EQU 0x40010466 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG4 +CYREG_B0_P2_U0_DCFG4 EQU 0x40010468 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG5 +CYREG_B0_P2_U0_DCFG5 EQU 0x4001046a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG6 +CYREG_B0_P2_U0_DCFG6 EQU 0x4001046c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG7 +CYREG_B0_P2_U0_DCFG7 EQU 0x4001046e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_BASE +CYDEV_UCFG_B0_P2_U1_BASE EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_SIZE +CYDEV_UCFG_B0_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT0 +CYREG_B0_P2_U1_PLD_IT0 EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT1 +CYREG_B0_P2_U1_PLD_IT1 EQU 0x40010484 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT2 +CYREG_B0_P2_U1_PLD_IT2 EQU 0x40010488 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT3 +CYREG_B0_P2_U1_PLD_IT3 EQU 0x4001048c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT4 +CYREG_B0_P2_U1_PLD_IT4 EQU 0x40010490 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT5 +CYREG_B0_P2_U1_PLD_IT5 EQU 0x40010494 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT6 +CYREG_B0_P2_U1_PLD_IT6 EQU 0x40010498 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT7 +CYREG_B0_P2_U1_PLD_IT7 EQU 0x4001049c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT8 +CYREG_B0_P2_U1_PLD_IT8 EQU 0x400104a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT9 +CYREG_B0_P2_U1_PLD_IT9 EQU 0x400104a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT10 +CYREG_B0_P2_U1_PLD_IT10 EQU 0x400104a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT11 +CYREG_B0_P2_U1_PLD_IT11 EQU 0x400104ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT0 +CYREG_B0_P2_U1_PLD_ORT0 EQU 0x400104b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT1 +CYREG_B0_P2_U1_PLD_ORT1 EQU 0x400104b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT2 +CYREG_B0_P2_U1_PLD_ORT2 EQU 0x400104b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT3 +CYREG_B0_P2_U1_PLD_ORT3 EQU 0x400104b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_CEN_CONST +CYREG_B0_P2_U1_MC_CFG_CEN_CONST EQU 0x400104b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_XORFB +CYREG_B0_P2_U1_MC_CFG_XORFB EQU 0x400104ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_SET_RESET +CYREG_B0_P2_U1_MC_CFG_SET_RESET EQU 0x400104bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_BYPASS +CYREG_B0_P2_U1_MC_CFG_BYPASS EQU 0x400104be + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG0 +CYREG_B0_P2_U1_CFG0 EQU 0x400104c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG1 +CYREG_B0_P2_U1_CFG1 EQU 0x400104c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG2 +CYREG_B0_P2_U1_CFG2 EQU 0x400104c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG3 +CYREG_B0_P2_U1_CFG3 EQU 0x400104c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG4 +CYREG_B0_P2_U1_CFG4 EQU 0x400104c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG5 +CYREG_B0_P2_U1_CFG5 EQU 0x400104c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG6 +CYREG_B0_P2_U1_CFG6 EQU 0x400104c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG7 +CYREG_B0_P2_U1_CFG7 EQU 0x400104c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG8 +CYREG_B0_P2_U1_CFG8 EQU 0x400104c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG9 +CYREG_B0_P2_U1_CFG9 EQU 0x400104c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG10 +CYREG_B0_P2_U1_CFG10 EQU 0x400104ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG11 +CYREG_B0_P2_U1_CFG11 EQU 0x400104cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG12 +CYREG_B0_P2_U1_CFG12 EQU 0x400104cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG13 +CYREG_B0_P2_U1_CFG13 EQU 0x400104cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG14 +CYREG_B0_P2_U1_CFG14 EQU 0x400104ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG15 +CYREG_B0_P2_U1_CFG15 EQU 0x400104cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG16 +CYREG_B0_P2_U1_CFG16 EQU 0x400104d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG17 +CYREG_B0_P2_U1_CFG17 EQU 0x400104d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG18 +CYREG_B0_P2_U1_CFG18 EQU 0x400104d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG19 +CYREG_B0_P2_U1_CFG19 EQU 0x400104d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG20 +CYREG_B0_P2_U1_CFG20 EQU 0x400104d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG21 +CYREG_B0_P2_U1_CFG21 EQU 0x400104d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG22 +CYREG_B0_P2_U1_CFG22 EQU 0x400104d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG23 +CYREG_B0_P2_U1_CFG23 EQU 0x400104d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG24 +CYREG_B0_P2_U1_CFG24 EQU 0x400104d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG25 +CYREG_B0_P2_U1_CFG25 EQU 0x400104d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG26 +CYREG_B0_P2_U1_CFG26 EQU 0x400104da + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG27 +CYREG_B0_P2_U1_CFG27 EQU 0x400104db + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG28 +CYREG_B0_P2_U1_CFG28 EQU 0x400104dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG29 +CYREG_B0_P2_U1_CFG29 EQU 0x400104dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG30 +CYREG_B0_P2_U1_CFG30 EQU 0x400104de + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG31 +CYREG_B0_P2_U1_CFG31 EQU 0x400104df + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG0 +CYREG_B0_P2_U1_DCFG0 EQU 0x400104e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG1 +CYREG_B0_P2_U1_DCFG1 EQU 0x400104e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG2 +CYREG_B0_P2_U1_DCFG2 EQU 0x400104e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG3 +CYREG_B0_P2_U1_DCFG3 EQU 0x400104e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG4 +CYREG_B0_P2_U1_DCFG4 EQU 0x400104e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG5 +CYREG_B0_P2_U1_DCFG5 EQU 0x400104ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG6 +CYREG_B0_P2_U1_DCFG6 EQU 0x400104ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG7 +CYREG_B0_P2_U1_DCFG7 EQU 0x400104ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_BASE +CYDEV_UCFG_B0_P2_ROUTE_BASE EQU 0x40010500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_SIZE +CYDEV_UCFG_B0_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_BASE +CYDEV_UCFG_B0_P3_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_SIZE +CYDEV_UCFG_B0_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_BASE +CYDEV_UCFG_B0_P3_U0_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_SIZE +CYDEV_UCFG_B0_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT0 +CYREG_B0_P3_U0_PLD_IT0 EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT1 +CYREG_B0_P3_U0_PLD_IT1 EQU 0x40010604 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT2 +CYREG_B0_P3_U0_PLD_IT2 EQU 0x40010608 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT3 +CYREG_B0_P3_U0_PLD_IT3 EQU 0x4001060c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT4 +CYREG_B0_P3_U0_PLD_IT4 EQU 0x40010610 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT5 +CYREG_B0_P3_U0_PLD_IT5 EQU 0x40010614 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT6 +CYREG_B0_P3_U0_PLD_IT6 EQU 0x40010618 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT7 +CYREG_B0_P3_U0_PLD_IT7 EQU 0x4001061c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT8 +CYREG_B0_P3_U0_PLD_IT8 EQU 0x40010620 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT9 +CYREG_B0_P3_U0_PLD_IT9 EQU 0x40010624 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT10 +CYREG_B0_P3_U0_PLD_IT10 EQU 0x40010628 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT11 +CYREG_B0_P3_U0_PLD_IT11 EQU 0x4001062c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT0 +CYREG_B0_P3_U0_PLD_ORT0 EQU 0x40010630 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT1 +CYREG_B0_P3_U0_PLD_ORT1 EQU 0x40010632 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT2 +CYREG_B0_P3_U0_PLD_ORT2 EQU 0x40010634 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT3 +CYREG_B0_P3_U0_PLD_ORT3 EQU 0x40010636 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_CEN_CONST +CYREG_B0_P3_U0_MC_CFG_CEN_CONST EQU 0x40010638 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_XORFB +CYREG_B0_P3_U0_MC_CFG_XORFB EQU 0x4001063a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_SET_RESET +CYREG_B0_P3_U0_MC_CFG_SET_RESET EQU 0x4001063c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_BYPASS +CYREG_B0_P3_U0_MC_CFG_BYPASS EQU 0x4001063e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG0 +CYREG_B0_P3_U0_CFG0 EQU 0x40010640 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG1 +CYREG_B0_P3_U0_CFG1 EQU 0x40010641 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG2 +CYREG_B0_P3_U0_CFG2 EQU 0x40010642 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG3 +CYREG_B0_P3_U0_CFG3 EQU 0x40010643 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG4 +CYREG_B0_P3_U0_CFG4 EQU 0x40010644 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG5 +CYREG_B0_P3_U0_CFG5 EQU 0x40010645 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG6 +CYREG_B0_P3_U0_CFG6 EQU 0x40010646 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG7 +CYREG_B0_P3_U0_CFG7 EQU 0x40010647 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG8 +CYREG_B0_P3_U0_CFG8 EQU 0x40010648 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG9 +CYREG_B0_P3_U0_CFG9 EQU 0x40010649 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG10 +CYREG_B0_P3_U0_CFG10 EQU 0x4001064a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG11 +CYREG_B0_P3_U0_CFG11 EQU 0x4001064b + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG12 +CYREG_B0_P3_U0_CFG12 EQU 0x4001064c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG13 +CYREG_B0_P3_U0_CFG13 EQU 0x4001064d + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG14 +CYREG_B0_P3_U0_CFG14 EQU 0x4001064e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG15 +CYREG_B0_P3_U0_CFG15 EQU 0x4001064f + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG16 +CYREG_B0_P3_U0_CFG16 EQU 0x40010650 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG17 +CYREG_B0_P3_U0_CFG17 EQU 0x40010651 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG18 +CYREG_B0_P3_U0_CFG18 EQU 0x40010652 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG19 +CYREG_B0_P3_U0_CFG19 EQU 0x40010653 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG20 +CYREG_B0_P3_U0_CFG20 EQU 0x40010654 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG21 +CYREG_B0_P3_U0_CFG21 EQU 0x40010655 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG22 +CYREG_B0_P3_U0_CFG22 EQU 0x40010656 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG23 +CYREG_B0_P3_U0_CFG23 EQU 0x40010657 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG24 +CYREG_B0_P3_U0_CFG24 EQU 0x40010658 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG25 +CYREG_B0_P3_U0_CFG25 EQU 0x40010659 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG26 +CYREG_B0_P3_U0_CFG26 EQU 0x4001065a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG27 +CYREG_B0_P3_U0_CFG27 EQU 0x4001065b + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG28 +CYREG_B0_P3_U0_CFG28 EQU 0x4001065c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG29 +CYREG_B0_P3_U0_CFG29 EQU 0x4001065d + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG30 +CYREG_B0_P3_U0_CFG30 EQU 0x4001065e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG31 +CYREG_B0_P3_U0_CFG31 EQU 0x4001065f + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG0 +CYREG_B0_P3_U0_DCFG0 EQU 0x40010660 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG1 +CYREG_B0_P3_U0_DCFG1 EQU 0x40010662 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG2 +CYREG_B0_P3_U0_DCFG2 EQU 0x40010664 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG3 +CYREG_B0_P3_U0_DCFG3 EQU 0x40010666 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG4 +CYREG_B0_P3_U0_DCFG4 EQU 0x40010668 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG5 +CYREG_B0_P3_U0_DCFG5 EQU 0x4001066a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG6 +CYREG_B0_P3_U0_DCFG6 EQU 0x4001066c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG7 +CYREG_B0_P3_U0_DCFG7 EQU 0x4001066e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_BASE +CYDEV_UCFG_B0_P3_U1_BASE EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_SIZE +CYDEV_UCFG_B0_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT0 +CYREG_B0_P3_U1_PLD_IT0 EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT1 +CYREG_B0_P3_U1_PLD_IT1 EQU 0x40010684 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT2 +CYREG_B0_P3_U1_PLD_IT2 EQU 0x40010688 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT3 +CYREG_B0_P3_U1_PLD_IT3 EQU 0x4001068c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT4 +CYREG_B0_P3_U1_PLD_IT4 EQU 0x40010690 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT5 +CYREG_B0_P3_U1_PLD_IT5 EQU 0x40010694 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT6 +CYREG_B0_P3_U1_PLD_IT6 EQU 0x40010698 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT7 +CYREG_B0_P3_U1_PLD_IT7 EQU 0x4001069c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT8 +CYREG_B0_P3_U1_PLD_IT8 EQU 0x400106a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT9 +CYREG_B0_P3_U1_PLD_IT9 EQU 0x400106a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT10 +CYREG_B0_P3_U1_PLD_IT10 EQU 0x400106a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT11 +CYREG_B0_P3_U1_PLD_IT11 EQU 0x400106ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT0 +CYREG_B0_P3_U1_PLD_ORT0 EQU 0x400106b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT1 +CYREG_B0_P3_U1_PLD_ORT1 EQU 0x400106b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT2 +CYREG_B0_P3_U1_PLD_ORT2 EQU 0x400106b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT3 +CYREG_B0_P3_U1_PLD_ORT3 EQU 0x400106b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_CEN_CONST +CYREG_B0_P3_U1_MC_CFG_CEN_CONST EQU 0x400106b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_XORFB +CYREG_B0_P3_U1_MC_CFG_XORFB EQU 0x400106ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_SET_RESET +CYREG_B0_P3_U1_MC_CFG_SET_RESET EQU 0x400106bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_BYPASS +CYREG_B0_P3_U1_MC_CFG_BYPASS EQU 0x400106be + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG0 +CYREG_B0_P3_U1_CFG0 EQU 0x400106c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG1 +CYREG_B0_P3_U1_CFG1 EQU 0x400106c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG2 +CYREG_B0_P3_U1_CFG2 EQU 0x400106c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG3 +CYREG_B0_P3_U1_CFG3 EQU 0x400106c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG4 +CYREG_B0_P3_U1_CFG4 EQU 0x400106c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG5 +CYREG_B0_P3_U1_CFG5 EQU 0x400106c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG6 +CYREG_B0_P3_U1_CFG6 EQU 0x400106c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG7 +CYREG_B0_P3_U1_CFG7 EQU 0x400106c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG8 +CYREG_B0_P3_U1_CFG8 EQU 0x400106c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG9 +CYREG_B0_P3_U1_CFG9 EQU 0x400106c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG10 +CYREG_B0_P3_U1_CFG10 EQU 0x400106ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG11 +CYREG_B0_P3_U1_CFG11 EQU 0x400106cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG12 +CYREG_B0_P3_U1_CFG12 EQU 0x400106cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG13 +CYREG_B0_P3_U1_CFG13 EQU 0x400106cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG14 +CYREG_B0_P3_U1_CFG14 EQU 0x400106ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG15 +CYREG_B0_P3_U1_CFG15 EQU 0x400106cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG16 +CYREG_B0_P3_U1_CFG16 EQU 0x400106d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG17 +CYREG_B0_P3_U1_CFG17 EQU 0x400106d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG18 +CYREG_B0_P3_U1_CFG18 EQU 0x400106d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG19 +CYREG_B0_P3_U1_CFG19 EQU 0x400106d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG20 +CYREG_B0_P3_U1_CFG20 EQU 0x400106d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG21 +CYREG_B0_P3_U1_CFG21 EQU 0x400106d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG22 +CYREG_B0_P3_U1_CFG22 EQU 0x400106d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG23 +CYREG_B0_P3_U1_CFG23 EQU 0x400106d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG24 +CYREG_B0_P3_U1_CFG24 EQU 0x400106d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG25 +CYREG_B0_P3_U1_CFG25 EQU 0x400106d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG26 +CYREG_B0_P3_U1_CFG26 EQU 0x400106da + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG27 +CYREG_B0_P3_U1_CFG27 EQU 0x400106db + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG28 +CYREG_B0_P3_U1_CFG28 EQU 0x400106dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG29 +CYREG_B0_P3_U1_CFG29 EQU 0x400106dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG30 +CYREG_B0_P3_U1_CFG30 EQU 0x400106de + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG31 +CYREG_B0_P3_U1_CFG31 EQU 0x400106df + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG0 +CYREG_B0_P3_U1_DCFG0 EQU 0x400106e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG1 +CYREG_B0_P3_U1_DCFG1 EQU 0x400106e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG2 +CYREG_B0_P3_U1_DCFG2 EQU 0x400106e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG3 +CYREG_B0_P3_U1_DCFG3 EQU 0x400106e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG4 +CYREG_B0_P3_U1_DCFG4 EQU 0x400106e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG5 +CYREG_B0_P3_U1_DCFG5 EQU 0x400106ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG6 +CYREG_B0_P3_U1_DCFG6 EQU 0x400106ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG7 +CYREG_B0_P3_U1_DCFG7 EQU 0x400106ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_BASE +CYDEV_UCFG_B0_P3_ROUTE_BASE EQU 0x40010700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_SIZE +CYDEV_UCFG_B0_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_BASE +CYDEV_UCFG_B0_P4_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_SIZE +CYDEV_UCFG_B0_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_BASE +CYDEV_UCFG_B0_P4_U0_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_SIZE +CYDEV_UCFG_B0_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT0 +CYREG_B0_P4_U0_PLD_IT0 EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT1 +CYREG_B0_P4_U0_PLD_IT1 EQU 0x40010804 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT2 +CYREG_B0_P4_U0_PLD_IT2 EQU 0x40010808 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT3 +CYREG_B0_P4_U0_PLD_IT3 EQU 0x4001080c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT4 +CYREG_B0_P4_U0_PLD_IT4 EQU 0x40010810 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT5 +CYREG_B0_P4_U0_PLD_IT5 EQU 0x40010814 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT6 +CYREG_B0_P4_U0_PLD_IT6 EQU 0x40010818 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT7 +CYREG_B0_P4_U0_PLD_IT7 EQU 0x4001081c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT8 +CYREG_B0_P4_U0_PLD_IT8 EQU 0x40010820 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT9 +CYREG_B0_P4_U0_PLD_IT9 EQU 0x40010824 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT10 +CYREG_B0_P4_U0_PLD_IT10 EQU 0x40010828 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT11 +CYREG_B0_P4_U0_PLD_IT11 EQU 0x4001082c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT0 +CYREG_B0_P4_U0_PLD_ORT0 EQU 0x40010830 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT1 +CYREG_B0_P4_U0_PLD_ORT1 EQU 0x40010832 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT2 +CYREG_B0_P4_U0_PLD_ORT2 EQU 0x40010834 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT3 +CYREG_B0_P4_U0_PLD_ORT3 EQU 0x40010836 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_CEN_CONST +CYREG_B0_P4_U0_MC_CFG_CEN_CONST EQU 0x40010838 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_XORFB +CYREG_B0_P4_U0_MC_CFG_XORFB EQU 0x4001083a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_SET_RESET +CYREG_B0_P4_U0_MC_CFG_SET_RESET EQU 0x4001083c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_BYPASS +CYREG_B0_P4_U0_MC_CFG_BYPASS EQU 0x4001083e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG0 +CYREG_B0_P4_U0_CFG0 EQU 0x40010840 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG1 +CYREG_B0_P4_U0_CFG1 EQU 0x40010841 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG2 +CYREG_B0_P4_U0_CFG2 EQU 0x40010842 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG3 +CYREG_B0_P4_U0_CFG3 EQU 0x40010843 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG4 +CYREG_B0_P4_U0_CFG4 EQU 0x40010844 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG5 +CYREG_B0_P4_U0_CFG5 EQU 0x40010845 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG6 +CYREG_B0_P4_U0_CFG6 EQU 0x40010846 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG7 +CYREG_B0_P4_U0_CFG7 EQU 0x40010847 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG8 +CYREG_B0_P4_U0_CFG8 EQU 0x40010848 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG9 +CYREG_B0_P4_U0_CFG9 EQU 0x40010849 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG10 +CYREG_B0_P4_U0_CFG10 EQU 0x4001084a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG11 +CYREG_B0_P4_U0_CFG11 EQU 0x4001084b + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG12 +CYREG_B0_P4_U0_CFG12 EQU 0x4001084c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG13 +CYREG_B0_P4_U0_CFG13 EQU 0x4001084d + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG14 +CYREG_B0_P4_U0_CFG14 EQU 0x4001084e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG15 +CYREG_B0_P4_U0_CFG15 EQU 0x4001084f + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG16 +CYREG_B0_P4_U0_CFG16 EQU 0x40010850 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG17 +CYREG_B0_P4_U0_CFG17 EQU 0x40010851 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG18 +CYREG_B0_P4_U0_CFG18 EQU 0x40010852 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG19 +CYREG_B0_P4_U0_CFG19 EQU 0x40010853 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG20 +CYREG_B0_P4_U0_CFG20 EQU 0x40010854 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG21 +CYREG_B0_P4_U0_CFG21 EQU 0x40010855 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG22 +CYREG_B0_P4_U0_CFG22 EQU 0x40010856 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG23 +CYREG_B0_P4_U0_CFG23 EQU 0x40010857 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG24 +CYREG_B0_P4_U0_CFG24 EQU 0x40010858 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG25 +CYREG_B0_P4_U0_CFG25 EQU 0x40010859 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG26 +CYREG_B0_P4_U0_CFG26 EQU 0x4001085a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG27 +CYREG_B0_P4_U0_CFG27 EQU 0x4001085b + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG28 +CYREG_B0_P4_U0_CFG28 EQU 0x4001085c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG29 +CYREG_B0_P4_U0_CFG29 EQU 0x4001085d + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG30 +CYREG_B0_P4_U0_CFG30 EQU 0x4001085e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG31 +CYREG_B0_P4_U0_CFG31 EQU 0x4001085f + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG0 +CYREG_B0_P4_U0_DCFG0 EQU 0x40010860 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG1 +CYREG_B0_P4_U0_DCFG1 EQU 0x40010862 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG2 +CYREG_B0_P4_U0_DCFG2 EQU 0x40010864 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG3 +CYREG_B0_P4_U0_DCFG3 EQU 0x40010866 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG4 +CYREG_B0_P4_U0_DCFG4 EQU 0x40010868 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG5 +CYREG_B0_P4_U0_DCFG5 EQU 0x4001086a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG6 +CYREG_B0_P4_U0_DCFG6 EQU 0x4001086c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG7 +CYREG_B0_P4_U0_DCFG7 EQU 0x4001086e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_BASE +CYDEV_UCFG_B0_P4_U1_BASE EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_SIZE +CYDEV_UCFG_B0_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT0 +CYREG_B0_P4_U1_PLD_IT0 EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT1 +CYREG_B0_P4_U1_PLD_IT1 EQU 0x40010884 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT2 +CYREG_B0_P4_U1_PLD_IT2 EQU 0x40010888 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT3 +CYREG_B0_P4_U1_PLD_IT3 EQU 0x4001088c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT4 +CYREG_B0_P4_U1_PLD_IT4 EQU 0x40010890 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT5 +CYREG_B0_P4_U1_PLD_IT5 EQU 0x40010894 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT6 +CYREG_B0_P4_U1_PLD_IT6 EQU 0x40010898 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT7 +CYREG_B0_P4_U1_PLD_IT7 EQU 0x4001089c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT8 +CYREG_B0_P4_U1_PLD_IT8 EQU 0x400108a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT9 +CYREG_B0_P4_U1_PLD_IT9 EQU 0x400108a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT10 +CYREG_B0_P4_U1_PLD_IT10 EQU 0x400108a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT11 +CYREG_B0_P4_U1_PLD_IT11 EQU 0x400108ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT0 +CYREG_B0_P4_U1_PLD_ORT0 EQU 0x400108b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT1 +CYREG_B0_P4_U1_PLD_ORT1 EQU 0x400108b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT2 +CYREG_B0_P4_U1_PLD_ORT2 EQU 0x400108b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT3 +CYREG_B0_P4_U1_PLD_ORT3 EQU 0x400108b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_CEN_CONST +CYREG_B0_P4_U1_MC_CFG_CEN_CONST EQU 0x400108b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_XORFB +CYREG_B0_P4_U1_MC_CFG_XORFB EQU 0x400108ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_SET_RESET +CYREG_B0_P4_U1_MC_CFG_SET_RESET EQU 0x400108bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_BYPASS +CYREG_B0_P4_U1_MC_CFG_BYPASS EQU 0x400108be + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG0 +CYREG_B0_P4_U1_CFG0 EQU 0x400108c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG1 +CYREG_B0_P4_U1_CFG1 EQU 0x400108c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG2 +CYREG_B0_P4_U1_CFG2 EQU 0x400108c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG3 +CYREG_B0_P4_U1_CFG3 EQU 0x400108c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG4 +CYREG_B0_P4_U1_CFG4 EQU 0x400108c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG5 +CYREG_B0_P4_U1_CFG5 EQU 0x400108c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG6 +CYREG_B0_P4_U1_CFG6 EQU 0x400108c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG7 +CYREG_B0_P4_U1_CFG7 EQU 0x400108c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG8 +CYREG_B0_P4_U1_CFG8 EQU 0x400108c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG9 +CYREG_B0_P4_U1_CFG9 EQU 0x400108c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG10 +CYREG_B0_P4_U1_CFG10 EQU 0x400108ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG11 +CYREG_B0_P4_U1_CFG11 EQU 0x400108cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG12 +CYREG_B0_P4_U1_CFG12 EQU 0x400108cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG13 +CYREG_B0_P4_U1_CFG13 EQU 0x400108cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG14 +CYREG_B0_P4_U1_CFG14 EQU 0x400108ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG15 +CYREG_B0_P4_U1_CFG15 EQU 0x400108cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG16 +CYREG_B0_P4_U1_CFG16 EQU 0x400108d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG17 +CYREG_B0_P4_U1_CFG17 EQU 0x400108d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG18 +CYREG_B0_P4_U1_CFG18 EQU 0x400108d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG19 +CYREG_B0_P4_U1_CFG19 EQU 0x400108d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG20 +CYREG_B0_P4_U1_CFG20 EQU 0x400108d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG21 +CYREG_B0_P4_U1_CFG21 EQU 0x400108d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG22 +CYREG_B0_P4_U1_CFG22 EQU 0x400108d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG23 +CYREG_B0_P4_U1_CFG23 EQU 0x400108d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG24 +CYREG_B0_P4_U1_CFG24 EQU 0x400108d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG25 +CYREG_B0_P4_U1_CFG25 EQU 0x400108d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG26 +CYREG_B0_P4_U1_CFG26 EQU 0x400108da + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG27 +CYREG_B0_P4_U1_CFG27 EQU 0x400108db + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG28 +CYREG_B0_P4_U1_CFG28 EQU 0x400108dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG29 +CYREG_B0_P4_U1_CFG29 EQU 0x400108dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG30 +CYREG_B0_P4_U1_CFG30 EQU 0x400108de + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG31 +CYREG_B0_P4_U1_CFG31 EQU 0x400108df + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG0 +CYREG_B0_P4_U1_DCFG0 EQU 0x400108e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG1 +CYREG_B0_P4_U1_DCFG1 EQU 0x400108e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG2 +CYREG_B0_P4_U1_DCFG2 EQU 0x400108e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG3 +CYREG_B0_P4_U1_DCFG3 EQU 0x400108e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG4 +CYREG_B0_P4_U1_DCFG4 EQU 0x400108e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG5 +CYREG_B0_P4_U1_DCFG5 EQU 0x400108ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG6 +CYREG_B0_P4_U1_DCFG6 EQU 0x400108ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG7 +CYREG_B0_P4_U1_DCFG7 EQU 0x400108ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_BASE +CYDEV_UCFG_B0_P4_ROUTE_BASE EQU 0x40010900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_SIZE +CYDEV_UCFG_B0_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_BASE +CYDEV_UCFG_B0_P5_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_SIZE +CYDEV_UCFG_B0_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_BASE +CYDEV_UCFG_B0_P5_U0_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_SIZE +CYDEV_UCFG_B0_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT0 +CYREG_B0_P5_U0_PLD_IT0 EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT1 +CYREG_B0_P5_U0_PLD_IT1 EQU 0x40010a04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT2 +CYREG_B0_P5_U0_PLD_IT2 EQU 0x40010a08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT3 +CYREG_B0_P5_U0_PLD_IT3 EQU 0x40010a0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT4 +CYREG_B0_P5_U0_PLD_IT4 EQU 0x40010a10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT5 +CYREG_B0_P5_U0_PLD_IT5 EQU 0x40010a14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT6 +CYREG_B0_P5_U0_PLD_IT6 EQU 0x40010a18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT7 +CYREG_B0_P5_U0_PLD_IT7 EQU 0x40010a1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT8 +CYREG_B0_P5_U0_PLD_IT8 EQU 0x40010a20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT9 +CYREG_B0_P5_U0_PLD_IT9 EQU 0x40010a24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT10 +CYREG_B0_P5_U0_PLD_IT10 EQU 0x40010a28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT11 +CYREG_B0_P5_U0_PLD_IT11 EQU 0x40010a2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT0 +CYREG_B0_P5_U0_PLD_ORT0 EQU 0x40010a30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT1 +CYREG_B0_P5_U0_PLD_ORT1 EQU 0x40010a32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT2 +CYREG_B0_P5_U0_PLD_ORT2 EQU 0x40010a34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT3 +CYREG_B0_P5_U0_PLD_ORT3 EQU 0x40010a36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_CEN_CONST +CYREG_B0_P5_U0_MC_CFG_CEN_CONST EQU 0x40010a38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_XORFB +CYREG_B0_P5_U0_MC_CFG_XORFB EQU 0x40010a3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_SET_RESET +CYREG_B0_P5_U0_MC_CFG_SET_RESET EQU 0x40010a3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_BYPASS +CYREG_B0_P5_U0_MC_CFG_BYPASS EQU 0x40010a3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG0 +CYREG_B0_P5_U0_CFG0 EQU 0x40010a40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG1 +CYREG_B0_P5_U0_CFG1 EQU 0x40010a41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG2 +CYREG_B0_P5_U0_CFG2 EQU 0x40010a42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG3 +CYREG_B0_P5_U0_CFG3 EQU 0x40010a43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG4 +CYREG_B0_P5_U0_CFG4 EQU 0x40010a44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG5 +CYREG_B0_P5_U0_CFG5 EQU 0x40010a45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG6 +CYREG_B0_P5_U0_CFG6 EQU 0x40010a46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG7 +CYREG_B0_P5_U0_CFG7 EQU 0x40010a47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG8 +CYREG_B0_P5_U0_CFG8 EQU 0x40010a48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG9 +CYREG_B0_P5_U0_CFG9 EQU 0x40010a49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG10 +CYREG_B0_P5_U0_CFG10 EQU 0x40010a4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG11 +CYREG_B0_P5_U0_CFG11 EQU 0x40010a4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG12 +CYREG_B0_P5_U0_CFG12 EQU 0x40010a4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG13 +CYREG_B0_P5_U0_CFG13 EQU 0x40010a4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG14 +CYREG_B0_P5_U0_CFG14 EQU 0x40010a4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG15 +CYREG_B0_P5_U0_CFG15 EQU 0x40010a4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG16 +CYREG_B0_P5_U0_CFG16 EQU 0x40010a50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG17 +CYREG_B0_P5_U0_CFG17 EQU 0x40010a51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG18 +CYREG_B0_P5_U0_CFG18 EQU 0x40010a52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG19 +CYREG_B0_P5_U0_CFG19 EQU 0x40010a53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG20 +CYREG_B0_P5_U0_CFG20 EQU 0x40010a54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG21 +CYREG_B0_P5_U0_CFG21 EQU 0x40010a55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG22 +CYREG_B0_P5_U0_CFG22 EQU 0x40010a56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG23 +CYREG_B0_P5_U0_CFG23 EQU 0x40010a57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG24 +CYREG_B0_P5_U0_CFG24 EQU 0x40010a58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG25 +CYREG_B0_P5_U0_CFG25 EQU 0x40010a59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG26 +CYREG_B0_P5_U0_CFG26 EQU 0x40010a5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG27 +CYREG_B0_P5_U0_CFG27 EQU 0x40010a5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG28 +CYREG_B0_P5_U0_CFG28 EQU 0x40010a5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG29 +CYREG_B0_P5_U0_CFG29 EQU 0x40010a5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG30 +CYREG_B0_P5_U0_CFG30 EQU 0x40010a5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG31 +CYREG_B0_P5_U0_CFG31 EQU 0x40010a5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG0 +CYREG_B0_P5_U0_DCFG0 EQU 0x40010a60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG1 +CYREG_B0_P5_U0_DCFG1 EQU 0x40010a62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG2 +CYREG_B0_P5_U0_DCFG2 EQU 0x40010a64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG3 +CYREG_B0_P5_U0_DCFG3 EQU 0x40010a66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG4 +CYREG_B0_P5_U0_DCFG4 EQU 0x40010a68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG5 +CYREG_B0_P5_U0_DCFG5 EQU 0x40010a6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG6 +CYREG_B0_P5_U0_DCFG6 EQU 0x40010a6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG7 +CYREG_B0_P5_U0_DCFG7 EQU 0x40010a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_BASE +CYDEV_UCFG_B0_P5_U1_BASE EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_SIZE +CYDEV_UCFG_B0_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT0 +CYREG_B0_P5_U1_PLD_IT0 EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT1 +CYREG_B0_P5_U1_PLD_IT1 EQU 0x40010a84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT2 +CYREG_B0_P5_U1_PLD_IT2 EQU 0x40010a88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT3 +CYREG_B0_P5_U1_PLD_IT3 EQU 0x40010a8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT4 +CYREG_B0_P5_U1_PLD_IT4 EQU 0x40010a90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT5 +CYREG_B0_P5_U1_PLD_IT5 EQU 0x40010a94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT6 +CYREG_B0_P5_U1_PLD_IT6 EQU 0x40010a98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT7 +CYREG_B0_P5_U1_PLD_IT7 EQU 0x40010a9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT8 +CYREG_B0_P5_U1_PLD_IT8 EQU 0x40010aa0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT9 +CYREG_B0_P5_U1_PLD_IT9 EQU 0x40010aa4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT10 +CYREG_B0_P5_U1_PLD_IT10 EQU 0x40010aa8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT11 +CYREG_B0_P5_U1_PLD_IT11 EQU 0x40010aac + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT0 +CYREG_B0_P5_U1_PLD_ORT0 EQU 0x40010ab0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT1 +CYREG_B0_P5_U1_PLD_ORT1 EQU 0x40010ab2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT2 +CYREG_B0_P5_U1_PLD_ORT2 EQU 0x40010ab4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT3 +CYREG_B0_P5_U1_PLD_ORT3 EQU 0x40010ab6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_CEN_CONST +CYREG_B0_P5_U1_MC_CFG_CEN_CONST EQU 0x40010ab8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_XORFB +CYREG_B0_P5_U1_MC_CFG_XORFB EQU 0x40010aba + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_SET_RESET +CYREG_B0_P5_U1_MC_CFG_SET_RESET EQU 0x40010abc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_BYPASS +CYREG_B0_P5_U1_MC_CFG_BYPASS EQU 0x40010abe + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG0 +CYREG_B0_P5_U1_CFG0 EQU 0x40010ac0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG1 +CYREG_B0_P5_U1_CFG1 EQU 0x40010ac1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG2 +CYREG_B0_P5_U1_CFG2 EQU 0x40010ac2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG3 +CYREG_B0_P5_U1_CFG3 EQU 0x40010ac3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG4 +CYREG_B0_P5_U1_CFG4 EQU 0x40010ac4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG5 +CYREG_B0_P5_U1_CFG5 EQU 0x40010ac5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG6 +CYREG_B0_P5_U1_CFG6 EQU 0x40010ac6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG7 +CYREG_B0_P5_U1_CFG7 EQU 0x40010ac7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG8 +CYREG_B0_P5_U1_CFG8 EQU 0x40010ac8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG9 +CYREG_B0_P5_U1_CFG9 EQU 0x40010ac9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG10 +CYREG_B0_P5_U1_CFG10 EQU 0x40010aca + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG11 +CYREG_B0_P5_U1_CFG11 EQU 0x40010acb + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG12 +CYREG_B0_P5_U1_CFG12 EQU 0x40010acc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG13 +CYREG_B0_P5_U1_CFG13 EQU 0x40010acd + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG14 +CYREG_B0_P5_U1_CFG14 EQU 0x40010ace + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG15 +CYREG_B0_P5_U1_CFG15 EQU 0x40010acf + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG16 +CYREG_B0_P5_U1_CFG16 EQU 0x40010ad0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG17 +CYREG_B0_P5_U1_CFG17 EQU 0x40010ad1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG18 +CYREG_B0_P5_U1_CFG18 EQU 0x40010ad2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG19 +CYREG_B0_P5_U1_CFG19 EQU 0x40010ad3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG20 +CYREG_B0_P5_U1_CFG20 EQU 0x40010ad4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG21 +CYREG_B0_P5_U1_CFG21 EQU 0x40010ad5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG22 +CYREG_B0_P5_U1_CFG22 EQU 0x40010ad6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG23 +CYREG_B0_P5_U1_CFG23 EQU 0x40010ad7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG24 +CYREG_B0_P5_U1_CFG24 EQU 0x40010ad8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG25 +CYREG_B0_P5_U1_CFG25 EQU 0x40010ad9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG26 +CYREG_B0_P5_U1_CFG26 EQU 0x40010ada + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG27 +CYREG_B0_P5_U1_CFG27 EQU 0x40010adb + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG28 +CYREG_B0_P5_U1_CFG28 EQU 0x40010adc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG29 +CYREG_B0_P5_U1_CFG29 EQU 0x40010add + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG30 +CYREG_B0_P5_U1_CFG30 EQU 0x40010ade + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG31 +CYREG_B0_P5_U1_CFG31 EQU 0x40010adf + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG0 +CYREG_B0_P5_U1_DCFG0 EQU 0x40010ae0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG1 +CYREG_B0_P5_U1_DCFG1 EQU 0x40010ae2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG2 +CYREG_B0_P5_U1_DCFG2 EQU 0x40010ae4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG3 +CYREG_B0_P5_U1_DCFG3 EQU 0x40010ae6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG4 +CYREG_B0_P5_U1_DCFG4 EQU 0x40010ae8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG5 +CYREG_B0_P5_U1_DCFG5 EQU 0x40010aea + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG6 +CYREG_B0_P5_U1_DCFG6 EQU 0x40010aec + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG7 +CYREG_B0_P5_U1_DCFG7 EQU 0x40010aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_BASE +CYDEV_UCFG_B0_P5_ROUTE_BASE EQU 0x40010b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_SIZE +CYDEV_UCFG_B0_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_BASE +CYDEV_UCFG_B0_P6_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_SIZE +CYDEV_UCFG_B0_P6_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_BASE +CYDEV_UCFG_B0_P6_U0_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_SIZE +CYDEV_UCFG_B0_P6_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT0 +CYREG_B0_P6_U0_PLD_IT0 EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT1 +CYREG_B0_P6_U0_PLD_IT1 EQU 0x40010c04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT2 +CYREG_B0_P6_U0_PLD_IT2 EQU 0x40010c08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT3 +CYREG_B0_P6_U0_PLD_IT3 EQU 0x40010c0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT4 +CYREG_B0_P6_U0_PLD_IT4 EQU 0x40010c10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT5 +CYREG_B0_P6_U0_PLD_IT5 EQU 0x40010c14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT6 +CYREG_B0_P6_U0_PLD_IT6 EQU 0x40010c18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT7 +CYREG_B0_P6_U0_PLD_IT7 EQU 0x40010c1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT8 +CYREG_B0_P6_U0_PLD_IT8 EQU 0x40010c20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT9 +CYREG_B0_P6_U0_PLD_IT9 EQU 0x40010c24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT10 +CYREG_B0_P6_U0_PLD_IT10 EQU 0x40010c28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT11 +CYREG_B0_P6_U0_PLD_IT11 EQU 0x40010c2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT0 +CYREG_B0_P6_U0_PLD_ORT0 EQU 0x40010c30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT1 +CYREG_B0_P6_U0_PLD_ORT1 EQU 0x40010c32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT2 +CYREG_B0_P6_U0_PLD_ORT2 EQU 0x40010c34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT3 +CYREG_B0_P6_U0_PLD_ORT3 EQU 0x40010c36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_CEN_CONST +CYREG_B0_P6_U0_MC_CFG_CEN_CONST EQU 0x40010c38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_XORFB +CYREG_B0_P6_U0_MC_CFG_XORFB EQU 0x40010c3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_SET_RESET +CYREG_B0_P6_U0_MC_CFG_SET_RESET EQU 0x40010c3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_BYPASS +CYREG_B0_P6_U0_MC_CFG_BYPASS EQU 0x40010c3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG0 +CYREG_B0_P6_U0_CFG0 EQU 0x40010c40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG1 +CYREG_B0_P6_U0_CFG1 EQU 0x40010c41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG2 +CYREG_B0_P6_U0_CFG2 EQU 0x40010c42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG3 +CYREG_B0_P6_U0_CFG3 EQU 0x40010c43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG4 +CYREG_B0_P6_U0_CFG4 EQU 0x40010c44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG5 +CYREG_B0_P6_U0_CFG5 EQU 0x40010c45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG6 +CYREG_B0_P6_U0_CFG6 EQU 0x40010c46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG7 +CYREG_B0_P6_U0_CFG7 EQU 0x40010c47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG8 +CYREG_B0_P6_U0_CFG8 EQU 0x40010c48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG9 +CYREG_B0_P6_U0_CFG9 EQU 0x40010c49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG10 +CYREG_B0_P6_U0_CFG10 EQU 0x40010c4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG11 +CYREG_B0_P6_U0_CFG11 EQU 0x40010c4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG12 +CYREG_B0_P6_U0_CFG12 EQU 0x40010c4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG13 +CYREG_B0_P6_U0_CFG13 EQU 0x40010c4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG14 +CYREG_B0_P6_U0_CFG14 EQU 0x40010c4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG15 +CYREG_B0_P6_U0_CFG15 EQU 0x40010c4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG16 +CYREG_B0_P6_U0_CFG16 EQU 0x40010c50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG17 +CYREG_B0_P6_U0_CFG17 EQU 0x40010c51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG18 +CYREG_B0_P6_U0_CFG18 EQU 0x40010c52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG19 +CYREG_B0_P6_U0_CFG19 EQU 0x40010c53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG20 +CYREG_B0_P6_U0_CFG20 EQU 0x40010c54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG21 +CYREG_B0_P6_U0_CFG21 EQU 0x40010c55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG22 +CYREG_B0_P6_U0_CFG22 EQU 0x40010c56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG23 +CYREG_B0_P6_U0_CFG23 EQU 0x40010c57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG24 +CYREG_B0_P6_U0_CFG24 EQU 0x40010c58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG25 +CYREG_B0_P6_U0_CFG25 EQU 0x40010c59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG26 +CYREG_B0_P6_U0_CFG26 EQU 0x40010c5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG27 +CYREG_B0_P6_U0_CFG27 EQU 0x40010c5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG28 +CYREG_B0_P6_U0_CFG28 EQU 0x40010c5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG29 +CYREG_B0_P6_U0_CFG29 EQU 0x40010c5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG30 +CYREG_B0_P6_U0_CFG30 EQU 0x40010c5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG31 +CYREG_B0_P6_U0_CFG31 EQU 0x40010c5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG0 +CYREG_B0_P6_U0_DCFG0 EQU 0x40010c60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG1 +CYREG_B0_P6_U0_DCFG1 EQU 0x40010c62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG2 +CYREG_B0_P6_U0_DCFG2 EQU 0x40010c64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG3 +CYREG_B0_P6_U0_DCFG3 EQU 0x40010c66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG4 +CYREG_B0_P6_U0_DCFG4 EQU 0x40010c68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG5 +CYREG_B0_P6_U0_DCFG5 EQU 0x40010c6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG6 +CYREG_B0_P6_U0_DCFG6 EQU 0x40010c6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG7 +CYREG_B0_P6_U0_DCFG7 EQU 0x40010c6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_BASE +CYDEV_UCFG_B0_P6_U1_BASE EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_SIZE +CYDEV_UCFG_B0_P6_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT0 +CYREG_B0_P6_U1_PLD_IT0 EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT1 +CYREG_B0_P6_U1_PLD_IT1 EQU 0x40010c84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT2 +CYREG_B0_P6_U1_PLD_IT2 EQU 0x40010c88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT3 +CYREG_B0_P6_U1_PLD_IT3 EQU 0x40010c8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT4 +CYREG_B0_P6_U1_PLD_IT4 EQU 0x40010c90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT5 +CYREG_B0_P6_U1_PLD_IT5 EQU 0x40010c94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT6 +CYREG_B0_P6_U1_PLD_IT6 EQU 0x40010c98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT7 +CYREG_B0_P6_U1_PLD_IT7 EQU 0x40010c9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT8 +CYREG_B0_P6_U1_PLD_IT8 EQU 0x40010ca0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT9 +CYREG_B0_P6_U1_PLD_IT9 EQU 0x40010ca4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT10 +CYREG_B0_P6_U1_PLD_IT10 EQU 0x40010ca8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT11 +CYREG_B0_P6_U1_PLD_IT11 EQU 0x40010cac + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT0 +CYREG_B0_P6_U1_PLD_ORT0 EQU 0x40010cb0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT1 +CYREG_B0_P6_U1_PLD_ORT1 EQU 0x40010cb2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT2 +CYREG_B0_P6_U1_PLD_ORT2 EQU 0x40010cb4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT3 +CYREG_B0_P6_U1_PLD_ORT3 EQU 0x40010cb6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_CEN_CONST +CYREG_B0_P6_U1_MC_CFG_CEN_CONST EQU 0x40010cb8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_XORFB +CYREG_B0_P6_U1_MC_CFG_XORFB EQU 0x40010cba + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_SET_RESET +CYREG_B0_P6_U1_MC_CFG_SET_RESET EQU 0x40010cbc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_BYPASS +CYREG_B0_P6_U1_MC_CFG_BYPASS EQU 0x40010cbe + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG0 +CYREG_B0_P6_U1_CFG0 EQU 0x40010cc0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG1 +CYREG_B0_P6_U1_CFG1 EQU 0x40010cc1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG2 +CYREG_B0_P6_U1_CFG2 EQU 0x40010cc2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG3 +CYREG_B0_P6_U1_CFG3 EQU 0x40010cc3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG4 +CYREG_B0_P6_U1_CFG4 EQU 0x40010cc4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG5 +CYREG_B0_P6_U1_CFG5 EQU 0x40010cc5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG6 +CYREG_B0_P6_U1_CFG6 EQU 0x40010cc6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG7 +CYREG_B0_P6_U1_CFG7 EQU 0x40010cc7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG8 +CYREG_B0_P6_U1_CFG8 EQU 0x40010cc8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG9 +CYREG_B0_P6_U1_CFG9 EQU 0x40010cc9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG10 +CYREG_B0_P6_U1_CFG10 EQU 0x40010cca + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG11 +CYREG_B0_P6_U1_CFG11 EQU 0x40010ccb + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG12 +CYREG_B0_P6_U1_CFG12 EQU 0x40010ccc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG13 +CYREG_B0_P6_U1_CFG13 EQU 0x40010ccd + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG14 +CYREG_B0_P6_U1_CFG14 EQU 0x40010cce + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG15 +CYREG_B0_P6_U1_CFG15 EQU 0x40010ccf + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG16 +CYREG_B0_P6_U1_CFG16 EQU 0x40010cd0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG17 +CYREG_B0_P6_U1_CFG17 EQU 0x40010cd1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG18 +CYREG_B0_P6_U1_CFG18 EQU 0x40010cd2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG19 +CYREG_B0_P6_U1_CFG19 EQU 0x40010cd3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG20 +CYREG_B0_P6_U1_CFG20 EQU 0x40010cd4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG21 +CYREG_B0_P6_U1_CFG21 EQU 0x40010cd5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG22 +CYREG_B0_P6_U1_CFG22 EQU 0x40010cd6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG23 +CYREG_B0_P6_U1_CFG23 EQU 0x40010cd7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG24 +CYREG_B0_P6_U1_CFG24 EQU 0x40010cd8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG25 +CYREG_B0_P6_U1_CFG25 EQU 0x40010cd9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG26 +CYREG_B0_P6_U1_CFG26 EQU 0x40010cda + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG27 +CYREG_B0_P6_U1_CFG27 EQU 0x40010cdb + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG28 +CYREG_B0_P6_U1_CFG28 EQU 0x40010cdc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG29 +CYREG_B0_P6_U1_CFG29 EQU 0x40010cdd + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG30 +CYREG_B0_P6_U1_CFG30 EQU 0x40010cde + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG31 +CYREG_B0_P6_U1_CFG31 EQU 0x40010cdf + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG0 +CYREG_B0_P6_U1_DCFG0 EQU 0x40010ce0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG1 +CYREG_B0_P6_U1_DCFG1 EQU 0x40010ce2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG2 +CYREG_B0_P6_U1_DCFG2 EQU 0x40010ce4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG3 +CYREG_B0_P6_U1_DCFG3 EQU 0x40010ce6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG4 +CYREG_B0_P6_U1_DCFG4 EQU 0x40010ce8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG5 +CYREG_B0_P6_U1_DCFG5 EQU 0x40010cea + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG6 +CYREG_B0_P6_U1_DCFG6 EQU 0x40010cec + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG7 +CYREG_B0_P6_U1_DCFG7 EQU 0x40010cee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_BASE +CYDEV_UCFG_B0_P6_ROUTE_BASE EQU 0x40010d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_SIZE +CYDEV_UCFG_B0_P6_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_BASE +CYDEV_UCFG_B0_P7_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_SIZE +CYDEV_UCFG_B0_P7_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_BASE +CYDEV_UCFG_B0_P7_U0_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_SIZE +CYDEV_UCFG_B0_P7_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT0 +CYREG_B0_P7_U0_PLD_IT0 EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT1 +CYREG_B0_P7_U0_PLD_IT1 EQU 0x40010e04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT2 +CYREG_B0_P7_U0_PLD_IT2 EQU 0x40010e08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT3 +CYREG_B0_P7_U0_PLD_IT3 EQU 0x40010e0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT4 +CYREG_B0_P7_U0_PLD_IT4 EQU 0x40010e10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT5 +CYREG_B0_P7_U0_PLD_IT5 EQU 0x40010e14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT6 +CYREG_B0_P7_U0_PLD_IT6 EQU 0x40010e18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT7 +CYREG_B0_P7_U0_PLD_IT7 EQU 0x40010e1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT8 +CYREG_B0_P7_U0_PLD_IT8 EQU 0x40010e20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT9 +CYREG_B0_P7_U0_PLD_IT9 EQU 0x40010e24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT10 +CYREG_B0_P7_U0_PLD_IT10 EQU 0x40010e28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT11 +CYREG_B0_P7_U0_PLD_IT11 EQU 0x40010e2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT0 +CYREG_B0_P7_U0_PLD_ORT0 EQU 0x40010e30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT1 +CYREG_B0_P7_U0_PLD_ORT1 EQU 0x40010e32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT2 +CYREG_B0_P7_U0_PLD_ORT2 EQU 0x40010e34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT3 +CYREG_B0_P7_U0_PLD_ORT3 EQU 0x40010e36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_CEN_CONST +CYREG_B0_P7_U0_MC_CFG_CEN_CONST EQU 0x40010e38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_XORFB +CYREG_B0_P7_U0_MC_CFG_XORFB EQU 0x40010e3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_SET_RESET +CYREG_B0_P7_U0_MC_CFG_SET_RESET EQU 0x40010e3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_BYPASS +CYREG_B0_P7_U0_MC_CFG_BYPASS EQU 0x40010e3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG0 +CYREG_B0_P7_U0_CFG0 EQU 0x40010e40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG1 +CYREG_B0_P7_U0_CFG1 EQU 0x40010e41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG2 +CYREG_B0_P7_U0_CFG2 EQU 0x40010e42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG3 +CYREG_B0_P7_U0_CFG3 EQU 0x40010e43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG4 +CYREG_B0_P7_U0_CFG4 EQU 0x40010e44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG5 +CYREG_B0_P7_U0_CFG5 EQU 0x40010e45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG6 +CYREG_B0_P7_U0_CFG6 EQU 0x40010e46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG7 +CYREG_B0_P7_U0_CFG7 EQU 0x40010e47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG8 +CYREG_B0_P7_U0_CFG8 EQU 0x40010e48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG9 +CYREG_B0_P7_U0_CFG9 EQU 0x40010e49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG10 +CYREG_B0_P7_U0_CFG10 EQU 0x40010e4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG11 +CYREG_B0_P7_U0_CFG11 EQU 0x40010e4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG12 +CYREG_B0_P7_U0_CFG12 EQU 0x40010e4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG13 +CYREG_B0_P7_U0_CFG13 EQU 0x40010e4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG14 +CYREG_B0_P7_U0_CFG14 EQU 0x40010e4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG15 +CYREG_B0_P7_U0_CFG15 EQU 0x40010e4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG16 +CYREG_B0_P7_U0_CFG16 EQU 0x40010e50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG17 +CYREG_B0_P7_U0_CFG17 EQU 0x40010e51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG18 +CYREG_B0_P7_U0_CFG18 EQU 0x40010e52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG19 +CYREG_B0_P7_U0_CFG19 EQU 0x40010e53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG20 +CYREG_B0_P7_U0_CFG20 EQU 0x40010e54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG21 +CYREG_B0_P7_U0_CFG21 EQU 0x40010e55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG22 +CYREG_B0_P7_U0_CFG22 EQU 0x40010e56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG23 +CYREG_B0_P7_U0_CFG23 EQU 0x40010e57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG24 +CYREG_B0_P7_U0_CFG24 EQU 0x40010e58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG25 +CYREG_B0_P7_U0_CFG25 EQU 0x40010e59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG26 +CYREG_B0_P7_U0_CFG26 EQU 0x40010e5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG27 +CYREG_B0_P7_U0_CFG27 EQU 0x40010e5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG28 +CYREG_B0_P7_U0_CFG28 EQU 0x40010e5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG29 +CYREG_B0_P7_U0_CFG29 EQU 0x40010e5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG30 +CYREG_B0_P7_U0_CFG30 EQU 0x40010e5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG31 +CYREG_B0_P7_U0_CFG31 EQU 0x40010e5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG0 +CYREG_B0_P7_U0_DCFG0 EQU 0x40010e60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG1 +CYREG_B0_P7_U0_DCFG1 EQU 0x40010e62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG2 +CYREG_B0_P7_U0_DCFG2 EQU 0x40010e64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG3 +CYREG_B0_P7_U0_DCFG3 EQU 0x40010e66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG4 +CYREG_B0_P7_U0_DCFG4 EQU 0x40010e68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG5 +CYREG_B0_P7_U0_DCFG5 EQU 0x40010e6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG6 +CYREG_B0_P7_U0_DCFG6 EQU 0x40010e6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG7 +CYREG_B0_P7_U0_DCFG7 EQU 0x40010e6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_BASE +CYDEV_UCFG_B0_P7_U1_BASE EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_SIZE +CYDEV_UCFG_B0_P7_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT0 +CYREG_B0_P7_U1_PLD_IT0 EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT1 +CYREG_B0_P7_U1_PLD_IT1 EQU 0x40010e84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT2 +CYREG_B0_P7_U1_PLD_IT2 EQU 0x40010e88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT3 +CYREG_B0_P7_U1_PLD_IT3 EQU 0x40010e8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT4 +CYREG_B0_P7_U1_PLD_IT4 EQU 0x40010e90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT5 +CYREG_B0_P7_U1_PLD_IT5 EQU 0x40010e94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT6 +CYREG_B0_P7_U1_PLD_IT6 EQU 0x40010e98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT7 +CYREG_B0_P7_U1_PLD_IT7 EQU 0x40010e9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT8 +CYREG_B0_P7_U1_PLD_IT8 EQU 0x40010ea0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT9 +CYREG_B0_P7_U1_PLD_IT9 EQU 0x40010ea4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT10 +CYREG_B0_P7_U1_PLD_IT10 EQU 0x40010ea8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT11 +CYREG_B0_P7_U1_PLD_IT11 EQU 0x40010eac + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT0 +CYREG_B0_P7_U1_PLD_ORT0 EQU 0x40010eb0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT1 +CYREG_B0_P7_U1_PLD_ORT1 EQU 0x40010eb2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT2 +CYREG_B0_P7_U1_PLD_ORT2 EQU 0x40010eb4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT3 +CYREG_B0_P7_U1_PLD_ORT3 EQU 0x40010eb6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_CEN_CONST +CYREG_B0_P7_U1_MC_CFG_CEN_CONST EQU 0x40010eb8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_XORFB +CYREG_B0_P7_U1_MC_CFG_XORFB EQU 0x40010eba + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_SET_RESET +CYREG_B0_P7_U1_MC_CFG_SET_RESET EQU 0x40010ebc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_BYPASS +CYREG_B0_P7_U1_MC_CFG_BYPASS EQU 0x40010ebe + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG0 +CYREG_B0_P7_U1_CFG0 EQU 0x40010ec0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG1 +CYREG_B0_P7_U1_CFG1 EQU 0x40010ec1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG2 +CYREG_B0_P7_U1_CFG2 EQU 0x40010ec2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG3 +CYREG_B0_P7_U1_CFG3 EQU 0x40010ec3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG4 +CYREG_B0_P7_U1_CFG4 EQU 0x40010ec4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG5 +CYREG_B0_P7_U1_CFG5 EQU 0x40010ec5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG6 +CYREG_B0_P7_U1_CFG6 EQU 0x40010ec6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG7 +CYREG_B0_P7_U1_CFG7 EQU 0x40010ec7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG8 +CYREG_B0_P7_U1_CFG8 EQU 0x40010ec8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG9 +CYREG_B0_P7_U1_CFG9 EQU 0x40010ec9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG10 +CYREG_B0_P7_U1_CFG10 EQU 0x40010eca + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG11 +CYREG_B0_P7_U1_CFG11 EQU 0x40010ecb + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG12 +CYREG_B0_P7_U1_CFG12 EQU 0x40010ecc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG13 +CYREG_B0_P7_U1_CFG13 EQU 0x40010ecd + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG14 +CYREG_B0_P7_U1_CFG14 EQU 0x40010ece + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG15 +CYREG_B0_P7_U1_CFG15 EQU 0x40010ecf + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG16 +CYREG_B0_P7_U1_CFG16 EQU 0x40010ed0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG17 +CYREG_B0_P7_U1_CFG17 EQU 0x40010ed1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG18 +CYREG_B0_P7_U1_CFG18 EQU 0x40010ed2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG19 +CYREG_B0_P7_U1_CFG19 EQU 0x40010ed3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG20 +CYREG_B0_P7_U1_CFG20 EQU 0x40010ed4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG21 +CYREG_B0_P7_U1_CFG21 EQU 0x40010ed5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG22 +CYREG_B0_P7_U1_CFG22 EQU 0x40010ed6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG23 +CYREG_B0_P7_U1_CFG23 EQU 0x40010ed7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG24 +CYREG_B0_P7_U1_CFG24 EQU 0x40010ed8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG25 +CYREG_B0_P7_U1_CFG25 EQU 0x40010ed9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG26 +CYREG_B0_P7_U1_CFG26 EQU 0x40010eda + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG27 +CYREG_B0_P7_U1_CFG27 EQU 0x40010edb + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG28 +CYREG_B0_P7_U1_CFG28 EQU 0x40010edc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG29 +CYREG_B0_P7_U1_CFG29 EQU 0x40010edd + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG30 +CYREG_B0_P7_U1_CFG30 EQU 0x40010ede + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG31 +CYREG_B0_P7_U1_CFG31 EQU 0x40010edf + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG0 +CYREG_B0_P7_U1_DCFG0 EQU 0x40010ee0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG1 +CYREG_B0_P7_U1_DCFG1 EQU 0x40010ee2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG2 +CYREG_B0_P7_U1_DCFG2 EQU 0x40010ee4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG3 +CYREG_B0_P7_U1_DCFG3 EQU 0x40010ee6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG4 +CYREG_B0_P7_U1_DCFG4 EQU 0x40010ee8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG5 +CYREG_B0_P7_U1_DCFG5 EQU 0x40010eea + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG6 +CYREG_B0_P7_U1_DCFG6 EQU 0x40010eec + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG7 +CYREG_B0_P7_U1_DCFG7 EQU 0x40010eee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_BASE +CYDEV_UCFG_B0_P7_ROUTE_BASE EQU 0x40010f00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_SIZE +CYDEV_UCFG_B0_P7_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_BASE +CYDEV_UCFG_B1_BASE EQU 0x40011000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_SIZE +CYDEV_UCFG_B1_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_BASE +CYDEV_UCFG_B1_P2_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_SIZE +CYDEV_UCFG_B1_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_BASE +CYDEV_UCFG_B1_P2_U0_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_SIZE +CYDEV_UCFG_B1_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT0 +CYREG_B1_P2_U0_PLD_IT0 EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT1 +CYREG_B1_P2_U0_PLD_IT1 EQU 0x40011404 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT2 +CYREG_B1_P2_U0_PLD_IT2 EQU 0x40011408 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT3 +CYREG_B1_P2_U0_PLD_IT3 EQU 0x4001140c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT4 +CYREG_B1_P2_U0_PLD_IT4 EQU 0x40011410 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT5 +CYREG_B1_P2_U0_PLD_IT5 EQU 0x40011414 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT6 +CYREG_B1_P2_U0_PLD_IT6 EQU 0x40011418 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT7 +CYREG_B1_P2_U0_PLD_IT7 EQU 0x4001141c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT8 +CYREG_B1_P2_U0_PLD_IT8 EQU 0x40011420 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT9 +CYREG_B1_P2_U0_PLD_IT9 EQU 0x40011424 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT10 +CYREG_B1_P2_U0_PLD_IT10 EQU 0x40011428 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT11 +CYREG_B1_P2_U0_PLD_IT11 EQU 0x4001142c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT0 +CYREG_B1_P2_U0_PLD_ORT0 EQU 0x40011430 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT1 +CYREG_B1_P2_U0_PLD_ORT1 EQU 0x40011432 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT2 +CYREG_B1_P2_U0_PLD_ORT2 EQU 0x40011434 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT3 +CYREG_B1_P2_U0_PLD_ORT3 EQU 0x40011436 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_CEN_CONST +CYREG_B1_P2_U0_MC_CFG_CEN_CONST EQU 0x40011438 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_XORFB +CYREG_B1_P2_U0_MC_CFG_XORFB EQU 0x4001143a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_SET_RESET +CYREG_B1_P2_U0_MC_CFG_SET_RESET EQU 0x4001143c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_BYPASS +CYREG_B1_P2_U0_MC_CFG_BYPASS EQU 0x4001143e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG0 +CYREG_B1_P2_U0_CFG0 EQU 0x40011440 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG1 +CYREG_B1_P2_U0_CFG1 EQU 0x40011441 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG2 +CYREG_B1_P2_U0_CFG2 EQU 0x40011442 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG3 +CYREG_B1_P2_U0_CFG3 EQU 0x40011443 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG4 +CYREG_B1_P2_U0_CFG4 EQU 0x40011444 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG5 +CYREG_B1_P2_U0_CFG5 EQU 0x40011445 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG6 +CYREG_B1_P2_U0_CFG6 EQU 0x40011446 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG7 +CYREG_B1_P2_U0_CFG7 EQU 0x40011447 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG8 +CYREG_B1_P2_U0_CFG8 EQU 0x40011448 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG9 +CYREG_B1_P2_U0_CFG9 EQU 0x40011449 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG10 +CYREG_B1_P2_U0_CFG10 EQU 0x4001144a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG11 +CYREG_B1_P2_U0_CFG11 EQU 0x4001144b + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG12 +CYREG_B1_P2_U0_CFG12 EQU 0x4001144c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG13 +CYREG_B1_P2_U0_CFG13 EQU 0x4001144d + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG14 +CYREG_B1_P2_U0_CFG14 EQU 0x4001144e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG15 +CYREG_B1_P2_U0_CFG15 EQU 0x4001144f + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG16 +CYREG_B1_P2_U0_CFG16 EQU 0x40011450 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG17 +CYREG_B1_P2_U0_CFG17 EQU 0x40011451 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG18 +CYREG_B1_P2_U0_CFG18 EQU 0x40011452 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG19 +CYREG_B1_P2_U0_CFG19 EQU 0x40011453 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG20 +CYREG_B1_P2_U0_CFG20 EQU 0x40011454 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG21 +CYREG_B1_P2_U0_CFG21 EQU 0x40011455 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG22 +CYREG_B1_P2_U0_CFG22 EQU 0x40011456 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG23 +CYREG_B1_P2_U0_CFG23 EQU 0x40011457 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG24 +CYREG_B1_P2_U0_CFG24 EQU 0x40011458 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG25 +CYREG_B1_P2_U0_CFG25 EQU 0x40011459 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG26 +CYREG_B1_P2_U0_CFG26 EQU 0x4001145a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG27 +CYREG_B1_P2_U0_CFG27 EQU 0x4001145b + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG28 +CYREG_B1_P2_U0_CFG28 EQU 0x4001145c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG29 +CYREG_B1_P2_U0_CFG29 EQU 0x4001145d + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG30 +CYREG_B1_P2_U0_CFG30 EQU 0x4001145e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG31 +CYREG_B1_P2_U0_CFG31 EQU 0x4001145f + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG0 +CYREG_B1_P2_U0_DCFG0 EQU 0x40011460 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG1 +CYREG_B1_P2_U0_DCFG1 EQU 0x40011462 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG2 +CYREG_B1_P2_U0_DCFG2 EQU 0x40011464 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG3 +CYREG_B1_P2_U0_DCFG3 EQU 0x40011466 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG4 +CYREG_B1_P2_U0_DCFG4 EQU 0x40011468 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG5 +CYREG_B1_P2_U0_DCFG5 EQU 0x4001146a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG6 +CYREG_B1_P2_U0_DCFG6 EQU 0x4001146c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG7 +CYREG_B1_P2_U0_DCFG7 EQU 0x4001146e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_BASE +CYDEV_UCFG_B1_P2_U1_BASE EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_SIZE +CYDEV_UCFG_B1_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT0 +CYREG_B1_P2_U1_PLD_IT0 EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT1 +CYREG_B1_P2_U1_PLD_IT1 EQU 0x40011484 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT2 +CYREG_B1_P2_U1_PLD_IT2 EQU 0x40011488 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT3 +CYREG_B1_P2_U1_PLD_IT3 EQU 0x4001148c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT4 +CYREG_B1_P2_U1_PLD_IT4 EQU 0x40011490 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT5 +CYREG_B1_P2_U1_PLD_IT5 EQU 0x40011494 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT6 +CYREG_B1_P2_U1_PLD_IT6 EQU 0x40011498 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT7 +CYREG_B1_P2_U1_PLD_IT7 EQU 0x4001149c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT8 +CYREG_B1_P2_U1_PLD_IT8 EQU 0x400114a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT9 +CYREG_B1_P2_U1_PLD_IT9 EQU 0x400114a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT10 +CYREG_B1_P2_U1_PLD_IT10 EQU 0x400114a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT11 +CYREG_B1_P2_U1_PLD_IT11 EQU 0x400114ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT0 +CYREG_B1_P2_U1_PLD_ORT0 EQU 0x400114b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT1 +CYREG_B1_P2_U1_PLD_ORT1 EQU 0x400114b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT2 +CYREG_B1_P2_U1_PLD_ORT2 EQU 0x400114b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT3 +CYREG_B1_P2_U1_PLD_ORT3 EQU 0x400114b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_CEN_CONST +CYREG_B1_P2_U1_MC_CFG_CEN_CONST EQU 0x400114b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_XORFB +CYREG_B1_P2_U1_MC_CFG_XORFB EQU 0x400114ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_SET_RESET +CYREG_B1_P2_U1_MC_CFG_SET_RESET EQU 0x400114bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_BYPASS +CYREG_B1_P2_U1_MC_CFG_BYPASS EQU 0x400114be + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG0 +CYREG_B1_P2_U1_CFG0 EQU 0x400114c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG1 +CYREG_B1_P2_U1_CFG1 EQU 0x400114c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG2 +CYREG_B1_P2_U1_CFG2 EQU 0x400114c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG3 +CYREG_B1_P2_U1_CFG3 EQU 0x400114c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG4 +CYREG_B1_P2_U1_CFG4 EQU 0x400114c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG5 +CYREG_B1_P2_U1_CFG5 EQU 0x400114c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG6 +CYREG_B1_P2_U1_CFG6 EQU 0x400114c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG7 +CYREG_B1_P2_U1_CFG7 EQU 0x400114c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG8 +CYREG_B1_P2_U1_CFG8 EQU 0x400114c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG9 +CYREG_B1_P2_U1_CFG9 EQU 0x400114c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG10 +CYREG_B1_P2_U1_CFG10 EQU 0x400114ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG11 +CYREG_B1_P2_U1_CFG11 EQU 0x400114cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG12 +CYREG_B1_P2_U1_CFG12 EQU 0x400114cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG13 +CYREG_B1_P2_U1_CFG13 EQU 0x400114cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG14 +CYREG_B1_P2_U1_CFG14 EQU 0x400114ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG15 +CYREG_B1_P2_U1_CFG15 EQU 0x400114cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG16 +CYREG_B1_P2_U1_CFG16 EQU 0x400114d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG17 +CYREG_B1_P2_U1_CFG17 EQU 0x400114d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG18 +CYREG_B1_P2_U1_CFG18 EQU 0x400114d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG19 +CYREG_B1_P2_U1_CFG19 EQU 0x400114d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG20 +CYREG_B1_P2_U1_CFG20 EQU 0x400114d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG21 +CYREG_B1_P2_U1_CFG21 EQU 0x400114d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG22 +CYREG_B1_P2_U1_CFG22 EQU 0x400114d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG23 +CYREG_B1_P2_U1_CFG23 EQU 0x400114d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG24 +CYREG_B1_P2_U1_CFG24 EQU 0x400114d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG25 +CYREG_B1_P2_U1_CFG25 EQU 0x400114d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG26 +CYREG_B1_P2_U1_CFG26 EQU 0x400114da + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG27 +CYREG_B1_P2_U1_CFG27 EQU 0x400114db + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG28 +CYREG_B1_P2_U1_CFG28 EQU 0x400114dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG29 +CYREG_B1_P2_U1_CFG29 EQU 0x400114dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG30 +CYREG_B1_P2_U1_CFG30 EQU 0x400114de + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG31 +CYREG_B1_P2_U1_CFG31 EQU 0x400114df + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG0 +CYREG_B1_P2_U1_DCFG0 EQU 0x400114e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG1 +CYREG_B1_P2_U1_DCFG1 EQU 0x400114e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG2 +CYREG_B1_P2_U1_DCFG2 EQU 0x400114e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG3 +CYREG_B1_P2_U1_DCFG3 EQU 0x400114e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG4 +CYREG_B1_P2_U1_DCFG4 EQU 0x400114e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG5 +CYREG_B1_P2_U1_DCFG5 EQU 0x400114ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG6 +CYREG_B1_P2_U1_DCFG6 EQU 0x400114ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG7 +CYREG_B1_P2_U1_DCFG7 EQU 0x400114ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_BASE +CYDEV_UCFG_B1_P2_ROUTE_BASE EQU 0x40011500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_SIZE +CYDEV_UCFG_B1_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_BASE +CYDEV_UCFG_B1_P3_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_SIZE +CYDEV_UCFG_B1_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_BASE +CYDEV_UCFG_B1_P3_U0_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_SIZE +CYDEV_UCFG_B1_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT0 +CYREG_B1_P3_U0_PLD_IT0 EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT1 +CYREG_B1_P3_U0_PLD_IT1 EQU 0x40011604 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT2 +CYREG_B1_P3_U0_PLD_IT2 EQU 0x40011608 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT3 +CYREG_B1_P3_U0_PLD_IT3 EQU 0x4001160c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT4 +CYREG_B1_P3_U0_PLD_IT4 EQU 0x40011610 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT5 +CYREG_B1_P3_U0_PLD_IT5 EQU 0x40011614 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT6 +CYREG_B1_P3_U0_PLD_IT6 EQU 0x40011618 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT7 +CYREG_B1_P3_U0_PLD_IT7 EQU 0x4001161c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT8 +CYREG_B1_P3_U0_PLD_IT8 EQU 0x40011620 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT9 +CYREG_B1_P3_U0_PLD_IT9 EQU 0x40011624 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT10 +CYREG_B1_P3_U0_PLD_IT10 EQU 0x40011628 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT11 +CYREG_B1_P3_U0_PLD_IT11 EQU 0x4001162c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT0 +CYREG_B1_P3_U0_PLD_ORT0 EQU 0x40011630 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT1 +CYREG_B1_P3_U0_PLD_ORT1 EQU 0x40011632 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT2 +CYREG_B1_P3_U0_PLD_ORT2 EQU 0x40011634 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT3 +CYREG_B1_P3_U0_PLD_ORT3 EQU 0x40011636 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_CEN_CONST +CYREG_B1_P3_U0_MC_CFG_CEN_CONST EQU 0x40011638 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_XORFB +CYREG_B1_P3_U0_MC_CFG_XORFB EQU 0x4001163a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_SET_RESET +CYREG_B1_P3_U0_MC_CFG_SET_RESET EQU 0x4001163c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_BYPASS +CYREG_B1_P3_U0_MC_CFG_BYPASS EQU 0x4001163e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG0 +CYREG_B1_P3_U0_CFG0 EQU 0x40011640 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG1 +CYREG_B1_P3_U0_CFG1 EQU 0x40011641 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG2 +CYREG_B1_P3_U0_CFG2 EQU 0x40011642 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG3 +CYREG_B1_P3_U0_CFG3 EQU 0x40011643 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG4 +CYREG_B1_P3_U0_CFG4 EQU 0x40011644 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG5 +CYREG_B1_P3_U0_CFG5 EQU 0x40011645 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG6 +CYREG_B1_P3_U0_CFG6 EQU 0x40011646 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG7 +CYREG_B1_P3_U0_CFG7 EQU 0x40011647 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG8 +CYREG_B1_P3_U0_CFG8 EQU 0x40011648 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG9 +CYREG_B1_P3_U0_CFG9 EQU 0x40011649 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG10 +CYREG_B1_P3_U0_CFG10 EQU 0x4001164a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG11 +CYREG_B1_P3_U0_CFG11 EQU 0x4001164b + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG12 +CYREG_B1_P3_U0_CFG12 EQU 0x4001164c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG13 +CYREG_B1_P3_U0_CFG13 EQU 0x4001164d + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG14 +CYREG_B1_P3_U0_CFG14 EQU 0x4001164e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG15 +CYREG_B1_P3_U0_CFG15 EQU 0x4001164f + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG16 +CYREG_B1_P3_U0_CFG16 EQU 0x40011650 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG17 +CYREG_B1_P3_U0_CFG17 EQU 0x40011651 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG18 +CYREG_B1_P3_U0_CFG18 EQU 0x40011652 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG19 +CYREG_B1_P3_U0_CFG19 EQU 0x40011653 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG20 +CYREG_B1_P3_U0_CFG20 EQU 0x40011654 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG21 +CYREG_B1_P3_U0_CFG21 EQU 0x40011655 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG22 +CYREG_B1_P3_U0_CFG22 EQU 0x40011656 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG23 +CYREG_B1_P3_U0_CFG23 EQU 0x40011657 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG24 +CYREG_B1_P3_U0_CFG24 EQU 0x40011658 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG25 +CYREG_B1_P3_U0_CFG25 EQU 0x40011659 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG26 +CYREG_B1_P3_U0_CFG26 EQU 0x4001165a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG27 +CYREG_B1_P3_U0_CFG27 EQU 0x4001165b + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG28 +CYREG_B1_P3_U0_CFG28 EQU 0x4001165c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG29 +CYREG_B1_P3_U0_CFG29 EQU 0x4001165d + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG30 +CYREG_B1_P3_U0_CFG30 EQU 0x4001165e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG31 +CYREG_B1_P3_U0_CFG31 EQU 0x4001165f + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG0 +CYREG_B1_P3_U0_DCFG0 EQU 0x40011660 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG1 +CYREG_B1_P3_U0_DCFG1 EQU 0x40011662 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG2 +CYREG_B1_P3_U0_DCFG2 EQU 0x40011664 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG3 +CYREG_B1_P3_U0_DCFG3 EQU 0x40011666 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG4 +CYREG_B1_P3_U0_DCFG4 EQU 0x40011668 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG5 +CYREG_B1_P3_U0_DCFG5 EQU 0x4001166a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG6 +CYREG_B1_P3_U0_DCFG6 EQU 0x4001166c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG7 +CYREG_B1_P3_U0_DCFG7 EQU 0x4001166e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_BASE +CYDEV_UCFG_B1_P3_U1_BASE EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_SIZE +CYDEV_UCFG_B1_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT0 +CYREG_B1_P3_U1_PLD_IT0 EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT1 +CYREG_B1_P3_U1_PLD_IT1 EQU 0x40011684 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT2 +CYREG_B1_P3_U1_PLD_IT2 EQU 0x40011688 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT3 +CYREG_B1_P3_U1_PLD_IT3 EQU 0x4001168c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT4 +CYREG_B1_P3_U1_PLD_IT4 EQU 0x40011690 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT5 +CYREG_B1_P3_U1_PLD_IT5 EQU 0x40011694 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT6 +CYREG_B1_P3_U1_PLD_IT6 EQU 0x40011698 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT7 +CYREG_B1_P3_U1_PLD_IT7 EQU 0x4001169c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT8 +CYREG_B1_P3_U1_PLD_IT8 EQU 0x400116a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT9 +CYREG_B1_P3_U1_PLD_IT9 EQU 0x400116a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT10 +CYREG_B1_P3_U1_PLD_IT10 EQU 0x400116a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT11 +CYREG_B1_P3_U1_PLD_IT11 EQU 0x400116ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT0 +CYREG_B1_P3_U1_PLD_ORT0 EQU 0x400116b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT1 +CYREG_B1_P3_U1_PLD_ORT1 EQU 0x400116b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT2 +CYREG_B1_P3_U1_PLD_ORT2 EQU 0x400116b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT3 +CYREG_B1_P3_U1_PLD_ORT3 EQU 0x400116b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_CEN_CONST +CYREG_B1_P3_U1_MC_CFG_CEN_CONST EQU 0x400116b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_XORFB +CYREG_B1_P3_U1_MC_CFG_XORFB EQU 0x400116ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_SET_RESET +CYREG_B1_P3_U1_MC_CFG_SET_RESET EQU 0x400116bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_BYPASS +CYREG_B1_P3_U1_MC_CFG_BYPASS EQU 0x400116be + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG0 +CYREG_B1_P3_U1_CFG0 EQU 0x400116c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG1 +CYREG_B1_P3_U1_CFG1 EQU 0x400116c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG2 +CYREG_B1_P3_U1_CFG2 EQU 0x400116c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG3 +CYREG_B1_P3_U1_CFG3 EQU 0x400116c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG4 +CYREG_B1_P3_U1_CFG4 EQU 0x400116c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG5 +CYREG_B1_P3_U1_CFG5 EQU 0x400116c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG6 +CYREG_B1_P3_U1_CFG6 EQU 0x400116c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG7 +CYREG_B1_P3_U1_CFG7 EQU 0x400116c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG8 +CYREG_B1_P3_U1_CFG8 EQU 0x400116c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG9 +CYREG_B1_P3_U1_CFG9 EQU 0x400116c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG10 +CYREG_B1_P3_U1_CFG10 EQU 0x400116ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG11 +CYREG_B1_P3_U1_CFG11 EQU 0x400116cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG12 +CYREG_B1_P3_U1_CFG12 EQU 0x400116cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG13 +CYREG_B1_P3_U1_CFG13 EQU 0x400116cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG14 +CYREG_B1_P3_U1_CFG14 EQU 0x400116ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG15 +CYREG_B1_P3_U1_CFG15 EQU 0x400116cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG16 +CYREG_B1_P3_U1_CFG16 EQU 0x400116d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG17 +CYREG_B1_P3_U1_CFG17 EQU 0x400116d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG18 +CYREG_B1_P3_U1_CFG18 EQU 0x400116d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG19 +CYREG_B1_P3_U1_CFG19 EQU 0x400116d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG20 +CYREG_B1_P3_U1_CFG20 EQU 0x400116d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG21 +CYREG_B1_P3_U1_CFG21 EQU 0x400116d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG22 +CYREG_B1_P3_U1_CFG22 EQU 0x400116d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG23 +CYREG_B1_P3_U1_CFG23 EQU 0x400116d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG24 +CYREG_B1_P3_U1_CFG24 EQU 0x400116d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG25 +CYREG_B1_P3_U1_CFG25 EQU 0x400116d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG26 +CYREG_B1_P3_U1_CFG26 EQU 0x400116da + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG27 +CYREG_B1_P3_U1_CFG27 EQU 0x400116db + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG28 +CYREG_B1_P3_U1_CFG28 EQU 0x400116dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG29 +CYREG_B1_P3_U1_CFG29 EQU 0x400116dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG30 +CYREG_B1_P3_U1_CFG30 EQU 0x400116de + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG31 +CYREG_B1_P3_U1_CFG31 EQU 0x400116df + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG0 +CYREG_B1_P3_U1_DCFG0 EQU 0x400116e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG1 +CYREG_B1_P3_U1_DCFG1 EQU 0x400116e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG2 +CYREG_B1_P3_U1_DCFG2 EQU 0x400116e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG3 +CYREG_B1_P3_U1_DCFG3 EQU 0x400116e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG4 +CYREG_B1_P3_U1_DCFG4 EQU 0x400116e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG5 +CYREG_B1_P3_U1_DCFG5 EQU 0x400116ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG6 +CYREG_B1_P3_U1_DCFG6 EQU 0x400116ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG7 +CYREG_B1_P3_U1_DCFG7 EQU 0x400116ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_BASE +CYDEV_UCFG_B1_P3_ROUTE_BASE EQU 0x40011700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_SIZE +CYDEV_UCFG_B1_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_BASE +CYDEV_UCFG_B1_P4_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_SIZE +CYDEV_UCFG_B1_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_BASE +CYDEV_UCFG_B1_P4_U0_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_SIZE +CYDEV_UCFG_B1_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT0 +CYREG_B1_P4_U0_PLD_IT0 EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT1 +CYREG_B1_P4_U0_PLD_IT1 EQU 0x40011804 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT2 +CYREG_B1_P4_U0_PLD_IT2 EQU 0x40011808 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT3 +CYREG_B1_P4_U0_PLD_IT3 EQU 0x4001180c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT4 +CYREG_B1_P4_U0_PLD_IT4 EQU 0x40011810 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT5 +CYREG_B1_P4_U0_PLD_IT5 EQU 0x40011814 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT6 +CYREG_B1_P4_U0_PLD_IT6 EQU 0x40011818 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT7 +CYREG_B1_P4_U0_PLD_IT7 EQU 0x4001181c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT8 +CYREG_B1_P4_U0_PLD_IT8 EQU 0x40011820 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT9 +CYREG_B1_P4_U0_PLD_IT9 EQU 0x40011824 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT10 +CYREG_B1_P4_U0_PLD_IT10 EQU 0x40011828 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT11 +CYREG_B1_P4_U0_PLD_IT11 EQU 0x4001182c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT0 +CYREG_B1_P4_U0_PLD_ORT0 EQU 0x40011830 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT1 +CYREG_B1_P4_U0_PLD_ORT1 EQU 0x40011832 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT2 +CYREG_B1_P4_U0_PLD_ORT2 EQU 0x40011834 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT3 +CYREG_B1_P4_U0_PLD_ORT3 EQU 0x40011836 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_CEN_CONST +CYREG_B1_P4_U0_MC_CFG_CEN_CONST EQU 0x40011838 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_XORFB +CYREG_B1_P4_U0_MC_CFG_XORFB EQU 0x4001183a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_SET_RESET +CYREG_B1_P4_U0_MC_CFG_SET_RESET EQU 0x4001183c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_BYPASS +CYREG_B1_P4_U0_MC_CFG_BYPASS EQU 0x4001183e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG0 +CYREG_B1_P4_U0_CFG0 EQU 0x40011840 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG1 +CYREG_B1_P4_U0_CFG1 EQU 0x40011841 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG2 +CYREG_B1_P4_U0_CFG2 EQU 0x40011842 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG3 +CYREG_B1_P4_U0_CFG3 EQU 0x40011843 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG4 +CYREG_B1_P4_U0_CFG4 EQU 0x40011844 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG5 +CYREG_B1_P4_U0_CFG5 EQU 0x40011845 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG6 +CYREG_B1_P4_U0_CFG6 EQU 0x40011846 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG7 +CYREG_B1_P4_U0_CFG7 EQU 0x40011847 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG8 +CYREG_B1_P4_U0_CFG8 EQU 0x40011848 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG9 +CYREG_B1_P4_U0_CFG9 EQU 0x40011849 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG10 +CYREG_B1_P4_U0_CFG10 EQU 0x4001184a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG11 +CYREG_B1_P4_U0_CFG11 EQU 0x4001184b + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG12 +CYREG_B1_P4_U0_CFG12 EQU 0x4001184c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG13 +CYREG_B1_P4_U0_CFG13 EQU 0x4001184d + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG14 +CYREG_B1_P4_U0_CFG14 EQU 0x4001184e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG15 +CYREG_B1_P4_U0_CFG15 EQU 0x4001184f + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG16 +CYREG_B1_P4_U0_CFG16 EQU 0x40011850 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG17 +CYREG_B1_P4_U0_CFG17 EQU 0x40011851 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG18 +CYREG_B1_P4_U0_CFG18 EQU 0x40011852 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG19 +CYREG_B1_P4_U0_CFG19 EQU 0x40011853 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG20 +CYREG_B1_P4_U0_CFG20 EQU 0x40011854 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG21 +CYREG_B1_P4_U0_CFG21 EQU 0x40011855 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG22 +CYREG_B1_P4_U0_CFG22 EQU 0x40011856 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG23 +CYREG_B1_P4_U0_CFG23 EQU 0x40011857 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG24 +CYREG_B1_P4_U0_CFG24 EQU 0x40011858 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG25 +CYREG_B1_P4_U0_CFG25 EQU 0x40011859 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG26 +CYREG_B1_P4_U0_CFG26 EQU 0x4001185a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG27 +CYREG_B1_P4_U0_CFG27 EQU 0x4001185b + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG28 +CYREG_B1_P4_U0_CFG28 EQU 0x4001185c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG29 +CYREG_B1_P4_U0_CFG29 EQU 0x4001185d + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG30 +CYREG_B1_P4_U0_CFG30 EQU 0x4001185e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG31 +CYREG_B1_P4_U0_CFG31 EQU 0x4001185f + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG0 +CYREG_B1_P4_U0_DCFG0 EQU 0x40011860 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG1 +CYREG_B1_P4_U0_DCFG1 EQU 0x40011862 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG2 +CYREG_B1_P4_U0_DCFG2 EQU 0x40011864 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG3 +CYREG_B1_P4_U0_DCFG3 EQU 0x40011866 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG4 +CYREG_B1_P4_U0_DCFG4 EQU 0x40011868 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG5 +CYREG_B1_P4_U0_DCFG5 EQU 0x4001186a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG6 +CYREG_B1_P4_U0_DCFG6 EQU 0x4001186c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG7 +CYREG_B1_P4_U0_DCFG7 EQU 0x4001186e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_BASE +CYDEV_UCFG_B1_P4_U1_BASE EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_SIZE +CYDEV_UCFG_B1_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT0 +CYREG_B1_P4_U1_PLD_IT0 EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT1 +CYREG_B1_P4_U1_PLD_IT1 EQU 0x40011884 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT2 +CYREG_B1_P4_U1_PLD_IT2 EQU 0x40011888 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT3 +CYREG_B1_P4_U1_PLD_IT3 EQU 0x4001188c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT4 +CYREG_B1_P4_U1_PLD_IT4 EQU 0x40011890 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT5 +CYREG_B1_P4_U1_PLD_IT5 EQU 0x40011894 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT6 +CYREG_B1_P4_U1_PLD_IT6 EQU 0x40011898 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT7 +CYREG_B1_P4_U1_PLD_IT7 EQU 0x4001189c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT8 +CYREG_B1_P4_U1_PLD_IT8 EQU 0x400118a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT9 +CYREG_B1_P4_U1_PLD_IT9 EQU 0x400118a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT10 +CYREG_B1_P4_U1_PLD_IT10 EQU 0x400118a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT11 +CYREG_B1_P4_U1_PLD_IT11 EQU 0x400118ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT0 +CYREG_B1_P4_U1_PLD_ORT0 EQU 0x400118b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT1 +CYREG_B1_P4_U1_PLD_ORT1 EQU 0x400118b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT2 +CYREG_B1_P4_U1_PLD_ORT2 EQU 0x400118b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT3 +CYREG_B1_P4_U1_PLD_ORT3 EQU 0x400118b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_CEN_CONST +CYREG_B1_P4_U1_MC_CFG_CEN_CONST EQU 0x400118b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_XORFB +CYREG_B1_P4_U1_MC_CFG_XORFB EQU 0x400118ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_SET_RESET +CYREG_B1_P4_U1_MC_CFG_SET_RESET EQU 0x400118bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_BYPASS +CYREG_B1_P4_U1_MC_CFG_BYPASS EQU 0x400118be + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG0 +CYREG_B1_P4_U1_CFG0 EQU 0x400118c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG1 +CYREG_B1_P4_U1_CFG1 EQU 0x400118c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG2 +CYREG_B1_P4_U1_CFG2 EQU 0x400118c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG3 +CYREG_B1_P4_U1_CFG3 EQU 0x400118c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG4 +CYREG_B1_P4_U1_CFG4 EQU 0x400118c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG5 +CYREG_B1_P4_U1_CFG5 EQU 0x400118c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG6 +CYREG_B1_P4_U1_CFG6 EQU 0x400118c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG7 +CYREG_B1_P4_U1_CFG7 EQU 0x400118c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG8 +CYREG_B1_P4_U1_CFG8 EQU 0x400118c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG9 +CYREG_B1_P4_U1_CFG9 EQU 0x400118c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG10 +CYREG_B1_P4_U1_CFG10 EQU 0x400118ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG11 +CYREG_B1_P4_U1_CFG11 EQU 0x400118cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG12 +CYREG_B1_P4_U1_CFG12 EQU 0x400118cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG13 +CYREG_B1_P4_U1_CFG13 EQU 0x400118cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG14 +CYREG_B1_P4_U1_CFG14 EQU 0x400118ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG15 +CYREG_B1_P4_U1_CFG15 EQU 0x400118cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG16 +CYREG_B1_P4_U1_CFG16 EQU 0x400118d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG17 +CYREG_B1_P4_U1_CFG17 EQU 0x400118d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG18 +CYREG_B1_P4_U1_CFG18 EQU 0x400118d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG19 +CYREG_B1_P4_U1_CFG19 EQU 0x400118d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG20 +CYREG_B1_P4_U1_CFG20 EQU 0x400118d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG21 +CYREG_B1_P4_U1_CFG21 EQU 0x400118d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG22 +CYREG_B1_P4_U1_CFG22 EQU 0x400118d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG23 +CYREG_B1_P4_U1_CFG23 EQU 0x400118d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG24 +CYREG_B1_P4_U1_CFG24 EQU 0x400118d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG25 +CYREG_B1_P4_U1_CFG25 EQU 0x400118d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG26 +CYREG_B1_P4_U1_CFG26 EQU 0x400118da + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG27 +CYREG_B1_P4_U1_CFG27 EQU 0x400118db + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG28 +CYREG_B1_P4_U1_CFG28 EQU 0x400118dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG29 +CYREG_B1_P4_U1_CFG29 EQU 0x400118dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG30 +CYREG_B1_P4_U1_CFG30 EQU 0x400118de + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG31 +CYREG_B1_P4_U1_CFG31 EQU 0x400118df + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG0 +CYREG_B1_P4_U1_DCFG0 EQU 0x400118e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG1 +CYREG_B1_P4_U1_DCFG1 EQU 0x400118e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG2 +CYREG_B1_P4_U1_DCFG2 EQU 0x400118e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG3 +CYREG_B1_P4_U1_DCFG3 EQU 0x400118e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG4 +CYREG_B1_P4_U1_DCFG4 EQU 0x400118e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG5 +CYREG_B1_P4_U1_DCFG5 EQU 0x400118ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG6 +CYREG_B1_P4_U1_DCFG6 EQU 0x400118ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG7 +CYREG_B1_P4_U1_DCFG7 EQU 0x400118ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_BASE +CYDEV_UCFG_B1_P4_ROUTE_BASE EQU 0x40011900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_SIZE +CYDEV_UCFG_B1_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_BASE +CYDEV_UCFG_B1_P5_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_SIZE +CYDEV_UCFG_B1_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_BASE +CYDEV_UCFG_B1_P5_U0_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_SIZE +CYDEV_UCFG_B1_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT0 +CYREG_B1_P5_U0_PLD_IT0 EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT1 +CYREG_B1_P5_U0_PLD_IT1 EQU 0x40011a04 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT2 +CYREG_B1_P5_U0_PLD_IT2 EQU 0x40011a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT3 +CYREG_B1_P5_U0_PLD_IT3 EQU 0x40011a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT4 +CYREG_B1_P5_U0_PLD_IT4 EQU 0x40011a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT5 +CYREG_B1_P5_U0_PLD_IT5 EQU 0x40011a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT6 +CYREG_B1_P5_U0_PLD_IT6 EQU 0x40011a18 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT7 +CYREG_B1_P5_U0_PLD_IT7 EQU 0x40011a1c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT8 +CYREG_B1_P5_U0_PLD_IT8 EQU 0x40011a20 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT9 +CYREG_B1_P5_U0_PLD_IT9 EQU 0x40011a24 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT10 +CYREG_B1_P5_U0_PLD_IT10 EQU 0x40011a28 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT11 +CYREG_B1_P5_U0_PLD_IT11 EQU 0x40011a2c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT0 +CYREG_B1_P5_U0_PLD_ORT0 EQU 0x40011a30 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT1 +CYREG_B1_P5_U0_PLD_ORT1 EQU 0x40011a32 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT2 +CYREG_B1_P5_U0_PLD_ORT2 EQU 0x40011a34 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT3 +CYREG_B1_P5_U0_PLD_ORT3 EQU 0x40011a36 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_CEN_CONST +CYREG_B1_P5_U0_MC_CFG_CEN_CONST EQU 0x40011a38 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_XORFB +CYREG_B1_P5_U0_MC_CFG_XORFB EQU 0x40011a3a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_SET_RESET +CYREG_B1_P5_U0_MC_CFG_SET_RESET EQU 0x40011a3c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_BYPASS +CYREG_B1_P5_U0_MC_CFG_BYPASS EQU 0x40011a3e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG0 +CYREG_B1_P5_U0_CFG0 EQU 0x40011a40 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG1 +CYREG_B1_P5_U0_CFG1 EQU 0x40011a41 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG2 +CYREG_B1_P5_U0_CFG2 EQU 0x40011a42 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG3 +CYREG_B1_P5_U0_CFG3 EQU 0x40011a43 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG4 +CYREG_B1_P5_U0_CFG4 EQU 0x40011a44 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG5 +CYREG_B1_P5_U0_CFG5 EQU 0x40011a45 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG6 +CYREG_B1_P5_U0_CFG6 EQU 0x40011a46 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG7 +CYREG_B1_P5_U0_CFG7 EQU 0x40011a47 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG8 +CYREG_B1_P5_U0_CFG8 EQU 0x40011a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG9 +CYREG_B1_P5_U0_CFG9 EQU 0x40011a49 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG10 +CYREG_B1_P5_U0_CFG10 EQU 0x40011a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG11 +CYREG_B1_P5_U0_CFG11 EQU 0x40011a4b + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG12 +CYREG_B1_P5_U0_CFG12 EQU 0x40011a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG13 +CYREG_B1_P5_U0_CFG13 EQU 0x40011a4d + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG14 +CYREG_B1_P5_U0_CFG14 EQU 0x40011a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG15 +CYREG_B1_P5_U0_CFG15 EQU 0x40011a4f + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG16 +CYREG_B1_P5_U0_CFG16 EQU 0x40011a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG17 +CYREG_B1_P5_U0_CFG17 EQU 0x40011a51 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG18 +CYREG_B1_P5_U0_CFG18 EQU 0x40011a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG19 +CYREG_B1_P5_U0_CFG19 EQU 0x40011a53 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG20 +CYREG_B1_P5_U0_CFG20 EQU 0x40011a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG21 +CYREG_B1_P5_U0_CFG21 EQU 0x40011a55 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG22 +CYREG_B1_P5_U0_CFG22 EQU 0x40011a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG23 +CYREG_B1_P5_U0_CFG23 EQU 0x40011a57 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG24 +CYREG_B1_P5_U0_CFG24 EQU 0x40011a58 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG25 +CYREG_B1_P5_U0_CFG25 EQU 0x40011a59 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG26 +CYREG_B1_P5_U0_CFG26 EQU 0x40011a5a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG27 +CYREG_B1_P5_U0_CFG27 EQU 0x40011a5b + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG28 +CYREG_B1_P5_U0_CFG28 EQU 0x40011a5c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG29 +CYREG_B1_P5_U0_CFG29 EQU 0x40011a5d + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG30 +CYREG_B1_P5_U0_CFG30 EQU 0x40011a5e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG31 +CYREG_B1_P5_U0_CFG31 EQU 0x40011a5f + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG0 +CYREG_B1_P5_U0_DCFG0 EQU 0x40011a60 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG1 +CYREG_B1_P5_U0_DCFG1 EQU 0x40011a62 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG2 +CYREG_B1_P5_U0_DCFG2 EQU 0x40011a64 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG3 +CYREG_B1_P5_U0_DCFG3 EQU 0x40011a66 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG4 +CYREG_B1_P5_U0_DCFG4 EQU 0x40011a68 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG5 +CYREG_B1_P5_U0_DCFG5 EQU 0x40011a6a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG6 +CYREG_B1_P5_U0_DCFG6 EQU 0x40011a6c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG7 +CYREG_B1_P5_U0_DCFG7 EQU 0x40011a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_BASE +CYDEV_UCFG_B1_P5_U1_BASE EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_SIZE +CYDEV_UCFG_B1_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT0 +CYREG_B1_P5_U1_PLD_IT0 EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT1 +CYREG_B1_P5_U1_PLD_IT1 EQU 0x40011a84 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT2 +CYREG_B1_P5_U1_PLD_IT2 EQU 0x40011a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT3 +CYREG_B1_P5_U1_PLD_IT3 EQU 0x40011a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT4 +CYREG_B1_P5_U1_PLD_IT4 EQU 0x40011a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT5 +CYREG_B1_P5_U1_PLD_IT5 EQU 0x40011a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT6 +CYREG_B1_P5_U1_PLD_IT6 EQU 0x40011a98 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT7 +CYREG_B1_P5_U1_PLD_IT7 EQU 0x40011a9c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT8 +CYREG_B1_P5_U1_PLD_IT8 EQU 0x40011aa0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT9 +CYREG_B1_P5_U1_PLD_IT9 EQU 0x40011aa4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT10 +CYREG_B1_P5_U1_PLD_IT10 EQU 0x40011aa8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT11 +CYREG_B1_P5_U1_PLD_IT11 EQU 0x40011aac + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT0 +CYREG_B1_P5_U1_PLD_ORT0 EQU 0x40011ab0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT1 +CYREG_B1_P5_U1_PLD_ORT1 EQU 0x40011ab2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT2 +CYREG_B1_P5_U1_PLD_ORT2 EQU 0x40011ab4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT3 +CYREG_B1_P5_U1_PLD_ORT3 EQU 0x40011ab6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_CEN_CONST +CYREG_B1_P5_U1_MC_CFG_CEN_CONST EQU 0x40011ab8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_XORFB +CYREG_B1_P5_U1_MC_CFG_XORFB EQU 0x40011aba + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_SET_RESET +CYREG_B1_P5_U1_MC_CFG_SET_RESET EQU 0x40011abc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_BYPASS +CYREG_B1_P5_U1_MC_CFG_BYPASS EQU 0x40011abe + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG0 +CYREG_B1_P5_U1_CFG0 EQU 0x40011ac0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG1 +CYREG_B1_P5_U1_CFG1 EQU 0x40011ac1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG2 +CYREG_B1_P5_U1_CFG2 EQU 0x40011ac2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG3 +CYREG_B1_P5_U1_CFG3 EQU 0x40011ac3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG4 +CYREG_B1_P5_U1_CFG4 EQU 0x40011ac4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG5 +CYREG_B1_P5_U1_CFG5 EQU 0x40011ac5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG6 +CYREG_B1_P5_U1_CFG6 EQU 0x40011ac6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG7 +CYREG_B1_P5_U1_CFG7 EQU 0x40011ac7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG8 +CYREG_B1_P5_U1_CFG8 EQU 0x40011ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG9 +CYREG_B1_P5_U1_CFG9 EQU 0x40011ac9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG10 +CYREG_B1_P5_U1_CFG10 EQU 0x40011aca + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG11 +CYREG_B1_P5_U1_CFG11 EQU 0x40011acb + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG12 +CYREG_B1_P5_U1_CFG12 EQU 0x40011acc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG13 +CYREG_B1_P5_U1_CFG13 EQU 0x40011acd + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG14 +CYREG_B1_P5_U1_CFG14 EQU 0x40011ace + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG15 +CYREG_B1_P5_U1_CFG15 EQU 0x40011acf + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG16 +CYREG_B1_P5_U1_CFG16 EQU 0x40011ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG17 +CYREG_B1_P5_U1_CFG17 EQU 0x40011ad1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG18 +CYREG_B1_P5_U1_CFG18 EQU 0x40011ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG19 +CYREG_B1_P5_U1_CFG19 EQU 0x40011ad3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG20 +CYREG_B1_P5_U1_CFG20 EQU 0x40011ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG21 +CYREG_B1_P5_U1_CFG21 EQU 0x40011ad5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG22 +CYREG_B1_P5_U1_CFG22 EQU 0x40011ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG23 +CYREG_B1_P5_U1_CFG23 EQU 0x40011ad7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG24 +CYREG_B1_P5_U1_CFG24 EQU 0x40011ad8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG25 +CYREG_B1_P5_U1_CFG25 EQU 0x40011ad9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG26 +CYREG_B1_P5_U1_CFG26 EQU 0x40011ada + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG27 +CYREG_B1_P5_U1_CFG27 EQU 0x40011adb + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG28 +CYREG_B1_P5_U1_CFG28 EQU 0x40011adc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG29 +CYREG_B1_P5_U1_CFG29 EQU 0x40011add + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG30 +CYREG_B1_P5_U1_CFG30 EQU 0x40011ade + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG31 +CYREG_B1_P5_U1_CFG31 EQU 0x40011adf + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG0 +CYREG_B1_P5_U1_DCFG0 EQU 0x40011ae0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG1 +CYREG_B1_P5_U1_DCFG1 EQU 0x40011ae2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG2 +CYREG_B1_P5_U1_DCFG2 EQU 0x40011ae4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG3 +CYREG_B1_P5_U1_DCFG3 EQU 0x40011ae6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG4 +CYREG_B1_P5_U1_DCFG4 EQU 0x40011ae8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG5 +CYREG_B1_P5_U1_DCFG5 EQU 0x40011aea + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG6 +CYREG_B1_P5_U1_DCFG6 EQU 0x40011aec + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG7 +CYREG_B1_P5_U1_DCFG7 EQU 0x40011aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_BASE +CYDEV_UCFG_B1_P5_ROUTE_BASE EQU 0x40011b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_SIZE +CYDEV_UCFG_B1_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_BASE +CYDEV_UCFG_DSI0_BASE EQU 0x40014000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_SIZE +CYDEV_UCFG_DSI0_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_BASE +CYDEV_UCFG_DSI1_BASE EQU 0x40014100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_SIZE +CYDEV_UCFG_DSI1_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_BASE +CYDEV_UCFG_DSI2_BASE EQU 0x40014200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_SIZE +CYDEV_UCFG_DSI2_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_BASE +CYDEV_UCFG_DSI3_BASE EQU 0x40014300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_SIZE +CYDEV_UCFG_DSI3_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_BASE +CYDEV_UCFG_DSI4_BASE EQU 0x40014400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_SIZE +CYDEV_UCFG_DSI4_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_BASE +CYDEV_UCFG_DSI5_BASE EQU 0x40014500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_SIZE +CYDEV_UCFG_DSI5_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_BASE +CYDEV_UCFG_DSI6_BASE EQU 0x40014600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_SIZE +CYDEV_UCFG_DSI6_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_BASE +CYDEV_UCFG_DSI7_BASE EQU 0x40014700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_SIZE +CYDEV_UCFG_DSI7_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_BASE +CYDEV_UCFG_DSI8_BASE EQU 0x40014800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_SIZE +CYDEV_UCFG_DSI8_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_BASE +CYDEV_UCFG_DSI9_BASE EQU 0x40014900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_SIZE +CYDEV_UCFG_DSI9_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_BASE +CYDEV_UCFG_DSI12_BASE EQU 0x40014c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_SIZE +CYDEV_UCFG_DSI12_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_BASE +CYDEV_UCFG_DSI13_BASE EQU 0x40014d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_SIZE +CYDEV_UCFG_DSI13_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BASE +CYDEV_UCFG_BCTL0_BASE EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_SIZE +CYDEV_UCFG_BCTL0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_MDCLK_EN +CYREG_BCTL0_MDCLK_EN EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_MBCLK_EN +CYREG_BCTL0_MBCLK_EN EQU 0x40015001 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_WAIT_CFG +CYREG_BCTL0_WAIT_CFG EQU 0x40015002 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BANK_CTL +CYREG_BCTL0_BANK_CTL EQU 0x40015003 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_UDB_TEST_3 +CYREG_BCTL0_UDB_TEST_3 EQU 0x40015007 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN0 +CYREG_BCTL0_DCLK_EN0 EQU 0x40015008 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN0 +CYREG_BCTL0_BCLK_EN0 EQU 0x40015009 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN1 +CYREG_BCTL0_DCLK_EN1 EQU 0x4001500a + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN1 +CYREG_BCTL0_BCLK_EN1 EQU 0x4001500b + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN2 +CYREG_BCTL0_DCLK_EN2 EQU 0x4001500c + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN2 +CYREG_BCTL0_BCLK_EN2 EQU 0x4001500d + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN3 +CYREG_BCTL0_DCLK_EN3 EQU 0x4001500e + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN3 +CYREG_BCTL0_BCLK_EN3 EQU 0x4001500f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BASE +CYDEV_UCFG_BCTL1_BASE EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_SIZE +CYDEV_UCFG_BCTL1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_MDCLK_EN +CYREG_BCTL1_MDCLK_EN EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_MBCLK_EN +CYREG_BCTL1_MBCLK_EN EQU 0x40015011 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_WAIT_CFG +CYREG_BCTL1_WAIT_CFG EQU 0x40015012 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BANK_CTL +CYREG_BCTL1_BANK_CTL EQU 0x40015013 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_UDB_TEST_3 +CYREG_BCTL1_UDB_TEST_3 EQU 0x40015017 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN0 +CYREG_BCTL1_DCLK_EN0 EQU 0x40015018 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN0 +CYREG_BCTL1_BCLK_EN0 EQU 0x40015019 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN1 +CYREG_BCTL1_DCLK_EN1 EQU 0x4001501a + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN1 +CYREG_BCTL1_BCLK_EN1 EQU 0x4001501b + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN2 +CYREG_BCTL1_DCLK_EN2 EQU 0x4001501c + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN2 +CYREG_BCTL1_BCLK_EN2 EQU 0x4001501d + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN3 +CYREG_BCTL1_DCLK_EN3 EQU 0x4001501e + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN3 +CYREG_BCTL1_BCLK_EN3 EQU 0x4001501f + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_BASE +CYDEV_IDMUX_BASE EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_SIZE +CYDEV_IDMUX_SIZE EQU 0x00000016 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL0 +CYREG_IDMUX_IRQ_CTL0 EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL1 +CYREG_IDMUX_IRQ_CTL1 EQU 0x40015101 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL2 +CYREG_IDMUX_IRQ_CTL2 EQU 0x40015102 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL3 +CYREG_IDMUX_IRQ_CTL3 EQU 0x40015103 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL4 +CYREG_IDMUX_IRQ_CTL4 EQU 0x40015104 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL5 +CYREG_IDMUX_IRQ_CTL5 EQU 0x40015105 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL6 +CYREG_IDMUX_IRQ_CTL6 EQU 0x40015106 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL7 +CYREG_IDMUX_IRQ_CTL7 EQU 0x40015107 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL0 +CYREG_IDMUX_DRQ_CTL0 EQU 0x40015110 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL1 +CYREG_IDMUX_DRQ_CTL1 EQU 0x40015111 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL2 +CYREG_IDMUX_DRQ_CTL2 EQU 0x40015112 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL3 +CYREG_IDMUX_DRQ_CTL3 EQU 0x40015113 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL4 +CYREG_IDMUX_DRQ_CTL4 EQU 0x40015114 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL5 +CYREG_IDMUX_DRQ_CTL5 EQU 0x40015115 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_BASE +CYDEV_CACHERAM_BASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_SIZE +CYDEV_CACHERAM_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYREG_CACHERAM_DATA_MBASE +CYREG_CACHERAM_DATA_MBASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYREG_CACHERAM_DATA_MSIZE +CYREG_CACHERAM_DATA_MSIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_BASE +CYDEV_SFR_BASE EQU 0x40050100 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_SIZE +CYDEV_SFR_SIZE EQU 0x000000fb + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO0 +CYREG_SFR_GPIO0 EQU 0x40050180 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD0 +CYREG_SFR_GPIRD0 EQU 0x40050189 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO0_SEL +CYREG_SFR_GPIO0_SEL EQU 0x4005018a + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO1 +CYREG_SFR_GPIO1 EQU 0x40050190 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD1 +CYREG_SFR_GPIRD1 EQU 0x40050191 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO2 +CYREG_SFR_GPIO2 EQU 0x40050198 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD2 +CYREG_SFR_GPIRD2 EQU 0x40050199 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO2_SEL +CYREG_SFR_GPIO2_SEL EQU 0x4005019a + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO1_SEL +CYREG_SFR_GPIO1_SEL EQU 0x400501a2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO3 +CYREG_SFR_GPIO3 EQU 0x400501b0 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD3 +CYREG_SFR_GPIRD3 EQU 0x400501b1 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO3_SEL +CYREG_SFR_GPIO3_SEL EQU 0x400501b2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO4 +CYREG_SFR_GPIO4 EQU 0x400501c0 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD4 +CYREG_SFR_GPIRD4 EQU 0x400501c1 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO4_SEL +CYREG_SFR_GPIO4_SEL EQU 0x400501c2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO5 +CYREG_SFR_GPIO5 EQU 0x400501c8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD5 +CYREG_SFR_GPIRD5 EQU 0x400501c9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO5_SEL +CYREG_SFR_GPIO5_SEL EQU 0x400501ca + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO6 +CYREG_SFR_GPIO6 EQU 0x400501d8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD6 +CYREG_SFR_GPIRD6 EQU 0x400501d9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO6_SEL +CYREG_SFR_GPIO6_SEL EQU 0x400501da + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO12 +CYREG_SFR_GPIO12 EQU 0x400501e8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD12 +CYREG_SFR_GPIRD12 EQU 0x400501e9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO12_SEL +CYREG_SFR_GPIO12_SEL EQU 0x400501f2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO15 +CYREG_SFR_GPIO15 EQU 0x400501f8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD15 +CYREG_SFR_GPIRD15 EQU 0x400501f9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO15_SEL +CYREG_SFR_GPIO15_SEL EQU 0x400501fa + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BASE +CYDEV_P3BA_BASE EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SIZE +CYDEV_P3BA_SIZE EQU 0x0000002b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_Y_START +CYREG_P3BA_Y_START EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_YROLL +CYREG_P3BA_YROLL EQU 0x40050301 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_YCFG +CYREG_P3BA_YCFG EQU 0x40050302 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_START1 +CYREG_P3BA_X_START1 EQU 0x40050303 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_START2 +CYREG_P3BA_X_START2 EQU 0x40050304 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XROLL1 +CYREG_P3BA_XROLL1 EQU 0x40050305 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XROLL2 +CYREG_P3BA_XROLL2 EQU 0x40050306 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XINC +CYREG_P3BA_XINC EQU 0x40050307 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XCFG +CYREG_P3BA_XCFG EQU 0x40050308 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR1 +CYREG_P3BA_OFFSETADDR1 EQU 0x40050309 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR2 +CYREG_P3BA_OFFSETADDR2 EQU 0x4005030a + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR3 +CYREG_P3BA_OFFSETADDR3 EQU 0x4005030b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR1 +CYREG_P3BA_ABSADDR1 EQU 0x4005030c + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR2 +CYREG_P3BA_ABSADDR2 EQU 0x4005030d + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR3 +CYREG_P3BA_ABSADDR3 EQU 0x4005030e + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR4 +CYREG_P3BA_ABSADDR4 EQU 0x4005030f + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATCFG1 +CYREG_P3BA_DATCFG1 EQU 0x40050310 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATCFG2 +CYREG_P3BA_DATCFG2 EQU 0x40050311 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT1 +CYREG_P3BA_CMP_RSLT1 EQU 0x40050314 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT2 +CYREG_P3BA_CMP_RSLT2 EQU 0x40050315 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT3 +CYREG_P3BA_CMP_RSLT3 EQU 0x40050316 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT4 +CYREG_P3BA_CMP_RSLT4 EQU 0x40050317 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG1 +CYREG_P3BA_DATA_REG1 EQU 0x40050318 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG2 +CYREG_P3BA_DATA_REG2 EQU 0x40050319 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG3 +CYREG_P3BA_DATA_REG3 EQU 0x4005031a + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG4 +CYREG_P3BA_DATA_REG4 EQU 0x4005031b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA1 +CYREG_P3BA_EXP_DATA1 EQU 0x4005031c + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA2 +CYREG_P3BA_EXP_DATA2 EQU 0x4005031d + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA3 +CYREG_P3BA_EXP_DATA3 EQU 0x4005031e + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA4 +CYREG_P3BA_EXP_DATA4 EQU 0x4005031f + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA1 +CYREG_P3BA_MSTR_HRDATA1 EQU 0x40050320 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA2 +CYREG_P3BA_MSTR_HRDATA2 EQU 0x40050321 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA3 +CYREG_P3BA_MSTR_HRDATA3 EQU 0x40050322 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA4 +CYREG_P3BA_MSTR_HRDATA4 EQU 0x40050323 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_BIST_EN +CYREG_P3BA_BIST_EN EQU 0x40050324 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_PHUB_MASTER_SSR +CYREG_P3BA_PHUB_MASTER_SSR EQU 0x40050325 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_SEQCFG1 +CYREG_P3BA_SEQCFG1 EQU 0x40050326 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_SEQCFG2 +CYREG_P3BA_SEQCFG2 EQU 0x40050327 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_Y_CURR +CYREG_P3BA_Y_CURR EQU 0x40050328 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_CURR1 +CYREG_P3BA_X_CURR1 EQU 0x40050329 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_CURR2 +CYREG_P3BA_X_CURR2 EQU 0x4005032a + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_BASE +CYDEV_PANTHER_BASE EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_SIZE +CYDEV_PANTHER_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_STCALIB_CFG +CYREG_PANTHER_STCALIB_CFG EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_WAITPIPE +CYREG_PANTHER_WAITPIPE EQU 0x40080004 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_TRACE_CFG +CYREG_PANTHER_TRACE_CFG EQU 0x40080008 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_DBG_CFG +CYREG_PANTHER_DBG_CFG EQU 0x4008000c + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_CM3_LCKRST_STAT +CYREG_PANTHER_CM3_LCKRST_STAT EQU 0x40080018 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_DEVICE_ID +CYREG_PANTHER_DEVICE_ID EQU 0x4008001c + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_BASE +CYDEV_FLSECC_BASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_SIZE +CYDEV_FLSECC_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_FLSECC_DATA_MBASE +CYREG_FLSECC_DATA_MBASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYREG_FLSECC_DATA_MSIZE +CYREG_FLSECC_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_BASE +CYDEV_FLSHID_BASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_SIZE +CYDEV_FLSHID_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_RSVD_MBASE +CYREG_FLSHID_RSVD_MBASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_RSVD_MSIZE +CYREG_FLSHID_RSVD_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_MDATA_MBASE +CYREG_FLSHID_CUST_MDATA_MBASE EQU 0x49000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_MDATA_MSIZE +CYREG_FLSHID_CUST_MDATA_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_BASE +CYDEV_FLSHID_CUST_TABLES_BASE EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_SIZE +CYDEV_FLSHID_CUST_TABLES_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_Y_LOC +CYREG_FLSHID_CUST_TABLES_Y_LOC EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_X_LOC +CYREG_FLSHID_CUST_TABLES_X_LOC EQU 0x49000101 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_WAFER_NUM +CYREG_FLSHID_CUST_TABLES_WAFER_NUM EQU 0x49000102 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_LOT_LSB +CYREG_FLSHID_CUST_TABLES_LOT_LSB EQU 0x49000103 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_LOT_MSB +CYREG_FLSHID_CUST_TABLES_LOT_MSB EQU 0x49000104 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_WRK_WK +CYREG_FLSHID_CUST_TABLES_WRK_WK EQU 0x49000105 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_FAB_YR +CYREG_FLSHID_CUST_TABLES_FAB_YR EQU 0x49000106 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_MINOR +CYREG_FLSHID_CUST_TABLES_MINOR EQU 0x49000107 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_3MHZ +CYREG_FLSHID_CUST_TABLES_IMO_3MHZ EQU 0x49000108 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_6MHZ +CYREG_FLSHID_CUST_TABLES_IMO_6MHZ EQU 0x49000109 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_12MHZ +CYREG_FLSHID_CUST_TABLES_IMO_12MHZ EQU 0x4900010a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_24MHZ +CYREG_FLSHID_CUST_TABLES_IMO_24MHZ EQU 0x4900010b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_67MHZ +CYREG_FLSHID_CUST_TABLES_IMO_67MHZ EQU 0x4900010c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_80MHZ +CYREG_FLSHID_CUST_TABLES_IMO_80MHZ EQU 0x4900010d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_92MHZ +CYREG_FLSHID_CUST_TABLES_IMO_92MHZ EQU 0x4900010e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_USB +CYREG_FLSHID_CUST_TABLES_IMO_USB EQU 0x4900010f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS EQU 0x49000110 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS EQU 0x49000111 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS EQU 0x49000112 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS EQU 0x49000113 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS EQU 0x49000114 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS EQU 0x49000115 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS EQU 0x49000116 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS EQU 0x49000117 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M1 +CYREG_FLSHID_CUST_TABLES_DEC_M1 EQU 0x49000118 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M2 +CYREG_FLSHID_CUST_TABLES_DEC_M2 EQU 0x49000119 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M3 +CYREG_FLSHID_CUST_TABLES_DEC_M3 EQU 0x4900011a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M4 +CYREG_FLSHID_CUST_TABLES_DEC_M4 EQU 0x4900011b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M5 +CYREG_FLSHID_CUST_TABLES_DEC_M5 EQU 0x4900011c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M6 +CYREG_FLSHID_CUST_TABLES_DEC_M6 EQU 0x4900011d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M7 +CYREG_FLSHID_CUST_TABLES_DEC_M7 EQU 0x4900011e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M8 +CYREG_FLSHID_CUST_TABLES_DEC_M8 EQU 0x4900011f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M1 +CYREG_FLSHID_CUST_TABLES_DAC0_M1 EQU 0x49000120 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M2 +CYREG_FLSHID_CUST_TABLES_DAC0_M2 EQU 0x49000121 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M3 +CYREG_FLSHID_CUST_TABLES_DAC0_M3 EQU 0x49000122 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M4 +CYREG_FLSHID_CUST_TABLES_DAC0_M4 EQU 0x49000123 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M5 +CYREG_FLSHID_CUST_TABLES_DAC0_M5 EQU 0x49000124 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M6 +CYREG_FLSHID_CUST_TABLES_DAC0_M6 EQU 0x49000125 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M7 +CYREG_FLSHID_CUST_TABLES_DAC0_M7 EQU 0x49000126 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M8 +CYREG_FLSHID_CUST_TABLES_DAC0_M8 EQU 0x49000127 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M1 +CYREG_FLSHID_CUST_TABLES_DAC2_M1 EQU 0x49000128 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M2 +CYREG_FLSHID_CUST_TABLES_DAC2_M2 EQU 0x49000129 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M3 +CYREG_FLSHID_CUST_TABLES_DAC2_M3 EQU 0x4900012a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M4 +CYREG_FLSHID_CUST_TABLES_DAC2_M4 EQU 0x4900012b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M5 +CYREG_FLSHID_CUST_TABLES_DAC2_M5 EQU 0x4900012c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M6 +CYREG_FLSHID_CUST_TABLES_DAC2_M6 EQU 0x4900012d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M7 +CYREG_FLSHID_CUST_TABLES_DAC2_M7 EQU 0x4900012e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M8 +CYREG_FLSHID_CUST_TABLES_DAC2_M8 EQU 0x4900012f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M1 +CYREG_FLSHID_CUST_TABLES_DAC1_M1 EQU 0x49000130 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M2 +CYREG_FLSHID_CUST_TABLES_DAC1_M2 EQU 0x49000131 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M3 +CYREG_FLSHID_CUST_TABLES_DAC1_M3 EQU 0x49000132 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M4 +CYREG_FLSHID_CUST_TABLES_DAC1_M4 EQU 0x49000133 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M5 +CYREG_FLSHID_CUST_TABLES_DAC1_M5 EQU 0x49000134 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M6 +CYREG_FLSHID_CUST_TABLES_DAC1_M6 EQU 0x49000135 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M7 +CYREG_FLSHID_CUST_TABLES_DAC1_M7 EQU 0x49000136 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M8 +CYREG_FLSHID_CUST_TABLES_DAC1_M8 EQU 0x49000137 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M1 +CYREG_FLSHID_CUST_TABLES_DAC3_M1 EQU 0x49000138 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M2 +CYREG_FLSHID_CUST_TABLES_DAC3_M2 EQU 0x49000139 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M3 +CYREG_FLSHID_CUST_TABLES_DAC3_M3 EQU 0x4900013a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M4 +CYREG_FLSHID_CUST_TABLES_DAC3_M4 EQU 0x4900013b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M5 +CYREG_FLSHID_CUST_TABLES_DAC3_M5 EQU 0x4900013c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M6 +CYREG_FLSHID_CUST_TABLES_DAC3_M6 EQU 0x4900013d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M7 +CYREG_FLSHID_CUST_TABLES_DAC3_M7 EQU 0x4900013e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M8 +CYREG_FLSHID_CUST_TABLES_DAC3_M8 EQU 0x4900013f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BASE +CYDEV_FLSHID_MFG_CFG_BASE EQU 0x49000180 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_SIZE +CYDEV_FLSHID_MFG_CFG_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_IMO_TR1 +CYREG_FLSHID_MFG_CFG_IMO_TR1 EQU 0x49000188 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP0_TR0 +CYREG_FLSHID_MFG_CFG_CMP0_TR0 EQU 0x490001ac + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP1_TR0 +CYREG_FLSHID_MFG_CFG_CMP1_TR0 EQU 0x490001ae + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP2_TR0 +CYREG_FLSHID_MFG_CFG_CMP2_TR0 EQU 0x490001b0 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP3_TR0 +CYREG_FLSHID_MFG_CFG_CMP3_TR0 EQU 0x490001b2 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP0_TR1 +CYREG_FLSHID_MFG_CFG_CMP0_TR1 EQU 0x490001b4 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP1_TR1 +CYREG_FLSHID_MFG_CFG_CMP1_TR1 EQU 0x490001b6 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP2_TR1 +CYREG_FLSHID_MFG_CFG_CMP2_TR1 EQU 0x490001b8 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP3_TR1 +CYREG_FLSHID_MFG_CFG_CMP3_TR1 EQU 0x490001ba + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM +CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM EQU 0x490001ce + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_BASE +CYDEV_EXTMEM_BASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_SIZE +CYDEV_EXTMEM_SIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYREG_EXTMEM_DATA_MBASE +CYREG_EXTMEM_DATA_MBASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYREG_EXTMEM_DATA_MSIZE +CYREG_EXTMEM_DATA_MSIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_BASE +CYDEV_ITM_BASE EQU 0xe0000000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_SIZE +CYDEV_ITM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_EN +CYREG_ITM_TRACE_EN EQU 0xe0000e00 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_PRIVILEGE +CYREG_ITM_TRACE_PRIVILEGE EQU 0xe0000e40 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_CTRL +CYREG_ITM_TRACE_CTRL EQU 0xe0000e80 + ENDIF + IF :LNOT::DEF:CYREG_ITM_LOCK_ACCESS +CYREG_ITM_LOCK_ACCESS EQU 0xe0000fb0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_LOCK_STATUS +CYREG_ITM_LOCK_STATUS EQU 0xe0000fb4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID4 +CYREG_ITM_PID4 EQU 0xe0000fd0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID5 +CYREG_ITM_PID5 EQU 0xe0000fd4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID6 +CYREG_ITM_PID6 EQU 0xe0000fd8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID7 +CYREG_ITM_PID7 EQU 0xe0000fdc + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID0 +CYREG_ITM_PID0 EQU 0xe0000fe0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID1 +CYREG_ITM_PID1 EQU 0xe0000fe4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID2 +CYREG_ITM_PID2 EQU 0xe0000fe8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID3 +CYREG_ITM_PID3 EQU 0xe0000fec + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID0 +CYREG_ITM_CID0 EQU 0xe0000ff0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID1 +CYREG_ITM_CID1 EQU 0xe0000ff4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID2 +CYREG_ITM_CID2 EQU 0xe0000ff8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID3 +CYREG_ITM_CID3 EQU 0xe0000ffc + ENDIF + IF :LNOT::DEF:CYDEV_DWT_BASE +CYDEV_DWT_BASE EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SIZE +CYDEV_DWT_SIZE EQU 0x0000005c + ENDIF + IF :LNOT::DEF:CYREG_DWT_CTRL +CYREG_DWT_CTRL EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYREG_DWT_CYCLE_COUNT +CYREG_DWT_CYCLE_COUNT EQU 0xe0001004 + ENDIF + IF :LNOT::DEF:CYREG_DWT_CPI_COUNT +CYREG_DWT_CPI_COUNT EQU 0xe0001008 + ENDIF + IF :LNOT::DEF:CYREG_DWT_EXC_OVHD_COUNT +CYREG_DWT_EXC_OVHD_COUNT EQU 0xe000100c + ENDIF + IF :LNOT::DEF:CYREG_DWT_SLEEP_COUNT +CYREG_DWT_SLEEP_COUNT EQU 0xe0001010 + ENDIF + IF :LNOT::DEF:CYREG_DWT_LSU_COUNT +CYREG_DWT_LSU_COUNT EQU 0xe0001014 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FOLD_COUNT +CYREG_DWT_FOLD_COUNT EQU 0xe0001018 + ENDIF + IF :LNOT::DEF:CYREG_DWT_PC_SAMPLE +CYREG_DWT_PC_SAMPLE EQU 0xe000101c + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_0 +CYREG_DWT_COMP_0 EQU 0xe0001020 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_0 +CYREG_DWT_MASK_0 EQU 0xe0001024 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_0 +CYREG_DWT_FUNCTION_0 EQU 0xe0001028 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_1 +CYREG_DWT_COMP_1 EQU 0xe0001030 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_1 +CYREG_DWT_MASK_1 EQU 0xe0001034 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_1 +CYREG_DWT_FUNCTION_1 EQU 0xe0001038 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_2 +CYREG_DWT_COMP_2 EQU 0xe0001040 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_2 +CYREG_DWT_MASK_2 EQU 0xe0001044 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_2 +CYREG_DWT_FUNCTION_2 EQU 0xe0001048 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_3 +CYREG_DWT_COMP_3 EQU 0xe0001050 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_3 +CYREG_DWT_MASK_3 EQU 0xe0001054 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_3 +CYREG_DWT_FUNCTION_3 EQU 0xe0001058 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_BASE +CYDEV_FPB_BASE EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_SIZE +CYDEV_FPB_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CTRL +CYREG_FPB_CTRL EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYREG_FPB_REMAP +CYREG_FPB_REMAP EQU 0xe0002004 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_0 +CYREG_FPB_FP_COMP_0 EQU 0xe0002008 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_1 +CYREG_FPB_FP_COMP_1 EQU 0xe000200c + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_2 +CYREG_FPB_FP_COMP_2 EQU 0xe0002010 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_3 +CYREG_FPB_FP_COMP_3 EQU 0xe0002014 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_4 +CYREG_FPB_FP_COMP_4 EQU 0xe0002018 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_5 +CYREG_FPB_FP_COMP_5 EQU 0xe000201c + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_6 +CYREG_FPB_FP_COMP_6 EQU 0xe0002020 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_7 +CYREG_FPB_FP_COMP_7 EQU 0xe0002024 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID4 +CYREG_FPB_PID4 EQU 0xe0002fd0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID5 +CYREG_FPB_PID5 EQU 0xe0002fd4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID6 +CYREG_FPB_PID6 EQU 0xe0002fd8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID7 +CYREG_FPB_PID7 EQU 0xe0002fdc + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID0 +CYREG_FPB_PID0 EQU 0xe0002fe0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID1 +CYREG_FPB_PID1 EQU 0xe0002fe4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID2 +CYREG_FPB_PID2 EQU 0xe0002fe8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID3 +CYREG_FPB_PID3 EQU 0xe0002fec + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID0 +CYREG_FPB_CID0 EQU 0xe0002ff0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID1 +CYREG_FPB_CID1 EQU 0xe0002ff4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID2 +CYREG_FPB_CID2 EQU 0xe0002ff8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID3 +CYREG_FPB_CID3 EQU 0xe0002ffc + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BASE +CYDEV_NVIC_BASE EQU 0xe000e000 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SIZE +CYDEV_NVIC_SIZE EQU 0x00000d3c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_INT_CTL_TYPE +CYREG_NVIC_INT_CTL_TYPE EQU 0xe000e004 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CTL +CYREG_NVIC_SYSTICK_CTL EQU 0xe000e010 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_RELOAD +CYREG_NVIC_SYSTICK_RELOAD EQU 0xe000e014 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CURRENT +CYREG_NVIC_SYSTICK_CURRENT EQU 0xe000e018 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CAL +CYREG_NVIC_SYSTICK_CAL EQU 0xe000e01c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SETENA0 +CYREG_NVIC_SETENA0 EQU 0xe000e100 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CLRENA0 +CYREG_NVIC_CLRENA0 EQU 0xe000e180 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SETPEND0 +CYREG_NVIC_SETPEND0 EQU 0xe000e200 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CLRPEND0 +CYREG_NVIC_CLRPEND0 EQU 0xe000e280 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_ACTIVE0 +CYREG_NVIC_ACTIVE0 EQU 0xe000e300 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_0 +CYREG_NVIC_PRI_0 EQU 0xe000e400 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_1 +CYREG_NVIC_PRI_1 EQU 0xe000e401 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_2 +CYREG_NVIC_PRI_2 EQU 0xe000e402 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_3 +CYREG_NVIC_PRI_3 EQU 0xe000e403 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_4 +CYREG_NVIC_PRI_4 EQU 0xe000e404 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_5 +CYREG_NVIC_PRI_5 EQU 0xe000e405 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_6 +CYREG_NVIC_PRI_6 EQU 0xe000e406 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_7 +CYREG_NVIC_PRI_7 EQU 0xe000e407 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_8 +CYREG_NVIC_PRI_8 EQU 0xe000e408 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_9 +CYREG_NVIC_PRI_9 EQU 0xe000e409 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_10 +CYREG_NVIC_PRI_10 EQU 0xe000e40a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_11 +CYREG_NVIC_PRI_11 EQU 0xe000e40b + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_12 +CYREG_NVIC_PRI_12 EQU 0xe000e40c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_13 +CYREG_NVIC_PRI_13 EQU 0xe000e40d + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_14 +CYREG_NVIC_PRI_14 EQU 0xe000e40e + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_15 +CYREG_NVIC_PRI_15 EQU 0xe000e40f + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_16 +CYREG_NVIC_PRI_16 EQU 0xe000e410 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_17 +CYREG_NVIC_PRI_17 EQU 0xe000e411 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_18 +CYREG_NVIC_PRI_18 EQU 0xe000e412 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_19 +CYREG_NVIC_PRI_19 EQU 0xe000e413 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_20 +CYREG_NVIC_PRI_20 EQU 0xe000e414 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_21 +CYREG_NVIC_PRI_21 EQU 0xe000e415 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_22 +CYREG_NVIC_PRI_22 EQU 0xe000e416 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_23 +CYREG_NVIC_PRI_23 EQU 0xe000e417 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_24 +CYREG_NVIC_PRI_24 EQU 0xe000e418 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_25 +CYREG_NVIC_PRI_25 EQU 0xe000e419 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_26 +CYREG_NVIC_PRI_26 EQU 0xe000e41a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_27 +CYREG_NVIC_PRI_27 EQU 0xe000e41b + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_28 +CYREG_NVIC_PRI_28 EQU 0xe000e41c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_29 +CYREG_NVIC_PRI_29 EQU 0xe000e41d + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_30 +CYREG_NVIC_PRI_30 EQU 0xe000e41e + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_31 +CYREG_NVIC_PRI_31 EQU 0xe000e41f + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CPUID_BASE +CYREG_NVIC_CPUID_BASE EQU 0xe000ed00 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_INTR_CTRL_STATE +CYREG_NVIC_INTR_CTRL_STATE EQU 0xe000ed04 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_VECT_OFFSET +CYREG_NVIC_VECT_OFFSET EQU 0xe000ed08 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_APPLN_INTR +CYREG_NVIC_APPLN_INTR EQU 0xe000ed0c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTEM_CONTROL +CYREG_NVIC_SYSTEM_CONTROL EQU 0xe000ed10 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CFG_CONTROL +CYREG_NVIC_CFG_CONTROL EQU 0xe000ed14 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_4_7 +CYREG_NVIC_SYS_PRIO_HANDLER_4_7 EQU 0xe000ed18 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_8_11 +CYREG_NVIC_SYS_PRIO_HANDLER_8_11 EQU 0xe000ed1c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_12_15 +CYREG_NVIC_SYS_PRIO_HANDLER_12_15 EQU 0xe000ed20 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_HANDLER_CSR +CYREG_NVIC_SYS_HANDLER_CSR EQU 0xe000ed24 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_MEMMAN_FAULT_STATUS +CYREG_NVIC_MEMMAN_FAULT_STATUS EQU 0xe000ed28 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_BUS_FAULT_STATUS +CYREG_NVIC_BUS_FAULT_STATUS EQU 0xe000ed29 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_USAGE_FAULT_STATUS +CYREG_NVIC_USAGE_FAULT_STATUS EQU 0xe000ed2a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_HARD_FAULT_STATUS +CYREG_NVIC_HARD_FAULT_STATUS EQU 0xe000ed2c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_DEBUG_FAULT_STATUS +CYREG_NVIC_DEBUG_FAULT_STATUS EQU 0xe000ed30 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_MEMMAN_FAULT_ADD +CYREG_NVIC_MEMMAN_FAULT_ADD EQU 0xe000ed34 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_BUS_FAULT_ADD +CYREG_NVIC_BUS_FAULT_ADD EQU 0xe000ed38 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_BASE +CYDEV_CORE_DBG_BASE EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_SIZE +CYDEV_CORE_DBG_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_HLT_CS +CYREG_CORE_DBG_DBG_HLT_CS EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_REG_SEL +CYREG_CORE_DBG_DBG_REG_SEL EQU 0xe000edf4 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_REG_DATA +CYREG_CORE_DBG_DBG_REG_DATA EQU 0xe000edf8 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_EXC_MON_CTL +CYREG_CORE_DBG_EXC_MON_CTL EQU 0xe000edfc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_BASE +CYDEV_TPIU_BASE EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SIZE +CYDEV_TPIU_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ +CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CURRENT_SYNC_PRT_SZ +CYREG_TPIU_CURRENT_SYNC_PRT_SZ EQU 0xe0040004 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ASYNC_CLK_PRESCALER +CYREG_TPIU_ASYNC_CLK_PRESCALER EQU 0xe0040010 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PROTOCOL +CYREG_TPIU_PROTOCOL EQU 0xe00400f0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_FORM_FLUSH_STAT +CYREG_TPIU_FORM_FLUSH_STAT EQU 0xe0040300 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_FORM_FLUSH_CTRL +CYREG_TPIU_FORM_FLUSH_CTRL EQU 0xe0040304 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_TRIGGER +CYREG_TPIU_TRIGGER EQU 0xe0040ee8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITETMDATA +CYREG_TPIU_ITETMDATA EQU 0xe0040eec + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITATBCTR2 +CYREG_TPIU_ITATBCTR2 EQU 0xe0040ef0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITATBCTR0 +CYREG_TPIU_ITATBCTR0 EQU 0xe0040ef8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITITMDATA +CYREG_TPIU_ITITMDATA EQU 0xe0040efc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITCTRL +CYREG_TPIU_ITCTRL EQU 0xe0040f00 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_DEVID +CYREG_TPIU_DEVID EQU 0xe0040fc8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_DEVTYPE +CYREG_TPIU_DEVTYPE EQU 0xe0040fcc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID4 +CYREG_TPIU_PID4 EQU 0xe0040fd0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID5 +CYREG_TPIU_PID5 EQU 0xe0040fd4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID6 +CYREG_TPIU_PID6 EQU 0xe0040fd8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID7 +CYREG_TPIU_PID7 EQU 0xe0040fdc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID0 +CYREG_TPIU_PID0 EQU 0xe0040fe0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID1 +CYREG_TPIU_PID1 EQU 0xe0040fe4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID2 +CYREG_TPIU_PID2 EQU 0xe0040fe8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID3 +CYREG_TPIU_PID3 EQU 0xe0040fec + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID0 +CYREG_TPIU_CID0 EQU 0xe0040ff0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID1 +CYREG_TPIU_CID1 EQU 0xe0040ff4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID2 +CYREG_TPIU_CID2 EQU 0xe0040ff8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID3 +CYREG_TPIU_CID3 EQU 0xe0040ffc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_BASE +CYDEV_ETM_BASE EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SIZE +CYDEV_ETM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CTL +CYREG_ETM_CTL EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CFG_CODE +CYREG_ETM_CFG_CODE EQU 0xe0041004 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRIG_EVENT +CYREG_ETM_TRIG_EVENT EQU 0xe0041008 + ENDIF + IF :LNOT::DEF:CYREG_ETM_STATUS +CYREG_ETM_STATUS EQU 0xe0041010 + ENDIF + IF :LNOT::DEF:CYREG_ETM_SYS_CFG +CYREG_ETM_SYS_CFG EQU 0xe0041014 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRACE_ENB_EVENT +CYREG_ETM_TRACE_ENB_EVENT EQU 0xe0041020 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRACE_EN_CTRL1 +CYREG_ETM_TRACE_EN_CTRL1 EQU 0xe0041024 + ENDIF + IF :LNOT::DEF:CYREG_ETM_FIFOFULL_LEVEL +CYREG_ETM_FIFOFULL_LEVEL EQU 0xe004102c + ENDIF + IF :LNOT::DEF:CYREG_ETM_SYNC_FREQ +CYREG_ETM_SYNC_FREQ EQU 0xe00411e0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ETM_ID +CYREG_ETM_ETM_ID EQU 0xe00411e4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CFG_CODE_EXT +CYREG_ETM_CFG_CODE_EXT EQU 0xe00411e8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TR_SS_EMBICE_CTRL +CYREG_ETM_TR_SS_EMBICE_CTRL EQU 0xe00411f0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CS_TRACE_ID +CYREG_ETM_CS_TRACE_ID EQU 0xe0041200 + ENDIF + IF :LNOT::DEF:CYREG_ETM_OS_LOCK_ACCESS +CYREG_ETM_OS_LOCK_ACCESS EQU 0xe0041300 + ENDIF + IF :LNOT::DEF:CYREG_ETM_OS_LOCK_STATUS +CYREG_ETM_OS_LOCK_STATUS EQU 0xe0041304 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PDSR +CYREG_ETM_PDSR EQU 0xe0041314 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITMISCIN +CYREG_ETM_ITMISCIN EQU 0xe0041ee0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITTRIGOUT +CYREG_ETM_ITTRIGOUT EQU 0xe0041ee8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITATBCTR2 +CYREG_ETM_ITATBCTR2 EQU 0xe0041ef0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITATBCTR0 +CYREG_ETM_ITATBCTR0 EQU 0xe0041ef8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_INT_MODE_CTRL +CYREG_ETM_INT_MODE_CTRL EQU 0xe0041f00 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CLM_TAG_SET +CYREG_ETM_CLM_TAG_SET EQU 0xe0041fa0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CLM_TAG_CLR +CYREG_ETM_CLM_TAG_CLR EQU 0xe0041fa4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_LOCK_ACCESS +CYREG_ETM_LOCK_ACCESS EQU 0xe0041fb0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_LOCK_STATUS +CYREG_ETM_LOCK_STATUS EQU 0xe0041fb4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_AUTH_STATUS +CYREG_ETM_AUTH_STATUS EQU 0xe0041fb8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_DEV_TYPE +CYREG_ETM_DEV_TYPE EQU 0xe0041fcc + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID4 +CYREG_ETM_PID4 EQU 0xe0041fd0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID5 +CYREG_ETM_PID5 EQU 0xe0041fd4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID6 +CYREG_ETM_PID6 EQU 0xe0041fd8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID7 +CYREG_ETM_PID7 EQU 0xe0041fdc + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID0 +CYREG_ETM_PID0 EQU 0xe0041fe0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID1 +CYREG_ETM_PID1 EQU 0xe0041fe4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID2 +CYREG_ETM_PID2 EQU 0xe0041fe8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID3 +CYREG_ETM_PID3 EQU 0xe0041fec + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID0 +CYREG_ETM_CID0 EQU 0xe0041ff0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID1 +CYREG_ETM_CID1 EQU 0xe0041ff4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID2 +CYREG_ETM_CID2 EQU 0xe0041ff8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID3 +CYREG_ETM_CID3 EQU 0xe0041ffc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_BASE +CYDEV_ROM_TABLE_BASE EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_SIZE +CYDEV_ROM_TABLE_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_NVIC +CYREG_ROM_TABLE_NVIC EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_DWT +CYREG_ROM_TABLE_DWT EQU 0xe00ff004 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_FPB +CYREG_ROM_TABLE_FPB EQU 0xe00ff008 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_ITM +CYREG_ROM_TABLE_ITM EQU 0xe00ff00c + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_TPIU +CYREG_ROM_TABLE_TPIU EQU 0xe00ff010 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_ETM +CYREG_ROM_TABLE_ETM EQU 0xe00ff014 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_END +CYREG_ROM_TABLE_END EQU 0xe00ff018 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_MEMTYPE +CYREG_ROM_TABLE_MEMTYPE EQU 0xe00fffcc + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID4 +CYREG_ROM_TABLE_PID4 EQU 0xe00fffd0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID5 +CYREG_ROM_TABLE_PID5 EQU 0xe00fffd4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID6 +CYREG_ROM_TABLE_PID6 EQU 0xe00fffd8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID7 +CYREG_ROM_TABLE_PID7 EQU 0xe00fffdc + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID0 +CYREG_ROM_TABLE_PID0 EQU 0xe00fffe0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID1 +CYREG_ROM_TABLE_PID1 EQU 0xe00fffe4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID2 +CYREG_ROM_TABLE_PID2 EQU 0xe00fffe8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID3 +CYREG_ROM_TABLE_PID3 EQU 0xe00fffec + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID0 +CYREG_ROM_TABLE_CID0 EQU 0xe00ffff0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID1 +CYREG_ROM_TABLE_CID1 EQU 0xe00ffff4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID2 +CYREG_ROM_TABLE_CID2 EQU 0xe00ffff8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID3 +CYREG_ROM_TABLE_CID3 EQU 0xe00ffffc + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SIZE +CYDEV_FLS_SIZE EQU CYDEV_FLASH_SIZE + ENDIF + IF :LNOT::DEF:CYDEV_ECC_BASE +CYDEV_ECC_BASE EQU CYDEV_FLSECC_BASE + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SECTOR_SIZE +CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE +CYDEV_FLS_ROW_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE +CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_ROW_SIZE +CYDEV_ECC_ROW_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_SECTOR_SIZE +CYDEV_EEPROM_SECTOR_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_ROW_SIZE +CYDEV_EEPROM_ROW_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PERIPH_BASE +CYDEV_PERIPH_BASE EQU CYDEV_CLKDIST_BASE + ENDIF + IF :LNOT::DEF:CYCLK_LD_DISABLE +CYCLK_LD_DISABLE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYCLK_LD_SYNC_EN +CYCLK_LD_SYNC_EN EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYCLK_LD_LOAD +CYCLK_LD_LOAD EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYCLK_PIPE +CYCLK_PIPE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYCLK_SSS +CYCLK_SSS EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYCLK_EARLY +CYCLK_EARLY EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYCLK_DUTY +CYCLK_DUTY EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYCLK_SYNC +CYCLK_SYNC EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_D +CYCLK_SRC_SEL_CLK_SYNC_D EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_SYNC_DIG +CYCLK_SRC_SEL_SYNC_DIG EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_IMO +CYCLK_SRC_SEL_IMO EQU 1 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_MHZ +CYCLK_SRC_SEL_XTAL_MHZ EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALM +CYCLK_SRC_SEL_XTALM EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_ILO +CYCLK_SRC_SEL_ILO EQU 3 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_PLL +CYCLK_SRC_SEL_PLL EQU 4 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_KHZ +CYCLK_SRC_SEL_XTAL_KHZ EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALK +CYCLK_SRC_SEL_XTALK EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_G +CYCLK_SRC_SEL_DSI_G EQU 6 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_D +CYCLK_SRC_SEL_DSI_D EQU 7 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_A +CYCLK_SRC_SEL_CLK_SYNC_A EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_A +CYCLK_SRC_SEL_DSI_A EQU 7 + ENDIF + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydisabledsheets.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydisabledsheets.h new file mode 100755 index 0000000..7b6355f --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cydisabledsheets.h @@ -0,0 +1,5 @@ +#ifndef INCLUDED_CYDISABLEDSHEETS_H +#define INCLUDED_CYDISABLEDSHEETS_H + + +#endif /* INCLUDED_CYDISABLEDSHEETS_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter.h new file mode 100755 index 0000000..8c2b1e8 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter.h @@ -0,0 +1,1361 @@ +#ifndef INCLUDED_CYFITTER_H +#define INCLUDED_CYFITTER_H +#include +#include + +/* USBFS_bus_reset */ +#define USBFS_bus_reset__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_bus_reset__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_bus_reset__INTC_MASK 0x800000u +#define USBFS_bus_reset__INTC_NUMBER 23u +#define USBFS_bus_reset__INTC_PRIOR_NUM 7u +#define USBFS_bus_reset__INTC_PRIOR_REG CYREG_NVIC_PRI_23 +#define USBFS_bus_reset__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_bus_reset__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_arb_int */ +#define USBFS_arb_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_arb_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_arb_int__INTC_MASK 0x400000u +#define USBFS_arb_int__INTC_NUMBER 22u +#define USBFS_arb_int__INTC_PRIOR_NUM 7u +#define USBFS_arb_int__INTC_PRIOR_REG CYREG_NVIC_PRI_22 +#define USBFS_arb_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_arb_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_sof_int */ +#define USBFS_sof_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_sof_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_sof_int__INTC_MASK 0x200000u +#define USBFS_sof_int__INTC_NUMBER 21u +#define USBFS_sof_int__INTC_PRIOR_NUM 7u +#define USBFS_sof_int__INTC_PRIOR_REG CYREG_NVIC_PRI_21 +#define USBFS_sof_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_sof_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +#define SCSI_Out_DBx__0__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__0__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__0__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__0__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__0__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__0__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__0__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__0__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__0__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__0__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__0__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__0__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__0__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__0__MASK 0x08u +#define SCSI_Out_DBx__0__PC CYREG_PRT6_PC3 +#define SCSI_Out_DBx__0__PORT 6u +#define SCSI_Out_DBx__0__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__0__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__0__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__0__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__0__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__0__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__0__SHIFT 3 +#define SCSI_Out_DBx__0__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__1__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__1__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__1__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__1__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__1__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__1__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__1__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__1__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__1__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__1__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__1__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__1__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__1__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__1__MASK 0x04u +#define SCSI_Out_DBx__1__PC CYREG_PRT6_PC2 +#define SCSI_Out_DBx__1__PORT 6u +#define SCSI_Out_DBx__1__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__1__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__1__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__1__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__1__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__1__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__1__SHIFT 2 +#define SCSI_Out_DBx__1__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__2__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__2__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__2__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__2__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__2__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__2__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__2__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__2__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__2__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__2__MASK 0x02u +#define SCSI_Out_DBx__2__PC CYREG_PRT6_PC1 +#define SCSI_Out_DBx__2__PORT 6u +#define SCSI_Out_DBx__2__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__2__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__2__SHIFT 1 +#define SCSI_Out_DBx__2__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__3__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__3__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__3__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__3__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__3__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__3__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__3__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__3__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__3__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__3__MASK 0x01u +#define SCSI_Out_DBx__3__PC CYREG_PRT6_PC0 +#define SCSI_Out_DBx__3__PORT 6u +#define SCSI_Out_DBx__3__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__3__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__3__SHIFT 0 +#define SCSI_Out_DBx__3__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__4__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__4__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__4__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__4__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__4__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__4__MASK 0x80u +#define SCSI_Out_DBx__4__PC CYREG_PRT4_PC7 +#define SCSI_Out_DBx__4__PORT 4u +#define SCSI_Out_DBx__4__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__4__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__4__SHIFT 7 +#define SCSI_Out_DBx__4__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__5__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__5__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__5__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__5__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__5__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__5__MASK 0x40u +#define SCSI_Out_DBx__5__PC CYREG_PRT4_PC6 +#define SCSI_Out_DBx__5__PORT 4u +#define SCSI_Out_DBx__5__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__5__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__5__SHIFT 6 +#define SCSI_Out_DBx__5__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__6__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__6__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__6__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__6__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__6__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__6__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__6__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__6__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__6__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__6__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__6__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__6__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__6__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__6__MASK 0x20u +#define SCSI_Out_DBx__6__PC CYREG_PRT4_PC5 +#define SCSI_Out_DBx__6__PORT 4u +#define SCSI_Out_DBx__6__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__6__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__6__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__6__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__6__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__6__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__6__SHIFT 5 +#define SCSI_Out_DBx__6__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__7__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__7__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__7__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__7__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__7__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__7__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__7__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__7__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__7__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__7__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__7__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__7__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__7__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__7__MASK 0x10u +#define SCSI_Out_DBx__7__PC CYREG_PRT4_PC4 +#define SCSI_Out_DBx__7__PORT 4u +#define SCSI_Out_DBx__7__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__7__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__7__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__7__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__7__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__7__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__7__SHIFT 4 +#define SCSI_Out_DBx__7__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB0__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB0__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB0__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB0__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB0__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB0__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB0__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB0__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB0__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB0__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB0__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB0__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB0__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB0__MASK 0x08u +#define SCSI_Out_DBx__DB0__PC CYREG_PRT6_PC3 +#define SCSI_Out_DBx__DB0__PORT 6u +#define SCSI_Out_DBx__DB0__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB0__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB0__SHIFT 3 +#define SCSI_Out_DBx__DB0__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB1__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB1__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB1__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB1__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB1__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB1__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB1__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB1__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB1__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB1__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB1__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB1__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB1__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB1__MASK 0x04u +#define SCSI_Out_DBx__DB1__PC CYREG_PRT6_PC2 +#define SCSI_Out_DBx__DB1__PORT 6u +#define SCSI_Out_DBx__DB1__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB1__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB1__SHIFT 2 +#define SCSI_Out_DBx__DB1__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB2__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB2__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB2__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB2__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB2__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB2__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB2__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB2__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB2__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB2__MASK 0x02u +#define SCSI_Out_DBx__DB2__PC CYREG_PRT6_PC1 +#define SCSI_Out_DBx__DB2__PORT 6u +#define SCSI_Out_DBx__DB2__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB2__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB2__SHIFT 1 +#define SCSI_Out_DBx__DB2__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB3__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB3__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB3__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB3__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB3__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB3__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB3__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB3__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB3__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB3__MASK 0x01u +#define SCSI_Out_DBx__DB3__PC CYREG_PRT6_PC0 +#define SCSI_Out_DBx__DB3__PORT 6u +#define SCSI_Out_DBx__DB3__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB3__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB3__SHIFT 0 +#define SCSI_Out_DBx__DB3__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB4__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB4__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB4__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB4__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB4__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB4__MASK 0x80u +#define SCSI_Out_DBx__DB4__PC CYREG_PRT4_PC7 +#define SCSI_Out_DBx__DB4__PORT 4u +#define SCSI_Out_DBx__DB4__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB4__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB4__SHIFT 7 +#define SCSI_Out_DBx__DB4__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB5__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB5__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB5__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB5__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB5__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB5__MASK 0x40u +#define SCSI_Out_DBx__DB5__PC CYREG_PRT4_PC6 +#define SCSI_Out_DBx__DB5__PORT 4u +#define SCSI_Out_DBx__DB5__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB5__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB5__SHIFT 6 +#define SCSI_Out_DBx__DB5__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB6__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB6__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB6__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB6__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB6__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB6__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB6__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB6__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB6__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB6__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB6__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB6__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB6__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB6__MASK 0x20u +#define SCSI_Out_DBx__DB6__PC CYREG_PRT4_PC5 +#define SCSI_Out_DBx__DB6__PORT 4u +#define SCSI_Out_DBx__DB6__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB6__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB6__SHIFT 5 +#define SCSI_Out_DBx__DB6__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB7__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB7__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB7__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB7__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB7__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB7__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB7__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB7__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB7__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB7__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB7__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB7__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB7__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB7__MASK 0x10u +#define SCSI_Out_DBx__DB7__PC CYREG_PRT4_PC4 +#define SCSI_Out_DBx__DB7__PORT 4u +#define SCSI_Out_DBx__DB7__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB7__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB7__SHIFT 4 +#define SCSI_Out_DBx__DB7__SLW CYREG_PRT4_SLW + +/* USBFS_dp_int */ +#define USBFS_dp_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_dp_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_dp_int__INTC_MASK 0x1000u +#define USBFS_dp_int__INTC_NUMBER 12u +#define USBFS_dp_int__INTC_PRIOR_NUM 7u +#define USBFS_dp_int__INTC_PRIOR_REG CYREG_NVIC_PRI_12 +#define USBFS_dp_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_dp_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_ep_0 */ +#define USBFS_ep_0__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_ep_0__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_ep_0__INTC_MASK 0x1000000u +#define USBFS_ep_0__INTC_NUMBER 24u +#define USBFS_ep_0__INTC_PRIOR_NUM 7u +#define USBFS_ep_0__INTC_PRIOR_REG CYREG_NVIC_PRI_24 +#define USBFS_ep_0__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_ep_0__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_ep_1 */ +#define USBFS_ep_1__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_ep_1__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_ep_1__INTC_MASK 0x01u +#define USBFS_ep_1__INTC_NUMBER 0u +#define USBFS_ep_1__INTC_PRIOR_NUM 7u +#define USBFS_ep_1__INTC_PRIOR_REG CYREG_NVIC_PRI_0 +#define USBFS_ep_1__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_ep_1__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_ep_2 */ +#define USBFS_ep_2__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_ep_2__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_ep_2__INTC_MASK 0x02u +#define USBFS_ep_2__INTC_NUMBER 1u +#define USBFS_ep_2__INTC_PRIOR_NUM 7u +#define USBFS_ep_2__INTC_PRIOR_REG CYREG_NVIC_PRI_1 +#define USBFS_ep_2__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_ep_2__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_USB */ +#define USBFS_USB__ARB_CFG CYREG_USB_ARB_CFG +#define USBFS_USB__ARB_EP1_CFG CYREG_USB_ARB_EP1_CFG +#define USBFS_USB__ARB_EP1_INT_EN CYREG_USB_ARB_EP1_INT_EN +#define USBFS_USB__ARB_EP1_SR CYREG_USB_ARB_EP1_SR +#define USBFS_USB__ARB_EP2_CFG CYREG_USB_ARB_EP2_CFG +#define USBFS_USB__ARB_EP2_INT_EN CYREG_USB_ARB_EP2_INT_EN +#define USBFS_USB__ARB_EP2_SR CYREG_USB_ARB_EP2_SR +#define USBFS_USB__ARB_EP3_CFG CYREG_USB_ARB_EP3_CFG +#define USBFS_USB__ARB_EP3_INT_EN CYREG_USB_ARB_EP3_INT_EN +#define USBFS_USB__ARB_EP3_SR CYREG_USB_ARB_EP3_SR +#define USBFS_USB__ARB_EP4_CFG CYREG_USB_ARB_EP4_CFG +#define USBFS_USB__ARB_EP4_INT_EN CYREG_USB_ARB_EP4_INT_EN +#define USBFS_USB__ARB_EP4_SR CYREG_USB_ARB_EP4_SR +#define USBFS_USB__ARB_EP5_CFG CYREG_USB_ARB_EP5_CFG +#define USBFS_USB__ARB_EP5_INT_EN CYREG_USB_ARB_EP5_INT_EN +#define USBFS_USB__ARB_EP5_SR CYREG_USB_ARB_EP5_SR +#define USBFS_USB__ARB_EP6_CFG CYREG_USB_ARB_EP6_CFG +#define USBFS_USB__ARB_EP6_INT_EN CYREG_USB_ARB_EP6_INT_EN +#define USBFS_USB__ARB_EP6_SR CYREG_USB_ARB_EP6_SR +#define USBFS_USB__ARB_EP7_CFG CYREG_USB_ARB_EP7_CFG +#define USBFS_USB__ARB_EP7_INT_EN CYREG_USB_ARB_EP7_INT_EN +#define USBFS_USB__ARB_EP7_SR CYREG_USB_ARB_EP7_SR +#define USBFS_USB__ARB_EP8_CFG CYREG_USB_ARB_EP8_CFG +#define USBFS_USB__ARB_EP8_INT_EN CYREG_USB_ARB_EP8_INT_EN +#define USBFS_USB__ARB_EP8_SR CYREG_USB_ARB_EP8_SR +#define USBFS_USB__ARB_INT_EN CYREG_USB_ARB_INT_EN +#define USBFS_USB__ARB_INT_SR CYREG_USB_ARB_INT_SR +#define USBFS_USB__ARB_RW1_DR CYREG_USB_ARB_RW1_DR +#define USBFS_USB__ARB_RW1_RA CYREG_USB_ARB_RW1_RA +#define USBFS_USB__ARB_RW1_RA_MSB CYREG_USB_ARB_RW1_RA_MSB +#define USBFS_USB__ARB_RW1_WA CYREG_USB_ARB_RW1_WA +#define USBFS_USB__ARB_RW1_WA_MSB CYREG_USB_ARB_RW1_WA_MSB +#define USBFS_USB__ARB_RW2_DR CYREG_USB_ARB_RW2_DR +#define USBFS_USB__ARB_RW2_RA CYREG_USB_ARB_RW2_RA +#define USBFS_USB__ARB_RW2_RA_MSB CYREG_USB_ARB_RW2_RA_MSB +#define USBFS_USB__ARB_RW2_WA CYREG_USB_ARB_RW2_WA +#define USBFS_USB__ARB_RW2_WA_MSB CYREG_USB_ARB_RW2_WA_MSB +#define USBFS_USB__ARB_RW3_DR CYREG_USB_ARB_RW3_DR +#define USBFS_USB__ARB_RW3_RA CYREG_USB_ARB_RW3_RA +#define USBFS_USB__ARB_RW3_RA_MSB CYREG_USB_ARB_RW3_RA_MSB +#define USBFS_USB__ARB_RW3_WA CYREG_USB_ARB_RW3_WA +#define USBFS_USB__ARB_RW3_WA_MSB CYREG_USB_ARB_RW3_WA_MSB +#define USBFS_USB__ARB_RW4_DR CYREG_USB_ARB_RW4_DR +#define USBFS_USB__ARB_RW4_RA CYREG_USB_ARB_RW4_RA +#define USBFS_USB__ARB_RW4_RA_MSB CYREG_USB_ARB_RW4_RA_MSB +#define USBFS_USB__ARB_RW4_WA CYREG_USB_ARB_RW4_WA +#define USBFS_USB__ARB_RW4_WA_MSB CYREG_USB_ARB_RW4_WA_MSB +#define USBFS_USB__ARB_RW5_DR CYREG_USB_ARB_RW5_DR +#define USBFS_USB__ARB_RW5_RA CYREG_USB_ARB_RW5_RA +#define USBFS_USB__ARB_RW5_RA_MSB CYREG_USB_ARB_RW5_RA_MSB +#define USBFS_USB__ARB_RW5_WA CYREG_USB_ARB_RW5_WA +#define USBFS_USB__ARB_RW5_WA_MSB CYREG_USB_ARB_RW5_WA_MSB +#define USBFS_USB__ARB_RW6_DR CYREG_USB_ARB_RW6_DR +#define USBFS_USB__ARB_RW6_RA CYREG_USB_ARB_RW6_RA +#define USBFS_USB__ARB_RW6_RA_MSB CYREG_USB_ARB_RW6_RA_MSB +#define USBFS_USB__ARB_RW6_WA CYREG_USB_ARB_RW6_WA +#define USBFS_USB__ARB_RW6_WA_MSB CYREG_USB_ARB_RW6_WA_MSB +#define USBFS_USB__ARB_RW7_DR CYREG_USB_ARB_RW7_DR +#define USBFS_USB__ARB_RW7_RA CYREG_USB_ARB_RW7_RA +#define USBFS_USB__ARB_RW7_RA_MSB CYREG_USB_ARB_RW7_RA_MSB +#define USBFS_USB__ARB_RW7_WA CYREG_USB_ARB_RW7_WA +#define USBFS_USB__ARB_RW7_WA_MSB CYREG_USB_ARB_RW7_WA_MSB +#define USBFS_USB__ARB_RW8_DR CYREG_USB_ARB_RW8_DR +#define USBFS_USB__ARB_RW8_RA CYREG_USB_ARB_RW8_RA +#define USBFS_USB__ARB_RW8_RA_MSB CYREG_USB_ARB_RW8_RA_MSB +#define USBFS_USB__ARB_RW8_WA CYREG_USB_ARB_RW8_WA +#define USBFS_USB__ARB_RW8_WA_MSB CYREG_USB_ARB_RW8_WA_MSB +#define USBFS_USB__BUF_SIZE CYREG_USB_BUF_SIZE +#define USBFS_USB__BUS_RST_CNT CYREG_USB_BUS_RST_CNT +#define USBFS_USB__CR0 CYREG_USB_CR0 +#define USBFS_USB__CR1 CYREG_USB_CR1 +#define USBFS_USB__CWA CYREG_USB_CWA +#define USBFS_USB__CWA_MSB CYREG_USB_CWA_MSB +#define USBFS_USB__DMA_THRES CYREG_USB_DMA_THRES +#define USBFS_USB__DMA_THRES_MSB CYREG_USB_DMA_THRES_MSB +#define USBFS_USB__DYN_RECONFIG CYREG_USB_DYN_RECONFIG +#define USBFS_USB__EP0_CNT CYREG_USB_EP0_CNT +#define USBFS_USB__EP0_CR CYREG_USB_EP0_CR +#define USBFS_USB__EP0_DR0 CYREG_USB_EP0_DR0 +#define USBFS_USB__EP0_DR1 CYREG_USB_EP0_DR1 +#define USBFS_USB__EP0_DR2 CYREG_USB_EP0_DR2 +#define USBFS_USB__EP0_DR3 CYREG_USB_EP0_DR3 +#define USBFS_USB__EP0_DR4 CYREG_USB_EP0_DR4 +#define USBFS_USB__EP0_DR5 CYREG_USB_EP0_DR5 +#define USBFS_USB__EP0_DR6 CYREG_USB_EP0_DR6 +#define USBFS_USB__EP0_DR7 CYREG_USB_EP0_DR7 +#define USBFS_USB__EP_ACTIVE CYREG_USB_EP_ACTIVE +#define USBFS_USB__EP_TYPE CYREG_USB_EP_TYPE +#define USBFS_USB__MEM_DATA CYREG_USB_MEM_DATA_MBASE +#define USBFS_USB__PM_ACT_CFG CYREG_PM_ACT_CFG5 +#define USBFS_USB__PM_ACT_MSK 0x01u +#define USBFS_USB__PM_STBY_CFG CYREG_PM_STBY_CFG5 +#define USBFS_USB__PM_STBY_MSK 0x01u +#define USBFS_USB__SIE_EP1_CNT0 CYREG_USB_SIE_EP1_CNT0 +#define USBFS_USB__SIE_EP1_CNT1 CYREG_USB_SIE_EP1_CNT1 +#define USBFS_USB__SIE_EP1_CR0 CYREG_USB_SIE_EP1_CR0 +#define USBFS_USB__SIE_EP2_CNT0 CYREG_USB_SIE_EP2_CNT0 +#define USBFS_USB__SIE_EP2_CNT1 CYREG_USB_SIE_EP2_CNT1 +#define USBFS_USB__SIE_EP2_CR0 CYREG_USB_SIE_EP2_CR0 +#define USBFS_USB__SIE_EP3_CNT0 CYREG_USB_SIE_EP3_CNT0 +#define USBFS_USB__SIE_EP3_CNT1 CYREG_USB_SIE_EP3_CNT1 +#define USBFS_USB__SIE_EP3_CR0 CYREG_USB_SIE_EP3_CR0 +#define USBFS_USB__SIE_EP4_CNT0 CYREG_USB_SIE_EP4_CNT0 +#define USBFS_USB__SIE_EP4_CNT1 CYREG_USB_SIE_EP4_CNT1 +#define USBFS_USB__SIE_EP4_CR0 CYREG_USB_SIE_EP4_CR0 +#define USBFS_USB__SIE_EP5_CNT0 CYREG_USB_SIE_EP5_CNT0 +#define USBFS_USB__SIE_EP5_CNT1 CYREG_USB_SIE_EP5_CNT1 +#define USBFS_USB__SIE_EP5_CR0 CYREG_USB_SIE_EP5_CR0 +#define USBFS_USB__SIE_EP6_CNT0 CYREG_USB_SIE_EP6_CNT0 +#define USBFS_USB__SIE_EP6_CNT1 CYREG_USB_SIE_EP6_CNT1 +#define USBFS_USB__SIE_EP6_CR0 CYREG_USB_SIE_EP6_CR0 +#define USBFS_USB__SIE_EP7_CNT0 CYREG_USB_SIE_EP7_CNT0 +#define USBFS_USB__SIE_EP7_CNT1 CYREG_USB_SIE_EP7_CNT1 +#define USBFS_USB__SIE_EP7_CR0 CYREG_USB_SIE_EP7_CR0 +#define USBFS_USB__SIE_EP8_CNT0 CYREG_USB_SIE_EP8_CNT0 +#define USBFS_USB__SIE_EP8_CNT1 CYREG_USB_SIE_EP8_CNT1 +#define USBFS_USB__SIE_EP8_CR0 CYREG_USB_SIE_EP8_CR0 +#define USBFS_USB__SIE_EP_INT_EN CYREG_USB_SIE_EP_INT_EN +#define USBFS_USB__SIE_EP_INT_SR CYREG_USB_SIE_EP_INT_SR +#define USBFS_USB__SOF0 CYREG_USB_SOF0 +#define USBFS_USB__SOF1 CYREG_USB_SOF1 +#define USBFS_USB__USBIO_CR0 CYREG_USB_USBIO_CR0 +#define USBFS_USB__USBIO_CR1 CYREG_USB_USBIO_CR1 +#define USBFS_USB__USB_CLK_EN CYREG_USB_USB_CLK_EN + +/* SCSI_Out */ +#define SCSI_Out__0__AG CYREG_PRT4_AG +#define SCSI_Out__0__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__0__BIE CYREG_PRT4_BIE +#define SCSI_Out__0__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__0__BYP CYREG_PRT4_BYP +#define SCSI_Out__0__CTL CYREG_PRT4_CTL +#define SCSI_Out__0__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__0__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__0__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__0__DR CYREG_PRT4_DR +#define SCSI_Out__0__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__0__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__0__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__0__MASK 0x08u +#define SCSI_Out__0__PC CYREG_PRT4_PC3 +#define SCSI_Out__0__PORT 4u +#define SCSI_Out__0__PRT CYREG_PRT4_PRT +#define SCSI_Out__0__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__0__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__0__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__0__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__0__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__0__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__0__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__0__PS CYREG_PRT4_PS +#define SCSI_Out__0__SHIFT 3 +#define SCSI_Out__0__SLW CYREG_PRT4_SLW +#define SCSI_Out__1__AG CYREG_PRT4_AG +#define SCSI_Out__1__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__1__BIE CYREG_PRT4_BIE +#define SCSI_Out__1__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__1__BYP CYREG_PRT4_BYP +#define SCSI_Out__1__CTL CYREG_PRT4_CTL +#define SCSI_Out__1__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__1__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__1__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__1__DR CYREG_PRT4_DR +#define SCSI_Out__1__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__1__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__1__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__1__MASK 0x04u +#define SCSI_Out__1__PC CYREG_PRT4_PC2 +#define SCSI_Out__1__PORT 4u +#define SCSI_Out__1__PRT CYREG_PRT4_PRT +#define SCSI_Out__1__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__1__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__1__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__1__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__1__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__1__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__1__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__1__PS CYREG_PRT4_PS +#define SCSI_Out__1__SHIFT 2 +#define SCSI_Out__1__SLW CYREG_PRT4_SLW +#define SCSI_Out__2__AG CYREG_PRT0_AG +#define SCSI_Out__2__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__2__BIE CYREG_PRT0_BIE +#define SCSI_Out__2__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__2__BYP CYREG_PRT0_BYP +#define SCSI_Out__2__CTL CYREG_PRT0_CTL +#define SCSI_Out__2__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__2__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__2__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__2__DR CYREG_PRT0_DR +#define SCSI_Out__2__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__2__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__2__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__2__MASK 0x80u +#define SCSI_Out__2__PC CYREG_PRT0_PC7 +#define SCSI_Out__2__PORT 0u +#define SCSI_Out__2__PRT CYREG_PRT0_PRT +#define SCSI_Out__2__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__2__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__2__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__2__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__2__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__2__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__2__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__2__PS CYREG_PRT0_PS +#define SCSI_Out__2__SHIFT 7 +#define SCSI_Out__2__SLW CYREG_PRT0_SLW +#define SCSI_Out__3__AG CYREG_PRT0_AG +#define SCSI_Out__3__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__3__BIE CYREG_PRT0_BIE +#define SCSI_Out__3__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__3__BYP CYREG_PRT0_BYP +#define SCSI_Out__3__CTL CYREG_PRT0_CTL +#define SCSI_Out__3__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__3__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__3__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__3__DR CYREG_PRT0_DR +#define SCSI_Out__3__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__3__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__3__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__3__MASK 0x40u +#define SCSI_Out__3__PC CYREG_PRT0_PC6 +#define SCSI_Out__3__PORT 0u +#define SCSI_Out__3__PRT CYREG_PRT0_PRT +#define SCSI_Out__3__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__3__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__3__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__3__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__3__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__3__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__3__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__3__PS CYREG_PRT0_PS +#define SCSI_Out__3__SHIFT 6 +#define SCSI_Out__3__SLW CYREG_PRT0_SLW +#define SCSI_Out__4__AG CYREG_PRT0_AG +#define SCSI_Out__4__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__4__BIE CYREG_PRT0_BIE +#define SCSI_Out__4__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__4__BYP CYREG_PRT0_BYP +#define SCSI_Out__4__CTL CYREG_PRT0_CTL +#define SCSI_Out__4__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__4__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__4__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__4__DR CYREG_PRT0_DR +#define SCSI_Out__4__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__4__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__4__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__4__MASK 0x20u +#define SCSI_Out__4__PC CYREG_PRT0_PC5 +#define SCSI_Out__4__PORT 0u +#define SCSI_Out__4__PRT CYREG_PRT0_PRT +#define SCSI_Out__4__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__4__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__4__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__4__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__4__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__4__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__4__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__4__PS CYREG_PRT0_PS +#define SCSI_Out__4__SHIFT 5 +#define SCSI_Out__4__SLW CYREG_PRT0_SLW +#define SCSI_Out__5__AG CYREG_PRT0_AG +#define SCSI_Out__5__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__5__BIE CYREG_PRT0_BIE +#define SCSI_Out__5__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__5__BYP CYREG_PRT0_BYP +#define SCSI_Out__5__CTL CYREG_PRT0_CTL +#define SCSI_Out__5__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__5__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__5__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__5__DR CYREG_PRT0_DR +#define SCSI_Out__5__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__5__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__5__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__5__MASK 0x10u +#define SCSI_Out__5__PC CYREG_PRT0_PC4 +#define SCSI_Out__5__PORT 0u +#define SCSI_Out__5__PRT CYREG_PRT0_PRT +#define SCSI_Out__5__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__5__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__5__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__5__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__5__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__5__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__5__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__5__PS CYREG_PRT0_PS +#define SCSI_Out__5__SHIFT 4 +#define SCSI_Out__5__SLW CYREG_PRT0_SLW +#define SCSI_Out__6__AG CYREG_PRT0_AG +#define SCSI_Out__6__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__6__BIE CYREG_PRT0_BIE +#define SCSI_Out__6__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__6__BYP CYREG_PRT0_BYP +#define SCSI_Out__6__CTL CYREG_PRT0_CTL +#define SCSI_Out__6__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__6__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__6__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__6__DR CYREG_PRT0_DR +#define SCSI_Out__6__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__6__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__6__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__6__MASK 0x08u +#define SCSI_Out__6__PC CYREG_PRT0_PC3 +#define SCSI_Out__6__PORT 0u +#define SCSI_Out__6__PRT CYREG_PRT0_PRT +#define SCSI_Out__6__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__6__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__6__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__6__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__6__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__6__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__6__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__6__PS CYREG_PRT0_PS +#define SCSI_Out__6__SHIFT 3 +#define SCSI_Out__6__SLW CYREG_PRT0_SLW +#define SCSI_Out__7__AG CYREG_PRT0_AG +#define SCSI_Out__7__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__7__BIE CYREG_PRT0_BIE +#define SCSI_Out__7__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__7__BYP CYREG_PRT0_BYP +#define SCSI_Out__7__CTL CYREG_PRT0_CTL +#define SCSI_Out__7__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__7__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__7__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__7__DR CYREG_PRT0_DR +#define SCSI_Out__7__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__7__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__7__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__7__MASK 0x04u +#define SCSI_Out__7__PC CYREG_PRT0_PC2 +#define SCSI_Out__7__PORT 0u +#define SCSI_Out__7__PRT CYREG_PRT0_PRT +#define SCSI_Out__7__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__7__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__7__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__7__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__7__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__7__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__7__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__7__PS CYREG_PRT0_PS +#define SCSI_Out__7__SHIFT 2 +#define SCSI_Out__7__SLW CYREG_PRT0_SLW +#define SCSI_Out__8__AG CYREG_PRT0_AG +#define SCSI_Out__8__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__8__BIE CYREG_PRT0_BIE +#define SCSI_Out__8__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__8__BYP CYREG_PRT0_BYP +#define SCSI_Out__8__CTL CYREG_PRT0_CTL +#define SCSI_Out__8__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__8__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__8__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__8__DR CYREG_PRT0_DR +#define SCSI_Out__8__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__8__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__8__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__8__MASK 0x02u +#define SCSI_Out__8__PC CYREG_PRT0_PC1 +#define SCSI_Out__8__PORT 0u +#define SCSI_Out__8__PRT CYREG_PRT0_PRT +#define SCSI_Out__8__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__8__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__8__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__8__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__8__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__8__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__8__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__8__PS CYREG_PRT0_PS +#define SCSI_Out__8__SHIFT 1 +#define SCSI_Out__8__SLW CYREG_PRT0_SLW +#define SCSI_Out__9__AG CYREG_PRT0_AG +#define SCSI_Out__9__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__9__BIE CYREG_PRT0_BIE +#define SCSI_Out__9__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__9__BYP CYREG_PRT0_BYP +#define SCSI_Out__9__CTL CYREG_PRT0_CTL +#define SCSI_Out__9__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__9__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__9__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__9__DR CYREG_PRT0_DR +#define SCSI_Out__9__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__9__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__9__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__9__MASK 0x01u +#define SCSI_Out__9__PC CYREG_PRT0_PC0 +#define SCSI_Out__9__PORT 0u +#define SCSI_Out__9__PRT CYREG_PRT0_PRT +#define SCSI_Out__9__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__9__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__9__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__9__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__9__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__9__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__9__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__9__PS CYREG_PRT0_PS +#define SCSI_Out__9__SHIFT 0 +#define SCSI_Out__9__SLW CYREG_PRT0_SLW +#define SCSI_Out__ACK__AG CYREG_PRT0_AG +#define SCSI_Out__ACK__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__ACK__BIE CYREG_PRT0_BIE +#define SCSI_Out__ACK__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__ACK__BYP CYREG_PRT0_BYP +#define SCSI_Out__ACK__CTL CYREG_PRT0_CTL +#define SCSI_Out__ACK__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__ACK__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__ACK__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__ACK__DR CYREG_PRT0_DR +#define SCSI_Out__ACK__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__ACK__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__ACK__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__ACK__MASK 0x40u +#define SCSI_Out__ACK__PC CYREG_PRT0_PC6 +#define SCSI_Out__ACK__PORT 0u +#define SCSI_Out__ACK__PRT CYREG_PRT0_PRT +#define SCSI_Out__ACK__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__ACK__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__ACK__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__ACK__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__ACK__PS CYREG_PRT0_PS +#define SCSI_Out__ACK__SHIFT 6 +#define SCSI_Out__ACK__SLW CYREG_PRT0_SLW +#define SCSI_Out__ATN__AG CYREG_PRT4_AG +#define SCSI_Out__ATN__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__ATN__BIE CYREG_PRT4_BIE +#define SCSI_Out__ATN__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__ATN__BYP CYREG_PRT4_BYP +#define SCSI_Out__ATN__CTL CYREG_PRT4_CTL +#define SCSI_Out__ATN__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__ATN__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__ATN__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__ATN__DR CYREG_PRT4_DR +#define SCSI_Out__ATN__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__ATN__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__ATN__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__ATN__MASK 0x04u +#define SCSI_Out__ATN__PC CYREG_PRT4_PC2 +#define SCSI_Out__ATN__PORT 4u +#define SCSI_Out__ATN__PRT CYREG_PRT4_PRT +#define SCSI_Out__ATN__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__ATN__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__ATN__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__ATN__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__ATN__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__ATN__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__ATN__PS CYREG_PRT4_PS +#define SCSI_Out__ATN__SHIFT 2 +#define SCSI_Out__ATN__SLW CYREG_PRT4_SLW +#define SCSI_Out__BSY__AG CYREG_PRT0_AG +#define SCSI_Out__BSY__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__BSY__BIE CYREG_PRT0_BIE +#define SCSI_Out__BSY__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__BSY__BYP CYREG_PRT0_BYP +#define SCSI_Out__BSY__CTL CYREG_PRT0_CTL +#define SCSI_Out__BSY__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__BSY__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__BSY__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__BSY__DR CYREG_PRT0_DR +#define SCSI_Out__BSY__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__BSY__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__BSY__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__BSY__MASK 0x80u +#define SCSI_Out__BSY__PC CYREG_PRT0_PC7 +#define SCSI_Out__BSY__PORT 0u +#define SCSI_Out__BSY__PRT CYREG_PRT0_PRT +#define SCSI_Out__BSY__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__BSY__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__BSY__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__BSY__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__BSY__PS CYREG_PRT0_PS +#define SCSI_Out__BSY__SHIFT 7 +#define SCSI_Out__BSY__SLW CYREG_PRT0_SLW +#define SCSI_Out__CD__AG CYREG_PRT0_AG +#define SCSI_Out__CD__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__CD__BIE CYREG_PRT0_BIE +#define SCSI_Out__CD__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__CD__BYP CYREG_PRT0_BYP +#define SCSI_Out__CD__CTL CYREG_PRT0_CTL +#define SCSI_Out__CD__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__CD__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__CD__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__CD__DR CYREG_PRT0_DR +#define SCSI_Out__CD__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__CD__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__CD__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__CD__MASK 0x04u +#define SCSI_Out__CD__PC CYREG_PRT0_PC2 +#define SCSI_Out__CD__PORT 0u +#define SCSI_Out__CD__PRT CYREG_PRT0_PRT +#define SCSI_Out__CD__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__CD__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__CD__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__CD__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__CD__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__CD__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__CD__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__CD__PS CYREG_PRT0_PS +#define SCSI_Out__CD__SHIFT 2 +#define SCSI_Out__CD__SLW CYREG_PRT0_SLW +#define SCSI_Out__DBP_raw__AG CYREG_PRT4_AG +#define SCSI_Out__DBP_raw__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__DBP_raw__BIE CYREG_PRT4_BIE +#define SCSI_Out__DBP_raw__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__DBP_raw__BYP CYREG_PRT4_BYP +#define SCSI_Out__DBP_raw__CTL CYREG_PRT4_CTL +#define SCSI_Out__DBP_raw__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__DBP_raw__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__DBP_raw__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__DBP_raw__DR CYREG_PRT4_DR +#define SCSI_Out__DBP_raw__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__DBP_raw__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__DBP_raw__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__DBP_raw__MASK 0x08u +#define SCSI_Out__DBP_raw__PC CYREG_PRT4_PC3 +#define SCSI_Out__DBP_raw__PORT 4u +#define SCSI_Out__DBP_raw__PRT CYREG_PRT4_PRT +#define SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__DBP_raw__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__DBP_raw__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__DBP_raw__PS CYREG_PRT4_PS +#define SCSI_Out__DBP_raw__SHIFT 3 +#define SCSI_Out__DBP_raw__SLW CYREG_PRT4_SLW +#define SCSI_Out__IO_raw__AG CYREG_PRT0_AG +#define SCSI_Out__IO_raw__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__IO_raw__BIE CYREG_PRT0_BIE +#define SCSI_Out__IO_raw__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__IO_raw__BYP CYREG_PRT0_BYP +#define SCSI_Out__IO_raw__CTL CYREG_PRT0_CTL +#define SCSI_Out__IO_raw__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__IO_raw__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__IO_raw__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__IO_raw__DR CYREG_PRT0_DR +#define SCSI_Out__IO_raw__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__IO_raw__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__IO_raw__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__IO_raw__MASK 0x01u +#define SCSI_Out__IO_raw__PC CYREG_PRT0_PC0 +#define SCSI_Out__IO_raw__PORT 0u +#define SCSI_Out__IO_raw__PRT CYREG_PRT0_PRT +#define SCSI_Out__IO_raw__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__IO_raw__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__IO_raw__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__IO_raw__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__IO_raw__PS CYREG_PRT0_PS +#define SCSI_Out__IO_raw__SHIFT 0 +#define SCSI_Out__IO_raw__SLW CYREG_PRT0_SLW +#define SCSI_Out__MSG__AG CYREG_PRT0_AG +#define SCSI_Out__MSG__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__MSG__BIE CYREG_PRT0_BIE +#define SCSI_Out__MSG__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__MSG__BYP CYREG_PRT0_BYP +#define SCSI_Out__MSG__CTL CYREG_PRT0_CTL +#define SCSI_Out__MSG__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__MSG__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__MSG__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__MSG__DR CYREG_PRT0_DR +#define SCSI_Out__MSG__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__MSG__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__MSG__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__MSG__MASK 0x10u +#define SCSI_Out__MSG__PC CYREG_PRT0_PC4 +#define SCSI_Out__MSG__PORT 0u +#define SCSI_Out__MSG__PRT CYREG_PRT0_PRT +#define SCSI_Out__MSG__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__MSG__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__MSG__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__MSG__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__MSG__PS CYREG_PRT0_PS +#define SCSI_Out__MSG__SHIFT 4 +#define SCSI_Out__MSG__SLW CYREG_PRT0_SLW +#define SCSI_Out__REQ__AG CYREG_PRT0_AG +#define SCSI_Out__REQ__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__REQ__BIE CYREG_PRT0_BIE +#define SCSI_Out__REQ__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__REQ__BYP CYREG_PRT0_BYP +#define SCSI_Out__REQ__CTL CYREG_PRT0_CTL +#define SCSI_Out__REQ__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__REQ__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__REQ__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__REQ__DR CYREG_PRT0_DR +#define SCSI_Out__REQ__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__REQ__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__REQ__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__REQ__MASK 0x02u +#define SCSI_Out__REQ__PC CYREG_PRT0_PC1 +#define SCSI_Out__REQ__PORT 0u +#define SCSI_Out__REQ__PRT CYREG_PRT0_PRT +#define SCSI_Out__REQ__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__REQ__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__REQ__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__REQ__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__REQ__PS CYREG_PRT0_PS +#define SCSI_Out__REQ__SHIFT 1 +#define SCSI_Out__REQ__SLW CYREG_PRT0_SLW +#define SCSI_Out__RST__AG CYREG_PRT0_AG +#define SCSI_Out__RST__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__RST__BIE CYREG_PRT0_BIE +#define SCSI_Out__RST__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__RST__BYP CYREG_PRT0_BYP +#define SCSI_Out__RST__CTL CYREG_PRT0_CTL +#define SCSI_Out__RST__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__RST__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__RST__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__RST__DR CYREG_PRT0_DR +#define SCSI_Out__RST__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__RST__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__RST__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__RST__MASK 0x20u +#define SCSI_Out__RST__PC CYREG_PRT0_PC5 +#define SCSI_Out__RST__PORT 0u +#define SCSI_Out__RST__PRT CYREG_PRT0_PRT +#define SCSI_Out__RST__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__RST__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__RST__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__RST__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__RST__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__RST__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__RST__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__RST__PS CYREG_PRT0_PS +#define SCSI_Out__RST__SHIFT 5 +#define SCSI_Out__RST__SLW CYREG_PRT0_SLW +#define SCSI_Out__SEL__AG CYREG_PRT0_AG +#define SCSI_Out__SEL__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__SEL__BIE CYREG_PRT0_BIE +#define SCSI_Out__SEL__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__SEL__BYP CYREG_PRT0_BYP +#define SCSI_Out__SEL__CTL CYREG_PRT0_CTL +#define SCSI_Out__SEL__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__SEL__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__SEL__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__SEL__DR CYREG_PRT0_DR +#define SCSI_Out__SEL__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__SEL__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__SEL__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__SEL__MASK 0x08u +#define SCSI_Out__SEL__PC CYREG_PRT0_PC3 +#define SCSI_Out__SEL__PORT 0u +#define SCSI_Out__SEL__PRT CYREG_PRT0_PRT +#define SCSI_Out__SEL__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__SEL__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__SEL__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__SEL__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__SEL__PS CYREG_PRT0_PS +#define SCSI_Out__SEL__SHIFT 3 +#define SCSI_Out__SEL__SLW CYREG_PRT0_SLW + +/* USBFS_Dm */ +#define USBFS_Dm__0__MASK 0x80u +#define USBFS_Dm__0__PC CYREG_IO_PC_PRT15_7_6_PC1 +#define USBFS_Dm__0__PORT 15u +#define USBFS_Dm__0__SHIFT 7 +#define USBFS_Dm__AG CYREG_PRT15_AG +#define USBFS_Dm__AMUX CYREG_PRT15_AMUX +#define USBFS_Dm__BIE CYREG_PRT15_BIE +#define USBFS_Dm__BIT_MASK CYREG_PRT15_BIT_MASK +#define USBFS_Dm__BYP CYREG_PRT15_BYP +#define USBFS_Dm__CTL CYREG_PRT15_CTL +#define USBFS_Dm__DM0 CYREG_PRT15_DM0 +#define USBFS_Dm__DM1 CYREG_PRT15_DM1 +#define USBFS_Dm__DM2 CYREG_PRT15_DM2 +#define USBFS_Dm__DR CYREG_PRT15_DR +#define USBFS_Dm__INP_DIS CYREG_PRT15_INP_DIS +#define USBFS_Dm__LCD_COM_SEG CYREG_PRT15_LCD_COM_SEG +#define USBFS_Dm__LCD_EN CYREG_PRT15_LCD_EN +#define USBFS_Dm__MASK 0x80u +#define USBFS_Dm__PORT 15u +#define USBFS_Dm__PRT CYREG_PRT15_PRT +#define USBFS_Dm__PRTDSI__CAPS_SEL CYREG_PRT15_CAPS_SEL +#define USBFS_Dm__PRTDSI__DBL_SYNC_IN CYREG_PRT15_DBL_SYNC_IN +#define USBFS_Dm__PRTDSI__OE_SEL0 CYREG_PRT15_OE_SEL0 +#define USBFS_Dm__PRTDSI__OE_SEL1 CYREG_PRT15_OE_SEL1 +#define USBFS_Dm__PRTDSI__OUT_SEL0 CYREG_PRT15_OUT_SEL0 +#define USBFS_Dm__PRTDSI__OUT_SEL1 CYREG_PRT15_OUT_SEL1 +#define USBFS_Dm__PRTDSI__SYNC_OUT CYREG_PRT15_SYNC_OUT +#define USBFS_Dm__PS CYREG_PRT15_PS +#define USBFS_Dm__SHIFT 7 +#define USBFS_Dm__SLW CYREG_PRT15_SLW + +/* USBFS_Dp */ +#define USBFS_Dp__0__MASK 0x40u +#define USBFS_Dp__0__PC CYREG_IO_PC_PRT15_7_6_PC0 +#define USBFS_Dp__0__PORT 15u +#define USBFS_Dp__0__SHIFT 6 +#define USBFS_Dp__AG CYREG_PRT15_AG +#define USBFS_Dp__AMUX CYREG_PRT15_AMUX +#define USBFS_Dp__BIE CYREG_PRT15_BIE +#define USBFS_Dp__BIT_MASK CYREG_PRT15_BIT_MASK +#define USBFS_Dp__BYP CYREG_PRT15_BYP +#define USBFS_Dp__CTL CYREG_PRT15_CTL +#define USBFS_Dp__DM0 CYREG_PRT15_DM0 +#define USBFS_Dp__DM1 CYREG_PRT15_DM1 +#define USBFS_Dp__DM2 CYREG_PRT15_DM2 +#define USBFS_Dp__DR CYREG_PRT15_DR +#define USBFS_Dp__INP_DIS CYREG_PRT15_INP_DIS +#define USBFS_Dp__INTSTAT CYREG_PICU15_INTSTAT +#define USBFS_Dp__LCD_COM_SEG CYREG_PRT15_LCD_COM_SEG +#define USBFS_Dp__LCD_EN CYREG_PRT15_LCD_EN +#define USBFS_Dp__MASK 0x40u +#define USBFS_Dp__PORT 15u +#define USBFS_Dp__PRT CYREG_PRT15_PRT +#define USBFS_Dp__PRTDSI__CAPS_SEL CYREG_PRT15_CAPS_SEL +#define USBFS_Dp__PRTDSI__DBL_SYNC_IN CYREG_PRT15_DBL_SYNC_IN +#define USBFS_Dp__PRTDSI__OE_SEL0 CYREG_PRT15_OE_SEL0 +#define USBFS_Dp__PRTDSI__OE_SEL1 CYREG_PRT15_OE_SEL1 +#define USBFS_Dp__PRTDSI__OUT_SEL0 CYREG_PRT15_OUT_SEL0 +#define USBFS_Dp__PRTDSI__OUT_SEL1 CYREG_PRT15_OUT_SEL1 +#define USBFS_Dp__PRTDSI__SYNC_OUT CYREG_PRT15_SYNC_OUT +#define USBFS_Dp__PS CYREG_PRT15_PS +#define USBFS_Dp__SHIFT 6 +#define USBFS_Dp__SLW CYREG_PRT15_SLW +#define USBFS_Dp__SNAP CYREG_PICU_15_SNAP_15 + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +#define CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO 0 +#define CYDEV_DEBUGGING_DPS_SWD_SWV 6 +#define CYDEV_CONFIG_UNUSED_IO_AllowButWarn 0 +#define CYDEV_CONFIGURATION_MODE_COMPRESSED 0 +#define CYDEV_CONFIG_FASTBOOT_ENABLED 1 +#define CYDEV_CHIP_REV_PSOC5LP_PRODUCTION 0u +#define CYDEV_CHIP_REVISION_5B_PRODUCTION 0u +#define CYDEV_CHIP_MEMBER_5B 4u +#define CYDEV_CHIP_FAMILY_PSOC5 3u +#define CYDEV_CHIP_DIE_PSOC5LP 4u +#define CYDEV_CHIP_DIE_EXPECT CYDEV_CHIP_DIE_PSOC5LP +#define CYDEV_BOOTLOADER_IO_COMP_USBFS 1 +#define BCLK__BUS_CLK__HZ 64000000U +#define BCLK__BUS_CLK__KHZ 64000U +#define BCLK__BUS_CLK__MHZ 64U +#define CYDEV_BOOTLOADER_APPLICATIONS 1u +#define CYDEV_BOOTLOADER_CHECKSUM_BASIC 0 +#define CYDEV_BOOTLOADER_CHECKSUM_CRC 1 +#define CYDEV_BOOTLOADER_IO_COMP CYDEV_BOOTLOADER_IO_COMP_USBFS +#define CYDEV_CHIP_DIE_ACTUAL CYDEV_CHIP_DIE_EXPECT +#define CYDEV_CHIP_DIE_LEOPARD 1u +#define CYDEV_CHIP_DIE_PANTHER 3u +#define CYDEV_CHIP_DIE_PSOC4A 2u +#define CYDEV_CHIP_DIE_UNKNOWN 0u +#define CYDEV_CHIP_FAMILY_PSOC3 1u +#define CYDEV_CHIP_FAMILY_PSOC4 2u +#define CYDEV_CHIP_FAMILY_UNKNOWN 0u +#define CYDEV_CHIP_FAMILY_USED CYDEV_CHIP_FAMILY_PSOC5 +#define CYDEV_CHIP_JTAG_ID 0x2E133069u +#define CYDEV_CHIP_MEMBER_3A 1u +#define CYDEV_CHIP_MEMBER_4A 2u +#define CYDEV_CHIP_MEMBER_5A 3u +#define CYDEV_CHIP_MEMBER_UNKNOWN 0u +#define CYDEV_CHIP_MEMBER_USED CYDEV_CHIP_MEMBER_5B +#define CYDEV_CHIP_REVISION_3A_ES1 0u +#define CYDEV_CHIP_REVISION_3A_ES2 1u +#define CYDEV_CHIP_REVISION_3A_ES3 3u +#define CYDEV_CHIP_REVISION_3A_PRODUCTION 3u +#define CYDEV_CHIP_REVISION_4A_ES0 17u +#define CYDEV_CHIP_REVISION_4A_PRODUCTION 17u +#define CYDEV_CHIP_REVISION_5A_ES0 0u +#define CYDEV_CHIP_REVISION_5A_ES1 1u +#define CYDEV_CHIP_REVISION_5A_PRODUCTION 1u +#define CYDEV_CHIP_REVISION_5B_ES0 0u +#define CYDEV_CHIP_REVISION_USED CYDEV_CHIP_REVISION_5B_PRODUCTION +#define CYDEV_CHIP_REV_EXPECT CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +#define CYDEV_CHIP_REV_LEOPARD_ES1 0u +#define CYDEV_CHIP_REV_LEOPARD_ES2 1u +#define CYDEV_CHIP_REV_LEOPARD_ES3 3u +#define CYDEV_CHIP_REV_LEOPARD_PRODUCTION 3u +#define CYDEV_CHIP_REV_PANTHER_ES0 0u +#define CYDEV_CHIP_REV_PANTHER_ES1 1u +#define CYDEV_CHIP_REV_PANTHER_PRODUCTION 1u +#define CYDEV_CHIP_REV_PSOC4A_ES0 17u +#define CYDEV_CHIP_REV_PSOC4A_PRODUCTION 17u +#define CYDEV_CHIP_REV_PSOC5LP_ES0 0u +#define CYDEV_CONFIGURATION_COMPRESSED 1 +#define CYDEV_CONFIGURATION_DMA 0 +#define CYDEV_CONFIGURATION_ECC 0 +#define CYDEV_CONFIGURATION_IMOENABLED CYDEV_CONFIG_FASTBOOT_ENABLED +#define CYDEV_CONFIGURATION_MODE CYDEV_CONFIGURATION_MODE_COMPRESSED +#define CYDEV_CONFIGURATION_MODE_DMA 2 +#define CYDEV_CONFIGURATION_MODE_UNCOMPRESSED 1 +#define CYDEV_CONFIG_UNUSED_IO CYDEV_CONFIG_UNUSED_IO_AllowButWarn +#define CYDEV_CONFIG_UNUSED_IO_AllowWithInfo 1 +#define CYDEV_CONFIG_UNUSED_IO_Disallowed 2 +#define CYDEV_DEBUGGING_DPS CYDEV_DEBUGGING_DPS_SWD_SWV +#define CYDEV_DEBUGGING_DPS_Disable 3 +#define CYDEV_DEBUGGING_DPS_JTAG_4 1 +#define CYDEV_DEBUGGING_DPS_JTAG_5 0 +#define CYDEV_DEBUGGING_DPS_SWD 2 +#define CYDEV_DEBUGGING_ENABLE 1 +#define CYDEV_DEBUGGING_XRES 0 +#define CYDEV_DEBUG_ENABLE_MASK 0x20u +#define CYDEV_DEBUG_ENABLE_REGISTER CYREG_MLOGIC_DEBUG +#define CYDEV_DMA_CHANNELS_AVAILABLE 24u +#define CYDEV_ECC_ENABLE 0 +#define CYDEV_HEAP_SIZE 0x0800 +#define CYDEV_INSTRUCT_CACHE_ENABLED 1 +#define CYDEV_INTR_RISING 0x00000000u +#define CYDEV_PROJ_TYPE 1 +#define CYDEV_PROJ_TYPE_BOOTLOADER 1 +#define CYDEV_PROJ_TYPE_LOADABLE 2 +#define CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER 3 +#define CYDEV_PROJ_TYPE_STANDARD 0 +#define CYDEV_PROTECTION_ENABLE 0 +#define CYDEV_STACK_SIZE 0x2000 +#define CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP +#define CYDEV_USE_BUNDLED_CMSIS 1 +#define CYDEV_VARIABLE_VDDA 0 +#define CYDEV_VDDA 5.0 +#define CYDEV_VDDA_MV 5000 +#define CYDEV_VDDD 5.0 +#define CYDEV_VDDD_MV 5000 +#define CYDEV_VDDIO0 5.0 +#define CYDEV_VDDIO0_MV 5000 +#define CYDEV_VDDIO1 5.0 +#define CYDEV_VDDIO1_MV 5000 +#define CYDEV_VDDIO2 5.0 +#define CYDEV_VDDIO2_MV 5000 +#define CYDEV_VDDIO3 5.0 +#define CYDEV_VDDIO3_MV 5000 +#define CYDEV_VIO0 5 +#define CYDEV_VIO0_MV 5000 +#define CYDEV_VIO1 5 +#define CYDEV_VIO1_MV 5000 +#define CYDEV_VIO2 5 +#define CYDEV_VIO2_MV 5000 +#define CYDEV_VIO3 5 +#define CYDEV_VIO3_MV 5000 +#define CyBtldr_Custom_Interface CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +#define CyBtldr_USBFS CYDEV_BOOTLOADER_IO_COMP_USBFS +#define DMA_CHANNELS_USED__MASK0 0x00000000u +#define CYDEV_BOOTLOADER_ENABLE 1 + +#endif /* INCLUDED_CYFITTER_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.c new file mode 100755 index 0000000..090e521 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.c @@ -0,0 +1,429 @@ +/******************************************************************************* +* FILENAME: cyfitter_cfg.c +* PSoC Creator 3.0 +* +* Description: +* This file is automatically generated by PSoC Creator with device +* initialization code. Except for the user defined sections in +* CyClockStartupError(), this file should not be modified. +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include +#include +#include +#include +#include +#include + +#define CY_NEED_CYCLOCKSTARTUPERROR 1 + + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) + #define CYPACKED + #define CYPACKED_ATTR __attribute__ ((packed)) + #define CYALIGNED __attribute__ ((aligned)) + #define CY_CFG_UNUSED __attribute__ ((unused)) + #define CY_CFG_SECTION __attribute__ ((section(".psocinit"))) + + #if defined(__ARMCC_VERSION) + #define CY_CFG_MEMORY_BARRIER() __memory_changed() + #else + #define CY_CFG_MEMORY_BARRIER() __sync_synchronize() + #endif + +#elif defined(__ICCARM__) + #include + + #define CYPACKED __packed + #define CYPACKED_ATTR + #define CYALIGNED _Pragma("data_alignment=4") + #define CY_CFG_UNUSED _Pragma("diag_suppress=Pe177") + #define CY_CFG_SECTION _Pragma("location=\".psocinit\"") + + #define CY_CFG_MEMORY_BARRIER() __DMB() + +#else + #error Unsupported toolchain +#endif + + +CY_CFG_UNUSED +static void CYMEMZERO(void *s, size_t n); +CY_CFG_UNUSED +static void CYMEMZERO(void *s, size_t n) +{ + (void)memset(s, 0, n); +} +CY_CFG_UNUSED +static void CYCONFIGCPY(void *dest, const void *src, size_t n); +CY_CFG_UNUSED +static void CYCONFIGCPY(void *dest, const void *src, size_t n) +{ + (void)memcpy(dest, src, n); +} +CY_CFG_UNUSED +static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n); +CY_CFG_UNUSED +static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n) +{ + (void)memcpy(dest, src, n); +} + + + +/* Clock startup error codes */ +#define CYCLOCKSTART_NO_ERROR 0u +#define CYCLOCKSTART_XTAL_ERROR 1u +#define CYCLOCKSTART_32KHZ_ERROR 2u +#define CYCLOCKSTART_PLL_ERROR 3u + +#ifdef CY_NEED_CYCLOCKSTARTUPERROR +/******************************************************************************* +* Function Name: CyClockStartupError +******************************************************************************** +* Summary: +* If an error is encountered during clock configuration (crystal startup error, +* PLL lock error, etc.), the system will end up here. Unless reimplemented by +* the customer, this function will stop in an infinite loop. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +CY_CFG_UNUSED +static void CyClockStartupError(uint8 errorCode); +CY_CFG_UNUSED +static void CyClockStartupError(uint8 errorCode) +{ + /* To remove the compiler warning if errorCode not used. */ + errorCode = errorCode; + + /* `#START CyClockStartupError` */ + + /* If we have a clock startup error (bad MHz crystal, PLL lock, etc.), */ + /* we will end up here to allow the customer to implement something to */ + /* deal with the clock condition. */ + + /* `#END` */ + + /* If nothing else, stop here since the clocks have not started */ + /* correctly. */ + while(1) {} +} +#endif + +#define CY_CFG_BASE_ADDR_COUNT 12u +CYPACKED typedef struct +{ + uint8 offset; + uint8 value; +} CYPACKED_ATTR cy_cfg_addrvalue_t; + + + +/******************************************************************************* +* Function Name: cfg_write_bytes32 +******************************************************************************** +* Summary: +* This function is used for setting up the chip configuration areas that +* contain relatively sparse data. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]); +static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]) +{ + /* For 32-bit little-endian architectures */ + uint32 i, j = 0u; + for (i = 0u; i < CY_CFG_BASE_ADDR_COUNT; i++) + { + uint32 baseAddr = addr_table[i]; + uint8 count = (uint8)baseAddr; + baseAddr &= 0xFFFFFF00u; + while (count != 0u) + { + CY_SET_XTND_REG8((void CYFAR *)(baseAddr + data_table[j].offset), data_table[j].value); + j++; + count--; + } + } +} + +/******************************************************************************* +* Function Name: ClockSetup +******************************************************************************** +* +* Summary: +* Performs the initialization of all of the clocks in the device based on the +* settings in the Clock tab of the DWR. This includes enabling the requested +* clocks and setting the necessary dividers to produce the desired frequency. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void ClockSetup(void); +static void ClockSetup(void) +{ + uint32 timeout; + uint8 pllLock; + + + /* Configure ILO based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); + + /* Configure IMO based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_TABLES_IMO_USB))); + + /* Configure PLL based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); + /* Wait up to 250us for the PLL to lock */ + pllLock = 0u; + for (timeout = 250u / 10u; (timeout > 0u) && (pllLock != 0x03u); timeout--) + { + pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_PLL_SR) & 0x01u) >> 0)); + CyDelayCycles(10u * 48u); /* Delay 10us based on 48MHz clock */ + } + /* If we ran out of time the PLL didn't lock so go to the error function */ + if (timeout == 0u) + { + CyClockStartupError(CYCLOCKSTART_PLL_ERROR); + } + + /* Configure Bus/Master Clock based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x07u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x00u); + + /* Configure USB Clock based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_UCFG), 0x00u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); +} + + +/* Analog API Functions */ + + +/******************************************************************************* +* Function Name: AnalogSetDefault +******************************************************************************** +* +* Summary: +* Sets up the analog portions of the chip to default values based on chip +* configuration options from the project. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void AnalogSetDefault(void); +static void AnalogSetDefault(void) +{ + uint8 bg_xover_inl_trim = CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM + 1u)); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT0), (bg_xover_inl_trim & 0x07u)); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT1), ((bg_xover_inl_trim >> 4) & 0x0Fu)); + CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, 0x44u); +} + + +/******************************************************************************* +* Function Name: SetAnalogRoutingPumps +******************************************************************************** +* +* Summary: +* Enables or disables the analog pumps feeding analog routing switches. +* Intended to be called at startup, based on the Vdda system configuration; +* may be called during operation when the user informs us that the Vdda voltage +* crossed the pump threshold. +* +* Parameters: +* enabled - 1 to enable the pumps, 0 to disable the pumps +* +* Return: +* void +* +*******************************************************************************/ +void SetAnalogRoutingPumps(uint8 enabled) +{ + uint8 regValue = CY_GET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0); + if (enabled != 0u) + { + regValue |= 0x00u; + } + else + { + regValue &= (uint8)~0x00u; + } + CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, regValue); +} + +#define CY_AMUX_UNUSED CYREG_BOOST_SR + + +/******************************************************************************* +* Function Name: cyfitter_cfg +******************************************************************************** +* Summary: +* This function is called by the start-up code for the selected device. It +* performs all of the necessary device configuration based on the design +* settings. This includes settings from the Design Wide Resources (DWR) such +* as Clocks and Pins as well as any component configuration that is necessary. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ + +void cyfitter_cfg(void) +{ + /* IOPINS0_0 Address: CYREG_PRT0_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_0_VAL[] = { + 0x00u, 0xFFu, 0xFFu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_8 Address: CYREG_PRT15_DR Size (bytes): 10 */ + static const uint8 CYCODE BS_IOPINS0_8_VAL[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0xC0u, 0x00u}; + + /* IOPINS0_4 Address: CYREG_PRT4_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_4_VAL[] = { + 0x00u, 0xFCu, 0xFCu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_6 Address: CYREG_PRT6_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_6_VAL[] = { + 0x00u, 0x0Fu, 0x0Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + +#ifdef CYGlobalIntDisable + /* Disable interrupts by default. Let user enable if/when they want. */ + CYGlobalIntDisable +#endif + + + /* Set Flash Cycles based on max possible frequency in case a glitch occurs during ClockSetup(). */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CACHE_CC_CTL), (((CYDEV_INSTRUCT_CACHE_ENABLED) != 0) ? 0x01u : 0x00u)); + /* Setup clocks based on selections from Clock DWR */ + ClockSetup(); + /* Enable/Disable Debug functionality based on settings from System DWR */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG, (CY_GET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG) | 0x04u)); + + { + static const uint32 CYCODE cy_cfg_addr_table[] = { + 0x40004501u, /* Base address: 0x40004500 Count: 1 */ + 0x40005201u, /* Base address: 0x40005200 Count: 1 */ + 0x40011701u, /* Base address: 0x40011700 Count: 1 */ + 0x40011901u, /* Base address: 0x40011900 Count: 1 */ + 0x40014003u, /* Base address: 0x40014000 Count: 3 */ + 0x40014102u, /* Base address: 0x40014100 Count: 2 */ + 0x40014202u, /* Base address: 0x40014200 Count: 2 */ + 0x40014302u, /* Base address: 0x40014300 Count: 2 */ + 0x40014703u, /* Base address: 0x40014700 Count: 3 */ + 0x40014803u, /* Base address: 0x40014800 Count: 3 */ + 0x40014C02u, /* Base address: 0x40014C00 Count: 2 */ + 0x40015101u, /* Base address: 0x40015100 Count: 1 */ + }; + + static const cy_cfg_addrvalue_t CYCODE cy_cfg_data_table[] = { + {0x7Eu, 0x02u}, + {0x7Cu, 0x40u}, + {0xEEu, 0x0Au}, + {0xEEu, 0x0Au}, + {0x33u, 0x80u}, + {0x36u, 0x40u}, + {0xCCu, 0x30u}, + {0xA6u, 0x40u}, + {0xA7u, 0x80u}, + {0xA6u, 0x40u}, + {0xA7u, 0x80u}, + {0xA6u, 0x40u}, + {0xA7u, 0x80u}, + {0x08u, 0x08u}, + {0x0Fu, 0x40u}, + {0xC2u, 0x0Cu}, + {0xAEu, 0x40u}, + {0xAFu, 0x80u}, + {0xEEu, 0x50u}, + {0xACu, 0x08u}, + {0xAFu, 0x40u}, + {0x00u, 0x0Au}, + }; + + + + CYPACKED typedef struct { + void CYFAR *address; + uint16 size; + } CYPACKED_ATTR cfg_memset_t; + + static const cfg_memset_t CYCODE cfg_memset_list [] = { + /* address, size */ + {(void CYFAR *)(CYREG_PRT1_DR), 48u}, + {(void CYFAR *)(CYREG_PRT5_DR), 16u}, + {(void CYFAR *)(CYREG_PRT12_DR), 16u}, + {(void CYFAR *)(CYDEV_UCFG_B0_P0_U0_BASE), 4096u}, + {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 2048u}, + {(void CYFAR *)(CYDEV_UCFG_DSI0_BASE), 2560u}, + {(void CYFAR *)(CYDEV_UCFG_DSI12_BASE), 512u}, + {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), 32u}, + }; + + uint8 CYDATA i; + + /* Zero out critical memory blocks before beginning configuration */ + for (i = 0u; i < (sizeof(cfg_memset_list)/sizeof(cfg_memset_list[0])); i++) + { + const cfg_memset_t CYCODE * CYDATA ms = &cfg_memset_list[i]; + CYMEMZERO(ms->address, (uint32)(ms->size)); + } + + cfg_write_bytes32(cy_cfg_addr_table, cy_cfg_data_table); + + /* Enable digital routing */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL) | 0x02u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL) | 0x02u); + + /* Enable UDB array */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0) | 0x40u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2) | 0x10u); + } + + /* Perform second pass device configuration. These items must be configured in specific order after the regular configuration is done. */ + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT0_DM0), (const void CYCODE *)(BS_IOPINS0_0_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT15_DR), (const void CYCODE *)(BS_IOPINS0_8_VAL), 10u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT4_DM0), (const void CYCODE *)(BS_IOPINS0_4_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT6_DM0), (const void CYCODE *)(BS_IOPINS0_6_VAL), 8u); + + /* Switch Boost to the precision bandgap reference from its internal reference */ + CY_SET_REG8((void CYXDATA *)CYREG_BOOST_CR2, (CY_GET_REG8((void CYXDATA *)CYREG_BOOST_CR2) | 0x08u)); + + /* Perform basic analog initialization to defaults */ + AnalogSetDefault(); + + /* Configure alternate active mode */ + CYCONFIGCPY((void CYFAR *)CYDEV_PM_STBY_BASE, (const void CYFAR *)CYDEV_PM_ACT_BASE, 14u); +} diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.h new file mode 100755 index 0000000..02880d0 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitter_cfg.h @@ -0,0 +1,28 @@ +/******************************************************************************* +* FILENAME: cyfitter_cfg.h +* PSoC Creator 3.0 +* +* Description: +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef CYFITTER_CFG_H +#define CYFITTER_CFG_H + +#include + +extern void cyfitter_cfg(void); + +/* Analog Set/Unset methods */ +extern void SetAnalogRoutingPumps(uint8 enabled); + + +#endif /* CYFITTER_CFG_H */ + +/*[]*/ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfittergnu.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfittergnu.inc new file mode 100755 index 0000000..95fa17a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfittergnu.inc @@ -0,0 +1,1354 @@ +.ifndef INCLUDED_CYFITTERGNU_INC +.set INCLUDED_CYFITTERGNU_INC, 1 +.include "cydevicegnu.inc" +.include "cydevicegnu_trm.inc" + +/* USBFS_bus_reset */ +.set USBFS_bus_reset__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_bus_reset__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_bus_reset__INTC_MASK, 0x800000 +.set USBFS_bus_reset__INTC_NUMBER, 23 +.set USBFS_bus_reset__INTC_PRIOR_NUM, 7 +.set USBFS_bus_reset__INTC_PRIOR_REG, CYREG_NVIC_PRI_23 +.set USBFS_bus_reset__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_bus_reset__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_arb_int */ +.set USBFS_arb_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_arb_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_arb_int__INTC_MASK, 0x400000 +.set USBFS_arb_int__INTC_NUMBER, 22 +.set USBFS_arb_int__INTC_PRIOR_NUM, 7 +.set USBFS_arb_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_22 +.set USBFS_arb_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_arb_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_sof_int */ +.set USBFS_sof_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_sof_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_sof_int__INTC_MASK, 0x200000 +.set USBFS_sof_int__INTC_NUMBER, 21 +.set USBFS_sof_int__INTC_PRIOR_NUM, 7 +.set USBFS_sof_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_21 +.set USBFS_sof_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_sof_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +.set SCSI_Out_DBx__0__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__0__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__0__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__0__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__0__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__0__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__0__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__0__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__0__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__0__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__0__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__0__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__0__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__0__MASK, 0x08 +.set SCSI_Out_DBx__0__PC, CYREG_PRT6_PC3 +.set SCSI_Out_DBx__0__PORT, 6 +.set SCSI_Out_DBx__0__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__0__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__0__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__0__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__0__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__0__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__0__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__0__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__0__SHIFT, 3 +.set SCSI_Out_DBx__0__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__1__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__1__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__1__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__1__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__1__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__1__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__1__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__1__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__1__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__1__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__1__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__1__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__1__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__1__MASK, 0x04 +.set SCSI_Out_DBx__1__PC, CYREG_PRT6_PC2 +.set SCSI_Out_DBx__1__PORT, 6 +.set SCSI_Out_DBx__1__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__1__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__1__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__1__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__1__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__1__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__1__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__1__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__1__SHIFT, 2 +.set SCSI_Out_DBx__1__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__2__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__2__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__2__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__2__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__2__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__2__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__2__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__2__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__2__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__2__MASK, 0x02 +.set SCSI_Out_DBx__2__PC, CYREG_PRT6_PC1 +.set SCSI_Out_DBx__2__PORT, 6 +.set SCSI_Out_DBx__2__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__2__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__2__SHIFT, 1 +.set SCSI_Out_DBx__2__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__3__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__3__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__3__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__3__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__3__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__3__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__3__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__3__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__3__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__3__MASK, 0x01 +.set SCSI_Out_DBx__3__PC, CYREG_PRT6_PC0 +.set SCSI_Out_DBx__3__PORT, 6 +.set SCSI_Out_DBx__3__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__3__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__3__SHIFT, 0 +.set SCSI_Out_DBx__3__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__4__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__4__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__4__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__4__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__4__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__4__MASK, 0x80 +.set SCSI_Out_DBx__4__PC, CYREG_PRT4_PC7 +.set SCSI_Out_DBx__4__PORT, 4 +.set SCSI_Out_DBx__4__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__4__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__4__SHIFT, 7 +.set SCSI_Out_DBx__4__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__5__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__5__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__5__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__5__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__5__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__5__MASK, 0x40 +.set SCSI_Out_DBx__5__PC, CYREG_PRT4_PC6 +.set SCSI_Out_DBx__5__PORT, 4 +.set SCSI_Out_DBx__5__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__5__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__5__SHIFT, 6 +.set SCSI_Out_DBx__5__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__6__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__6__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__6__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__6__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__6__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__6__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__6__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__6__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__6__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__6__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__6__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__6__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__6__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__6__MASK, 0x20 +.set SCSI_Out_DBx__6__PC, CYREG_PRT4_PC5 +.set SCSI_Out_DBx__6__PORT, 4 +.set SCSI_Out_DBx__6__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__6__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__6__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__6__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__6__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__6__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__6__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__6__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__6__SHIFT, 5 +.set SCSI_Out_DBx__6__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__7__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__7__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__7__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__7__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__7__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__7__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__7__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__7__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__7__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__7__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__7__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__7__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__7__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__7__MASK, 0x10 +.set SCSI_Out_DBx__7__PC, CYREG_PRT4_PC4 +.set SCSI_Out_DBx__7__PORT, 4 +.set SCSI_Out_DBx__7__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__7__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__7__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__7__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__7__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__7__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__7__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__7__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__7__SHIFT, 4 +.set SCSI_Out_DBx__7__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB0__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB0__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB0__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB0__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB0__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB0__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB0__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB0__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB0__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB0__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB0__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB0__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB0__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB0__MASK, 0x08 +.set SCSI_Out_DBx__DB0__PC, CYREG_PRT6_PC3 +.set SCSI_Out_DBx__DB0__PORT, 6 +.set SCSI_Out_DBx__DB0__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB0__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB0__SHIFT, 3 +.set SCSI_Out_DBx__DB0__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB1__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB1__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB1__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB1__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB1__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB1__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB1__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB1__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB1__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB1__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB1__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB1__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB1__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB1__MASK, 0x04 +.set SCSI_Out_DBx__DB1__PC, CYREG_PRT6_PC2 +.set SCSI_Out_DBx__DB1__PORT, 6 +.set SCSI_Out_DBx__DB1__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB1__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB1__SHIFT, 2 +.set SCSI_Out_DBx__DB1__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB2__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB2__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB2__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB2__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB2__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB2__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB2__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB2__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB2__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB2__MASK, 0x02 +.set SCSI_Out_DBx__DB2__PC, CYREG_PRT6_PC1 +.set SCSI_Out_DBx__DB2__PORT, 6 +.set SCSI_Out_DBx__DB2__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB2__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB2__SHIFT, 1 +.set SCSI_Out_DBx__DB2__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB3__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB3__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB3__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB3__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB3__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB3__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB3__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB3__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB3__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB3__MASK, 0x01 +.set SCSI_Out_DBx__DB3__PC, CYREG_PRT6_PC0 +.set SCSI_Out_DBx__DB3__PORT, 6 +.set SCSI_Out_DBx__DB3__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB3__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB3__SHIFT, 0 +.set SCSI_Out_DBx__DB3__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB4__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB4__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB4__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB4__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB4__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB4__MASK, 0x80 +.set SCSI_Out_DBx__DB4__PC, CYREG_PRT4_PC7 +.set SCSI_Out_DBx__DB4__PORT, 4 +.set SCSI_Out_DBx__DB4__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB4__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB4__SHIFT, 7 +.set SCSI_Out_DBx__DB4__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB5__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB5__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB5__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB5__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB5__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB5__MASK, 0x40 +.set SCSI_Out_DBx__DB5__PC, CYREG_PRT4_PC6 +.set SCSI_Out_DBx__DB5__PORT, 4 +.set SCSI_Out_DBx__DB5__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB5__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB5__SHIFT, 6 +.set SCSI_Out_DBx__DB5__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB6__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB6__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB6__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB6__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB6__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB6__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB6__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB6__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB6__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB6__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB6__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB6__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB6__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB6__MASK, 0x20 +.set SCSI_Out_DBx__DB6__PC, CYREG_PRT4_PC5 +.set SCSI_Out_DBx__DB6__PORT, 4 +.set SCSI_Out_DBx__DB6__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB6__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB6__SHIFT, 5 +.set SCSI_Out_DBx__DB6__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB7__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB7__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB7__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB7__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB7__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB7__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB7__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB7__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB7__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB7__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB7__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB7__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB7__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB7__MASK, 0x10 +.set SCSI_Out_DBx__DB7__PC, CYREG_PRT4_PC4 +.set SCSI_Out_DBx__DB7__PORT, 4 +.set SCSI_Out_DBx__DB7__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB7__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB7__SHIFT, 4 +.set SCSI_Out_DBx__DB7__SLW, CYREG_PRT4_SLW + +/* USBFS_dp_int */ +.set USBFS_dp_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_dp_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_dp_int__INTC_MASK, 0x1000 +.set USBFS_dp_int__INTC_NUMBER, 12 +.set USBFS_dp_int__INTC_PRIOR_NUM, 7 +.set USBFS_dp_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_12 +.set USBFS_dp_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_dp_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_ep_0 */ +.set USBFS_ep_0__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_ep_0__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_ep_0__INTC_MASK, 0x1000000 +.set USBFS_ep_0__INTC_NUMBER, 24 +.set USBFS_ep_0__INTC_PRIOR_NUM, 7 +.set USBFS_ep_0__INTC_PRIOR_REG, CYREG_NVIC_PRI_24 +.set USBFS_ep_0__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_ep_0__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_ep_1 */ +.set USBFS_ep_1__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_ep_1__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_ep_1__INTC_MASK, 0x01 +.set USBFS_ep_1__INTC_NUMBER, 0 +.set USBFS_ep_1__INTC_PRIOR_NUM, 7 +.set USBFS_ep_1__INTC_PRIOR_REG, CYREG_NVIC_PRI_0 +.set USBFS_ep_1__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_ep_1__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_ep_2 */ +.set USBFS_ep_2__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_ep_2__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_ep_2__INTC_MASK, 0x02 +.set USBFS_ep_2__INTC_NUMBER, 1 +.set USBFS_ep_2__INTC_PRIOR_NUM, 7 +.set USBFS_ep_2__INTC_PRIOR_REG, CYREG_NVIC_PRI_1 +.set USBFS_ep_2__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_ep_2__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_USB */ +.set USBFS_USB__ARB_CFG, CYREG_USB_ARB_CFG +.set USBFS_USB__ARB_EP1_CFG, CYREG_USB_ARB_EP1_CFG +.set USBFS_USB__ARB_EP1_INT_EN, CYREG_USB_ARB_EP1_INT_EN +.set USBFS_USB__ARB_EP1_SR, CYREG_USB_ARB_EP1_SR +.set USBFS_USB__ARB_EP2_CFG, CYREG_USB_ARB_EP2_CFG +.set USBFS_USB__ARB_EP2_INT_EN, CYREG_USB_ARB_EP2_INT_EN +.set USBFS_USB__ARB_EP2_SR, CYREG_USB_ARB_EP2_SR +.set USBFS_USB__ARB_EP3_CFG, CYREG_USB_ARB_EP3_CFG +.set USBFS_USB__ARB_EP3_INT_EN, CYREG_USB_ARB_EP3_INT_EN +.set USBFS_USB__ARB_EP3_SR, CYREG_USB_ARB_EP3_SR +.set USBFS_USB__ARB_EP4_CFG, CYREG_USB_ARB_EP4_CFG +.set USBFS_USB__ARB_EP4_INT_EN, CYREG_USB_ARB_EP4_INT_EN +.set USBFS_USB__ARB_EP4_SR, CYREG_USB_ARB_EP4_SR +.set USBFS_USB__ARB_EP5_CFG, CYREG_USB_ARB_EP5_CFG +.set USBFS_USB__ARB_EP5_INT_EN, CYREG_USB_ARB_EP5_INT_EN +.set USBFS_USB__ARB_EP5_SR, CYREG_USB_ARB_EP5_SR +.set USBFS_USB__ARB_EP6_CFG, CYREG_USB_ARB_EP6_CFG +.set USBFS_USB__ARB_EP6_INT_EN, CYREG_USB_ARB_EP6_INT_EN +.set USBFS_USB__ARB_EP6_SR, CYREG_USB_ARB_EP6_SR +.set USBFS_USB__ARB_EP7_CFG, CYREG_USB_ARB_EP7_CFG +.set USBFS_USB__ARB_EP7_INT_EN, CYREG_USB_ARB_EP7_INT_EN +.set USBFS_USB__ARB_EP7_SR, CYREG_USB_ARB_EP7_SR +.set USBFS_USB__ARB_EP8_CFG, CYREG_USB_ARB_EP8_CFG +.set USBFS_USB__ARB_EP8_INT_EN, CYREG_USB_ARB_EP8_INT_EN +.set USBFS_USB__ARB_EP8_SR, CYREG_USB_ARB_EP8_SR +.set USBFS_USB__ARB_INT_EN, CYREG_USB_ARB_INT_EN +.set USBFS_USB__ARB_INT_SR, CYREG_USB_ARB_INT_SR +.set USBFS_USB__ARB_RW1_DR, CYREG_USB_ARB_RW1_DR +.set USBFS_USB__ARB_RW1_RA, CYREG_USB_ARB_RW1_RA +.set USBFS_USB__ARB_RW1_RA_MSB, CYREG_USB_ARB_RW1_RA_MSB +.set USBFS_USB__ARB_RW1_WA, CYREG_USB_ARB_RW1_WA +.set USBFS_USB__ARB_RW1_WA_MSB, CYREG_USB_ARB_RW1_WA_MSB +.set USBFS_USB__ARB_RW2_DR, CYREG_USB_ARB_RW2_DR +.set USBFS_USB__ARB_RW2_RA, CYREG_USB_ARB_RW2_RA +.set USBFS_USB__ARB_RW2_RA_MSB, CYREG_USB_ARB_RW2_RA_MSB +.set USBFS_USB__ARB_RW2_WA, CYREG_USB_ARB_RW2_WA +.set USBFS_USB__ARB_RW2_WA_MSB, CYREG_USB_ARB_RW2_WA_MSB +.set USBFS_USB__ARB_RW3_DR, CYREG_USB_ARB_RW3_DR +.set USBFS_USB__ARB_RW3_RA, CYREG_USB_ARB_RW3_RA +.set USBFS_USB__ARB_RW3_RA_MSB, CYREG_USB_ARB_RW3_RA_MSB +.set USBFS_USB__ARB_RW3_WA, CYREG_USB_ARB_RW3_WA +.set USBFS_USB__ARB_RW3_WA_MSB, CYREG_USB_ARB_RW3_WA_MSB +.set USBFS_USB__ARB_RW4_DR, CYREG_USB_ARB_RW4_DR +.set USBFS_USB__ARB_RW4_RA, CYREG_USB_ARB_RW4_RA +.set USBFS_USB__ARB_RW4_RA_MSB, CYREG_USB_ARB_RW4_RA_MSB +.set USBFS_USB__ARB_RW4_WA, CYREG_USB_ARB_RW4_WA +.set USBFS_USB__ARB_RW4_WA_MSB, CYREG_USB_ARB_RW4_WA_MSB +.set USBFS_USB__ARB_RW5_DR, CYREG_USB_ARB_RW5_DR +.set USBFS_USB__ARB_RW5_RA, CYREG_USB_ARB_RW5_RA +.set USBFS_USB__ARB_RW5_RA_MSB, CYREG_USB_ARB_RW5_RA_MSB +.set USBFS_USB__ARB_RW5_WA, CYREG_USB_ARB_RW5_WA +.set USBFS_USB__ARB_RW5_WA_MSB, CYREG_USB_ARB_RW5_WA_MSB +.set USBFS_USB__ARB_RW6_DR, CYREG_USB_ARB_RW6_DR +.set USBFS_USB__ARB_RW6_RA, CYREG_USB_ARB_RW6_RA +.set USBFS_USB__ARB_RW6_RA_MSB, CYREG_USB_ARB_RW6_RA_MSB +.set USBFS_USB__ARB_RW6_WA, CYREG_USB_ARB_RW6_WA +.set USBFS_USB__ARB_RW6_WA_MSB, CYREG_USB_ARB_RW6_WA_MSB +.set USBFS_USB__ARB_RW7_DR, CYREG_USB_ARB_RW7_DR +.set USBFS_USB__ARB_RW7_RA, CYREG_USB_ARB_RW7_RA +.set USBFS_USB__ARB_RW7_RA_MSB, CYREG_USB_ARB_RW7_RA_MSB +.set USBFS_USB__ARB_RW7_WA, CYREG_USB_ARB_RW7_WA +.set USBFS_USB__ARB_RW7_WA_MSB, CYREG_USB_ARB_RW7_WA_MSB +.set USBFS_USB__ARB_RW8_DR, CYREG_USB_ARB_RW8_DR +.set USBFS_USB__ARB_RW8_RA, CYREG_USB_ARB_RW8_RA +.set USBFS_USB__ARB_RW8_RA_MSB, CYREG_USB_ARB_RW8_RA_MSB +.set USBFS_USB__ARB_RW8_WA, CYREG_USB_ARB_RW8_WA +.set USBFS_USB__ARB_RW8_WA_MSB, CYREG_USB_ARB_RW8_WA_MSB +.set USBFS_USB__BUF_SIZE, CYREG_USB_BUF_SIZE +.set USBFS_USB__BUS_RST_CNT, CYREG_USB_BUS_RST_CNT +.set USBFS_USB__CR0, CYREG_USB_CR0 +.set USBFS_USB__CR1, CYREG_USB_CR1 +.set USBFS_USB__CWA, CYREG_USB_CWA +.set USBFS_USB__CWA_MSB, CYREG_USB_CWA_MSB +.set USBFS_USB__DMA_THRES, CYREG_USB_DMA_THRES +.set USBFS_USB__DMA_THRES_MSB, CYREG_USB_DMA_THRES_MSB +.set USBFS_USB__DYN_RECONFIG, CYREG_USB_DYN_RECONFIG +.set USBFS_USB__EP0_CNT, CYREG_USB_EP0_CNT +.set USBFS_USB__EP0_CR, CYREG_USB_EP0_CR +.set USBFS_USB__EP0_DR0, CYREG_USB_EP0_DR0 +.set USBFS_USB__EP0_DR1, CYREG_USB_EP0_DR1 +.set USBFS_USB__EP0_DR2, CYREG_USB_EP0_DR2 +.set USBFS_USB__EP0_DR3, CYREG_USB_EP0_DR3 +.set USBFS_USB__EP0_DR4, CYREG_USB_EP0_DR4 +.set USBFS_USB__EP0_DR5, CYREG_USB_EP0_DR5 +.set USBFS_USB__EP0_DR6, CYREG_USB_EP0_DR6 +.set USBFS_USB__EP0_DR7, CYREG_USB_EP0_DR7 +.set USBFS_USB__EP_ACTIVE, CYREG_USB_EP_ACTIVE +.set USBFS_USB__EP_TYPE, CYREG_USB_EP_TYPE +.set USBFS_USB__MEM_DATA, CYREG_USB_MEM_DATA_MBASE +.set USBFS_USB__PM_ACT_CFG, CYREG_PM_ACT_CFG5 +.set USBFS_USB__PM_ACT_MSK, 0x01 +.set USBFS_USB__PM_STBY_CFG, CYREG_PM_STBY_CFG5 +.set USBFS_USB__PM_STBY_MSK, 0x01 +.set USBFS_USB__SIE_EP1_CNT0, CYREG_USB_SIE_EP1_CNT0 +.set USBFS_USB__SIE_EP1_CNT1, CYREG_USB_SIE_EP1_CNT1 +.set USBFS_USB__SIE_EP1_CR0, CYREG_USB_SIE_EP1_CR0 +.set USBFS_USB__SIE_EP2_CNT0, CYREG_USB_SIE_EP2_CNT0 +.set USBFS_USB__SIE_EP2_CNT1, CYREG_USB_SIE_EP2_CNT1 +.set USBFS_USB__SIE_EP2_CR0, CYREG_USB_SIE_EP2_CR0 +.set USBFS_USB__SIE_EP3_CNT0, CYREG_USB_SIE_EP3_CNT0 +.set USBFS_USB__SIE_EP3_CNT1, CYREG_USB_SIE_EP3_CNT1 +.set USBFS_USB__SIE_EP3_CR0, CYREG_USB_SIE_EP3_CR0 +.set USBFS_USB__SIE_EP4_CNT0, CYREG_USB_SIE_EP4_CNT0 +.set USBFS_USB__SIE_EP4_CNT1, CYREG_USB_SIE_EP4_CNT1 +.set USBFS_USB__SIE_EP4_CR0, CYREG_USB_SIE_EP4_CR0 +.set USBFS_USB__SIE_EP5_CNT0, CYREG_USB_SIE_EP5_CNT0 +.set USBFS_USB__SIE_EP5_CNT1, CYREG_USB_SIE_EP5_CNT1 +.set USBFS_USB__SIE_EP5_CR0, CYREG_USB_SIE_EP5_CR0 +.set USBFS_USB__SIE_EP6_CNT0, CYREG_USB_SIE_EP6_CNT0 +.set USBFS_USB__SIE_EP6_CNT1, CYREG_USB_SIE_EP6_CNT1 +.set USBFS_USB__SIE_EP6_CR0, CYREG_USB_SIE_EP6_CR0 +.set USBFS_USB__SIE_EP7_CNT0, CYREG_USB_SIE_EP7_CNT0 +.set USBFS_USB__SIE_EP7_CNT1, CYREG_USB_SIE_EP7_CNT1 +.set USBFS_USB__SIE_EP7_CR0, CYREG_USB_SIE_EP7_CR0 +.set USBFS_USB__SIE_EP8_CNT0, CYREG_USB_SIE_EP8_CNT0 +.set USBFS_USB__SIE_EP8_CNT1, CYREG_USB_SIE_EP8_CNT1 +.set USBFS_USB__SIE_EP8_CR0, CYREG_USB_SIE_EP8_CR0 +.set USBFS_USB__SIE_EP_INT_EN, CYREG_USB_SIE_EP_INT_EN +.set USBFS_USB__SIE_EP_INT_SR, CYREG_USB_SIE_EP_INT_SR +.set USBFS_USB__SOF0, CYREG_USB_SOF0 +.set USBFS_USB__SOF1, CYREG_USB_SOF1 +.set USBFS_USB__USBIO_CR0, CYREG_USB_USBIO_CR0 +.set USBFS_USB__USBIO_CR1, CYREG_USB_USBIO_CR1 +.set USBFS_USB__USB_CLK_EN, CYREG_USB_USB_CLK_EN + +/* SCSI_Out */ +.set SCSI_Out__0__AG, CYREG_PRT4_AG +.set SCSI_Out__0__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__0__BIE, CYREG_PRT4_BIE +.set SCSI_Out__0__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__0__BYP, CYREG_PRT4_BYP +.set SCSI_Out__0__CTL, CYREG_PRT4_CTL +.set SCSI_Out__0__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__0__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__0__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__0__DR, CYREG_PRT4_DR +.set SCSI_Out__0__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__0__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__0__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__0__MASK, 0x08 +.set SCSI_Out__0__PC, CYREG_PRT4_PC3 +.set SCSI_Out__0__PORT, 4 +.set SCSI_Out__0__PRT, CYREG_PRT4_PRT +.set SCSI_Out__0__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__0__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__0__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__0__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__0__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__0__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__0__PS, CYREG_PRT4_PS +.set SCSI_Out__0__SHIFT, 3 +.set SCSI_Out__0__SLW, CYREG_PRT4_SLW +.set SCSI_Out__1__AG, CYREG_PRT4_AG +.set SCSI_Out__1__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__1__BIE, CYREG_PRT4_BIE +.set SCSI_Out__1__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__1__BYP, CYREG_PRT4_BYP +.set SCSI_Out__1__CTL, CYREG_PRT4_CTL +.set SCSI_Out__1__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__1__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__1__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__1__DR, CYREG_PRT4_DR +.set SCSI_Out__1__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__1__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__1__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__1__MASK, 0x04 +.set SCSI_Out__1__PC, CYREG_PRT4_PC2 +.set SCSI_Out__1__PORT, 4 +.set SCSI_Out__1__PRT, CYREG_PRT4_PRT +.set SCSI_Out__1__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__1__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__1__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__1__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__1__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__1__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__1__PS, CYREG_PRT4_PS +.set SCSI_Out__1__SHIFT, 2 +.set SCSI_Out__1__SLW, CYREG_PRT4_SLW +.set SCSI_Out__2__AG, CYREG_PRT0_AG +.set SCSI_Out__2__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__2__BIE, CYREG_PRT0_BIE +.set SCSI_Out__2__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__2__BYP, CYREG_PRT0_BYP +.set SCSI_Out__2__CTL, CYREG_PRT0_CTL +.set SCSI_Out__2__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__2__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__2__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__2__DR, CYREG_PRT0_DR +.set SCSI_Out__2__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__2__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__2__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__2__MASK, 0x80 +.set SCSI_Out__2__PC, CYREG_PRT0_PC7 +.set SCSI_Out__2__PORT, 0 +.set SCSI_Out__2__PRT, CYREG_PRT0_PRT +.set SCSI_Out__2__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__2__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__2__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__2__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__2__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__2__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__2__PS, CYREG_PRT0_PS +.set SCSI_Out__2__SHIFT, 7 +.set SCSI_Out__2__SLW, CYREG_PRT0_SLW +.set SCSI_Out__3__AG, CYREG_PRT0_AG +.set SCSI_Out__3__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__3__BIE, CYREG_PRT0_BIE +.set SCSI_Out__3__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__3__BYP, CYREG_PRT0_BYP +.set SCSI_Out__3__CTL, CYREG_PRT0_CTL +.set SCSI_Out__3__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__3__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__3__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__3__DR, CYREG_PRT0_DR +.set SCSI_Out__3__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__3__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__3__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__3__MASK, 0x40 +.set SCSI_Out__3__PC, CYREG_PRT0_PC6 +.set SCSI_Out__3__PORT, 0 +.set SCSI_Out__3__PRT, CYREG_PRT0_PRT +.set SCSI_Out__3__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__3__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__3__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__3__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__3__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__3__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__3__PS, CYREG_PRT0_PS +.set SCSI_Out__3__SHIFT, 6 +.set SCSI_Out__3__SLW, CYREG_PRT0_SLW +.set SCSI_Out__4__AG, CYREG_PRT0_AG +.set SCSI_Out__4__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__4__BIE, CYREG_PRT0_BIE +.set SCSI_Out__4__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__4__BYP, CYREG_PRT0_BYP +.set SCSI_Out__4__CTL, CYREG_PRT0_CTL +.set SCSI_Out__4__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__4__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__4__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__4__DR, CYREG_PRT0_DR +.set SCSI_Out__4__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__4__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__4__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__4__MASK, 0x20 +.set SCSI_Out__4__PC, CYREG_PRT0_PC5 +.set SCSI_Out__4__PORT, 0 +.set SCSI_Out__4__PRT, CYREG_PRT0_PRT +.set SCSI_Out__4__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__4__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__4__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__4__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__4__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__4__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__4__PS, CYREG_PRT0_PS +.set SCSI_Out__4__SHIFT, 5 +.set SCSI_Out__4__SLW, CYREG_PRT0_SLW +.set SCSI_Out__5__AG, CYREG_PRT0_AG +.set SCSI_Out__5__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__5__BIE, CYREG_PRT0_BIE +.set SCSI_Out__5__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__5__BYP, CYREG_PRT0_BYP +.set SCSI_Out__5__CTL, CYREG_PRT0_CTL +.set SCSI_Out__5__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__5__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__5__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__5__DR, CYREG_PRT0_DR +.set SCSI_Out__5__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__5__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__5__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__5__MASK, 0x10 +.set SCSI_Out__5__PC, CYREG_PRT0_PC4 +.set SCSI_Out__5__PORT, 0 +.set SCSI_Out__5__PRT, CYREG_PRT0_PRT +.set SCSI_Out__5__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__5__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__5__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__5__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__5__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__5__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__5__PS, CYREG_PRT0_PS +.set SCSI_Out__5__SHIFT, 4 +.set SCSI_Out__5__SLW, CYREG_PRT0_SLW +.set SCSI_Out__6__AG, CYREG_PRT0_AG +.set SCSI_Out__6__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__6__BIE, CYREG_PRT0_BIE +.set SCSI_Out__6__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__6__BYP, CYREG_PRT0_BYP +.set SCSI_Out__6__CTL, CYREG_PRT0_CTL +.set SCSI_Out__6__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__6__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__6__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__6__DR, CYREG_PRT0_DR +.set SCSI_Out__6__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__6__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__6__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__6__MASK, 0x08 +.set SCSI_Out__6__PC, CYREG_PRT0_PC3 +.set SCSI_Out__6__PORT, 0 +.set SCSI_Out__6__PRT, CYREG_PRT0_PRT +.set SCSI_Out__6__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__6__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__6__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__6__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__6__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__6__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__6__PS, CYREG_PRT0_PS +.set SCSI_Out__6__SHIFT, 3 +.set SCSI_Out__6__SLW, CYREG_PRT0_SLW +.set SCSI_Out__7__AG, CYREG_PRT0_AG +.set SCSI_Out__7__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__7__BIE, CYREG_PRT0_BIE +.set SCSI_Out__7__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__7__BYP, CYREG_PRT0_BYP +.set SCSI_Out__7__CTL, CYREG_PRT0_CTL +.set SCSI_Out__7__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__7__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__7__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__7__DR, CYREG_PRT0_DR +.set SCSI_Out__7__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__7__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__7__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__7__MASK, 0x04 +.set SCSI_Out__7__PC, CYREG_PRT0_PC2 +.set SCSI_Out__7__PORT, 0 +.set SCSI_Out__7__PRT, CYREG_PRT0_PRT +.set SCSI_Out__7__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__7__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__7__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__7__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__7__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__7__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__7__PS, CYREG_PRT0_PS +.set SCSI_Out__7__SHIFT, 2 +.set SCSI_Out__7__SLW, CYREG_PRT0_SLW +.set SCSI_Out__8__AG, CYREG_PRT0_AG +.set SCSI_Out__8__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__8__BIE, CYREG_PRT0_BIE +.set SCSI_Out__8__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__8__BYP, CYREG_PRT0_BYP +.set SCSI_Out__8__CTL, CYREG_PRT0_CTL +.set SCSI_Out__8__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__8__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__8__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__8__DR, CYREG_PRT0_DR +.set SCSI_Out__8__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__8__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__8__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__8__MASK, 0x02 +.set SCSI_Out__8__PC, CYREG_PRT0_PC1 +.set SCSI_Out__8__PORT, 0 +.set SCSI_Out__8__PRT, CYREG_PRT0_PRT +.set SCSI_Out__8__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__8__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__8__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__8__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__8__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__8__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__8__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__8__PS, CYREG_PRT0_PS +.set SCSI_Out__8__SHIFT, 1 +.set SCSI_Out__8__SLW, CYREG_PRT0_SLW +.set SCSI_Out__9__AG, CYREG_PRT0_AG +.set SCSI_Out__9__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__9__BIE, CYREG_PRT0_BIE +.set SCSI_Out__9__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__9__BYP, CYREG_PRT0_BYP +.set SCSI_Out__9__CTL, CYREG_PRT0_CTL +.set SCSI_Out__9__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__9__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__9__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__9__DR, CYREG_PRT0_DR +.set SCSI_Out__9__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__9__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__9__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__9__MASK, 0x01 +.set SCSI_Out__9__PC, CYREG_PRT0_PC0 +.set SCSI_Out__9__PORT, 0 +.set SCSI_Out__9__PRT, CYREG_PRT0_PRT +.set SCSI_Out__9__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__9__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__9__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__9__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__9__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__9__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__9__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__9__PS, CYREG_PRT0_PS +.set SCSI_Out__9__SHIFT, 0 +.set SCSI_Out__9__SLW, CYREG_PRT0_SLW +.set SCSI_Out__ACK__AG, CYREG_PRT0_AG +.set SCSI_Out__ACK__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__ACK__BIE, CYREG_PRT0_BIE +.set SCSI_Out__ACK__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__ACK__BYP, CYREG_PRT0_BYP +.set SCSI_Out__ACK__CTL, CYREG_PRT0_CTL +.set SCSI_Out__ACK__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__ACK__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__ACK__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__ACK__DR, CYREG_PRT0_DR +.set SCSI_Out__ACK__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__ACK__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__ACK__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__ACK__MASK, 0x40 +.set SCSI_Out__ACK__PC, CYREG_PRT0_PC6 +.set SCSI_Out__ACK__PORT, 0 +.set SCSI_Out__ACK__PRT, CYREG_PRT0_PRT +.set SCSI_Out__ACK__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__ACK__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__ACK__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__ACK__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__ACK__PS, CYREG_PRT0_PS +.set SCSI_Out__ACK__SHIFT, 6 +.set SCSI_Out__ACK__SLW, CYREG_PRT0_SLW +.set SCSI_Out__ATN__AG, CYREG_PRT4_AG +.set SCSI_Out__ATN__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__ATN__BIE, CYREG_PRT4_BIE +.set SCSI_Out__ATN__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__ATN__BYP, CYREG_PRT4_BYP +.set SCSI_Out__ATN__CTL, CYREG_PRT4_CTL +.set SCSI_Out__ATN__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__ATN__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__ATN__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__ATN__DR, CYREG_PRT4_DR +.set SCSI_Out__ATN__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__ATN__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__ATN__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__ATN__MASK, 0x04 +.set SCSI_Out__ATN__PC, CYREG_PRT4_PC2 +.set SCSI_Out__ATN__PORT, 4 +.set SCSI_Out__ATN__PRT, CYREG_PRT4_PRT +.set SCSI_Out__ATN__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__ATN__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__ATN__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__ATN__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__ATN__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__ATN__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__ATN__PS, CYREG_PRT4_PS +.set SCSI_Out__ATN__SHIFT, 2 +.set SCSI_Out__ATN__SLW, CYREG_PRT4_SLW +.set SCSI_Out__BSY__AG, CYREG_PRT0_AG +.set SCSI_Out__BSY__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__BSY__BIE, CYREG_PRT0_BIE +.set SCSI_Out__BSY__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__BSY__BYP, CYREG_PRT0_BYP +.set SCSI_Out__BSY__CTL, CYREG_PRT0_CTL +.set SCSI_Out__BSY__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__BSY__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__BSY__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__BSY__DR, CYREG_PRT0_DR +.set SCSI_Out__BSY__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__BSY__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__BSY__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__BSY__MASK, 0x80 +.set SCSI_Out__BSY__PC, CYREG_PRT0_PC7 +.set SCSI_Out__BSY__PORT, 0 +.set SCSI_Out__BSY__PRT, CYREG_PRT0_PRT +.set SCSI_Out__BSY__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__BSY__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__BSY__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__BSY__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__BSY__PS, CYREG_PRT0_PS +.set SCSI_Out__BSY__SHIFT, 7 +.set SCSI_Out__BSY__SLW, CYREG_PRT0_SLW +.set SCSI_Out__CD__AG, CYREG_PRT0_AG +.set SCSI_Out__CD__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__CD__BIE, CYREG_PRT0_BIE +.set SCSI_Out__CD__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__CD__BYP, CYREG_PRT0_BYP +.set SCSI_Out__CD__CTL, CYREG_PRT0_CTL +.set SCSI_Out__CD__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__CD__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__CD__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__CD__DR, CYREG_PRT0_DR +.set SCSI_Out__CD__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__CD__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__CD__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__CD__MASK, 0x04 +.set SCSI_Out__CD__PC, CYREG_PRT0_PC2 +.set SCSI_Out__CD__PORT, 0 +.set SCSI_Out__CD__PRT, CYREG_PRT0_PRT +.set SCSI_Out__CD__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__CD__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__CD__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__CD__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__CD__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__CD__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__CD__PS, CYREG_PRT0_PS +.set SCSI_Out__CD__SHIFT, 2 +.set SCSI_Out__CD__SLW, CYREG_PRT0_SLW +.set SCSI_Out__DBP_raw__AG, CYREG_PRT4_AG +.set SCSI_Out__DBP_raw__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__DBP_raw__BIE, CYREG_PRT4_BIE +.set SCSI_Out__DBP_raw__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__DBP_raw__BYP, CYREG_PRT4_BYP +.set SCSI_Out__DBP_raw__CTL, CYREG_PRT4_CTL +.set SCSI_Out__DBP_raw__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__DBP_raw__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__DBP_raw__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__DBP_raw__DR, CYREG_PRT4_DR +.set SCSI_Out__DBP_raw__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__DBP_raw__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__DBP_raw__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__DBP_raw__MASK, 0x08 +.set SCSI_Out__DBP_raw__PC, CYREG_PRT4_PC3 +.set SCSI_Out__DBP_raw__PORT, 4 +.set SCSI_Out__DBP_raw__PRT, CYREG_PRT4_PRT +.set SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__DBP_raw__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__DBP_raw__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__DBP_raw__PS, CYREG_PRT4_PS +.set SCSI_Out__DBP_raw__SHIFT, 3 +.set SCSI_Out__DBP_raw__SLW, CYREG_PRT4_SLW +.set SCSI_Out__IO_raw__AG, CYREG_PRT0_AG +.set SCSI_Out__IO_raw__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__IO_raw__BIE, CYREG_PRT0_BIE +.set SCSI_Out__IO_raw__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__IO_raw__BYP, CYREG_PRT0_BYP +.set SCSI_Out__IO_raw__CTL, CYREG_PRT0_CTL +.set SCSI_Out__IO_raw__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__IO_raw__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__IO_raw__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__IO_raw__DR, CYREG_PRT0_DR +.set SCSI_Out__IO_raw__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__IO_raw__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__IO_raw__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__IO_raw__MASK, 0x01 +.set SCSI_Out__IO_raw__PC, CYREG_PRT0_PC0 +.set SCSI_Out__IO_raw__PORT, 0 +.set SCSI_Out__IO_raw__PRT, CYREG_PRT0_PRT +.set SCSI_Out__IO_raw__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__IO_raw__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__IO_raw__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__IO_raw__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__IO_raw__PS, CYREG_PRT0_PS +.set SCSI_Out__IO_raw__SHIFT, 0 +.set SCSI_Out__IO_raw__SLW, CYREG_PRT0_SLW +.set SCSI_Out__MSG__AG, CYREG_PRT0_AG +.set SCSI_Out__MSG__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__MSG__BIE, CYREG_PRT0_BIE +.set SCSI_Out__MSG__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__MSG__BYP, CYREG_PRT0_BYP +.set SCSI_Out__MSG__CTL, CYREG_PRT0_CTL +.set SCSI_Out__MSG__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__MSG__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__MSG__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__MSG__DR, CYREG_PRT0_DR +.set SCSI_Out__MSG__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__MSG__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__MSG__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__MSG__MASK, 0x10 +.set SCSI_Out__MSG__PC, CYREG_PRT0_PC4 +.set SCSI_Out__MSG__PORT, 0 +.set SCSI_Out__MSG__PRT, CYREG_PRT0_PRT +.set SCSI_Out__MSG__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__MSG__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__MSG__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__MSG__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__MSG__PS, CYREG_PRT0_PS +.set SCSI_Out__MSG__SHIFT, 4 +.set SCSI_Out__MSG__SLW, CYREG_PRT0_SLW +.set SCSI_Out__REQ__AG, CYREG_PRT0_AG +.set SCSI_Out__REQ__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__REQ__BIE, CYREG_PRT0_BIE +.set SCSI_Out__REQ__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__REQ__BYP, CYREG_PRT0_BYP +.set SCSI_Out__REQ__CTL, CYREG_PRT0_CTL +.set SCSI_Out__REQ__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__REQ__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__REQ__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__REQ__DR, CYREG_PRT0_DR +.set SCSI_Out__REQ__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__REQ__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__REQ__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__REQ__MASK, 0x02 +.set SCSI_Out__REQ__PC, CYREG_PRT0_PC1 +.set SCSI_Out__REQ__PORT, 0 +.set SCSI_Out__REQ__PRT, CYREG_PRT0_PRT +.set SCSI_Out__REQ__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__REQ__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__REQ__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__REQ__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__REQ__PS, CYREG_PRT0_PS +.set SCSI_Out__REQ__SHIFT, 1 +.set SCSI_Out__REQ__SLW, CYREG_PRT0_SLW +.set SCSI_Out__RST__AG, CYREG_PRT0_AG +.set SCSI_Out__RST__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__RST__BIE, CYREG_PRT0_BIE +.set SCSI_Out__RST__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__RST__BYP, CYREG_PRT0_BYP +.set SCSI_Out__RST__CTL, CYREG_PRT0_CTL +.set SCSI_Out__RST__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__RST__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__RST__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__RST__DR, CYREG_PRT0_DR +.set SCSI_Out__RST__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__RST__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__RST__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__RST__MASK, 0x20 +.set SCSI_Out__RST__PC, CYREG_PRT0_PC5 +.set SCSI_Out__RST__PORT, 0 +.set SCSI_Out__RST__PRT, CYREG_PRT0_PRT +.set SCSI_Out__RST__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__RST__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__RST__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__RST__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__RST__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__RST__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__RST__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__RST__PS, CYREG_PRT0_PS +.set SCSI_Out__RST__SHIFT, 5 +.set SCSI_Out__RST__SLW, CYREG_PRT0_SLW +.set SCSI_Out__SEL__AG, CYREG_PRT0_AG +.set SCSI_Out__SEL__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__SEL__BIE, CYREG_PRT0_BIE +.set SCSI_Out__SEL__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__SEL__BYP, CYREG_PRT0_BYP +.set SCSI_Out__SEL__CTL, CYREG_PRT0_CTL +.set SCSI_Out__SEL__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__SEL__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__SEL__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__SEL__DR, CYREG_PRT0_DR +.set SCSI_Out__SEL__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__SEL__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__SEL__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__SEL__MASK, 0x08 +.set SCSI_Out__SEL__PC, CYREG_PRT0_PC3 +.set SCSI_Out__SEL__PORT, 0 +.set SCSI_Out__SEL__PRT, CYREG_PRT0_PRT +.set SCSI_Out__SEL__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__SEL__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__SEL__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__SEL__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__SEL__PS, CYREG_PRT0_PS +.set SCSI_Out__SEL__SHIFT, 3 +.set SCSI_Out__SEL__SLW, CYREG_PRT0_SLW + +/* USBFS_Dm */ +.set USBFS_Dm__0__MASK, 0x80 +.set USBFS_Dm__0__PC, CYREG_IO_PC_PRT15_7_6_PC1 +.set USBFS_Dm__0__PORT, 15 +.set USBFS_Dm__0__SHIFT, 7 +.set USBFS_Dm__AG, CYREG_PRT15_AG +.set USBFS_Dm__AMUX, CYREG_PRT15_AMUX +.set USBFS_Dm__BIE, CYREG_PRT15_BIE +.set USBFS_Dm__BIT_MASK, CYREG_PRT15_BIT_MASK +.set USBFS_Dm__BYP, CYREG_PRT15_BYP +.set USBFS_Dm__CTL, CYREG_PRT15_CTL +.set USBFS_Dm__DM0, CYREG_PRT15_DM0 +.set USBFS_Dm__DM1, CYREG_PRT15_DM1 +.set USBFS_Dm__DM2, CYREG_PRT15_DM2 +.set USBFS_Dm__DR, CYREG_PRT15_DR +.set USBFS_Dm__INP_DIS, CYREG_PRT15_INP_DIS +.set USBFS_Dm__LCD_COM_SEG, CYREG_PRT15_LCD_COM_SEG +.set USBFS_Dm__LCD_EN, CYREG_PRT15_LCD_EN +.set USBFS_Dm__MASK, 0x80 +.set USBFS_Dm__PORT, 15 +.set USBFS_Dm__PRT, CYREG_PRT15_PRT +.set USBFS_Dm__PRTDSI__CAPS_SEL, CYREG_PRT15_CAPS_SEL +.set USBFS_Dm__PRTDSI__DBL_SYNC_IN, CYREG_PRT15_DBL_SYNC_IN +.set USBFS_Dm__PRTDSI__OE_SEL0, CYREG_PRT15_OE_SEL0 +.set USBFS_Dm__PRTDSI__OE_SEL1, CYREG_PRT15_OE_SEL1 +.set USBFS_Dm__PRTDSI__OUT_SEL0, CYREG_PRT15_OUT_SEL0 +.set USBFS_Dm__PRTDSI__OUT_SEL1, CYREG_PRT15_OUT_SEL1 +.set USBFS_Dm__PRTDSI__SYNC_OUT, CYREG_PRT15_SYNC_OUT +.set USBFS_Dm__PS, CYREG_PRT15_PS +.set USBFS_Dm__SHIFT, 7 +.set USBFS_Dm__SLW, CYREG_PRT15_SLW + +/* USBFS_Dp */ +.set USBFS_Dp__0__MASK, 0x40 +.set USBFS_Dp__0__PC, CYREG_IO_PC_PRT15_7_6_PC0 +.set USBFS_Dp__0__PORT, 15 +.set USBFS_Dp__0__SHIFT, 6 +.set USBFS_Dp__AG, CYREG_PRT15_AG +.set USBFS_Dp__AMUX, CYREG_PRT15_AMUX +.set USBFS_Dp__BIE, CYREG_PRT15_BIE +.set USBFS_Dp__BIT_MASK, CYREG_PRT15_BIT_MASK +.set USBFS_Dp__BYP, CYREG_PRT15_BYP +.set USBFS_Dp__CTL, CYREG_PRT15_CTL +.set USBFS_Dp__DM0, CYREG_PRT15_DM0 +.set USBFS_Dp__DM1, CYREG_PRT15_DM1 +.set USBFS_Dp__DM2, CYREG_PRT15_DM2 +.set USBFS_Dp__DR, CYREG_PRT15_DR +.set USBFS_Dp__INP_DIS, CYREG_PRT15_INP_DIS +.set USBFS_Dp__INTSTAT, CYREG_PICU15_INTSTAT +.set USBFS_Dp__LCD_COM_SEG, CYREG_PRT15_LCD_COM_SEG +.set USBFS_Dp__LCD_EN, CYREG_PRT15_LCD_EN +.set USBFS_Dp__MASK, 0x40 +.set USBFS_Dp__PORT, 15 +.set USBFS_Dp__PRT, CYREG_PRT15_PRT +.set USBFS_Dp__PRTDSI__CAPS_SEL, CYREG_PRT15_CAPS_SEL +.set USBFS_Dp__PRTDSI__DBL_SYNC_IN, CYREG_PRT15_DBL_SYNC_IN +.set USBFS_Dp__PRTDSI__OE_SEL0, CYREG_PRT15_OE_SEL0 +.set USBFS_Dp__PRTDSI__OE_SEL1, CYREG_PRT15_OE_SEL1 +.set USBFS_Dp__PRTDSI__OUT_SEL0, CYREG_PRT15_OUT_SEL0 +.set USBFS_Dp__PRTDSI__OUT_SEL1, CYREG_PRT15_OUT_SEL1 +.set USBFS_Dp__PRTDSI__SYNC_OUT, CYREG_PRT15_SYNC_OUT +.set USBFS_Dp__PS, CYREG_PRT15_PS +.set USBFS_Dp__SHIFT, 6 +.set USBFS_Dp__SLW, CYREG_PRT15_SLW +.set USBFS_Dp__SNAP, CYREG_PICU_15_SNAP_15 + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +.set CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO, 0 +.set CYDEV_DEBUGGING_DPS_SWD_SWV, 6 +.set CYDEV_CONFIG_UNUSED_IO_AllowButWarn, 0 +.set CYDEV_CONFIGURATION_MODE_COMPRESSED, 0 +.set CYDEV_CONFIG_FASTBOOT_ENABLED, 1 +.set CYDEV_CHIP_REV_PSOC5LP_PRODUCTION, 0 +.set CYDEV_CHIP_REVISION_5B_PRODUCTION, 0 +.set CYDEV_CHIP_MEMBER_5B, 4 +.set CYDEV_CHIP_FAMILY_PSOC5, 3 +.set CYDEV_CHIP_DIE_PSOC5LP, 4 +.set CYDEV_CHIP_DIE_EXPECT, CYDEV_CHIP_DIE_PSOC5LP +.set CYDEV_BOOTLOADER_IO_COMP_USBFS, 1 +.set BCLK__BUS_CLK__HZ, 64000000 +.set BCLK__BUS_CLK__KHZ, 64000 +.set BCLK__BUS_CLK__MHZ, 64 +.set CYDEV_BOOTLOADER_APPLICATIONS, 1 +.set CYDEV_BOOTLOADER_CHECKSUM_BASIC, 0 +.set CYDEV_BOOTLOADER_CHECKSUM_CRC, 1 +.set CYDEV_BOOTLOADER_IO_COMP, CYDEV_BOOTLOADER_IO_COMP_USBFS +.set CYDEV_CHIP_DIE_ACTUAL, CYDEV_CHIP_DIE_EXPECT +.set CYDEV_CHIP_DIE_LEOPARD, 1 +.set CYDEV_CHIP_DIE_PANTHER, 3 +.set CYDEV_CHIP_DIE_PSOC4A, 2 +.set CYDEV_CHIP_DIE_UNKNOWN, 0 +.set CYDEV_CHIP_FAMILY_PSOC3, 1 +.set CYDEV_CHIP_FAMILY_PSOC4, 2 +.set CYDEV_CHIP_FAMILY_UNKNOWN, 0 +.set CYDEV_CHIP_FAMILY_USED, CYDEV_CHIP_FAMILY_PSOC5 +.set CYDEV_CHIP_JTAG_ID, 0x2E133069 +.set CYDEV_CHIP_MEMBER_3A, 1 +.set CYDEV_CHIP_MEMBER_4A, 2 +.set CYDEV_CHIP_MEMBER_5A, 3 +.set CYDEV_CHIP_MEMBER_UNKNOWN, 0 +.set CYDEV_CHIP_MEMBER_USED, CYDEV_CHIP_MEMBER_5B +.set CYDEV_CHIP_REVISION_3A_ES1, 0 +.set CYDEV_CHIP_REVISION_3A_ES2, 1 +.set CYDEV_CHIP_REVISION_3A_ES3, 3 +.set CYDEV_CHIP_REVISION_3A_PRODUCTION, 3 +.set CYDEV_CHIP_REVISION_4A_ES0, 17 +.set CYDEV_CHIP_REVISION_4A_PRODUCTION, 17 +.set CYDEV_CHIP_REVISION_5A_ES0, 0 +.set CYDEV_CHIP_REVISION_5A_ES1, 1 +.set CYDEV_CHIP_REVISION_5A_PRODUCTION, 1 +.set CYDEV_CHIP_REVISION_5B_ES0, 0 +.set CYDEV_CHIP_REVISION_USED, CYDEV_CHIP_REVISION_5B_PRODUCTION +.set CYDEV_CHIP_REV_EXPECT, CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +.set CYDEV_CHIP_REV_LEOPARD_ES1, 0 +.set CYDEV_CHIP_REV_LEOPARD_ES2, 1 +.set CYDEV_CHIP_REV_LEOPARD_ES3, 3 +.set CYDEV_CHIP_REV_LEOPARD_PRODUCTION, 3 +.set CYDEV_CHIP_REV_PANTHER_ES0, 0 +.set CYDEV_CHIP_REV_PANTHER_ES1, 1 +.set CYDEV_CHIP_REV_PANTHER_PRODUCTION, 1 +.set CYDEV_CHIP_REV_PSOC4A_ES0, 17 +.set CYDEV_CHIP_REV_PSOC4A_PRODUCTION, 17 +.set CYDEV_CHIP_REV_PSOC5LP_ES0, 0 +.set CYDEV_CONFIGURATION_COMPRESSED, 1 +.set CYDEV_CONFIGURATION_DMA, 0 +.set CYDEV_CONFIGURATION_ECC, 0 +.set CYDEV_CONFIGURATION_IMOENABLED, CYDEV_CONFIG_FASTBOOT_ENABLED +.set CYDEV_CONFIGURATION_MODE, CYDEV_CONFIGURATION_MODE_COMPRESSED +.set CYDEV_CONFIGURATION_MODE_DMA, 2 +.set CYDEV_CONFIGURATION_MODE_UNCOMPRESSED, 1 +.set CYDEV_CONFIG_UNUSED_IO, CYDEV_CONFIG_UNUSED_IO_AllowButWarn +.set CYDEV_CONFIG_UNUSED_IO_AllowWithInfo, 1 +.set CYDEV_CONFIG_UNUSED_IO_Disallowed, 2 +.set CYDEV_DEBUGGING_DPS, CYDEV_DEBUGGING_DPS_SWD_SWV +.set CYDEV_DEBUGGING_DPS_Disable, 3 +.set CYDEV_DEBUGGING_DPS_JTAG_4, 1 +.set CYDEV_DEBUGGING_DPS_JTAG_5, 0 +.set CYDEV_DEBUGGING_DPS_SWD, 2 +.set CYDEV_DEBUGGING_ENABLE, 1 +.set CYDEV_DEBUGGING_XRES, 0 +.set CYDEV_DEBUG_ENABLE_MASK, 0x20 +.set CYDEV_DEBUG_ENABLE_REGISTER, CYREG_MLOGIC_DEBUG +.set CYDEV_DMA_CHANNELS_AVAILABLE, 24 +.set CYDEV_ECC_ENABLE, 0 +.set CYDEV_HEAP_SIZE, 0x0800 +.set CYDEV_INSTRUCT_CACHE_ENABLED, 1 +.set CYDEV_INTR_RISING, 0x00000000 +.set CYDEV_PROJ_TYPE, 1 +.set CYDEV_PROJ_TYPE_BOOTLOADER, 1 +.set CYDEV_PROJ_TYPE_LOADABLE, 2 +.set CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER, 3 +.set CYDEV_PROJ_TYPE_STANDARD, 0 +.set CYDEV_PROTECTION_ENABLE, 0 +.set CYDEV_STACK_SIZE, 0x2000 +.set CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP, 1 +.set CYDEV_USE_BUNDLED_CMSIS, 1 +.set CYDEV_VARIABLE_VDDA, 0 +.set CYDEV_VDDA_MV, 5000 +.set CYDEV_VDDD_MV, 5000 +.set CYDEV_VDDIO0_MV, 5000 +.set CYDEV_VDDIO1_MV, 5000 +.set CYDEV_VDDIO2_MV, 5000 +.set CYDEV_VDDIO3_MV, 5000 +.set CYDEV_VIO0, 5 +.set CYDEV_VIO0_MV, 5000 +.set CYDEV_VIO1, 5 +.set CYDEV_VIO1_MV, 5000 +.set CYDEV_VIO2, 5 +.set CYDEV_VIO2_MV, 5000 +.set CYDEV_VIO3, 5 +.set CYDEV_VIO3_MV, 5000 +.set CyBtldr_Custom_Interface, CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +.set CyBtldr_USBFS, CYDEV_BOOTLOADER_IO_COMP_USBFS +.set DMA_CHANNELS_USED__MASK0, 0x00000000 +.set CYDEV_BOOTLOADER_ENABLE, 1 +.endif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitteriar.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitteriar.inc new file mode 100755 index 0000000..d0d0f63 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitteriar.inc @@ -0,0 +1,1355 @@ +#ifndef INCLUDED_CYFITTERIAR_INC +#define INCLUDED_CYFITTERIAR_INC + INCLUDE cydeviceiar.inc + INCLUDE cydeviceiar_trm.inc + +/* USBFS_bus_reset */ +USBFS_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_bus_reset__INTC_MASK EQU 0x800000 +USBFS_bus_reset__INTC_NUMBER EQU 23 +USBFS_bus_reset__INTC_PRIOR_NUM EQU 7 +USBFS_bus_reset__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_23 +USBFS_bus_reset__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_arb_int */ +USBFS_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_arb_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_arb_int__INTC_MASK EQU 0x400000 +USBFS_arb_int__INTC_NUMBER EQU 22 +USBFS_arb_int__INTC_PRIOR_NUM EQU 7 +USBFS_arb_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_22 +USBFS_arb_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_arb_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_sof_int */ +USBFS_sof_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_sof_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_sof_int__INTC_MASK EQU 0x200000 +USBFS_sof_int__INTC_NUMBER EQU 21 +USBFS_sof_int__INTC_PRIOR_NUM EQU 7 +USBFS_sof_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_21 +USBFS_sof_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__0__MASK EQU 0x08 +SCSI_Out_DBx__0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__0__PORT EQU 6 +SCSI_Out_DBx__0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__0__SHIFT EQU 3 +SCSI_Out_DBx__0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__1__MASK EQU 0x04 +SCSI_Out_DBx__1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__1__PORT EQU 6 +SCSI_Out_DBx__1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__1__SHIFT EQU 2 +SCSI_Out_DBx__1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__2__MASK EQU 0x02 +SCSI_Out_DBx__2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__2__PORT EQU 6 +SCSI_Out_DBx__2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__2__SHIFT EQU 1 +SCSI_Out_DBx__2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__3__MASK EQU 0x01 +SCSI_Out_DBx__3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__3__PORT EQU 6 +SCSI_Out_DBx__3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__3__SHIFT EQU 0 +SCSI_Out_DBx__3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__4__MASK EQU 0x80 +SCSI_Out_DBx__4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__4__PORT EQU 4 +SCSI_Out_DBx__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__4__SHIFT EQU 7 +SCSI_Out_DBx__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__5__MASK EQU 0x40 +SCSI_Out_DBx__5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__5__PORT EQU 4 +SCSI_Out_DBx__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__5__SHIFT EQU 6 +SCSI_Out_DBx__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__6__MASK EQU 0x20 +SCSI_Out_DBx__6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__6__PORT EQU 4 +SCSI_Out_DBx__6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__6__SHIFT EQU 5 +SCSI_Out_DBx__6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__7__MASK EQU 0x10 +SCSI_Out_DBx__7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__7__PORT EQU 4 +SCSI_Out_DBx__7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__7__SHIFT EQU 4 +SCSI_Out_DBx__7__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB0__MASK EQU 0x08 +SCSI_Out_DBx__DB0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__DB0__PORT EQU 6 +SCSI_Out_DBx__DB0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB0__SHIFT EQU 3 +SCSI_Out_DBx__DB0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB1__MASK EQU 0x04 +SCSI_Out_DBx__DB1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__DB1__PORT EQU 6 +SCSI_Out_DBx__DB1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB1__SHIFT EQU 2 +SCSI_Out_DBx__DB1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB2__MASK EQU 0x02 +SCSI_Out_DBx__DB2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__DB2__PORT EQU 6 +SCSI_Out_DBx__DB2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB2__SHIFT EQU 1 +SCSI_Out_DBx__DB2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB3__MASK EQU 0x01 +SCSI_Out_DBx__DB3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__DB3__PORT EQU 6 +SCSI_Out_DBx__DB3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB3__SHIFT EQU 0 +SCSI_Out_DBx__DB3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB4__MASK EQU 0x80 +SCSI_Out_DBx__DB4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__DB4__PORT EQU 4 +SCSI_Out_DBx__DB4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB4__SHIFT EQU 7 +SCSI_Out_DBx__DB4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB5__MASK EQU 0x40 +SCSI_Out_DBx__DB5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__DB5__PORT EQU 4 +SCSI_Out_DBx__DB5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB5__SHIFT EQU 6 +SCSI_Out_DBx__DB5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB6__MASK EQU 0x20 +SCSI_Out_DBx__DB6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__DB6__PORT EQU 4 +SCSI_Out_DBx__DB6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB6__SHIFT EQU 5 +SCSI_Out_DBx__DB6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB7__MASK EQU 0x10 +SCSI_Out_DBx__DB7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__DB7__PORT EQU 4 +SCSI_Out_DBx__DB7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB7__SHIFT EQU 4 +SCSI_Out_DBx__DB7__SLW EQU CYREG_PRT4_SLW + +/* USBFS_dp_int */ +USBFS_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_dp_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_dp_int__INTC_MASK EQU 0x1000 +USBFS_dp_int__INTC_NUMBER EQU 12 +USBFS_dp_int__INTC_PRIOR_NUM EQU 7 +USBFS_dp_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_12 +USBFS_dp_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_dp_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_ep_0 */ +USBFS_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_0__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_0__INTC_MASK EQU 0x1000000 +USBFS_ep_0__INTC_NUMBER EQU 24 +USBFS_ep_0__INTC_PRIOR_NUM EQU 7 +USBFS_ep_0__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_24 +USBFS_ep_0__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_0__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_ep_1 */ +USBFS_ep_1__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_1__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_1__INTC_MASK EQU 0x01 +USBFS_ep_1__INTC_NUMBER EQU 0 +USBFS_ep_1__INTC_PRIOR_NUM EQU 7 +USBFS_ep_1__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +USBFS_ep_1__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_1__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_ep_2 */ +USBFS_ep_2__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_2__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_2__INTC_MASK EQU 0x02 +USBFS_ep_2__INTC_NUMBER EQU 1 +USBFS_ep_2__INTC_PRIOR_NUM EQU 7 +USBFS_ep_2__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_1 +USBFS_ep_2__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_2__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_USB */ +USBFS_USB__ARB_CFG EQU CYREG_USB_ARB_CFG +USBFS_USB__ARB_EP1_CFG EQU CYREG_USB_ARB_EP1_CFG +USBFS_USB__ARB_EP1_INT_EN EQU CYREG_USB_ARB_EP1_INT_EN +USBFS_USB__ARB_EP1_SR EQU CYREG_USB_ARB_EP1_SR +USBFS_USB__ARB_EP2_CFG EQU CYREG_USB_ARB_EP2_CFG +USBFS_USB__ARB_EP2_INT_EN EQU CYREG_USB_ARB_EP2_INT_EN +USBFS_USB__ARB_EP2_SR EQU CYREG_USB_ARB_EP2_SR +USBFS_USB__ARB_EP3_CFG EQU CYREG_USB_ARB_EP3_CFG +USBFS_USB__ARB_EP3_INT_EN EQU CYREG_USB_ARB_EP3_INT_EN +USBFS_USB__ARB_EP3_SR EQU CYREG_USB_ARB_EP3_SR +USBFS_USB__ARB_EP4_CFG EQU CYREG_USB_ARB_EP4_CFG +USBFS_USB__ARB_EP4_INT_EN EQU CYREG_USB_ARB_EP4_INT_EN +USBFS_USB__ARB_EP4_SR EQU CYREG_USB_ARB_EP4_SR +USBFS_USB__ARB_EP5_CFG EQU CYREG_USB_ARB_EP5_CFG +USBFS_USB__ARB_EP5_INT_EN EQU CYREG_USB_ARB_EP5_INT_EN +USBFS_USB__ARB_EP5_SR EQU CYREG_USB_ARB_EP5_SR +USBFS_USB__ARB_EP6_CFG EQU CYREG_USB_ARB_EP6_CFG +USBFS_USB__ARB_EP6_INT_EN EQU CYREG_USB_ARB_EP6_INT_EN +USBFS_USB__ARB_EP6_SR EQU CYREG_USB_ARB_EP6_SR +USBFS_USB__ARB_EP7_CFG EQU CYREG_USB_ARB_EP7_CFG +USBFS_USB__ARB_EP7_INT_EN EQU CYREG_USB_ARB_EP7_INT_EN +USBFS_USB__ARB_EP7_SR EQU CYREG_USB_ARB_EP7_SR +USBFS_USB__ARB_EP8_CFG EQU CYREG_USB_ARB_EP8_CFG +USBFS_USB__ARB_EP8_INT_EN EQU CYREG_USB_ARB_EP8_INT_EN +USBFS_USB__ARB_EP8_SR EQU CYREG_USB_ARB_EP8_SR +USBFS_USB__ARB_INT_EN EQU CYREG_USB_ARB_INT_EN +USBFS_USB__ARB_INT_SR EQU CYREG_USB_ARB_INT_SR +USBFS_USB__ARB_RW1_DR EQU CYREG_USB_ARB_RW1_DR +USBFS_USB__ARB_RW1_RA EQU CYREG_USB_ARB_RW1_RA +USBFS_USB__ARB_RW1_RA_MSB EQU CYREG_USB_ARB_RW1_RA_MSB +USBFS_USB__ARB_RW1_WA EQU CYREG_USB_ARB_RW1_WA +USBFS_USB__ARB_RW1_WA_MSB EQU CYREG_USB_ARB_RW1_WA_MSB +USBFS_USB__ARB_RW2_DR EQU CYREG_USB_ARB_RW2_DR +USBFS_USB__ARB_RW2_RA EQU CYREG_USB_ARB_RW2_RA +USBFS_USB__ARB_RW2_RA_MSB EQU CYREG_USB_ARB_RW2_RA_MSB +USBFS_USB__ARB_RW2_WA EQU CYREG_USB_ARB_RW2_WA +USBFS_USB__ARB_RW2_WA_MSB EQU CYREG_USB_ARB_RW2_WA_MSB +USBFS_USB__ARB_RW3_DR EQU CYREG_USB_ARB_RW3_DR +USBFS_USB__ARB_RW3_RA EQU CYREG_USB_ARB_RW3_RA +USBFS_USB__ARB_RW3_RA_MSB EQU CYREG_USB_ARB_RW3_RA_MSB +USBFS_USB__ARB_RW3_WA EQU CYREG_USB_ARB_RW3_WA +USBFS_USB__ARB_RW3_WA_MSB EQU CYREG_USB_ARB_RW3_WA_MSB +USBFS_USB__ARB_RW4_DR EQU CYREG_USB_ARB_RW4_DR +USBFS_USB__ARB_RW4_RA EQU CYREG_USB_ARB_RW4_RA +USBFS_USB__ARB_RW4_RA_MSB EQU CYREG_USB_ARB_RW4_RA_MSB +USBFS_USB__ARB_RW4_WA EQU CYREG_USB_ARB_RW4_WA +USBFS_USB__ARB_RW4_WA_MSB EQU CYREG_USB_ARB_RW4_WA_MSB +USBFS_USB__ARB_RW5_DR EQU CYREG_USB_ARB_RW5_DR +USBFS_USB__ARB_RW5_RA EQU CYREG_USB_ARB_RW5_RA +USBFS_USB__ARB_RW5_RA_MSB EQU CYREG_USB_ARB_RW5_RA_MSB +USBFS_USB__ARB_RW5_WA EQU CYREG_USB_ARB_RW5_WA +USBFS_USB__ARB_RW5_WA_MSB EQU CYREG_USB_ARB_RW5_WA_MSB +USBFS_USB__ARB_RW6_DR EQU CYREG_USB_ARB_RW6_DR +USBFS_USB__ARB_RW6_RA EQU CYREG_USB_ARB_RW6_RA +USBFS_USB__ARB_RW6_RA_MSB EQU CYREG_USB_ARB_RW6_RA_MSB +USBFS_USB__ARB_RW6_WA EQU CYREG_USB_ARB_RW6_WA +USBFS_USB__ARB_RW6_WA_MSB EQU CYREG_USB_ARB_RW6_WA_MSB +USBFS_USB__ARB_RW7_DR EQU CYREG_USB_ARB_RW7_DR +USBFS_USB__ARB_RW7_RA EQU CYREG_USB_ARB_RW7_RA +USBFS_USB__ARB_RW7_RA_MSB EQU CYREG_USB_ARB_RW7_RA_MSB +USBFS_USB__ARB_RW7_WA EQU CYREG_USB_ARB_RW7_WA +USBFS_USB__ARB_RW7_WA_MSB EQU CYREG_USB_ARB_RW7_WA_MSB +USBFS_USB__ARB_RW8_DR EQU CYREG_USB_ARB_RW8_DR +USBFS_USB__ARB_RW8_RA EQU CYREG_USB_ARB_RW8_RA +USBFS_USB__ARB_RW8_RA_MSB EQU CYREG_USB_ARB_RW8_RA_MSB +USBFS_USB__ARB_RW8_WA EQU CYREG_USB_ARB_RW8_WA +USBFS_USB__ARB_RW8_WA_MSB EQU CYREG_USB_ARB_RW8_WA_MSB +USBFS_USB__BUF_SIZE EQU CYREG_USB_BUF_SIZE +USBFS_USB__BUS_RST_CNT EQU CYREG_USB_BUS_RST_CNT +USBFS_USB__CR0 EQU CYREG_USB_CR0 +USBFS_USB__CR1 EQU CYREG_USB_CR1 +USBFS_USB__CWA EQU CYREG_USB_CWA +USBFS_USB__CWA_MSB EQU CYREG_USB_CWA_MSB +USBFS_USB__DMA_THRES EQU CYREG_USB_DMA_THRES +USBFS_USB__DMA_THRES_MSB EQU CYREG_USB_DMA_THRES_MSB +USBFS_USB__DYN_RECONFIG EQU CYREG_USB_DYN_RECONFIG +USBFS_USB__EP0_CNT EQU CYREG_USB_EP0_CNT +USBFS_USB__EP0_CR EQU CYREG_USB_EP0_CR +USBFS_USB__EP0_DR0 EQU CYREG_USB_EP0_DR0 +USBFS_USB__EP0_DR1 EQU CYREG_USB_EP0_DR1 +USBFS_USB__EP0_DR2 EQU CYREG_USB_EP0_DR2 +USBFS_USB__EP0_DR3 EQU CYREG_USB_EP0_DR3 +USBFS_USB__EP0_DR4 EQU CYREG_USB_EP0_DR4 +USBFS_USB__EP0_DR5 EQU CYREG_USB_EP0_DR5 +USBFS_USB__EP0_DR6 EQU CYREG_USB_EP0_DR6 +USBFS_USB__EP0_DR7 EQU CYREG_USB_EP0_DR7 +USBFS_USB__EP_ACTIVE EQU CYREG_USB_EP_ACTIVE +USBFS_USB__EP_TYPE EQU CYREG_USB_EP_TYPE +USBFS_USB__MEM_DATA EQU CYREG_USB_MEM_DATA_MBASE +USBFS_USB__PM_ACT_CFG EQU CYREG_PM_ACT_CFG5 +USBFS_USB__PM_ACT_MSK EQU 0x01 +USBFS_USB__PM_STBY_CFG EQU CYREG_PM_STBY_CFG5 +USBFS_USB__PM_STBY_MSK EQU 0x01 +USBFS_USB__SIE_EP1_CNT0 EQU CYREG_USB_SIE_EP1_CNT0 +USBFS_USB__SIE_EP1_CNT1 EQU CYREG_USB_SIE_EP1_CNT1 +USBFS_USB__SIE_EP1_CR0 EQU CYREG_USB_SIE_EP1_CR0 +USBFS_USB__SIE_EP2_CNT0 EQU CYREG_USB_SIE_EP2_CNT0 +USBFS_USB__SIE_EP2_CNT1 EQU CYREG_USB_SIE_EP2_CNT1 +USBFS_USB__SIE_EP2_CR0 EQU CYREG_USB_SIE_EP2_CR0 +USBFS_USB__SIE_EP3_CNT0 EQU CYREG_USB_SIE_EP3_CNT0 +USBFS_USB__SIE_EP3_CNT1 EQU CYREG_USB_SIE_EP3_CNT1 +USBFS_USB__SIE_EP3_CR0 EQU CYREG_USB_SIE_EP3_CR0 +USBFS_USB__SIE_EP4_CNT0 EQU CYREG_USB_SIE_EP4_CNT0 +USBFS_USB__SIE_EP4_CNT1 EQU CYREG_USB_SIE_EP4_CNT1 +USBFS_USB__SIE_EP4_CR0 EQU CYREG_USB_SIE_EP4_CR0 +USBFS_USB__SIE_EP5_CNT0 EQU CYREG_USB_SIE_EP5_CNT0 +USBFS_USB__SIE_EP5_CNT1 EQU CYREG_USB_SIE_EP5_CNT1 +USBFS_USB__SIE_EP5_CR0 EQU CYREG_USB_SIE_EP5_CR0 +USBFS_USB__SIE_EP6_CNT0 EQU CYREG_USB_SIE_EP6_CNT0 +USBFS_USB__SIE_EP6_CNT1 EQU CYREG_USB_SIE_EP6_CNT1 +USBFS_USB__SIE_EP6_CR0 EQU CYREG_USB_SIE_EP6_CR0 +USBFS_USB__SIE_EP7_CNT0 EQU CYREG_USB_SIE_EP7_CNT0 +USBFS_USB__SIE_EP7_CNT1 EQU CYREG_USB_SIE_EP7_CNT1 +USBFS_USB__SIE_EP7_CR0 EQU CYREG_USB_SIE_EP7_CR0 +USBFS_USB__SIE_EP8_CNT0 EQU CYREG_USB_SIE_EP8_CNT0 +USBFS_USB__SIE_EP8_CNT1 EQU CYREG_USB_SIE_EP8_CNT1 +USBFS_USB__SIE_EP8_CR0 EQU CYREG_USB_SIE_EP8_CR0 +USBFS_USB__SIE_EP_INT_EN EQU CYREG_USB_SIE_EP_INT_EN +USBFS_USB__SIE_EP_INT_SR EQU CYREG_USB_SIE_EP_INT_SR +USBFS_USB__SOF0 EQU CYREG_USB_SOF0 +USBFS_USB__SOF1 EQU CYREG_USB_SOF1 +USBFS_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 +USBFS_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 +USBFS_USB__USB_CLK_EN EQU CYREG_USB_USB_CLK_EN + +/* SCSI_Out */ +SCSI_Out__0__AG EQU CYREG_PRT4_AG +SCSI_Out__0__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__0__BIE EQU CYREG_PRT4_BIE +SCSI_Out__0__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__0__BYP EQU CYREG_PRT4_BYP +SCSI_Out__0__CTL EQU CYREG_PRT4_CTL +SCSI_Out__0__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__0__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__0__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__0__DR EQU CYREG_PRT4_DR +SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__0__MASK EQU 0x08 +SCSI_Out__0__PC EQU CYREG_PRT4_PC3 +SCSI_Out__0__PORT EQU 4 +SCSI_Out__0__PRT EQU CYREG_PRT4_PRT +SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__0__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__0__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__0__PS EQU CYREG_PRT4_PS +SCSI_Out__0__SHIFT EQU 3 +SCSI_Out__0__SLW EQU CYREG_PRT4_SLW +SCSI_Out__1__AG EQU CYREG_PRT4_AG +SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__1__BIE EQU CYREG_PRT4_BIE +SCSI_Out__1__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__1__BYP EQU CYREG_PRT4_BYP +SCSI_Out__1__CTL EQU CYREG_PRT4_CTL +SCSI_Out__1__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__1__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__1__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__1__DR EQU CYREG_PRT4_DR +SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__1__MASK EQU 0x04 +SCSI_Out__1__PC EQU CYREG_PRT4_PC2 +SCSI_Out__1__PORT EQU 4 +SCSI_Out__1__PRT EQU CYREG_PRT4_PRT +SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__1__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__1__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__1__PS EQU CYREG_PRT4_PS +SCSI_Out__1__SHIFT EQU 2 +SCSI_Out__1__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT0_AG +SCSI_Out__2__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT0_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT0_BYP +SCSI_Out__2__CTL EQU CYREG_PRT0_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__2__DR EQU CYREG_PRT0_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__2__MASK EQU 0x80 +SCSI_Out__2__PC EQU CYREG_PRT0_PC7 +SCSI_Out__2__PORT EQU 0 +SCSI_Out__2__PRT EQU CYREG_PRT0_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT0_PS +SCSI_Out__2__SHIFT EQU 7 +SCSI_Out__2__SLW EQU CYREG_PRT0_SLW +SCSI_Out__3__AG EQU CYREG_PRT0_AG +SCSI_Out__3__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT0_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT0_BYP +SCSI_Out__3__CTL EQU CYREG_PRT0_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__3__DR EQU CYREG_PRT0_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__3__MASK EQU 0x40 +SCSI_Out__3__PC EQU CYREG_PRT0_PC6 +SCSI_Out__3__PORT EQU 0 +SCSI_Out__3__PRT EQU CYREG_PRT0_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT0_PS +SCSI_Out__3__SHIFT EQU 6 +SCSI_Out__3__SLW EQU CYREG_PRT0_SLW +SCSI_Out__4__AG EQU CYREG_PRT0_AG +SCSI_Out__4__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT0_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT0_BYP +SCSI_Out__4__CTL EQU CYREG_PRT0_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__4__DR EQU CYREG_PRT0_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__4__MASK EQU 0x20 +SCSI_Out__4__PC EQU CYREG_PRT0_PC5 +SCSI_Out__4__PORT EQU 0 +SCSI_Out__4__PRT EQU CYREG_PRT0_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT0_PS +SCSI_Out__4__SHIFT EQU 5 +SCSI_Out__4__SLW EQU CYREG_PRT0_SLW +SCSI_Out__5__AG EQU CYREG_PRT0_AG +SCSI_Out__5__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT0_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT0_BYP +SCSI_Out__5__CTL EQU CYREG_PRT0_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__5__DR EQU CYREG_PRT0_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__5__MASK EQU 0x10 +SCSI_Out__5__PC EQU CYREG_PRT0_PC4 +SCSI_Out__5__PORT EQU 0 +SCSI_Out__5__PRT EQU CYREG_PRT0_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT0_PS +SCSI_Out__5__SHIFT EQU 4 +SCSI_Out__5__SLW EQU CYREG_PRT0_SLW +SCSI_Out__6__AG EQU CYREG_PRT0_AG +SCSI_Out__6__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT0_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT0_BYP +SCSI_Out__6__CTL EQU CYREG_PRT0_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__6__DR EQU CYREG_PRT0_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__6__MASK EQU 0x08 +SCSI_Out__6__PC EQU CYREG_PRT0_PC3 +SCSI_Out__6__PORT EQU 0 +SCSI_Out__6__PRT EQU CYREG_PRT0_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT0_PS +SCSI_Out__6__SHIFT EQU 3 +SCSI_Out__6__SLW EQU CYREG_PRT0_SLW +SCSI_Out__7__AG EQU CYREG_PRT0_AG +SCSI_Out__7__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT0_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT0_BYP +SCSI_Out__7__CTL EQU CYREG_PRT0_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__7__DR EQU CYREG_PRT0_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__7__MASK EQU 0x04 +SCSI_Out__7__PC EQU CYREG_PRT0_PC2 +SCSI_Out__7__PORT EQU 0 +SCSI_Out__7__PRT EQU CYREG_PRT0_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT0_PS +SCSI_Out__7__SHIFT EQU 2 +SCSI_Out__7__SLW EQU CYREG_PRT0_SLW +SCSI_Out__8__AG EQU CYREG_PRT0_AG +SCSI_Out__8__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT0_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT0_BYP +SCSI_Out__8__CTL EQU CYREG_PRT0_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__8__DR EQU CYREG_PRT0_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__8__MASK EQU 0x02 +SCSI_Out__8__PC EQU CYREG_PRT0_PC1 +SCSI_Out__8__PORT EQU 0 +SCSI_Out__8__PRT EQU CYREG_PRT0_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT0_PS +SCSI_Out__8__SHIFT EQU 1 +SCSI_Out__8__SLW EQU CYREG_PRT0_SLW +SCSI_Out__9__AG EQU CYREG_PRT0_AG +SCSI_Out__9__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT0_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT0_BYP +SCSI_Out__9__CTL EQU CYREG_PRT0_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__9__DR EQU CYREG_PRT0_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__9__MASK EQU 0x01 +SCSI_Out__9__PC EQU CYREG_PRT0_PC0 +SCSI_Out__9__PORT EQU 0 +SCSI_Out__9__PRT EQU CYREG_PRT0_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT0_PS +SCSI_Out__9__SHIFT EQU 0 +SCSI_Out__9__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT0_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT0_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT0_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT0_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT0_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__ACK__MASK EQU 0x40 +SCSI_Out__ACK__PC EQU CYREG_PRT0_PC6 +SCSI_Out__ACK__PORT EQU 0 +SCSI_Out__ACK__PRT EQU CYREG_PRT0_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT0_PS +SCSI_Out__ACK__SHIFT EQU 6 +SCSI_Out__ACK__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ATN__AG EQU CYREG_PRT4_AG +SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE +SCSI_Out__ATN__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__ATN__BYP EQU CYREG_PRT4_BYP +SCSI_Out__ATN__CTL EQU CYREG_PRT4_CTL +SCSI_Out__ATN__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__ATN__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__ATN__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__ATN__DR EQU CYREG_PRT4_DR +SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__ATN__MASK EQU 0x04 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC2 +SCSI_Out__ATN__PORT EQU 4 +SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT +SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__ATN__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__ATN__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__ATN__PS EQU CYREG_PRT4_PS +SCSI_Out__ATN__SHIFT EQU 2 +SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT0_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT0_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT0_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT0_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT0_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__BSY__MASK EQU 0x80 +SCSI_Out__BSY__PC EQU CYREG_PRT0_PC7 +SCSI_Out__BSY__PORT EQU 0 +SCSI_Out__BSY__PRT EQU CYREG_PRT0_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT0_PS +SCSI_Out__BSY__SHIFT EQU 7 +SCSI_Out__BSY__SLW EQU CYREG_PRT0_SLW +SCSI_Out__CD__AG EQU CYREG_PRT0_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT0_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT0_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT0_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT0_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__CD__MASK EQU 0x04 +SCSI_Out__CD__PC EQU CYREG_PRT0_PC2 +SCSI_Out__CD__PORT EQU 0 +SCSI_Out__CD__PRT EQU CYREG_PRT0_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT0_PS +SCSI_Out__CD__SHIFT EQU 2 +SCSI_Out__CD__SLW EQU CYREG_PRT0_SLW +SCSI_Out__DBP_raw__AG EQU CYREG_PRT4_AG +SCSI_Out__DBP_raw__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__DBP_raw__BIE EQU CYREG_PRT4_BIE +SCSI_Out__DBP_raw__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__DBP_raw__BYP EQU CYREG_PRT4_BYP +SCSI_Out__DBP_raw__CTL EQU CYREG_PRT4_CTL +SCSI_Out__DBP_raw__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__DBP_raw__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__DBP_raw__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__DBP_raw__DR EQU CYREG_PRT4_DR +SCSI_Out__DBP_raw__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__DBP_raw__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__DBP_raw__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__DBP_raw__MASK EQU 0x08 +SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC3 +SCSI_Out__DBP_raw__PORT EQU 4 +SCSI_Out__DBP_raw__PRT EQU CYREG_PRT4_PRT +SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__DBP_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__DBP_raw__PS EQU CYREG_PRT4_PS +SCSI_Out__DBP_raw__SHIFT EQU 3 +SCSI_Out__DBP_raw__SLW EQU CYREG_PRT4_SLW +SCSI_Out__IO_raw__AG EQU CYREG_PRT0_AG +SCSI_Out__IO_raw__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__IO_raw__BIE EQU CYREG_PRT0_BIE +SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__IO_raw__BYP EQU CYREG_PRT0_BYP +SCSI_Out__IO_raw__CTL EQU CYREG_PRT0_CTL +SCSI_Out__IO_raw__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__IO_raw__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__IO_raw__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__IO_raw__DR EQU CYREG_PRT0_DR +SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__IO_raw__MASK EQU 0x01 +SCSI_Out__IO_raw__PC EQU CYREG_PRT0_PC0 +SCSI_Out__IO_raw__PORT EQU 0 +SCSI_Out__IO_raw__PRT EQU CYREG_PRT0_PRT +SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__IO_raw__PS EQU CYREG_PRT0_PS +SCSI_Out__IO_raw__SHIFT EQU 0 +SCSI_Out__IO_raw__SLW EQU CYREG_PRT0_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT0_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT0_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT0_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT0_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT0_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__MSG__MASK EQU 0x10 +SCSI_Out__MSG__PC EQU CYREG_PRT0_PC4 +SCSI_Out__MSG__PORT EQU 0 +SCSI_Out__MSG__PRT EQU CYREG_PRT0_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT0_PS +SCSI_Out__MSG__SHIFT EQU 4 +SCSI_Out__MSG__SLW EQU CYREG_PRT0_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT0_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT0_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT0_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT0_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT0_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__REQ__MASK EQU 0x02 +SCSI_Out__REQ__PC EQU CYREG_PRT0_PC1 +SCSI_Out__REQ__PORT EQU 0 +SCSI_Out__REQ__PRT EQU CYREG_PRT0_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT0_PS +SCSI_Out__REQ__SHIFT EQU 1 +SCSI_Out__REQ__SLW EQU CYREG_PRT0_SLW +SCSI_Out__RST__AG EQU CYREG_PRT0_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT0_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT0_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT0_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT0_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__RST__MASK EQU 0x20 +SCSI_Out__RST__PC EQU CYREG_PRT0_PC5 +SCSI_Out__RST__PORT EQU 0 +SCSI_Out__RST__PRT EQU CYREG_PRT0_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT0_PS +SCSI_Out__RST__SHIFT EQU 5 +SCSI_Out__RST__SLW EQU CYREG_PRT0_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT0_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT0_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT0_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT0_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT0_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__SEL__MASK EQU 0x08 +SCSI_Out__SEL__PC EQU CYREG_PRT0_PC3 +SCSI_Out__SEL__PORT EQU 0 +SCSI_Out__SEL__PRT EQU CYREG_PRT0_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT0_PS +SCSI_Out__SEL__SHIFT EQU 3 +SCSI_Out__SEL__SLW EQU CYREG_PRT0_SLW + +/* USBFS_Dm */ +USBFS_Dm__0__MASK EQU 0x80 +USBFS_Dm__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC1 +USBFS_Dm__0__PORT EQU 15 +USBFS_Dm__0__SHIFT EQU 7 +USBFS_Dm__AG EQU CYREG_PRT15_AG +USBFS_Dm__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dm__BIE EQU CYREG_PRT15_BIE +USBFS_Dm__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dm__BYP EQU CYREG_PRT15_BYP +USBFS_Dm__CTL EQU CYREG_PRT15_CTL +USBFS_Dm__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dm__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dm__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dm__DR EQU CYREG_PRT15_DR +USBFS_Dm__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dm__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dm__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dm__MASK EQU 0x80 +USBFS_Dm__PORT EQU 15 +USBFS_Dm__PRT EQU CYREG_PRT15_PRT +USBFS_Dm__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dm__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dm__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dm__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dm__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dm__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dm__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dm__PS EQU CYREG_PRT15_PS +USBFS_Dm__SHIFT EQU 7 +USBFS_Dm__SLW EQU CYREG_PRT15_SLW + +/* USBFS_Dp */ +USBFS_Dp__0__MASK EQU 0x40 +USBFS_Dp__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC0 +USBFS_Dp__0__PORT EQU 15 +USBFS_Dp__0__SHIFT EQU 6 +USBFS_Dp__AG EQU CYREG_PRT15_AG +USBFS_Dp__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dp__BIE EQU CYREG_PRT15_BIE +USBFS_Dp__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dp__BYP EQU CYREG_PRT15_BYP +USBFS_Dp__CTL EQU CYREG_PRT15_CTL +USBFS_Dp__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dp__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dp__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dp__DR EQU CYREG_PRT15_DR +USBFS_Dp__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dp__INTSTAT EQU CYREG_PICU15_INTSTAT +USBFS_Dp__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dp__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dp__MASK EQU 0x40 +USBFS_Dp__PORT EQU 15 +USBFS_Dp__PRT EQU CYREG_PRT15_PRT +USBFS_Dp__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dp__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dp__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dp__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dp__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dp__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dp__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dp__PS EQU CYREG_PRT15_PS +USBFS_Dp__SHIFT EQU 6 +USBFS_Dp__SLW EQU CYREG_PRT15_SLW +USBFS_Dp__SNAP EQU CYREG_PICU_15_SNAP_15 + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO EQU 0 +CYDEV_DEBUGGING_DPS_SWD_SWV EQU 6 +CYDEV_CONFIG_UNUSED_IO_AllowButWarn EQU 0 +CYDEV_CONFIGURATION_MODE_COMPRESSED EQU 0 +CYDEV_CONFIG_FASTBOOT_ENABLED EQU 1 +CYDEV_CHIP_REV_PSOC5LP_PRODUCTION EQU 0 +CYDEV_CHIP_REVISION_5B_PRODUCTION EQU 0 +CYDEV_CHIP_MEMBER_5B EQU 4 +CYDEV_CHIP_FAMILY_PSOC5 EQU 3 +CYDEV_CHIP_DIE_PSOC5LP EQU 4 +CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP +CYDEV_BOOTLOADER_IO_COMP_USBFS EQU 1 +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 +CYDEV_BOOTLOADER_APPLICATIONS EQU 1 +CYDEV_BOOTLOADER_CHECKSUM_BASIC EQU 0 +CYDEV_BOOTLOADER_CHECKSUM_CRC EQU 1 +CYDEV_BOOTLOADER_IO_COMP EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT +CYDEV_CHIP_DIE_LEOPARD EQU 1 +CYDEV_CHIP_DIE_PANTHER EQU 3 +CYDEV_CHIP_DIE_PSOC4A EQU 2 +CYDEV_CHIP_DIE_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_PSOC3 EQU 1 +CYDEV_CHIP_FAMILY_PSOC4 EQU 2 +CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 +CYDEV_CHIP_JTAG_ID EQU 0x2E133069 +CYDEV_CHIP_MEMBER_3A EQU 1 +CYDEV_CHIP_MEMBER_4A EQU 2 +CYDEV_CHIP_MEMBER_5A EQU 3 +CYDEV_CHIP_MEMBER_UNKNOWN EQU 0 +CYDEV_CHIP_MEMBER_USED EQU CYDEV_CHIP_MEMBER_5B +CYDEV_CHIP_REVISION_3A_ES1 EQU 0 +CYDEV_CHIP_REVISION_3A_ES2 EQU 1 +CYDEV_CHIP_REVISION_3A_ES3 EQU 3 +CYDEV_CHIP_REVISION_3A_PRODUCTION EQU 3 +CYDEV_CHIP_REVISION_4A_ES0 EQU 17 +CYDEV_CHIP_REVISION_4A_PRODUCTION EQU 17 +CYDEV_CHIP_REVISION_5A_ES0 EQU 0 +CYDEV_CHIP_REVISION_5A_ES1 EQU 1 +CYDEV_CHIP_REVISION_5A_PRODUCTION EQU 1 +CYDEV_CHIP_REVISION_5B_ES0 EQU 0 +CYDEV_CHIP_REVISION_USED EQU CYDEV_CHIP_REVISION_5B_PRODUCTION +CYDEV_CHIP_REV_EXPECT EQU CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +CYDEV_CHIP_REV_LEOPARD_ES1 EQU 0 +CYDEV_CHIP_REV_LEOPARD_ES2 EQU 1 +CYDEV_CHIP_REV_LEOPARD_ES3 EQU 3 +CYDEV_CHIP_REV_LEOPARD_PRODUCTION EQU 3 +CYDEV_CHIP_REV_PANTHER_ES0 EQU 0 +CYDEV_CHIP_REV_PANTHER_ES1 EQU 1 +CYDEV_CHIP_REV_PANTHER_PRODUCTION EQU 1 +CYDEV_CHIP_REV_PSOC4A_ES0 EQU 17 +CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 +CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 +CYDEV_CONFIGURATION_COMPRESSED EQU 1 +CYDEV_CONFIGURATION_DMA EQU 0 +CYDEV_CONFIGURATION_ECC EQU 0 +CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED +CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED +CYDEV_CONFIGURATION_MODE_DMA EQU 2 +CYDEV_CONFIGURATION_MODE_UNCOMPRESSED EQU 1 +CYDEV_CONFIG_UNUSED_IO EQU CYDEV_CONFIG_UNUSED_IO_AllowButWarn +CYDEV_CONFIG_UNUSED_IO_AllowWithInfo EQU 1 +CYDEV_CONFIG_UNUSED_IO_Disallowed EQU 2 +CYDEV_DEBUGGING_DPS EQU CYDEV_DEBUGGING_DPS_SWD_SWV +CYDEV_DEBUGGING_DPS_Disable EQU 3 +CYDEV_DEBUGGING_DPS_JTAG_4 EQU 1 +CYDEV_DEBUGGING_DPS_JTAG_5 EQU 0 +CYDEV_DEBUGGING_DPS_SWD EQU 2 +CYDEV_DEBUGGING_ENABLE EQU 1 +CYDEV_DEBUGGING_XRES EQU 0 +CYDEV_DEBUG_ENABLE_MASK EQU 0x20 +CYDEV_DEBUG_ENABLE_REGISTER EQU CYREG_MLOGIC_DEBUG +CYDEV_DMA_CHANNELS_AVAILABLE EQU 24 +CYDEV_ECC_ENABLE EQU 0 +CYDEV_HEAP_SIZE EQU 0x0800 +CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 +CYDEV_INTR_RISING EQU 0x00000000 +CYDEV_PROJ_TYPE EQU 1 +CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 +CYDEV_PROJ_TYPE_LOADABLE EQU 2 +CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 +CYDEV_PROJ_TYPE_STANDARD EQU 0 +CYDEV_PROTECTION_ENABLE EQU 0 +CYDEV_STACK_SIZE EQU 0x2000 +CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP EQU 1 +CYDEV_USE_BUNDLED_CMSIS EQU 1 +CYDEV_VARIABLE_VDDA EQU 0 +CYDEV_VDDA_MV EQU 5000 +CYDEV_VDDD_MV EQU 5000 +CYDEV_VDDIO0_MV EQU 5000 +CYDEV_VDDIO1_MV EQU 5000 +CYDEV_VDDIO2_MV EQU 5000 +CYDEV_VDDIO3_MV EQU 5000 +CYDEV_VIO0 EQU 5 +CYDEV_VIO0_MV EQU 5000 +CYDEV_VIO1 EQU 5 +CYDEV_VIO1_MV EQU 5000 +CYDEV_VIO2 EQU 5 +CYDEV_VIO2_MV EQU 5000 +CYDEV_VIO3 EQU 5 +CYDEV_VIO3_MV EQU 5000 +CyBtldr_Custom_Interface EQU CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +CyBtldr_USBFS EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +DMA_CHANNELS_USED__MASK0 EQU 0x00000000 +CYDEV_BOOTLOADER_ENABLE EQU 1 + +#endif /* INCLUDED_CYFITTERIAR_INC */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitterrv.inc b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitterrv.inc new file mode 100755 index 0000000..bc000e2 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyfitterrv.inc @@ -0,0 +1,1355 @@ + IF :LNOT::DEF:INCLUDED_CYFITTERRV_INC +INCLUDED_CYFITTERRV_INC EQU 1 + GET cydevicerv.inc + GET cydevicerv_trm.inc + +; USBFS_bus_reset +USBFS_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_bus_reset__INTC_MASK EQU 0x800000 +USBFS_bus_reset__INTC_NUMBER EQU 23 +USBFS_bus_reset__INTC_PRIOR_NUM EQU 7 +USBFS_bus_reset__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_23 +USBFS_bus_reset__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_arb_int +USBFS_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_arb_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_arb_int__INTC_MASK EQU 0x400000 +USBFS_arb_int__INTC_NUMBER EQU 22 +USBFS_arb_int__INTC_PRIOR_NUM EQU 7 +USBFS_arb_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_22 +USBFS_arb_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_arb_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_sof_int +USBFS_sof_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_sof_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_sof_int__INTC_MASK EQU 0x200000 +USBFS_sof_int__INTC_NUMBER EQU 21 +USBFS_sof_int__INTC_PRIOR_NUM EQU 7 +USBFS_sof_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_21 +USBFS_sof_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; SCSI_Out_DBx +SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__0__MASK EQU 0x08 +SCSI_Out_DBx__0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__0__PORT EQU 6 +SCSI_Out_DBx__0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__0__SHIFT EQU 3 +SCSI_Out_DBx__0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__1__MASK EQU 0x04 +SCSI_Out_DBx__1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__1__PORT EQU 6 +SCSI_Out_DBx__1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__1__SHIFT EQU 2 +SCSI_Out_DBx__1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__2__MASK EQU 0x02 +SCSI_Out_DBx__2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__2__PORT EQU 6 +SCSI_Out_DBx__2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__2__SHIFT EQU 1 +SCSI_Out_DBx__2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__3__MASK EQU 0x01 +SCSI_Out_DBx__3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__3__PORT EQU 6 +SCSI_Out_DBx__3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__3__SHIFT EQU 0 +SCSI_Out_DBx__3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__4__MASK EQU 0x80 +SCSI_Out_DBx__4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__4__PORT EQU 4 +SCSI_Out_DBx__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__4__SHIFT EQU 7 +SCSI_Out_DBx__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__5__MASK EQU 0x40 +SCSI_Out_DBx__5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__5__PORT EQU 4 +SCSI_Out_DBx__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__5__SHIFT EQU 6 +SCSI_Out_DBx__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__6__MASK EQU 0x20 +SCSI_Out_DBx__6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__6__PORT EQU 4 +SCSI_Out_DBx__6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__6__SHIFT EQU 5 +SCSI_Out_DBx__6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__7__MASK EQU 0x10 +SCSI_Out_DBx__7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__7__PORT EQU 4 +SCSI_Out_DBx__7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__7__SHIFT EQU 4 +SCSI_Out_DBx__7__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB0__MASK EQU 0x08 +SCSI_Out_DBx__DB0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__DB0__PORT EQU 6 +SCSI_Out_DBx__DB0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB0__SHIFT EQU 3 +SCSI_Out_DBx__DB0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB1__MASK EQU 0x04 +SCSI_Out_DBx__DB1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__DB1__PORT EQU 6 +SCSI_Out_DBx__DB1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB1__SHIFT EQU 2 +SCSI_Out_DBx__DB1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB2__MASK EQU 0x02 +SCSI_Out_DBx__DB2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__DB2__PORT EQU 6 +SCSI_Out_DBx__DB2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB2__SHIFT EQU 1 +SCSI_Out_DBx__DB2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB3__MASK EQU 0x01 +SCSI_Out_DBx__DB3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__DB3__PORT EQU 6 +SCSI_Out_DBx__DB3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB3__SHIFT EQU 0 +SCSI_Out_DBx__DB3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB4__MASK EQU 0x80 +SCSI_Out_DBx__DB4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__DB4__PORT EQU 4 +SCSI_Out_DBx__DB4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB4__SHIFT EQU 7 +SCSI_Out_DBx__DB4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB5__MASK EQU 0x40 +SCSI_Out_DBx__DB5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__DB5__PORT EQU 4 +SCSI_Out_DBx__DB5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB5__SHIFT EQU 6 +SCSI_Out_DBx__DB5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB6__MASK EQU 0x20 +SCSI_Out_DBx__DB6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__DB6__PORT EQU 4 +SCSI_Out_DBx__DB6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB6__SHIFT EQU 5 +SCSI_Out_DBx__DB6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB7__MASK EQU 0x10 +SCSI_Out_DBx__DB7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__DB7__PORT EQU 4 +SCSI_Out_DBx__DB7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB7__SHIFT EQU 4 +SCSI_Out_DBx__DB7__SLW EQU CYREG_PRT4_SLW + +; USBFS_dp_int +USBFS_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_dp_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_dp_int__INTC_MASK EQU 0x1000 +USBFS_dp_int__INTC_NUMBER EQU 12 +USBFS_dp_int__INTC_PRIOR_NUM EQU 7 +USBFS_dp_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_12 +USBFS_dp_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_dp_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_ep_0 +USBFS_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_0__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_0__INTC_MASK EQU 0x1000000 +USBFS_ep_0__INTC_NUMBER EQU 24 +USBFS_ep_0__INTC_PRIOR_NUM EQU 7 +USBFS_ep_0__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_24 +USBFS_ep_0__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_0__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_ep_1 +USBFS_ep_1__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_1__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_1__INTC_MASK EQU 0x01 +USBFS_ep_1__INTC_NUMBER EQU 0 +USBFS_ep_1__INTC_PRIOR_NUM EQU 7 +USBFS_ep_1__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +USBFS_ep_1__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_1__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_ep_2 +USBFS_ep_2__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_2__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_2__INTC_MASK EQU 0x02 +USBFS_ep_2__INTC_NUMBER EQU 1 +USBFS_ep_2__INTC_PRIOR_NUM EQU 7 +USBFS_ep_2__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_1 +USBFS_ep_2__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_2__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_USB +USBFS_USB__ARB_CFG EQU CYREG_USB_ARB_CFG +USBFS_USB__ARB_EP1_CFG EQU CYREG_USB_ARB_EP1_CFG +USBFS_USB__ARB_EP1_INT_EN EQU CYREG_USB_ARB_EP1_INT_EN +USBFS_USB__ARB_EP1_SR EQU CYREG_USB_ARB_EP1_SR +USBFS_USB__ARB_EP2_CFG EQU CYREG_USB_ARB_EP2_CFG +USBFS_USB__ARB_EP2_INT_EN EQU CYREG_USB_ARB_EP2_INT_EN +USBFS_USB__ARB_EP2_SR EQU CYREG_USB_ARB_EP2_SR +USBFS_USB__ARB_EP3_CFG EQU CYREG_USB_ARB_EP3_CFG +USBFS_USB__ARB_EP3_INT_EN EQU CYREG_USB_ARB_EP3_INT_EN +USBFS_USB__ARB_EP3_SR EQU CYREG_USB_ARB_EP3_SR +USBFS_USB__ARB_EP4_CFG EQU CYREG_USB_ARB_EP4_CFG +USBFS_USB__ARB_EP4_INT_EN EQU CYREG_USB_ARB_EP4_INT_EN +USBFS_USB__ARB_EP4_SR EQU CYREG_USB_ARB_EP4_SR +USBFS_USB__ARB_EP5_CFG EQU CYREG_USB_ARB_EP5_CFG +USBFS_USB__ARB_EP5_INT_EN EQU CYREG_USB_ARB_EP5_INT_EN +USBFS_USB__ARB_EP5_SR EQU CYREG_USB_ARB_EP5_SR +USBFS_USB__ARB_EP6_CFG EQU CYREG_USB_ARB_EP6_CFG +USBFS_USB__ARB_EP6_INT_EN EQU CYREG_USB_ARB_EP6_INT_EN +USBFS_USB__ARB_EP6_SR EQU CYREG_USB_ARB_EP6_SR +USBFS_USB__ARB_EP7_CFG EQU CYREG_USB_ARB_EP7_CFG +USBFS_USB__ARB_EP7_INT_EN EQU CYREG_USB_ARB_EP7_INT_EN +USBFS_USB__ARB_EP7_SR EQU CYREG_USB_ARB_EP7_SR +USBFS_USB__ARB_EP8_CFG EQU CYREG_USB_ARB_EP8_CFG +USBFS_USB__ARB_EP8_INT_EN EQU CYREG_USB_ARB_EP8_INT_EN +USBFS_USB__ARB_EP8_SR EQU CYREG_USB_ARB_EP8_SR +USBFS_USB__ARB_INT_EN EQU CYREG_USB_ARB_INT_EN +USBFS_USB__ARB_INT_SR EQU CYREG_USB_ARB_INT_SR +USBFS_USB__ARB_RW1_DR EQU CYREG_USB_ARB_RW1_DR +USBFS_USB__ARB_RW1_RA EQU CYREG_USB_ARB_RW1_RA +USBFS_USB__ARB_RW1_RA_MSB EQU CYREG_USB_ARB_RW1_RA_MSB +USBFS_USB__ARB_RW1_WA EQU CYREG_USB_ARB_RW1_WA +USBFS_USB__ARB_RW1_WA_MSB EQU CYREG_USB_ARB_RW1_WA_MSB +USBFS_USB__ARB_RW2_DR EQU CYREG_USB_ARB_RW2_DR +USBFS_USB__ARB_RW2_RA EQU CYREG_USB_ARB_RW2_RA +USBFS_USB__ARB_RW2_RA_MSB EQU CYREG_USB_ARB_RW2_RA_MSB +USBFS_USB__ARB_RW2_WA EQU CYREG_USB_ARB_RW2_WA +USBFS_USB__ARB_RW2_WA_MSB EQU CYREG_USB_ARB_RW2_WA_MSB +USBFS_USB__ARB_RW3_DR EQU CYREG_USB_ARB_RW3_DR +USBFS_USB__ARB_RW3_RA EQU CYREG_USB_ARB_RW3_RA +USBFS_USB__ARB_RW3_RA_MSB EQU CYREG_USB_ARB_RW3_RA_MSB +USBFS_USB__ARB_RW3_WA EQU CYREG_USB_ARB_RW3_WA +USBFS_USB__ARB_RW3_WA_MSB EQU CYREG_USB_ARB_RW3_WA_MSB +USBFS_USB__ARB_RW4_DR EQU CYREG_USB_ARB_RW4_DR +USBFS_USB__ARB_RW4_RA EQU CYREG_USB_ARB_RW4_RA +USBFS_USB__ARB_RW4_RA_MSB EQU CYREG_USB_ARB_RW4_RA_MSB +USBFS_USB__ARB_RW4_WA EQU CYREG_USB_ARB_RW4_WA +USBFS_USB__ARB_RW4_WA_MSB EQU CYREG_USB_ARB_RW4_WA_MSB +USBFS_USB__ARB_RW5_DR EQU CYREG_USB_ARB_RW5_DR +USBFS_USB__ARB_RW5_RA EQU CYREG_USB_ARB_RW5_RA +USBFS_USB__ARB_RW5_RA_MSB EQU CYREG_USB_ARB_RW5_RA_MSB +USBFS_USB__ARB_RW5_WA EQU CYREG_USB_ARB_RW5_WA +USBFS_USB__ARB_RW5_WA_MSB EQU CYREG_USB_ARB_RW5_WA_MSB +USBFS_USB__ARB_RW6_DR EQU CYREG_USB_ARB_RW6_DR +USBFS_USB__ARB_RW6_RA EQU CYREG_USB_ARB_RW6_RA +USBFS_USB__ARB_RW6_RA_MSB EQU CYREG_USB_ARB_RW6_RA_MSB +USBFS_USB__ARB_RW6_WA EQU CYREG_USB_ARB_RW6_WA +USBFS_USB__ARB_RW6_WA_MSB EQU CYREG_USB_ARB_RW6_WA_MSB +USBFS_USB__ARB_RW7_DR EQU CYREG_USB_ARB_RW7_DR +USBFS_USB__ARB_RW7_RA EQU CYREG_USB_ARB_RW7_RA +USBFS_USB__ARB_RW7_RA_MSB EQU CYREG_USB_ARB_RW7_RA_MSB +USBFS_USB__ARB_RW7_WA EQU CYREG_USB_ARB_RW7_WA +USBFS_USB__ARB_RW7_WA_MSB EQU CYREG_USB_ARB_RW7_WA_MSB +USBFS_USB__ARB_RW8_DR EQU CYREG_USB_ARB_RW8_DR +USBFS_USB__ARB_RW8_RA EQU CYREG_USB_ARB_RW8_RA +USBFS_USB__ARB_RW8_RA_MSB EQU CYREG_USB_ARB_RW8_RA_MSB +USBFS_USB__ARB_RW8_WA EQU CYREG_USB_ARB_RW8_WA +USBFS_USB__ARB_RW8_WA_MSB EQU CYREG_USB_ARB_RW8_WA_MSB +USBFS_USB__BUF_SIZE EQU CYREG_USB_BUF_SIZE +USBFS_USB__BUS_RST_CNT EQU CYREG_USB_BUS_RST_CNT +USBFS_USB__CR0 EQU CYREG_USB_CR0 +USBFS_USB__CR1 EQU CYREG_USB_CR1 +USBFS_USB__CWA EQU CYREG_USB_CWA +USBFS_USB__CWA_MSB EQU CYREG_USB_CWA_MSB +USBFS_USB__DMA_THRES EQU CYREG_USB_DMA_THRES +USBFS_USB__DMA_THRES_MSB EQU CYREG_USB_DMA_THRES_MSB +USBFS_USB__DYN_RECONFIG EQU CYREG_USB_DYN_RECONFIG +USBFS_USB__EP0_CNT EQU CYREG_USB_EP0_CNT +USBFS_USB__EP0_CR EQU CYREG_USB_EP0_CR +USBFS_USB__EP0_DR0 EQU CYREG_USB_EP0_DR0 +USBFS_USB__EP0_DR1 EQU CYREG_USB_EP0_DR1 +USBFS_USB__EP0_DR2 EQU CYREG_USB_EP0_DR2 +USBFS_USB__EP0_DR3 EQU CYREG_USB_EP0_DR3 +USBFS_USB__EP0_DR4 EQU CYREG_USB_EP0_DR4 +USBFS_USB__EP0_DR5 EQU CYREG_USB_EP0_DR5 +USBFS_USB__EP0_DR6 EQU CYREG_USB_EP0_DR6 +USBFS_USB__EP0_DR7 EQU CYREG_USB_EP0_DR7 +USBFS_USB__EP_ACTIVE EQU CYREG_USB_EP_ACTIVE +USBFS_USB__EP_TYPE EQU CYREG_USB_EP_TYPE +USBFS_USB__MEM_DATA EQU CYREG_USB_MEM_DATA_MBASE +USBFS_USB__PM_ACT_CFG EQU CYREG_PM_ACT_CFG5 +USBFS_USB__PM_ACT_MSK EQU 0x01 +USBFS_USB__PM_STBY_CFG EQU CYREG_PM_STBY_CFG5 +USBFS_USB__PM_STBY_MSK EQU 0x01 +USBFS_USB__SIE_EP1_CNT0 EQU CYREG_USB_SIE_EP1_CNT0 +USBFS_USB__SIE_EP1_CNT1 EQU CYREG_USB_SIE_EP1_CNT1 +USBFS_USB__SIE_EP1_CR0 EQU CYREG_USB_SIE_EP1_CR0 +USBFS_USB__SIE_EP2_CNT0 EQU CYREG_USB_SIE_EP2_CNT0 +USBFS_USB__SIE_EP2_CNT1 EQU CYREG_USB_SIE_EP2_CNT1 +USBFS_USB__SIE_EP2_CR0 EQU CYREG_USB_SIE_EP2_CR0 +USBFS_USB__SIE_EP3_CNT0 EQU CYREG_USB_SIE_EP3_CNT0 +USBFS_USB__SIE_EP3_CNT1 EQU CYREG_USB_SIE_EP3_CNT1 +USBFS_USB__SIE_EP3_CR0 EQU CYREG_USB_SIE_EP3_CR0 +USBFS_USB__SIE_EP4_CNT0 EQU CYREG_USB_SIE_EP4_CNT0 +USBFS_USB__SIE_EP4_CNT1 EQU CYREG_USB_SIE_EP4_CNT1 +USBFS_USB__SIE_EP4_CR0 EQU CYREG_USB_SIE_EP4_CR0 +USBFS_USB__SIE_EP5_CNT0 EQU CYREG_USB_SIE_EP5_CNT0 +USBFS_USB__SIE_EP5_CNT1 EQU CYREG_USB_SIE_EP5_CNT1 +USBFS_USB__SIE_EP5_CR0 EQU CYREG_USB_SIE_EP5_CR0 +USBFS_USB__SIE_EP6_CNT0 EQU CYREG_USB_SIE_EP6_CNT0 +USBFS_USB__SIE_EP6_CNT1 EQU CYREG_USB_SIE_EP6_CNT1 +USBFS_USB__SIE_EP6_CR0 EQU CYREG_USB_SIE_EP6_CR0 +USBFS_USB__SIE_EP7_CNT0 EQU CYREG_USB_SIE_EP7_CNT0 +USBFS_USB__SIE_EP7_CNT1 EQU CYREG_USB_SIE_EP7_CNT1 +USBFS_USB__SIE_EP7_CR0 EQU CYREG_USB_SIE_EP7_CR0 +USBFS_USB__SIE_EP8_CNT0 EQU CYREG_USB_SIE_EP8_CNT0 +USBFS_USB__SIE_EP8_CNT1 EQU CYREG_USB_SIE_EP8_CNT1 +USBFS_USB__SIE_EP8_CR0 EQU CYREG_USB_SIE_EP8_CR0 +USBFS_USB__SIE_EP_INT_EN EQU CYREG_USB_SIE_EP_INT_EN +USBFS_USB__SIE_EP_INT_SR EQU CYREG_USB_SIE_EP_INT_SR +USBFS_USB__SOF0 EQU CYREG_USB_SOF0 +USBFS_USB__SOF1 EQU CYREG_USB_SOF1 +USBFS_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 +USBFS_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 +USBFS_USB__USB_CLK_EN EQU CYREG_USB_USB_CLK_EN + +; SCSI_Out +SCSI_Out__0__AG EQU CYREG_PRT4_AG +SCSI_Out__0__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__0__BIE EQU CYREG_PRT4_BIE +SCSI_Out__0__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__0__BYP EQU CYREG_PRT4_BYP +SCSI_Out__0__CTL EQU CYREG_PRT4_CTL +SCSI_Out__0__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__0__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__0__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__0__DR EQU CYREG_PRT4_DR +SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__0__MASK EQU 0x08 +SCSI_Out__0__PC EQU CYREG_PRT4_PC3 +SCSI_Out__0__PORT EQU 4 +SCSI_Out__0__PRT EQU CYREG_PRT4_PRT +SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__0__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__0__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__0__PS EQU CYREG_PRT4_PS +SCSI_Out__0__SHIFT EQU 3 +SCSI_Out__0__SLW EQU CYREG_PRT4_SLW +SCSI_Out__1__AG EQU CYREG_PRT4_AG +SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__1__BIE EQU CYREG_PRT4_BIE +SCSI_Out__1__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__1__BYP EQU CYREG_PRT4_BYP +SCSI_Out__1__CTL EQU CYREG_PRT4_CTL +SCSI_Out__1__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__1__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__1__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__1__DR EQU CYREG_PRT4_DR +SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__1__MASK EQU 0x04 +SCSI_Out__1__PC EQU CYREG_PRT4_PC2 +SCSI_Out__1__PORT EQU 4 +SCSI_Out__1__PRT EQU CYREG_PRT4_PRT +SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__1__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__1__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__1__PS EQU CYREG_PRT4_PS +SCSI_Out__1__SHIFT EQU 2 +SCSI_Out__1__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT0_AG +SCSI_Out__2__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT0_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT0_BYP +SCSI_Out__2__CTL EQU CYREG_PRT0_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__2__DR EQU CYREG_PRT0_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__2__MASK EQU 0x80 +SCSI_Out__2__PC EQU CYREG_PRT0_PC7 +SCSI_Out__2__PORT EQU 0 +SCSI_Out__2__PRT EQU CYREG_PRT0_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT0_PS +SCSI_Out__2__SHIFT EQU 7 +SCSI_Out__2__SLW EQU CYREG_PRT0_SLW +SCSI_Out__3__AG EQU CYREG_PRT0_AG +SCSI_Out__3__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT0_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT0_BYP +SCSI_Out__3__CTL EQU CYREG_PRT0_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__3__DR EQU CYREG_PRT0_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__3__MASK EQU 0x40 +SCSI_Out__3__PC EQU CYREG_PRT0_PC6 +SCSI_Out__3__PORT EQU 0 +SCSI_Out__3__PRT EQU CYREG_PRT0_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT0_PS +SCSI_Out__3__SHIFT EQU 6 +SCSI_Out__3__SLW EQU CYREG_PRT0_SLW +SCSI_Out__4__AG EQU CYREG_PRT0_AG +SCSI_Out__4__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT0_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT0_BYP +SCSI_Out__4__CTL EQU CYREG_PRT0_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__4__DR EQU CYREG_PRT0_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__4__MASK EQU 0x20 +SCSI_Out__4__PC EQU CYREG_PRT0_PC5 +SCSI_Out__4__PORT EQU 0 +SCSI_Out__4__PRT EQU CYREG_PRT0_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT0_PS +SCSI_Out__4__SHIFT EQU 5 +SCSI_Out__4__SLW EQU CYREG_PRT0_SLW +SCSI_Out__5__AG EQU CYREG_PRT0_AG +SCSI_Out__5__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT0_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT0_BYP +SCSI_Out__5__CTL EQU CYREG_PRT0_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__5__DR EQU CYREG_PRT0_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__5__MASK EQU 0x10 +SCSI_Out__5__PC EQU CYREG_PRT0_PC4 +SCSI_Out__5__PORT EQU 0 +SCSI_Out__5__PRT EQU CYREG_PRT0_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT0_PS +SCSI_Out__5__SHIFT EQU 4 +SCSI_Out__5__SLW EQU CYREG_PRT0_SLW +SCSI_Out__6__AG EQU CYREG_PRT0_AG +SCSI_Out__6__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT0_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT0_BYP +SCSI_Out__6__CTL EQU CYREG_PRT0_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__6__DR EQU CYREG_PRT0_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__6__MASK EQU 0x08 +SCSI_Out__6__PC EQU CYREG_PRT0_PC3 +SCSI_Out__6__PORT EQU 0 +SCSI_Out__6__PRT EQU CYREG_PRT0_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT0_PS +SCSI_Out__6__SHIFT EQU 3 +SCSI_Out__6__SLW EQU CYREG_PRT0_SLW +SCSI_Out__7__AG EQU CYREG_PRT0_AG +SCSI_Out__7__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT0_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT0_BYP +SCSI_Out__7__CTL EQU CYREG_PRT0_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__7__DR EQU CYREG_PRT0_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__7__MASK EQU 0x04 +SCSI_Out__7__PC EQU CYREG_PRT0_PC2 +SCSI_Out__7__PORT EQU 0 +SCSI_Out__7__PRT EQU CYREG_PRT0_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT0_PS +SCSI_Out__7__SHIFT EQU 2 +SCSI_Out__7__SLW EQU CYREG_PRT0_SLW +SCSI_Out__8__AG EQU CYREG_PRT0_AG +SCSI_Out__8__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT0_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT0_BYP +SCSI_Out__8__CTL EQU CYREG_PRT0_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__8__DR EQU CYREG_PRT0_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__8__MASK EQU 0x02 +SCSI_Out__8__PC EQU CYREG_PRT0_PC1 +SCSI_Out__8__PORT EQU 0 +SCSI_Out__8__PRT EQU CYREG_PRT0_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT0_PS +SCSI_Out__8__SHIFT EQU 1 +SCSI_Out__8__SLW EQU CYREG_PRT0_SLW +SCSI_Out__9__AG EQU CYREG_PRT0_AG +SCSI_Out__9__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT0_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT0_BYP +SCSI_Out__9__CTL EQU CYREG_PRT0_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__9__DR EQU CYREG_PRT0_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__9__MASK EQU 0x01 +SCSI_Out__9__PC EQU CYREG_PRT0_PC0 +SCSI_Out__9__PORT EQU 0 +SCSI_Out__9__PRT EQU CYREG_PRT0_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT0_PS +SCSI_Out__9__SHIFT EQU 0 +SCSI_Out__9__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT0_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT0_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT0_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT0_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT0_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__ACK__MASK EQU 0x40 +SCSI_Out__ACK__PC EQU CYREG_PRT0_PC6 +SCSI_Out__ACK__PORT EQU 0 +SCSI_Out__ACK__PRT EQU CYREG_PRT0_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT0_PS +SCSI_Out__ACK__SHIFT EQU 6 +SCSI_Out__ACK__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ATN__AG EQU CYREG_PRT4_AG +SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE +SCSI_Out__ATN__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__ATN__BYP EQU CYREG_PRT4_BYP +SCSI_Out__ATN__CTL EQU CYREG_PRT4_CTL +SCSI_Out__ATN__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__ATN__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__ATN__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__ATN__DR EQU CYREG_PRT4_DR +SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__ATN__MASK EQU 0x04 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC2 +SCSI_Out__ATN__PORT EQU 4 +SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT +SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__ATN__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__ATN__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__ATN__PS EQU CYREG_PRT4_PS +SCSI_Out__ATN__SHIFT EQU 2 +SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT0_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT0_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT0_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT0_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT0_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__BSY__MASK EQU 0x80 +SCSI_Out__BSY__PC EQU CYREG_PRT0_PC7 +SCSI_Out__BSY__PORT EQU 0 +SCSI_Out__BSY__PRT EQU CYREG_PRT0_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT0_PS +SCSI_Out__BSY__SHIFT EQU 7 +SCSI_Out__BSY__SLW EQU CYREG_PRT0_SLW +SCSI_Out__CD__AG EQU CYREG_PRT0_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT0_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT0_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT0_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT0_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__CD__MASK EQU 0x04 +SCSI_Out__CD__PC EQU CYREG_PRT0_PC2 +SCSI_Out__CD__PORT EQU 0 +SCSI_Out__CD__PRT EQU CYREG_PRT0_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT0_PS +SCSI_Out__CD__SHIFT EQU 2 +SCSI_Out__CD__SLW EQU CYREG_PRT0_SLW +SCSI_Out__DBP_raw__AG EQU CYREG_PRT4_AG +SCSI_Out__DBP_raw__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__DBP_raw__BIE EQU CYREG_PRT4_BIE +SCSI_Out__DBP_raw__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__DBP_raw__BYP EQU CYREG_PRT4_BYP +SCSI_Out__DBP_raw__CTL EQU CYREG_PRT4_CTL +SCSI_Out__DBP_raw__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__DBP_raw__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__DBP_raw__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__DBP_raw__DR EQU CYREG_PRT4_DR +SCSI_Out__DBP_raw__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__DBP_raw__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__DBP_raw__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__DBP_raw__MASK EQU 0x08 +SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC3 +SCSI_Out__DBP_raw__PORT EQU 4 +SCSI_Out__DBP_raw__PRT EQU CYREG_PRT4_PRT +SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__DBP_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__DBP_raw__PS EQU CYREG_PRT4_PS +SCSI_Out__DBP_raw__SHIFT EQU 3 +SCSI_Out__DBP_raw__SLW EQU CYREG_PRT4_SLW +SCSI_Out__IO_raw__AG EQU CYREG_PRT0_AG +SCSI_Out__IO_raw__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__IO_raw__BIE EQU CYREG_PRT0_BIE +SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__IO_raw__BYP EQU CYREG_PRT0_BYP +SCSI_Out__IO_raw__CTL EQU CYREG_PRT0_CTL +SCSI_Out__IO_raw__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__IO_raw__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__IO_raw__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__IO_raw__DR EQU CYREG_PRT0_DR +SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__IO_raw__MASK EQU 0x01 +SCSI_Out__IO_raw__PC EQU CYREG_PRT0_PC0 +SCSI_Out__IO_raw__PORT EQU 0 +SCSI_Out__IO_raw__PRT EQU CYREG_PRT0_PRT +SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__IO_raw__PS EQU CYREG_PRT0_PS +SCSI_Out__IO_raw__SHIFT EQU 0 +SCSI_Out__IO_raw__SLW EQU CYREG_PRT0_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT0_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT0_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT0_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT0_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT0_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__MSG__MASK EQU 0x10 +SCSI_Out__MSG__PC EQU CYREG_PRT0_PC4 +SCSI_Out__MSG__PORT EQU 0 +SCSI_Out__MSG__PRT EQU CYREG_PRT0_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT0_PS +SCSI_Out__MSG__SHIFT EQU 4 +SCSI_Out__MSG__SLW EQU CYREG_PRT0_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT0_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT0_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT0_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT0_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT0_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__REQ__MASK EQU 0x02 +SCSI_Out__REQ__PC EQU CYREG_PRT0_PC1 +SCSI_Out__REQ__PORT EQU 0 +SCSI_Out__REQ__PRT EQU CYREG_PRT0_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT0_PS +SCSI_Out__REQ__SHIFT EQU 1 +SCSI_Out__REQ__SLW EQU CYREG_PRT0_SLW +SCSI_Out__RST__AG EQU CYREG_PRT0_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT0_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT0_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT0_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT0_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__RST__MASK EQU 0x20 +SCSI_Out__RST__PC EQU CYREG_PRT0_PC5 +SCSI_Out__RST__PORT EQU 0 +SCSI_Out__RST__PRT EQU CYREG_PRT0_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT0_PS +SCSI_Out__RST__SHIFT EQU 5 +SCSI_Out__RST__SLW EQU CYREG_PRT0_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT0_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT0_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT0_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT0_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT0_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__SEL__MASK EQU 0x08 +SCSI_Out__SEL__PC EQU CYREG_PRT0_PC3 +SCSI_Out__SEL__PORT EQU 0 +SCSI_Out__SEL__PRT EQU CYREG_PRT0_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT0_PS +SCSI_Out__SEL__SHIFT EQU 3 +SCSI_Out__SEL__SLW EQU CYREG_PRT0_SLW + +; USBFS_Dm +USBFS_Dm__0__MASK EQU 0x80 +USBFS_Dm__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC1 +USBFS_Dm__0__PORT EQU 15 +USBFS_Dm__0__SHIFT EQU 7 +USBFS_Dm__AG EQU CYREG_PRT15_AG +USBFS_Dm__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dm__BIE EQU CYREG_PRT15_BIE +USBFS_Dm__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dm__BYP EQU CYREG_PRT15_BYP +USBFS_Dm__CTL EQU CYREG_PRT15_CTL +USBFS_Dm__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dm__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dm__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dm__DR EQU CYREG_PRT15_DR +USBFS_Dm__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dm__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dm__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dm__MASK EQU 0x80 +USBFS_Dm__PORT EQU 15 +USBFS_Dm__PRT EQU CYREG_PRT15_PRT +USBFS_Dm__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dm__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dm__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dm__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dm__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dm__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dm__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dm__PS EQU CYREG_PRT15_PS +USBFS_Dm__SHIFT EQU 7 +USBFS_Dm__SLW EQU CYREG_PRT15_SLW + +; USBFS_Dp +USBFS_Dp__0__MASK EQU 0x40 +USBFS_Dp__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC0 +USBFS_Dp__0__PORT EQU 15 +USBFS_Dp__0__SHIFT EQU 6 +USBFS_Dp__AG EQU CYREG_PRT15_AG +USBFS_Dp__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dp__BIE EQU CYREG_PRT15_BIE +USBFS_Dp__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dp__BYP EQU CYREG_PRT15_BYP +USBFS_Dp__CTL EQU CYREG_PRT15_CTL +USBFS_Dp__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dp__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dp__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dp__DR EQU CYREG_PRT15_DR +USBFS_Dp__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dp__INTSTAT EQU CYREG_PICU15_INTSTAT +USBFS_Dp__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dp__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dp__MASK EQU 0x40 +USBFS_Dp__PORT EQU 15 +USBFS_Dp__PRT EQU CYREG_PRT15_PRT +USBFS_Dp__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dp__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dp__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dp__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dp__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dp__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dp__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dp__PS EQU CYREG_PRT15_PS +USBFS_Dp__SHIFT EQU 6 +USBFS_Dp__SLW EQU CYREG_PRT15_SLW +USBFS_Dp__SNAP EQU CYREG_PICU_15_SNAP_15 + +; Miscellaneous +; -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release +CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO EQU 0 +CYDEV_DEBUGGING_DPS_SWD_SWV EQU 6 +CYDEV_CONFIG_UNUSED_IO_AllowButWarn EQU 0 +CYDEV_CONFIGURATION_MODE_COMPRESSED EQU 0 +CYDEV_CONFIG_FASTBOOT_ENABLED EQU 1 +CYDEV_CHIP_REV_PSOC5LP_PRODUCTION EQU 0 +CYDEV_CHIP_REVISION_5B_PRODUCTION EQU 0 +CYDEV_CHIP_MEMBER_5B EQU 4 +CYDEV_CHIP_FAMILY_PSOC5 EQU 3 +CYDEV_CHIP_DIE_PSOC5LP EQU 4 +CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP +CYDEV_BOOTLOADER_IO_COMP_USBFS EQU 1 +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 +CYDEV_BOOTLOADER_APPLICATIONS EQU 1 +CYDEV_BOOTLOADER_CHECKSUM_BASIC EQU 0 +CYDEV_BOOTLOADER_CHECKSUM_CRC EQU 1 +CYDEV_BOOTLOADER_IO_COMP EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT +CYDEV_CHIP_DIE_LEOPARD EQU 1 +CYDEV_CHIP_DIE_PANTHER EQU 3 +CYDEV_CHIP_DIE_PSOC4A EQU 2 +CYDEV_CHIP_DIE_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_PSOC3 EQU 1 +CYDEV_CHIP_FAMILY_PSOC4 EQU 2 +CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 +CYDEV_CHIP_JTAG_ID EQU 0x2E133069 +CYDEV_CHIP_MEMBER_3A EQU 1 +CYDEV_CHIP_MEMBER_4A EQU 2 +CYDEV_CHIP_MEMBER_5A EQU 3 +CYDEV_CHIP_MEMBER_UNKNOWN EQU 0 +CYDEV_CHIP_MEMBER_USED EQU CYDEV_CHIP_MEMBER_5B +CYDEV_CHIP_REVISION_3A_ES1 EQU 0 +CYDEV_CHIP_REVISION_3A_ES2 EQU 1 +CYDEV_CHIP_REVISION_3A_ES3 EQU 3 +CYDEV_CHIP_REVISION_3A_PRODUCTION EQU 3 +CYDEV_CHIP_REVISION_4A_ES0 EQU 17 +CYDEV_CHIP_REVISION_4A_PRODUCTION EQU 17 +CYDEV_CHIP_REVISION_5A_ES0 EQU 0 +CYDEV_CHIP_REVISION_5A_ES1 EQU 1 +CYDEV_CHIP_REVISION_5A_PRODUCTION EQU 1 +CYDEV_CHIP_REVISION_5B_ES0 EQU 0 +CYDEV_CHIP_REVISION_USED EQU CYDEV_CHIP_REVISION_5B_PRODUCTION +CYDEV_CHIP_REV_EXPECT EQU CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +CYDEV_CHIP_REV_LEOPARD_ES1 EQU 0 +CYDEV_CHIP_REV_LEOPARD_ES2 EQU 1 +CYDEV_CHIP_REV_LEOPARD_ES3 EQU 3 +CYDEV_CHIP_REV_LEOPARD_PRODUCTION EQU 3 +CYDEV_CHIP_REV_PANTHER_ES0 EQU 0 +CYDEV_CHIP_REV_PANTHER_ES1 EQU 1 +CYDEV_CHIP_REV_PANTHER_PRODUCTION EQU 1 +CYDEV_CHIP_REV_PSOC4A_ES0 EQU 17 +CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 +CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 +CYDEV_CONFIGURATION_COMPRESSED EQU 1 +CYDEV_CONFIGURATION_DMA EQU 0 +CYDEV_CONFIGURATION_ECC EQU 0 +CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED +CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED +CYDEV_CONFIGURATION_MODE_DMA EQU 2 +CYDEV_CONFIGURATION_MODE_UNCOMPRESSED EQU 1 +CYDEV_CONFIG_UNUSED_IO EQU CYDEV_CONFIG_UNUSED_IO_AllowButWarn +CYDEV_CONFIG_UNUSED_IO_AllowWithInfo EQU 1 +CYDEV_CONFIG_UNUSED_IO_Disallowed EQU 2 +CYDEV_DEBUGGING_DPS EQU CYDEV_DEBUGGING_DPS_SWD_SWV +CYDEV_DEBUGGING_DPS_Disable EQU 3 +CYDEV_DEBUGGING_DPS_JTAG_4 EQU 1 +CYDEV_DEBUGGING_DPS_JTAG_5 EQU 0 +CYDEV_DEBUGGING_DPS_SWD EQU 2 +CYDEV_DEBUGGING_ENABLE EQU 1 +CYDEV_DEBUGGING_XRES EQU 0 +CYDEV_DEBUG_ENABLE_MASK EQU 0x20 +CYDEV_DEBUG_ENABLE_REGISTER EQU CYREG_MLOGIC_DEBUG +CYDEV_DMA_CHANNELS_AVAILABLE EQU 24 +CYDEV_ECC_ENABLE EQU 0 +CYDEV_HEAP_SIZE EQU 0x0800 +CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 +CYDEV_INTR_RISING EQU 0x00000000 +CYDEV_PROJ_TYPE EQU 1 +CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 +CYDEV_PROJ_TYPE_LOADABLE EQU 2 +CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 +CYDEV_PROJ_TYPE_STANDARD EQU 0 +CYDEV_PROTECTION_ENABLE EQU 0 +CYDEV_STACK_SIZE EQU 0x2000 +CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP EQU 1 +CYDEV_USE_BUNDLED_CMSIS EQU 1 +CYDEV_VARIABLE_VDDA EQU 0 +CYDEV_VDDA_MV EQU 5000 +CYDEV_VDDD_MV EQU 5000 +CYDEV_VDDIO0_MV EQU 5000 +CYDEV_VDDIO1_MV EQU 5000 +CYDEV_VDDIO2_MV EQU 5000 +CYDEV_VDDIO3_MV EQU 5000 +CYDEV_VIO0 EQU 5 +CYDEV_VIO0_MV EQU 5000 +CYDEV_VIO1 EQU 5 +CYDEV_VIO1_MV EQU 5000 +CYDEV_VIO2 EQU 5 +CYDEV_VIO2_MV EQU 5000 +CYDEV_VIO3 EQU 5 +CYDEV_VIO3_MV EQU 5000 +CyBtldr_Custom_Interface EQU CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +CyBtldr_USBFS EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +DMA_CHANNELS_USED__MASK0 EQU 0x00000000 +CYDEV_BOOTLOADER_ENABLE EQU 1 + ENDIF + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cymetadata.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cymetadata.c new file mode 100755 index 0000000..8310348 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cymetadata.c @@ -0,0 +1,108 @@ +/******************************************************************************* +* FILENAME: cymetadata.c +* +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file defines all extra memory spaces that need to be included. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + + +#include "cytypes.h" + + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyloadermeta"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyloadermeta" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_loader[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, 0x00u, 0x01u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyconfigecc"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyconfigecc" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_configecc[] = { + 0x00u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cycustnvl"), used)) +#elif defined(__ICCARM__) +#pragma location=".cycustnvl" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_custnvl[] = { + 0x00u, 0x00u, 0x40u, 0x05u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cywolatch"), used)) +#elif defined(__ICCARM__) +#pragma location=".cywolatch" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_wonvl[] = { + 0xBCu, 0x90u, 0xACu, 0xAFu +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyflashprotect"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyflashprotect" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_flashprotect[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cymeta"), used)) +#elif defined(__ICCARM__) +#pragma location=".cymeta" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_metadata[] = { + 0x00u, 0x01u, 0x2Eu, 0x13u, 0x30u, 0x69u, 0x00u, 0x01u, + 0x00u, 0x00u, 0x00u, 0x00u +}; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cypins.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cypins.h new file mode 100755 index 0000000..3af7484 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cypins.h @@ -0,0 +1,295 @@ +/******************************************************************************* +* File Name: cypins.h +* Version 4.0 +* +* Description: +* This file contains the function prototypes and constants used for port/pin +* in access and control. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYPINS_H) +#define CY_BOOT_CYPINS_H + +#include "cyfitter.h" +#include "cytypes.h" + + +/************************************** +* API Parameter Constants +**************************************/ + +#define CY_PINS_PC_DRIVE_MODE_SHIFT (0x01u) +#define CY_PINS_PC_DRIVE_MODE_MASK ((uint8)(0x07u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_0 ((uint8)(0x00u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_1 ((uint8)(0x01u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_2 ((uint8)(0x02u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_3 ((uint8)(0x03u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_4 ((uint8)(0x04u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_5 ((uint8)(0x05u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_6 ((uint8)(0x06u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_7 ((uint8)(0x07u << CY_PINS_PC_DRIVE_MODE_SHIFT)) + + +/* SetPinDriveMode */ +#define CY_PINS_DM_ALG_HIZ (CY_PINS_PC_DRIVE_MODE_0) +#define CY_PINS_DM_DIG_HIZ (CY_PINS_PC_DRIVE_MODE_1) +#define CY_PINS_DM_RES_UP (CY_PINS_PC_DRIVE_MODE_2) +#define CY_PINS_DM_RES_DWN (CY_PINS_PC_DRIVE_MODE_3) +#define CY_PINS_DM_OD_LO (CY_PINS_PC_DRIVE_MODE_4) +#define CY_PINS_DM_OD_HI (CY_PINS_PC_DRIVE_MODE_5) +#define CY_PINS_DM_STRONG (CY_PINS_PC_DRIVE_MODE_6) +#define CY_PINS_DM_RES_UPDWN (CY_PINS_PC_DRIVE_MODE_7) + + +/************************************** +* Register Constants +**************************************/ + +/* Port Pin Configuration Register */ +#define CY_PINS_PC_DATAOUT (0x01u) +#define CY_PINS_PC_PIN_FASTSLEW (0xBFu) +#define CY_PINS_PC_PIN_SLOWSLEW (0x40u) +#define CY_PINS_PC_PIN_STATE (0x10u) +#define CY_PINS_PC_BIDIR_EN (0x20u) +#define CY_PINS_PC_SLEW (0x40u) +#define CY_PINS_PC_BYPASS (0x80u) + + +/************************************** +* Pin API Macros +**************************************/ + +/******************************************************************************* +* Macro Name: CyPins_ReadPin +******************************************************************************** +* +* Summary: +* Reads the current value on the pin (pin state, PS). +* +* Parameters: +* pinPC: Port pin configuration register (uint16). +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* Pin state +* 0: Logic low value +* Non-0: Logic high value +* +*******************************************************************************/ +#define CyPins_ReadPin(pinPC) ( *(reg8 *)(pinPC) & CY_PINS_PC_PIN_STATE ) + + +/******************************************************************************* +* Macro Name: CyPins_SetPin +******************************************************************************** +* +* Summary: +* Set the output value for the pin (data register, DR) to a logic high. +* +* Note that this only has an effect for pins configured as software pins that +* are not driven by hardware. +* +* Parameters: +* pinPC: Port pin configuration register (uint16). +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SetPin(pinPC) ( *(reg8 *)(pinPC) |= CY_PINS_PC_DATAOUT) + + +/******************************************************************************* +* Macro Name: CyPins_ClearPin +******************************************************************************** +* +* Summary: +* This macro sets the state of the specified pin to 0 +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_ClearPin(pinPC) ( *(reg8 *)(pinPC) &= ((uint8)(~CY_PINS_PC_DATAOUT))) + + +/******************************************************************************* +* Macro Name: CyPins_SetPinDriveMode +******************************************************************************** +* +* Summary: +* Sets the drive mode for the pin (DM). +* +* Parameters: +* pinPC: Port pin configuration register (uint16) +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* mode: Desired drive mode +* +* Define Source +* PIN_DM_ALG_HIZ Analog HiZ +* PIN_DM_DIG_HIZ Digital HiZ +* PIN_DM_RES_UP Resistive pull up +* PIN_DM_RES_DWN Resistive pull down +* PIN_DM_OD_LO Open drain - drive low +* PIN_DM_OD_HI Open drain - drive high +* PIN_DM_STRONG Strong CMOS Output +* PIN_DM_RES_UPDWN Resistive pull up/down +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SetPinDriveMode(pinPC, mode) \ + ( *(reg8 *)(pinPC) = (*(reg8 *)(pinPC) & ((uint8)(~CY_PINS_PC_DRIVE_MODE_MASK))) | \ + ((mode) & CY_PINS_PC_DRIVE_MODE_MASK)) + + +/******************************************************************************* +* Macro Name: CyPins_ReadPinDriveMode +******************************************************************************** +* +* Summary: +* Reads the drive mode for the pin (DM). +* +* Parameters: +* pinPC: Port pin configuration register (uint16) +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* +* Return: +* mode: Current drive mode for the pin +* +* Define Source +* PIN_DM_ALG_HIZ Analog HiZ +* PIN_DM_DIG_HIZ Digital HiZ +* PIN_DM_RES_UP Resistive pull up +* PIN_DM_RES_DWN Resistive pull down +* PIN_DM_OD_LO Open drain - drive low +* PIN_DM_OD_HI Open drain - drive high +* PIN_DM_STRONG Strong CMOS Output +* PIN_DM_RES_UPDWN Resistive pull up/down +* +*******************************************************************************/ +#define CyPins_ReadPinDriveMode(pinPC) (*(reg8 *)(pinPC) & CY_PINS_PC_DRIVE_MODE_MASK) + + +/******************************************************************************* +* Macro Name: CyPins_FastSlew +******************************************************************************** +* +* Summary: +* Set the slew rate for the pin to fast edge rate. +* Note that this only applies for pins in strong output drive modes, +* not to resistive drive modes. +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_FastSlew(pinPC) (*(reg8 *)(pinPC) = (*(reg8 *)(pinPC) & CY_PINS_PC_PIN_FASTSLEW)) + + +/******************************************************************************* +* Macro Name: CyPins_SlowSlew +******************************************************************************** +* +* Summary: +* Set the slew rate for the pin to slow edge rate. +* Note that this only applies for pins in strong output drive modes, +* not to resistive drive modes. +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SlowSlew(pinPC) (*(reg8 *)(pinPC) = (*(reg8 *)(pinPC) | CY_PINS_PC_PIN_SLOWSLEW)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define PC_DRIVE_MODE_SHIFT (CY_PINS_PC_DRIVE_MODE_SHIFT) +#define PC_DRIVE_MODE_MASK (CY_PINS_PC_DRIVE_MODE_MASK) +#define PC_DRIVE_MODE_0 (CY_PINS_PC_DRIVE_MODE_0) +#define PC_DRIVE_MODE_1 (CY_PINS_PC_DRIVE_MODE_1) +#define PC_DRIVE_MODE_2 (CY_PINS_PC_DRIVE_MODE_2) +#define PC_DRIVE_MODE_3 (CY_PINS_PC_DRIVE_MODE_3) +#define PC_DRIVE_MODE_4 (CY_PINS_PC_DRIVE_MODE_4) +#define PC_DRIVE_MODE_5 (CY_PINS_PC_DRIVE_MODE_5) +#define PC_DRIVE_MODE_6 (CY_PINS_PC_DRIVE_MODE_6) +#define PC_DRIVE_MODE_7 (CY_PINS_PC_DRIVE_MODE_7) + +#define PIN_DM_ALG_HIZ (CY_PINS_DM_ALG_HIZ) +#define PIN_DM_DIG_HIZ (CY_PINS_DM_DIG_HIZ) +#define PIN_DM_RES_UP (CY_PINS_DM_RES_UP) +#define PIN_DM_RES_DWN (CY_PINS_DM_RES_DWN) +#define PIN_DM_OD_LO (CY_PINS_DM_OD_LO) +#define PIN_DM_OD_HI (CY_PINS_DM_OD_HI) +#define PIN_DM_STRONG (CY_PINS_DM_STRONG) +#define PIN_DM_RES_UPDWN (CY_PINS_DM_RES_UPDWN) + +#define PC_DATAOUT (CY_PINS_PC_DATAOUT) +#define PC_PIN_FASTSLEW (CY_PINS_PC_PIN_FASTSLEW) +#define PC_PIN_SLOWSLEW (CY_PINS_PC_PIN_SLOWSLEW) +#define PC_PIN_STATE (CY_PINS_PC_PIN_STATE) +#define PC_BIDIR_EN (CY_PINS_PC_BIDIR_EN) +#define PC_SLEW (CY_PINS_PC_SLEW) +#define PC_BYPASS (CY_PINS_PC_BYPASS) + +#endif /* (CY_BOOT_CYPINS_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cytypes.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cytypes.h new file mode 100755 index 0000000..c2a20ad --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cytypes.h @@ -0,0 +1,438 @@ +/******************************************************************************* +* FILENAME: cytypes.h +* Version 4.0 +* +* Description: +* CyTypes provides register access macros and approved types for use in +* firmware. +* +* Note: +* Due to endiannesses of the hardware and some compilers, the register +* access macros for big endian compilers use some library calls to arrange +* data the correct way. +* +* Register Access macros and functions perform their operations on an +* input of type pointer to void. The arguments passed to it should be +* pointers to the type associated with the register size. +* (i.e. a "uint8 *" shouldn't be passed to obtain a 16-bit register value) +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYTYPES_H) +#define CY_BOOT_CYTYPES_H + +#if defined(__C51__) + #include +#endif /* (__C51__) */ + +/* ARM and C99 or later */ +#if defined(__GNUC__) || defined(__ARMCC_VERSION) || (defined(__STDC_VERSION__) && __STDC_VERSION__ >= 199901L) + #include +#endif /* (__GNUC__) || defined(__ARMCC_VERSION) || (defined(__STDC_VERSION__) && __STDC_VERSION__ >= 199901L) */ + +#include "cyfitter.h" + + +#if defined( __ICCARM__ ) + /* Suppress warning for multiple volatile variables in an expression. */ + /* This is common in component code and the usage is not order dependent. */ + #pragma diag_suppress=Pa082 +#endif /* defined( __ICCARM__ ) */ + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + + +/******************************************************************************* +* FAMILY encodes the overall architectural family +*******************************************************************************/ +#define CY_PSOC3 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) +#define CY_PSOC4 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) +#define CY_PSOC5 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5) + + +/******************************************************************************* +* MEMBER encodes both the family and the detailed architecture +*******************************************************************************/ +#define CY_PSOC4A (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_4A) +#ifdef CYDEV_CHIP_MEMBER_4D + #define CY_PSOC4D (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_4D) + #define CY_PSOC4SF (CY_PSOC4D) +#else + #define CY_PSOC4D (0u != 0u) + #define CY_PSOC4SF (CY_PSOC4D) +#endif /* CYDEV_CHIP_MEMBER_4D */ + +#define CY_PSOC5A (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_5A) +#ifdef CYDEV_CHIP_MEMBER_5B + #define CY_PSOC5LP (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_5B) +#else + #define CY_PSOC5LP (0u != 0u) +#endif /* CYDEV_CHIP_MEMBER_5B */ + + +/******************************************************************************* +* UDB revisions +*******************************************************************************/ +#define CY_UDB_V0 (CY_PSOC5A) +#define CY_UDB_V1 (!CY_UDB_V0) + + +/******************************************************************************* +* Base Types. Acceptable types from MISRA-C specifying signedness and size. +*******************************************************************************/ +typedef unsigned char uint8; +typedef unsigned short uint16; +typedef unsigned long uint32; +typedef signed char int8; +typedef signed short int16; +typedef signed long int32; +typedef float float32; + +#if(!CY_PSOC3) + + typedef double float64; + typedef long long int64; + typedef unsigned long long uint64; + +#endif /* (!CY_PSOC3) */ + +/* Signed or unsigned depending on the compiler selection */ +typedef char char8; + + +/******************************************************************************* +* Memory address functions prototypes +*******************************************************************************/ +#if(CY_PSOC3) + + /*************************************************************************** + * Prototypes for absolute memory address functions (cymem.a51) with built-in + * endian conversion. These functions should be called through the + * CY_GET_XTND_REGxx and CY_SET_XTND_REGxx macros. + ***************************************************************************/ + extern uint8 cyread8 (const volatile void far *addr); + extern void cywrite8 (volatile void far *addr, uint8 value); + + extern uint16 cyread16 (const volatile void far *addr); + extern uint16 cyread16_nodpx(const volatile void far *addr); + + extern void cywrite16 (volatile void far *addr, uint16 value); + extern void cywrite16_nodpx(volatile void far *addr, uint16 value); + + extern uint32 cyread24 (const volatile void far *addr); + extern uint32 cyread24_nodpx(const volatile void far *addr); + + extern void cywrite24 (volatile void far *addr, uint32 value); + extern void cywrite24_nodpx(volatile void far *addr, uint32 value); + + extern uint32 cyread32 (const volatile void far *addr); + extern uint32 cyread32_nodpx(const volatile void far *addr); + + extern void cywrite32 (volatile void far *addr, uint32 value); + extern void cywrite32_nodpx(volatile void far *addr, uint32 value); + + + /*************************************************************************** + * Memory access routines from cymem.a51 for the generated device + * configuration code. These functions may be subject to change in future + * revisions of the cy_boot component and they are not available for all + * devices. Most code should use memset or memcpy instead. + ***************************************************************************/ + void cymemzero(void far *addr, uint16 size); + void cyconfigcpy(uint16 size, const void far *src, void far *dest) large; + void cyconfigcpycode(uint16 size, const void code *src, void far *dest); + + #define CYCONFIGCPY_DECLARED (1) + +#else + + /* Prototype for function to set a 24-bit register. Located at cyutils.c */ + extern void CySetReg24(uint32 volatile * addr, uint32 value); + + #if(CY_PSOC4) + + extern uint32 CyGetReg24(uint32 const volatile * addr); + + #endif /* (CY_PSOC4) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Memory model definitions. To allow code to be 8051-ARM agnostic. +*******************************************************************************/ +#if(CY_PSOC3) + + #define CYBDATA bdata + #define CYBIT bit + #define CYCODE code + #define CYCOMPACT compact + #define CYDATA data + #define CYFAR far + #define CYIDATA idata + #define CYLARGE large + #define CYPDATA pdata + #define CYREENTRANT reentrant + #define CYSMALL small + #define CYXDATA xdata + #define XDATA xdata + + #define CY_NOINIT + +#else + + #define CYBDATA + #define CYBIT uint8 + #define CYCODE + #define CYCOMPACT + #define CYDATA + #define CYFAR + #define CYIDATA + #define CYLARGE + #define CYPDATA + #define CYREENTRANT + #define CYSMALL + #define CYXDATA + #define XDATA + + #if defined(__ARMCC_VERSION) + #define CY_NOINIT __attribute__ ((section(".noinit"), zero_init)) + #define CY_NORETURN __attribute__ ((noreturn)) + #define CY_SECTION(name) __attribute__ ((section(name))) + #define CY_ALIGN(align) __align(align) + #elif defined (__GNUC__) + #define CY_NOINIT __attribute__ ((section(".noinit"))) + #define CY_NORETURN __attribute__ ((noreturn)) + #define CY_SECTION(name) __attribute__ ((section(name))) + #define CY_ALIGN(align) __attribute__ ((aligned(align))) + #elif defined (__ICCARM__) + #define CY_NOINIT __no_init + #define CY_NORETURN __noreturn + #endif /* (__ARMCC_VERSION) */ + +#endif /* (CY_PSOC3) */ + + +#if(CY_PSOC3) + + /* 8051 naturally returns an 8 bit value. */ + typedef unsigned char cystatus; + +#else + + /* ARM naturally returns a 32 bit value. */ + typedef unsigned long cystatus; + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Hardware Register Types. +*******************************************************************************/ +typedef volatile uint8 CYXDATA reg8; +typedef volatile uint16 CYXDATA reg16; +typedef volatile uint32 CYXDATA reg32; + + +/******************************************************************************* +* Interrupt Types and Macros +*******************************************************************************/ +#if(CY_PSOC3) + + #define CY_ISR(FuncName) void FuncName (void) interrupt 0 + #define CY_ISR_PROTO(FuncName) void FuncName (void) + typedef void (CYCODE * cyisraddress)(void); + +#else + + #define CY_ISR(FuncName) void FuncName (void) + #define CY_ISR_PROTO(FuncName) void FuncName (void) + typedef void (* cyisraddress)(void); + + #if defined (__ICCARM__) + typedef union { cyisraddress __fun; void * __ptr; } intvec_elem; + #endif /* defined (__ICCARM__) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Register Access +*******************************************************************************/ +#if(CY_PSOC3) + + + /******************************************************************************* + * KEIL for the 8051 is a big endian compiler This causes problems as the on chip + * registers are little endian. Byte swapping for two and four byte registers is + * implemented in the functions below. This will require conditional compilation + * of function prototypes in code. + *******************************************************************************/ + + /* Access macros for 8, 16, 24 and 32-bit registers, IN THE FIRST 64K OF XDATA */ + + #define CY_GET_REG8(addr) (*((const reg8 *)(addr))) + #define CY_SET_REG8(addr, value) (*((reg8 *)(addr)) = (uint8)(value)) + + #define CY_GET_REG16(addr) cyread16_nodpx ((const volatile void far *)(const reg16 *)(addr)) + #define CY_SET_REG16(addr, value) cywrite16_nodpx((volatile void far *)(reg16 *)(addr), value) + + #define CY_GET_REG24(addr) cyread24_nodpx ((const volatile void far *)(const reg32 *)(addr)) + #define CY_SET_REG24(addr, value) cywrite24_nodpx((volatile void far *)(reg32 *)(addr),value) + + #define CY_GET_REG32(addr) cyread32_nodpx ((const volatile void far *)(const reg32 *)(addr)) + #define CY_SET_REG32(addr, value) cywrite32_nodpx((volatile void far *)(reg32 *)(addr), value) + + /* Access 8, 16, 24 and 32-bit registers, ABOVE THE FIRST 64K OF XDATA */ + #define CY_GET_XTND_REG8(addr) cyread8((const volatile void far *)(addr)) + #define CY_SET_XTND_REG8(addr, value) cywrite8((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG16(addr) cyread16((const volatile void far *)(addr)) + #define CY_SET_XTND_REG16(addr, value) cywrite16((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG24(addr) cyread24((const volatile void far *)(addr)) + #define CY_SET_XTND_REG24(addr, value) cywrite24((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG32(addr) cyread32((const volatile void far *)(addr)) + #define CY_SET_XTND_REG32(addr, value) cywrite32((volatile void far *)(addr), value) + +#else + + /* 8, 16, 24 and 32-bit register access macros */ + #define CY_GET_REG8(addr) (*((const reg8 *)(addr))) + #define CY_SET_REG8(addr, value) (*((reg8 *)(addr)) = (uint8)(value)) + + #define CY_GET_REG16(addr) (*((const reg16 *)(addr))) + #define CY_SET_REG16(addr, value) (*((reg16 *)(addr)) = (uint16)(value)) + + + #define CY_SET_REG24(addr, value) CySetReg24((reg32 *) (addr), (value)) + #if(CY_PSOC4) + #define CY_GET_REG24(addr) CyGetReg24((const reg32 *) (addr)) + #else + #define CY_GET_REG24(addr) (*((const reg32 *)(addr)) & 0x00FFFFFFu) + #endif /* (CY_PSOC4) */ + + + #define CY_GET_REG32(addr) (*((const reg32 *)(addr))) + #define CY_SET_REG32(addr, value) (*((reg32 *)(addr)) = (uint32)(value)) + + + /* To allow code to be 8051-ARM agnostic. */ + #define CY_GET_XTND_REG8(addr) CY_GET_REG8(addr) + #define CY_SET_XTND_REG8(addr, value) CY_SET_REG8(addr, value) + + #define CY_GET_XTND_REG16(addr) CY_GET_REG16(addr) + #define CY_SET_XTND_REG16(addr, value) CY_SET_REG16(addr, value) + + #define CY_GET_XTND_REG24(addr) CY_GET_REG24(addr) + #define CY_SET_XTND_REG24(addr, value) CY_SET_REG24(addr, value) + + #define CY_GET_XTND_REG32(addr) CY_GET_REG32(addr) + #define CY_SET_XTND_REG32(addr, value) CY_SET_REG32(addr, value) + +#endif /* (CY_PSOC3) */ + + + +/******************************************************************************* +* Data manipulation defines +*******************************************************************************/ + +/* Get 8 bits of a 16 bit value. */ +#define LO8(x) ((uint8) ((x) & 0xFFu)) +#define HI8(x) ((uint8) ((uint16)(x) >> 8)) + +/* Get 16 bits of a 32 bit value. */ +#define LO16(x) ((uint16) ((x) & 0xFFFFu)) +#define HI16(x) ((uint16) ((uint32)(x) >> 16)) + +/* Swap the byte ordering of a 32 bit value */ +#define CYSWAP_ENDIAN32(x) \ + ((uint32)(((x) >> 24) | (((x) & 0x00FF0000u) >> 8) | (((x) & 0x0000FF00u) << 8) | ((x) << 24))) + +/* Swap the byte ordering of a 16 bit value */ +#define CYSWAP_ENDIAN16(x) ((uint16)(((x) << 8) | ((x) >> 8))) + + +/******************************************************************************* +* Defines the standard return values used PSoC content. A function is +* not limited to these return values but can use them when returning standard +* error values. Return values can be overloaded if documented in the function +* header. On the 8051 a function can use a larger return type but still use the +* defined return codes. +* +* Zero is successful, all other values indicate some form of failure. 1 - 0x7F - +* standard defined values; 0x80 - ... - user or content defined values. +*******************************************************************************/ +#define CYRET_SUCCESS (0x00u) /* Successful */ +#define CYRET_BAD_PARAM (0x01u) /* One or more invalid parameters */ +#define CYRET_INVALID_OBJECT (0x02u) /* Invalid object specified */ +#define CYRET_MEMORY (0x03u) /* Memory related failure */ +#define CYRET_LOCKED (0x04u) /* Resource lock failure */ +#define CYRET_EMPTY (0x05u) /* No more objects available */ +#define CYRET_BAD_DATA (0x06u) /* Bad data received (CRC or other error check) */ +#define CYRET_STARTED (0x07u) /* Operation started, but not necessarily completed yet */ +#define CYRET_FINISHED (0x08u) /* Operation completed */ +#define CYRET_CANCELED (0x09u) /* Operation canceled */ +#define CYRET_TIMEOUT (0x10u) /* Operation timed out */ +#define CYRET_INVALID_STATE (0x11u) /* Operation not setup or is in an improper state */ +#define CYRET_UNKNOWN ((cystatus) 0xFFFFFFFFu) /* Unknown failure */ + + +/******************************************************************************* +* Intrinsic Defines: Processor NOP instruction +*******************************************************************************/ +#if(CY_PSOC3) + + #define CY_NOP _nop_() + +#else + + #if defined(__ARMCC_VERSION) + + /* RealView */ + #define CY_NOP __nop() + + #else + + /* GCC */ + #define CY_NOP __asm("NOP\n") + + #endif /* defined(__ARMCC_VERSION) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.10 +*******************************************************************************/ + +/* Device is PSoC 3 and the revision is ES2 or earlier */ +#define CY_PSOC3_ES2 ((CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_3A) && \ + (CYDEV_CHIP_REVISION_USED <= CYDEV_CHIP_REVISION_3A_ES2)) + +/* Device is PSoC 3 and the revision is ES3 or later */ +#define CY_PSOC3_ES3 ((CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_3A) && \ + (CYDEV_CHIP_REVISION_USED >= CYDEV_CHIP_REVISION_3A_ES3)) + +/* Device is PSoC 5 and the revision is ES1 or earlier */ +#define CY_PSOC5_ES1 (CY_PSOC5A && \ + (CYDEV_CHIP_REVISION_USED <= CYDEV_CHIP_REVISION_5A_ES1)) + +/* Device is PSoC 5 and the revision is ES2 or later */ +#define CY_PSOC5_ES2 (CY_PSOC5A && \ + (CYDEV_CHIP_REVISION_USED > CYDEV_CHIP_REVISION_5A_ES1)) + +#endif /* CY_BOOT_CYTYPES_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyutils.c b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyutils.c new file mode 100755 index 0000000..0a11231 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/cyutils.c @@ -0,0 +1,87 @@ +/******************************************************************************* +* FILENAME: cyutils.c +* Version 4.0 +* +* Description: +* CyUtils provides function to handle 24-bit value writes. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" + +#if (!CY_PSOC3) + + /*************************************************************************** + * Function Name: CySetReg24 + **************************************************************************** + * + * Summary: + * Writes the 24-bit value to the specified register. + * + * Parameters: + * addr : adress where data must be written + * value: data that must be written + * + * Return: + * None + * + * Reentrant: + * No + * + ***************************************************************************/ + void CySetReg24(uint32 volatile * addr, uint32 value) + { + uint8 volatile *tmpAddr; + + tmpAddr = (uint8 volatile *) addr; + + tmpAddr[0u] = (uint8) value; + tmpAddr[1u] = (uint8) (value >> 8u); + tmpAddr[2u] = (uint8) (value >> 16u); + } + + + #if(CY_PSOC4) + + /*************************************************************************** + * Function Name: CyGetReg24 + **************************************************************************** + * + * Summary: + * Reads the 24-bit value from the specified register. + * + * Parameters: + * addr : adress where data must be read + * + * Return: + * None + * + * Reentrant: + * No + * + ***************************************************************************/ + uint32 CyGetReg24(uint32 const volatile * addr) + { + uint8 const volatile *tmpAddr; + uint32 value; + + tmpAddr = (uint8 const volatile *) addr; + + value = (uint32) tmpAddr[0u]; + value |= ((uint32) tmpAddr[1u] << 8u ); + value |= ((uint32) tmpAddr[2u] << 16u); + + return(value); + } + + #endif /*(CY_PSOC4)*/ + +#endif /* (!CY_PSOC3) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/device.lib b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/device.lib new file mode 100755 index 0000000..a40c9b3 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/device.lib @@ -0,0 +1,3094 @@ +/* + Copyright Cypress Semiconductor Corporation, 2010-2011 +*/ +/*library (leopard) { + + timescale : 1ns; +*/ + + cell (clockblockcell) { + bundle (dclk) { + members (dclk_0, dclk_1, dclk_2, dclk_3, dclk_4, dclk_5, dclk_6, dclk_7); + direction : output; + } + bundle (dclk_glb) { + members (dclk_glb_0, dclk_glb_1, dclk_glb_2, dclk_glb_3, dclk_glb_4, dclk_glb_5, dclk_glb_6, dclk_glb_7); + direction : output; + } + bundle (aclk) { + members (aclk_0, aclk_1, aclk_2, aclk_3); + direction : output; + } + bundle (aclk_glb) { + members (aclk_glb_0, aclk_glb_1, aclk_glb_2, aclk_glb_3); + direction : output; + } + bundle (clk_a_dig) { + members (clk_a_dig_0, clk_a_dig_1, clk_a_dig_2, clk_a_dig_3); + direction : output; + } + bundle (clk_a_dig_glb) { + members (clk_a_dig_glb_0, clk_a_dig_glb_1, clk_a_dig_glb_2, clk_a_dig_glb_3); + direction : output; + } + pin (clk_bus) { direction : output; } + pin (clk_bus_glb) { direction : output; } + pin (clk_sync) { direction : output; } + pin (clk_32k_xtal) { direction : output; } + pin (clk_100k) { direction : output; } + pin (clk_32k) { direction : output; } + pin (clk_1k) { direction : output; } + pin (clk_usb) { direction : output; } + pin (imo) { direction : output; } + pin (ilo) { direction : output; } + pin (xtal) { direction : output; } + pin (pllout) { direction : output; } + pin (xmhz_xerr) { direction : output; } + pin (pll_lock_out) { direction : output; } + bundle (dsi_dig_div) { + members (dsi_dig_div_0, dsi_dig_div_1, dsi_dig_div_2, dsi_dig_div_3, dsi_dig_div_4, dsi_dig_div_5, dsi_dig_div_6, dsi_dig_div_7); + direction : input; + } + bundle (dsi_ana_div) { + members (dsi_ana_div_0, dsi_ana_div_1, dsi_ana_div_2, dsi_ana_div_3); + direction : input; + } + pin (dsi_glb_div) { direction : input; } + pin (dsi_clkin_div) { direction : input; } + } + + cell (carrycell) { + } + + cell (interrupt) { + pin (clock) { + direction : input; + clock : true; + } + pin (interrupt) { + direction : input; + } + } + + cell (logicalport) { + pin (interrupt) { + direction : output; + } + pin (precharge) { + direction : input; + } + } + + cell (iocell) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 16.2; + intrinsic_fall : 16.2; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 8.5; + intrinsic_fall : 8.5; + } + } + } + + cell (iocell_ireg) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 16.2; + intrinsic_fall : 16.2; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (iocell_oreg) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 16.5; + intrinsic_fall : 16.5; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 8.5; + intrinsic_fall : 8.5; + } + } + } + + cell (iocell_ioreg) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 12.8; + intrinsic_fall : 12.8; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 16.5; + intrinsic_fall : 16.5; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (iocell_lv) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 33.5; + intrinsic_fall : 33.5; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 11.83; + intrinsic_fall : 11.83; + } + } + } + + cell (iocell_ireg_lv) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 33.5; + intrinsic_fall : 33.5; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (iocell_oreg_lv) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 32.5; + intrinsic_fall : 32.5; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 11.83; + intrinsic_fall : 11.83; + } + } + } + + cell (iocell_ioreg_lv) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 32.5; + intrinsic_fall : 32.5; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (sio) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 17; + intrinsic_fall : 17; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 8.5; + intrinsic_fall : 8.5; + } + } + } + + cell (sio_ireg) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 17; + intrinsic_fall : 17; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (sio_oreg) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 16.5; + intrinsic_fall : 16.5; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 8.5; + intrinsic_fall : 8.5; + } + } + } + + cell (sio_ioreg) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 14.8; + intrinsic_fall : 14.8; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 16.5; + intrinsic_fall : 16.5; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (sio_lv) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 30.9; + intrinsic_fall : 30.9; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 11.83; + intrinsic_fall : 11.83; + } + } + } + + cell (sio_ireg_lv) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 30.9; + intrinsic_fall : 30.9; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (sio_oreg_lv) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 32.5; + intrinsic_fall : 32.5; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 11.83; + intrinsic_fall : 11.83; + } + } + } + + cell (sio_ioreg_lv) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 18.3; + intrinsic_fall : 18.3; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 32.5; + intrinsic_fall : 32.5; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (usbio) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 20; + intrinsic_fall : 20; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 8.5; + intrinsic_fall : 8.5; + } + } + } + + cell (usbio_ireg) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 20; + intrinsic_fall : 20; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (usbio_oreg) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 16.5; + intrinsic_fall : 16.5; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 8.5; + intrinsic_fall : 8.5; + } + } + } + + cell (usbio_ioreg) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 22; + intrinsic_fall : 22; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 16.5; + intrinsic_fall : 16.5; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (usbio_lv) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 50; + intrinsic_fall : 50; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 11.83; + intrinsic_fall : 11.83; + } + } + } + + cell (usbio_ireg_lv) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pin_input"; + intrinsic_rise : 50; + intrinsic_fall : 50; + } + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (usbio_oreg_lv) { + pin (in_clock) { + direction : input; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 32.5; + intrinsic_fall : 32.5; + } + } + pin (fb) { + direction : output; + function : "pad_in"; + timing() { + timing_type : combinational; + timing_sense : positive_unate; + related_pin : "pad_in"; + intrinsic_rise : 11.83; + intrinsic_fall : 11.83; + } + } + } + + cell (usbio_ioreg_lv) { + pin (in_clock) { + direction : input; + clock : true; + } + pin (in_clock_en) { + direction : input; + } + pin (in_reset) { + direction : input; + } + pin (out_clock) { + direction : input; + clock : true; + } + pin (out_clock_en) { + direction : input; + } + pin (out_reset) { + direction : input; + } + pin (pin_input) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "out_clock"; + intrinsic_rise : 5.83; + intrinsic_fall : 5.83; + } + } + pin (pa_out) { + direction : input; + } + pin (oe) { + direction : input; + } + pin (pad_in) { + direction : input; + } + pin (pad_out) { + direction : output; + timing() { + timing_type : three_state_enable; + timing_sense : positive_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + timing() { + timing_type : three_state_disable; + timing_sense : negative_unate; + related_pin : "oe"; + intrinsic_rise : 52; + intrinsic_fall : 52; + } + timing() { + timing_type : rising_edge; + related_pin : "out_clock"; + intrinsic_rise : 32.5; + intrinsic_fall : 32.5; + } + } + pin (fb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "in_clock"; + intrinsic_rise : 6.16; + intrinsic_fall : 6.16; + } + } + } + + cell (count7cell) { + pin (clock) { + direction : input; + clock : true; + } + pin (clock_n) { + direction : input; + clock : true; + } + pin (extclk) { + direction : input; + clock : true; + } + pin (extclk_n) { + direction : input; + clock : true; + } + pin (clk_en) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 2.1; + intrinsic_fall : 2.1; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 2.1; + intrinsic_fall : 2.1; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.6; + intrinsic_fall : 0.6; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.6; + intrinsic_fall : 0.6; + } + } + pin (reset) { + direction : input; + timing() { + timing_type : recovery_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : recovery_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : recovery_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : recovery_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + } + pin (load) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 4.22; + intrinsic_fall : 4.22; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 4.22; + intrinsic_fall : 4.22; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 6.22; + intrinsic_fall : 6.22; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 6.22; + intrinsic_fall : 6.22; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + } + pin (enable) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 3.34; + intrinsic_fall : 3.34; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 3.34; + intrinsic_fall : 3.34; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 5.34; + intrinsic_fall : 5.34; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 5.34; + intrinsic_fall : 5.34; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + } + bundle (count) { + members (count_0, count_1, count_2, count_3, count_4, count_5, count_6); + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 2.11; + intrinsic_fall : 2.11; + } + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.92; + intrinsic_fall : 1.92; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 2.11; + intrinsic_fall : 2.11; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 1.92; + intrinsic_fall : 1.92; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 4.11; + intrinsic_fall : 4.11; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 3.92; + intrinsic_fall : 3.92; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 4.11; + intrinsic_fall : 4.11; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 3.92; + intrinsic_fall : 3.92; + } + timing() { + timing_type : clear; + timing_sense : negative_unate; + related_pin : "reset"; + intrinsic_rise : 7.57; + intrinsic_fall : 7.57; + } + timing() { + timing_type : clear; + timing_sense : negative_unate; + related_pin : "reset"; + intrinsic_rise : 6.24; + intrinsic_fall : 6.24; + } + } + pin (tc) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 2.58; + intrinsic_fall : 2.58; + } + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 2.04; + intrinsic_fall : 2.04; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 2.58; + intrinsic_fall : 2.58; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 2.04; + intrinsic_fall : 2.04; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 4.58; + intrinsic_fall : 4.58; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 4.04; + intrinsic_fall : 4.04; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 4.58; + intrinsic_fall : 4.58; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 4.04; + intrinsic_fall : 4.04; + } + timing() { + timing_type : preset; + timing_sense : positive_unate; + related_pin : "reset"; + intrinsic_rise : 8.02; + intrinsic_fall : 8.02; + } + timing() { + timing_type : preset; + timing_sense : positive_unate; + related_pin : "reset"; + intrinsic_rise : 6.19; + intrinsic_fall : 6.19; + } + } + } + + cell (count7cell_alt) { + pin (clock) { + direction : input; + clock : true; + } + pin (clock_n) { + direction : input; + clock : true; + } + pin (extclk) { + direction : input; + clock : true; + } + pin (extclk_n) { + direction : input; + clock : true; + } + pin (clk_en) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 2.1; + intrinsic_fall : 2.1; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 2.1; + intrinsic_fall : 2.1; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.6; + intrinsic_fall : 0.6; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.6; + intrinsic_fall : 0.6; + } + } + pin (reset) { + direction : input; + timing() { + timing_type : recovery_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : recovery_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : recovery_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : recovery_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : removal_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + } + pin (load) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 4.22; + intrinsic_fall : 4.22; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 4.22; + intrinsic_fall : 4.22; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 6.22; + intrinsic_fall : 6.22; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 6.22; + intrinsic_fall : 6.22; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + } + pin (enable) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 3.34; + intrinsic_fall : 3.34; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 3.34; + intrinsic_fall : 3.34; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 5.34; + intrinsic_fall : 5.34; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 5.34; + intrinsic_fall : 5.34; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + } + bundle (count) { + members (count_0, count_1, count_2, count_3, count_4, count_5, count_6); + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 2.11; + intrinsic_fall : 2.11; + } + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.92; + intrinsic_fall : 1.92; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 2.11; + intrinsic_fall : 2.11; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 1.92; + intrinsic_fall : 1.92; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 4.11; + intrinsic_fall : 4.11; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 3.92; + intrinsic_fall : 3.92; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 4.11; + intrinsic_fall : 4.11; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 3.92; + intrinsic_fall : 3.92; + } + timing() { + timing_type : clear; + timing_sense : negative_unate; + related_pin : "reset"; + intrinsic_rise : 7.57; + intrinsic_fall : 7.57; + } + timing() { + timing_type : clear; + timing_sense : negative_unate; + related_pin : "reset"; + intrinsic_rise : 6.24; + intrinsic_fall : 6.24; + } + } + pin (tc) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 3.58; + intrinsic_fall : 3.58; + } + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 2.04; + intrinsic_fall : 2.04; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 3.58; + intrinsic_fall : 3.58; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n"; + intrinsic_rise : 2.04; + intrinsic_fall : 2.04; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 5.58; + intrinsic_fall : 5.58; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk"; + intrinsic_rise : 4.04; + intrinsic_fall : 4.04; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 5.58; + intrinsic_fall : 5.58; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n"; + intrinsic_rise : 4.04; + intrinsic_fall : 4.04; + } + timing() { + timing_type : preset; + timing_sense : positive_unate; + related_pin : "reset"; + intrinsic_rise : 8.02; + intrinsic_fall : 8.02; + } + timing() { + timing_type : preset; + timing_sense : positive_unate; + related_pin : "reset"; + intrinsic_rise : 6.19; + intrinsic_fall : 6.19; + } + } + } + + cell (synccell) { + pin (clock) { + direction : input; + clock : true; + } + + pin (clock_n) { + direction : input; + clock : true; + } + + pin (extclk) { + direction : input; + clock : true; + } + + pin (extclk_n) { + direction : input; + clock : true; + } + + pin (clk_en) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "clock"; + intrinsic_rise : 2.1; + intrinsic_fall : 2.1; + } + timing() { + timing_type : hold_rising; + related_pin : "clock"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_falling; + related_pin : "clock_n"; + intrinsic_rise : 2.1; + intrinsic_fall : 2.1; + } + timing() { + timing_type : hold_falling; + related_pin : "clock_n"; + intrinsic_rise : 0.00; + intrinsic_fall : 0.00; + } + timing() { + timing_type : setup_rising; + related_pin : "extclk"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_rising; + related_pin : "extclk"; + intrinsic_rise : 0.6; + intrinsic_fall : 0.6; + } + timing() { + timing_type : setup_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_falling; + related_pin : "extclk_n"; + intrinsic_rise : 0.6; + intrinsic_fall : 0.6; + } + } + + pin (in) { + direction : input; + } + + pin (out) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock" + intrinsic_rise : 1.48; + intrinsic_fall : 1.48; + } + timing() { + timing_type : rising_edge; + related_pin : "clock" + intrinsic_rise : 1; + intrinsic_fall : 1; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n" + intrinsic_rise : 1.48; + intrinsic_fall : 1.48; + } + timing() { + timing_type : falling_edge; + related_pin : "clock_n" + intrinsic_rise : 1; + intrinsic_fall : 1; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk" + intrinsic_rise : 3.48; + intrinsic_fall : 3.48; + } + timing() { + timing_type : rising_edge; + related_pin : "extclk" + intrinsic_rise : 3; + intrinsic_fall : 3; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n" + intrinsic_rise : 3.48; + intrinsic_fall : 3.48; + } + timing() { + timing_type : falling_edge; + related_pin : "extclk_n" + intrinsic_rise : 3; + intrinsic_fall : 3; + } + } + } + + cell (boostcell) { + pin (interrupt) { direction : output; } + } + + cell (cancell) { + pin (clock) { direction : input; clock: true; } + pin (can_rx) { direction : input; } + pin (can_tx) { direction : output; } + pin (can_tx_en) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (interrupt) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + } + + cell (comparatorcell) { + pin (out) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (clk_udb) { direction : input; } + pin (clock) { direction : input; clock: true; } + } + + cell (capsensecell) { + pin (lft) { direction : input; } + pin (rt) { direction : input; } + } + + cell (csabufcell) { + pin (swon) { direction : input; } + } + + cell (decimatorcell) { + pin (aclock) { direction : input; clock: true; } + pin (mod_dat_0) { direction : input; } + pin (mod_dat_1) { direction : input; } + pin (mod_dat_2) { direction : input; } + pin (mod_dat_3) { direction : input; } + pin (ext_start) { direction : input; } + pin (modrst) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (interrupt) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + } + + cell (dfbcell) { + pin (clock) { direction : input; clock: true; } + pin (in_1) { direction : input; } + pin (in_2) { direction : input; } + pin (out_1) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (out_2) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dmareq_1) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dmareq_2) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (interrupt) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + } + + cell (dsmodcell) { + pin (aclock) { direction : input; clock: true; } + pin (modbitin_udb) { direction : input; } + pin (reset_udb) { direction : input; } + pin (reset_dec) { direction : input; } + pin (dec_clock) { direction : output; } + pin (mod_dat_0) { direction : output; } + pin (mod_dat_1) { direction : output; } + pin (mod_dat_2) { direction : output; } + pin (mod_dat_3) { direction : output; } + pin (dout_udb_0) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_1) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_2) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_3) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_4) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_5) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_6) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (dout_udb_7) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "aclock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (extclk_cp_udb) { direction : input; } + pin (clk_udb) { direction : input; } + } + + cell (emifcell) { + pin (busclk) { direction : input; clock: true; } + pin (EM_clock) { direction : output; } + pin (EM_CEn) { direction : output; } + pin (EM_OEn) { direction : output; } + pin (EM_ADSCn) { direction : output; } + pin (EM_sleep) { direction : output; } + pin (EM_WRn) { direction : output; } + pin (dataport_OE) { direction : output; } + pin (dataport_OEn) { direction : output; } + pin (wr) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "busclk"; + intrinsic_rise : 6.83; + intrinsic_fall : 6.83; + } + } + pin (rd) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "busclk"; + intrinsic_rise : 7.35; + intrinsic_fall : 7.35; + } + } + pin (udb_stall) { direction : input; } + pin (udb_ready) { + direction : input; + timing() { + timing_type : setup_rising; + related_pin : "busclk"; + intrinsic_rise : 0; + intrinsic_fall : 0; + } + timing() { + timing_type : hold_rising; + related_pin : "busclk"; + intrinsic_rise : 2.9; + intrinsic_fall : 2.9; + } + } + } + + cell (i2ccell) { + pin (clock) { direction : input; clock: true; } + pin (scl_in) { direction : input; } + pin (sda_in) { direction : input; } + pin (scl_out) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (sda_out) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (interrupt) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + } + + cell (lcdctrlcell) { + pin (drive_en) { direction : input; } + pin (frame) { direction : input; } + pin (data_clk) { direction : input; } + pin (en_hi) { direction : input; } + pin (dac_dis) { direction : input; } + pin (chop_clk) { direction : input; } + pin (int_clr) { direction : input; } + pin (lp_ack_udb) { direction : input; } + pin (mode_1) { direction : input; } + pin (mode_2) { direction : input; } + pin (interrupt) { direction : output; } + } + + cell (cachecell) { + pin (interrupt) { direction : output; } + } + + cell (lvdcell) { + pin (interrupt) { direction : output; } + } + + cell (pmcell) { + pin (ctw_int) { direction : output; } + pin (ftw_int) { direction : output; } + pin (limact_int) { direction : output; } + pin (onepps_int) { direction : output; } + pin (pm_int) { direction : output; } + } + + cell (sarcell) { + pin (clock) { direction : input; clock: true; } + pin (pump_clock) { direction : input; } + pin (clk_udb) { direction : input; } + pin (sof_udb) { direction : input; } + pin (vp_ctl_udb_0) { direction : input; } + pin (vp_ctl_udb_1) { direction : input; } + pin (vp_ctl_udb_2) { direction : input; } + pin (vp_ctl_udb_3) { direction : input; } + pin (vn_ctl_udb_0) { direction : input; } + pin (vn_ctl_udb_1) { direction : input; } + pin (vn_ctl_udb_2) { direction : input; } + pin (vn_ctl_udb_3) { direction : input; } + bundle (data_out_udb) { + members (data_out_udb_0, data_out_udb_1, data_out_udb_2, data_out_udb_3, + data_out_udb_4, data_out_udb_5, data_out_udb_6, data_out_udb_7, + data_out_udb_8, data_out_udb_9, data_out_udb_10, data_out_udb_11); + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (eof_udb) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (irq) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (next) { + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + } + + cell (sccell) { + pin (aclk) { direction : input; } + pin (bst_clk) { direction : input; } + pin (clk_udb) { direction : input; } + pin (modout) { direction : output; } + pin (dyn_cntl_udb) { direction : input; } + } + + cell (spccell) { + pin (data_ready) { direction : output; } + pin (eeprom_fault_int) { direction : output; } + pin (idle) { direction : output; } + } + + cell (ssccell) { + pin (rst_n) { direction : input; } + pin (scli) { direction : input; } + pin (sdai) { direction : input; } + pin (csel) { direction : input; } + pin (sclo) { direction : output; } + pin (sdao) { direction : output; } + pin (irq) { direction : output; } + } + + cell (tfaultcell) { + pin (tfault_dsi) { direction : output; } + } + + cell (timercell) { + pin (clock) { direction : input; clock: true; } + pin (kill) { direction : input; } + pin (enable) { direction : input; } + pin (capture) { direction : input; } + pin (timer_reset) { direction : input; } + pin (tc){ + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (cmp){ + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + pin (irq){ + direction : output; + timing() { + timing_type : rising_edge; + related_pin : "clock"; + intrinsic_rise : 1.0; + intrinsic_fall : 1.0; + } + } + } + + cell (usbcell) { + pin (sof_int) { direction : output; } + pin (arb_int) { direction : output; } + pin (usb_int) { direction : output; } + pin (ord_int) { direction : output; } + pin (ept_int_0) { direction : output; } + pin (ept_int_1) { direction : output; } + pin (ept_int_2) { direction : output; } + pin (ept_int_3) { direction : output; } + pin (ept_int_4) { direction : output; } + pin (ept_int_5) { direction : output; } + pin (ept_int_6) { direction : output; } + pin (ept_int_7) { direction : output; } + pin (ept_int_8) { direction : output; } + pin (dma_req_0) { direction : output; } + pin (dma_req_1) { direction : output; } + pin (dma_req_2) { direction : output; } + pin (dma_req_3) { direction : output; } + pin (dma_req_4) { direction : output; } + pin (dma_req_5) { direction : output; } + pin (dma_req_6) { direction : output; } + pin (dma_req_7) { direction : output; } + pin (dma_termin) { direction : output; } + } + + cell (vidaccell) { + pin (data_0) { direction : input; } + pin (data_1) { direction : input; } + pin (data_2) { direction : input; } + pin (data_3) { direction : input; } + pin (data_4) { direction : input; } + pin (data_5) { direction : input; } + pin (data_6) { direction : input; } + pin (data_7) { direction : input; } + pin (strobe) { direction : input; } + pin (strobe_udb) { direction : input; } + pin (reset) { direction : input; } + pin (idir) { direction : input; } + pin (ioff) { direction : input; } + } + +/*}*/ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/eeprom.hex b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/eeprom.hex new file mode 100755 index 0000000..e69de29 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/elab_dependencies.txt b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/elab_dependencies.txt new file mode 100755 index 0000000..6da3b47 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/elab_dependencies.txt @@ -0,0 +1,359 @@ +W:\SCSI2SD\USB_Bootloader.cydsn\TopDesign\TopDesign.cysch +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.cycdx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\USBFS_v2_60.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60 +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_audio.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_audio.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_boot.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cdc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cdc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cls.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_descr.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_drv.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_episr.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_hid.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_hid.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_pm.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_std.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_vnd.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_cdc.inf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_midi.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_midi.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\API\USBFS_pvt.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\Properties\Resources.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyadvancedpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyadvancedpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyapicustomizer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudio.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudiodescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudiodescriptorpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdc.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdcdescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdcdescriptorpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycustomizer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsconfig.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsconfig.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsdevice.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsdevice.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsendpoint.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsendpoint.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailshid.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailshid.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsinterface.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsinterface.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailslangid.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailslangid.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsstring.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsstring.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevice.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevicedescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevicedescriptorpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyhiddescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyhiddescriptorpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbase.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbase.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbits.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbits.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportcustom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportcustom.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportlist.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportlist.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportnumber.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportnumber.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportunit.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportunit.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cystringdescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cystringdescriptorpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cytemplates.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyusbdescriptor.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyusbparameters.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudio2_0.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsepmngt.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsepmngt.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymidi.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymididescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymididescriptorpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymiditemplate.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsintassociation.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsintassociation.designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyusbconst.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cywrappercontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cybasedescriptorpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cybasedescriptorpage.designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\Properties\Resources.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyadvancedpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyaudiodescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cycdcdescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsconfig.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsdevice.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsendpoint.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailshid.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsinterface.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailslangid.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsstring.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydevicedescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyhiddescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportbits.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportcustom.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportlist.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportnumber.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cyreportunit.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cystringdescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsepmngt.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cymididescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cydetailsintassociation.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\Custom\cybasedescriptorpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\USBFS_v2_60\PSoC5\USBFS_v2_60.cysch +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_psoc3_usb_v1_0\cy_psoc3_usb_v1_0.cyprimitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_psoc3_usb_v1_0\cy_psoc3_usb_v1_0.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.primitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\cy_isr_v1_70.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_isr_v1_70\PSoC5\API\intc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.cyprimitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\cy_clock_v2_10.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\API\clk.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\API\clk.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_clock_v2_10\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Bootloader_v1_20.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Bootloader_v1_20.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Bootloader_v1_20.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cycustomizer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cygeneralpage.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cygeneralpage.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cyparameters.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cywrappercontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\Properties\Resources.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\cygeneralpage.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\Custom\Properties\Resources.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\PSoC5\API\Bootloader.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\PSoC5\API\Bootloader.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\Bootloader_v1_20\PSoC5\API\Bootloader_PVT.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cyprimitive +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cysym +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.pdf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\cy_pins_v1_90.cystate +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90 +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\custom.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.Designer.cs +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cygeneralcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyinputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cymappingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyoutputcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinaliasdialog.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cypinscontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyporcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cytypecontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\Resource1.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\Custom\cyclockingcontrol.resx +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\aliases.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cyprimitives\CyPrimitives.cylib\cy_pins_v1_90\PSoC5\API\pins.h +W:\SCSI2SD\USB_Bootloader.cydsn\USB_Bootloader.cydwr +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cm3gcc.ld +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\Cm3RealView.scat +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\Cm3Start.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cm3.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cm3_psoc5.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyBootAsmGnu.s +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyBootAsmRv.s +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyDmac.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyDmac.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyFlash.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyFlash.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyLib.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyLib.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cypins.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cyPm.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cyPm.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CySpc.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CySpc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cytypes.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\cyutils.c +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cmFunc.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\core_cmInstr.h +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\Cm3Iar.icf +C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\psoc\content\cycomponentlibrary\CyComponentLibrary.cylib\cy_boot_v4_0\PSoC5\API\CyBootAsmIar.s diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/generated_files.txt b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/generated_files.txt new file mode 100755 index 0000000..0b918a1 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/generated_files.txt @@ -0,0 +1,78 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/lcpsoc3/index b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/lcpsoc3/index new file mode 100755 index 0000000000000000000000000000000000000000..ad1d54387b19e244559af2db18871ad9407484f9 GIT binary patch literal 1792 zcmZQzVPQZ3CI*2Y5@0TfY(Pjbm;l8kbrzO0FzA3q3v_iDT!3O$Krs;81}NHdU_tqw zAAIE?b_!4~2Z%X*8ffNT&DD3L1%vG)LRuazeQD^Lui9u6M-t>=Mq7=g5IqMZeZ z3sU1);K9HQq(K-Cfa*^G%_;@TiEu_U@cfiuaI%M(>jR|UCP4Iq)dSUnXpp%edvAc; dG}8Ug3JMJv7=iH*^ZF<~8UiCR1V(uN2LRk|vKasX literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/liberty_reader.log b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/liberty_reader.log new file mode 100755 index 0000000..d5e84d5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/liberty_reader.log @@ -0,0 +1,10 @@ + ... including file device.lib +Error: space must precede Colon (:) at line 2617 +Error: space must precede Colon (:) at line 2651 +Error: space must precede Colon (:) at line 2664 +Error: space must precede Colon (:) at line 2691 +Error: space must precede Colon (:) at line 2742 +Error: space must precede Colon (:) at line 2828 +Error: space must precede Colon (:) at line 2874 +Error: space must precede Colon (:) at line 2937 +Error: space must precede Colon (:) at line 3019 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/placer.log b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/placer.log new file mode 100755 index 0000000..ee39a72 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/placer.log @@ -0,0 +1,76 @@ +#*************************************************************************** + +#sjplacer + +#Version: 1.1 + +#Build Date: Mar 26 2013 14:54:34 + +#File Generated: Oct 26 2013 18:55:19 + +#Purpose: + +#Copyright (C) 2010-2011 by Softjin Technologies Pvt Ltd. All rights reserved. + +#*************************************************************************** + +Executing : C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\bin/sjplacer.exe --proj-name USB_Bootloader --netlist-vh2 USB_Bootloader_p.vh2 --arch-file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc3/placer.ark --rrg-file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc5/psoc5lp/route_arch-rrg.cydata --irq-file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc5/psoc5lp/irqconn.cydata --drq-file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc5/psoc5lp/dmaconn.cydata --dsi-conn-file C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc5/psoc5lp/dsiconn.cydata --pins-file PSoC5_PSoC5LP_100-TQFP.xml --lib-file USB_Bootloader_p.lib --sdc-file USB_Bootloader.sdc --io-pcf USB_Bootloader.pci --outdir . + + Softjin Techologies Placer, Version 1.1 + +Build Date : Jul 22 2013 11:20:09 + +D2004: Option and Settings Summary +============================================================= +Netlist vh2 file - USB_Bootloader_p.vh2 +Architecture file - C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc3/placer.ark +Package - +Defparam file - +SDC file - USB_Bootloader.sdc +Output directory - . +Timing library - USB_Bootloader_p.lib +IO Placement file - USB_Bootloader.pci + +D2050: Starting reading inputs for placer +============================================================= +D2065: Reading netlist file : "USB_Bootloader_p.vh2" +D2065: Reading arch file : "C:\Program Files (x86)\Cypress\PSoC Creator\3.0\PSoC Creator\dev/psoc3/placer.ark" +D2051: Reading of inputs for placer completed successfully + +D2053: Starting placement of the design +============================================================= + +Phase 2 +Phase 3 +I2659: No Constrained paths were found. The placer will run in non-timing driven mode. + +Design Statistics after Packing + Number of Combinational MCs : 0 + Number of Sequential MCs : 0 + Number of DPs : 0 + Number of Controls : 0 + Number of Status : 0 + Number of SyncCells : 0 + Number of count7cells : 0 + +Device Utilization Summary after Packing + Macrocells : 0/192 + UDBS : 0/24 + IOs : 20/72 + + +D2088: Phase 3, elapsed time : 0.0 (sec) + +Phase 4 +D2088: Phase 4, elapsed time : 0.0 (sec) + +Phase 5 +D2088: Phase 5, elapsed time : 0.0 (sec) + +Phase 8 +D2088: Phase 8, elapsed time : 0.0 (sec) + +D2054: Placement of the design completed successfully + +I2076: Total run-time: 1.3 sec. + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/project.h b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/project.h new file mode 100755 index 0000000..c454b9a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/project.h @@ -0,0 +1,49 @@ +/******************************************************************************* + * File Name: project.h + * PSoC Creator 3.0 + * + * Description: + * This file is automatically generated by PSoC Creator and should not + * be edited by hand. + * + * + ******************************************************************************** + * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + * You may use this file only in accordance with the license, terms, conditions, + * disclaimers, and limitations in the end user license agreement accompanying + * the software package with which this file was provided. + ********************************************************************************/ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +/*[]*/ + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/protect.hex b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/protect.hex new file mode 100755 index 0000000..8a6ef43 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/protect.hex @@ -0,0 +1,3 @@ +:4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000C0 +:400040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080 +:00000001FF diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/warp_dependencies.txt b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/warp_dependencies.txt new file mode 100755 index 0000000..62f60e7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/codegentemp/warp_dependencies.txt @@ -0,0 +1,6 @@ +C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/3.0/PSoC\ Creator/warp/lib/ieee/work/stdlogic.vif : + +C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/3.0/PSoC\ Creator/warp/lib/common/stdlogic/mod_genv.vif : + +C:/Program\ Files\ (x86)/Cypress/PSoC\ Creator/3.0/PSoC\ Creator/warp/lib/common/stdlogic/rtlpkg.vif : + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/main.c b/software/SCSI2SD/USB_Bootloader.cydsn/main.c new file mode 100755 index 0000000..b557b7b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/main.c @@ -0,0 +1,54 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#include + +static void resetSCSI() +{ + CyPins_ClearPin(SCSI_Out_IO_raw); + CyPins_ClearPin(SCSI_Out_ATN); + CyPins_ClearPin(SCSI_Out_BSY); + CyPins_ClearPin(SCSI_Out_ACK); + CyPins_ClearPin(SCSI_Out_RST); + CyPins_ClearPin(SCSI_Out_SEL); + CyPins_ClearPin(SCSI_Out_REQ); + CyPins_ClearPin(SCSI_Out_MSG); + CyPins_ClearPin(SCSI_Out_CD); + CyPins_ClearPin(SCSI_Out_DBx_DB0); + CyPins_ClearPin(SCSI_Out_DBx_DB1); + CyPins_ClearPin(SCSI_Out_DBx_DB2); + CyPins_ClearPin(SCSI_Out_DBx_DB3); + CyPins_ClearPin(SCSI_Out_DBx_DB4); + CyPins_ClearPin(SCSI_Out_DBx_DB5); + CyPins_ClearPin(SCSI_Out_DBx_DB6); + CyPins_ClearPin(SCSI_Out_DBx_DB7); + CyPins_ClearPin(SCSI_Out_DBP_raw); +} + +void main() +{ + resetSCSI(); + + // The call to the bootloader should not return + CyBtldr_Start(); + + /* CyGlobalIntEnable; */ /* Uncomment this line to enable global interrupts. */ + for(;;) + { + /* Place your application code here. */ + } +} + diff --git a/software/bootloaderhost/Makefile b/software/bootloaderhost/Makefile new file mode 100644 index 0000000..ce317ae --- /dev/null +++ b/software/bootloaderhost/Makefile @@ -0,0 +1,4 @@ +all: bootloaderhost + +bootloaderhost: main.c + gcc -g -I cybootloaderutils -I hidapi/hidapi main.c hidapi/linux/hid.c cybootloaderutils/cybtldr_api2.c cybootloaderutils/cybtldr_api.c cybootloaderutils/cybtldr_command.c cybootloaderutils/cybtldr_parse.c -ludev -o $@ diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_api.c b/software/bootloaderhost/cybootloaderutils/cybtldr_api.c new file mode 100644 index 0000000..b58c5fd --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_api.c @@ -0,0 +1,303 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include "cybtldr_command.h" +#include "cybtldr_api.h" + +/* The highest number of flash array for any device */ +#define MAX_FLASH_ARRAYS 4 +/* The default value if a flash array has not yet received data */ +#define NO_FLASH_ARRAY_DATA 0 + +unsigned long g_validRows[MAX_FLASH_ARRAYS]; +static CyBtldr_CommunicationsData* g_comm; + +int CyBtldr_TransferData(unsigned char* inBuf, int inSize, unsigned char* outBuf, int outSize) +{ + int err = g_comm->WriteData(inBuf, inSize); + + if (CYRET_SUCCESS == err) + err = g_comm->ReadData(outBuf, outSize); + + if (CYRET_SUCCESS != err) + err |= CYRET_ERR_COMM_MASK; + + return err; +} + +int CyBtldr_ValidateRow(unsigned char arrayId, unsigned short rowNum) +{ + unsigned long inSize; + unsigned long outSize; + unsigned short minRow = 0; + unsigned short maxRow = 0; + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned char status = CYRET_SUCCESS; + int err = CYRET_SUCCESS; + + if (arrayId < MAX_FLASH_ARRAYS) + { + if (NO_FLASH_ARRAY_DATA == g_validRows[arrayId]) + { + err = CyBtldr_CreateGetFlashSizeCmd(arrayId, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseGetFlashSizeCmdResult(outBuf, outSize, &minRow, &maxRow, &status); + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + + if (CYRET_SUCCESS == err) + { + if (CYRET_SUCCESS == status) + g_validRows[arrayId] = (minRow << 16) + maxRow; + else + err = status | CYRET_ERR_BTLDR_MASK; + } + } + if (CYRET_SUCCESS == err) + { + minRow = (unsigned short)(g_validRows[arrayId] >> 16); + maxRow = (unsigned short)g_validRows[arrayId]; + if (rowNum < minRow || rowNum > maxRow) + err = CYRET_ERR_ROW; + } + } + else + err = CYRET_ERR_ARRAY; + + return err; +} + + +int CyBtldr_StartBootloadOperation(CyBtldr_CommunicationsData* comm, unsigned long expSiId, unsigned char expSiRev, unsigned long* blVer) +{ + const unsigned long SUPPORTED_BOOTLOADER = 0x010000; + const unsigned long BOOTLOADER_VERSION_MASK = 0xFF0000; + unsigned long i; + unsigned long inSize = 0; + unsigned long outSize = 0; + unsigned long siliconId = 0; + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned char siliconRev = 0; + unsigned char status = CYRET_SUCCESS; + int err; + + g_comm = comm; + for (i = 0; i < MAX_FLASH_ARRAYS; i++) + g_validRows[i] = NO_FLASH_ARRAY_DATA; + + err = g_comm->OpenConnection(); + if (CYRET_SUCCESS != err) + err |= CYRET_ERR_COMM_MASK; + + if (CYRET_SUCCESS == err) + err = CyBtldr_CreateEnterBootLoaderCmd(inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseEnterBootLoaderCmdResult(outBuf, outSize, &siliconId, &siliconRev, blVer, &status); + + + if (CYRET_SUCCESS == err) + { + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + if (expSiId != siliconId || expSiRev != siliconRev) + err = CYRET_ERR_DEVICE; + else if ((*blVer & BOOTLOADER_VERSION_MASK) != SUPPORTED_BOOTLOADER) + err = CYRET_ERR_VERSION; + } + + return err; +} + +int CyBtldr_GetApplicationStatus(unsigned char appID, unsigned char* isValid, unsigned char* isActive) +{ + unsigned long inSize = 0; + unsigned long outSize = 0; + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned char status = CYRET_SUCCESS; + int err; + + err = CyBtldr_CreateGetAppStatusCmd(appID, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseGetAppStatusCmdResult(outBuf, outSize, isValid, isActive, &status); + + if (CYRET_SUCCESS == err) + { + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + } + + return err; +} + +int CyBtldr_SetApplicationStatus(unsigned char appID) +{ + unsigned long inSize = 0; + unsigned long outSize = 0; + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned char status = CYRET_SUCCESS; + int err; + + err = CyBtldr_CreateSetActiveAppCmd(appID, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseSetActiveAppCmdResult(outBuf, outSize, &status); + + if (CYRET_SUCCESS == err) + { + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + } + + return err; +} + +int CyBtldr_EndBootloadOperation(void) +{ + const unsigned char RESET = 0x00; + unsigned long inSize; + unsigned long outSize; + unsigned char inBuf[MAX_COMMAND_SIZE]; + + int err = CyBtldr_CreateExitBootLoaderCmd(RESET, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + { + err = g_comm->WriteData(inBuf, inSize); + + if (CYRET_SUCCESS == err) + err = g_comm->CloseConnection(); + + if (CYRET_SUCCESS != err) + err |= CYRET_ERR_COMM_MASK; + } + g_comm = NULL; + + return err; +} + +int CyBtldr_ProgramRow(unsigned char arrayID, unsigned short rowNum, unsigned char* buf, unsigned short size) +{ + const int TRANSFER_HEADER_SIZE = 11; + + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned long inSize; + unsigned long outSize; + unsigned long offset = 0; + unsigned short subBufSize; + unsigned char status = CYRET_SUCCESS; + + int err = CyBtldr_ValidateRow(arrayID, rowNum); + + //Break row into pieces to ensure we don't send too much for the transfer protocol + while ((CYRET_SUCCESS == err) && ((size - offset + TRANSFER_HEADER_SIZE) > g_comm->MaxTransferSize)) + { + subBufSize = (unsigned short)(g_comm->MaxTransferSize - TRANSFER_HEADER_SIZE); + + err = CyBtldr_CreateSendDataCmd(&buf[offset], subBufSize, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseSendDataCmdResult(outBuf, outSize, &status); + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + + offset += subBufSize; + } + + if (CYRET_SUCCESS == err) + { + subBufSize = (unsigned short)(size - offset); + + err = CyBtldr_CreateProgramRowCmd(arrayID, rowNum, &buf[offset], subBufSize, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseProgramRowCmdResult(outBuf, outSize, &status); + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + } + + return err; +} + +int CyBtldr_EraseRow(unsigned char arrayID, unsigned short rowNum) +{ + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned long inSize = 0; + unsigned long outSize = 0; + unsigned char status = CYRET_SUCCESS; + + int err = CyBtldr_ValidateRow(arrayID, rowNum); + if (CYRET_SUCCESS == err) + err = CyBtldr_CreateEraseRowCmd(arrayID, rowNum, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseEraseRowCmdResult(outBuf, outSize, &status); + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + + return err; +} + +int CyBtldr_VerifyRow(unsigned char arrayID, unsigned short rowNum, unsigned char checksum) +{ + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned long inSize = 0; + unsigned long outSize = 0; + unsigned char rowChecksum = 0; + unsigned char status = CYRET_SUCCESS; + + int err = CyBtldr_ValidateRow(arrayID, rowNum); + if (CYRET_SUCCESS == err) + err = CyBtldr_CreateVerifyRowCmd(arrayID, rowNum, inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseVerifyRowCmdResult(outBuf, outSize, &rowChecksum, &status); + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + if ((CYRET_SUCCESS == err) && (rowChecksum != checksum)) + err = CYRET_ERR_CHECKSUM; + + return err; +} + +int CyBtldr_VerifyApplication() +{ + unsigned char inBuf[MAX_COMMAND_SIZE]; + unsigned char outBuf[MAX_COMMAND_SIZE]; + unsigned long inSize = 0; + unsigned long outSize = 0; + unsigned char checksumValid = 0; + unsigned char status = CYRET_SUCCESS; + + int err = CyBtldr_CreateVerifyChecksumCmd(inBuf, &inSize, &outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_TransferData(inBuf, inSize, outBuf, outSize); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseVerifyChecksumCmdResult(outBuf, outSize, &checksumValid, &status); + if (CYRET_SUCCESS != status) + err = status | CYRET_ERR_BTLDR_MASK; + if ((CYRET_SUCCESS == err) && (!checksumValid)) + err = CYRET_ERR_CHECKSUM; + + return err; +} diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_api.h b/software/bootloaderhost/cybootloaderutils/cybtldr_api.h new file mode 100644 index 0000000..feafe99 --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_api.h @@ -0,0 +1,258 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef __CYBTLDR_API_H__ +#define __CYBTLDR_API_H__ + +#include "cybtldr_utils.h" + +/* + * This struct defines all of the items necessary for the bootloader + * host to communicate over an arbitrary communication protocol. The + * caller must provide implementations of these items to use their + * deisred communication protocol. + */ +typedef struct +{ + /* Function used to open the communications connection */ + int (*OpenConnection)(void); + /* Function used to close the communications connection */ + int (*CloseConnection)(void); + /* Function used to read data over the communications connection */ + int (*ReadData)(unsigned char*, int); + /* Function used to write data over the communications connection */ + int (*WriteData)(unsigned char*, int); + /* Value used to specify the maximum number of bytes that can be trasfered at a time */ + unsigned int MaxTransferSize; +} CyBtldr_CommunicationsData; + + + +/******************************************************************************* +* Function Name: CyBtldr_TransferData +******************************************************************************** +* Summary: +* This function is responsible for transfering a buffer of data to the target +* device and then reading a response packet back from the device. +* +* Parameters: +* inBuf - The buffer containing data to send to the target device +* inSize - The number of bytes to send to the target device +* outBuf - The buffer to store the data read from the device +* outSize - The number of bytes to read from the target device +* +* Returns: +* CYRET_SUCCESS - The transfer completed successfully +* CYRET_ERR_COMM - There was a communication error talking to the device +* +*******************************************************************************/ +int CyBtldr_TransferData(unsigned char* inBuf, int inSize, unsigned char* outBuf, int outSize); + +/******************************************************************************* +* Function Name: CyBtldr_ValidateRow +******************************************************************************** +* Summary: +* This function is responsible for verifying that the provided arrayId and +* row number are valid for a bootload operation. +* +* Parameters: +* arrayId - The array to check +* rowNum - The row number within the array to check +* +* Returns: +* CYRET_SUCCESS - The array and row are available for communication +* CYRET_ERR_ARRAY - The array is not valid for communication +* CYRET_ERR_ROW - The array/row number is not valid for communication +* +*******************************************************************************/ +int CyBtldr_ValidateRow(unsigned char arrayId, unsigned short rowNum); + +/******************************************************************************* +* Function Name: CyBtldr_StartBootloadOperation +******************************************************************************** +* Summary: +* Initiates a new bootload operation. This must be called before any other +* request to send data to the bootloader. A corresponding call to +* CyBtldr_EndBootloadOperation() should be made once all transactions are +* complete. +* +* Parameters: +* comm – Communication struct used for communicating with the target device +* expSiId - The Silicon ID of the device we expect to communicate with +* expSiRev - The Silicon Rev of the device we expect to communicate with +* blVer - The Bootloader version that is running on the device +* +* Returns: +* CYRET_SUCCESS - The start request was sent successfully +* CYRET_ERR_DEVICE - The detected device does not match the desired device +* CYRET_ERR_VERSION - The detected bootloader version is not compatible +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* +*******************************************************************************/ +EXTERN int CyBtldr_StartBootloadOperation(CyBtldr_CommunicationsData* comm, unsigned long expSiId, unsigned char expSiRev, unsigned long* blVer); + +/******************************************************************************* +* Function Name: CyBtldr_EndBootloadOperation +******************************************************************************** +* Summary: +* Terminates the current bootload operation. This should be called once all +* bootload commands have been sent and no more communication is desired. +* +* Parameters: +* void. +* +* Returns: +* CYRET_SUCCESS - The end request was sent successfully +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* +*******************************************************************************/ +EXTERN int CyBtldr_EndBootloadOperation(void); + +/******************************************************************************* +* Function Name: CyBtldr_GetApplicationStatus +******************************************************************************** +* Summary: +* Gets the status for the provided application id. The status includes whether +* the application is valid and whether it is currently marked as active. This +* should be called immediatly after enter bootloader in order to determine if +* the application is suitable for bootloading. +* NOTE: This is only valid for multi application bootloaders. +* +* Parameters: +* appID - The application ID to get status information for +* isValid - Is the provided application valid to be executed +* isActive - Is the provided application already marked as the active app +* +* Returns: +* CYRET_SUCCESS - The end request was sent successfully +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ERR_LENGTH- The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* +*******************************************************************************/ +EXTERN int CyBtldr_GetApplicationStatus(unsigned char appID, unsigned char* isValid, unsigned char* isActive); + +/******************************************************************************* +* Function Name: CyBtldr_SetApplicationStatus +******************************************************************************** +* Summary: +* Sets the application that the bootloader will run. This should be called +* after a new application has been programmed in and verified +* NOTE: This is only valid for multi application bootloaders. +* +* Parameters: +* appID - The application ID to set as the active application +* +* Returns: +* CYRET_SUCCESS - The end request was sent successfully +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ERR_LENGTH- The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_APP - The application is not valid and cannot be set as active +* +*******************************************************************************/ +EXTERN int CyBtldr_SetApplicationStatus(unsigned char appID); + +/******************************************************************************* +* Function Name: CyBtldr_ProgramRow +******************************************************************************** +* Summary: +* Sends a single row of data to the bootloader to be programmed into flash +* +* Parameters: +* arrayID – The flash array that is to be reprogrammed +* rowNum – The row number within the array that is to be reprogrammed +* buf – The buffer of data to program into the devices flash +* size – The number of bytes in data that need to be sent to the bootloader +* +* Returns: +* CYRET_SUCCESS - The row was programmed successfully +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_ACTIVE - The application is currently marked as active +* +*******************************************************************************/ +EXTERN int CyBtldr_ProgramRow(unsigned char arrayID, unsigned short rowNum, unsigned char* buf, unsigned short size); + +/******************************************************************************* +* Function Name: CyBtldr_EraseRow +******************************************************************************** +* Summary: +* Erases a single row of flash data from the device. +* +* Parameters: +* arrayID – The flash array that is to have a row erased +* rowNum – The row number within the array that is to be erased +* +* Returns: +* CYRET_SUCCESS - The row was erased successfully +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ERR_ACTIVE - The application is currently marked as active +* +*******************************************************************************/ +EXTERN int CyBtldr_EraseRow(unsigned char arrayID, unsigned short rowNum); + +/******************************************************************************* +* Function Name: CyBtldr_VerifyRow +******************************************************************************** +* Summary: +* Verifies that the data contained within the specified flash array and row +* matches the expected value. +* +* Parameters: +* arrayID – The flash array that is to be verified +* rowNum – The row number within the array that is to be verified +* checksum – The expected checksum value for the row +* +* Returns: +* CYRET_SUCCESS - The row was verified successfully +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_CHECKSUM - The checksum does not match the expected value +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* +*******************************************************************************/ +EXTERN int CyBtldr_VerifyRow(unsigned char arrayID, unsigned short rowNum, unsigned char checksum); + +/******************************************************************************* +* Function Name: CyBtldr_VerifyApplication +******************************************************************************** +* Summary: +* Verifies that the checksum for the entire bootloadable application matches +* the expected value. This is used to verify that the entire bootloadable +* image is valid and ready to execute. +* +* Parameters: +* void +* +* Returns: +* CYRET_SUCCESS - The application was verified successfully +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_CHECKSUM - The checksum does not match the expected value +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* +*******************************************************************************/ +EXTERN int CyBtldr_VerifyApplication(); + +#endif diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_api2.c b/software/bootloaderhost/cybootloaderutils/cybtldr_api2.c new file mode 100644 index 0000000..cb1c1e4 --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_api2.c @@ -0,0 +1,177 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include +#include "cybtldr_parse.h" +#include "cybtldr_command.h" +#include "cybtldr_api.h" +#include "cybtldr_api2.h" + +unsigned char g_abort; + +int CyBtldr_RunAction(CyBtldr_Action action, const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update) +{ + const unsigned long BL_VER_SUPPORT_VERIFY = 0x010214; /* Support for full flash verify added in v2.20 of cy_boot */ + const unsigned char INVALID_APP = 0xFF; + + unsigned long blVer = 0; + unsigned long siliconId = 0; + unsigned short rowNum = 0; + unsigned short bufSize = 0; + unsigned char siliconRev = 0; + unsigned char chksumtype = SUM_CHECKSUM; + unsigned char checksum = 0; + unsigned char checksum2 = 0; + unsigned char arrayId = 0; + unsigned char appId; + unsigned char isValid; + unsigned char isActive; + unsigned char buffer[MAX_BUFFER_SIZE]; + char line[MAX_BUFFER_SIZE]; + unsigned int lineLen; + char * app; + int err; + + g_abort = 0; + + err = CyBtldr_OpenDataFile(file); + if (CYRET_SUCCESS == err) + { + err = CyBtldr_ReadLine(&lineLen, line); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseHeader(lineLen, line, &siliconId, &siliconRev, &chksumtype); + + if (CYRET_SUCCESS == err) + { + CyBtldr_SetCheckSumType(chksumtype); + err = CyBtldr_StartBootloadOperation(comm, siliconId, siliconRev, &blVer); + } + + app = strrchr(file, '_'); + appId = (app != NULL && '.' == app[2]) + ? (CyBtldr_FromHex(app[1]) - 1) + : INVALID_APP; + + if (CYRET_SUCCESS == err && INVALID_APP != appId) + { + /* NB: This block of code will still run for single app if file */ + /* name format follows same as multi app (e.g. myfile_1.cyacd) */ + + /* This will return error if bootloader is for single app */ + err = CyBtldr_GetApplicationStatus(appId, &isValid, &isActive); + + /* Active app can be verified, but not programmed or erased */ + if (CYRET_SUCCESS == err && VERIFY != action && isActive) + { + /* This is multi app */ + err = CYRET_ERR_ACTIVE; + } + else if (CYBTLDR_STAT_ERR_CMD == (err ^ (int)CYRET_ERR_BTLDR_MASK)) + { + /* Single app - restore previous CYRET_SUCCESS */ + err = CYRET_SUCCESS; + } + } + + if (CYRET_SUCCESS == err) + { + while (CYRET_SUCCESS == err) + { + if (g_abort) + { + err = CYRET_ABORT; + break; + } + + err = CyBtldr_ReadLine(&lineLen, line); + if (CYRET_SUCCESS == err) + err = CyBtldr_ParseRowData(lineLen, line, &arrayId, &rowNum, buffer, &bufSize, &checksum); + if (CYRET_SUCCESS == err) + { + switch (action) + { + case ERASE: + err = CyBtldr_EraseRow(arrayId, rowNum); + break; + case PROGRAM: + err = CyBtldr_ProgramRow(arrayId, rowNum, buffer, bufSize); + if (CYRET_SUCCESS != err) + break; + /* Continue on to verify the row that was programmed */ + case VERIFY: + checksum2 = (unsigned char)(checksum + arrayId + rowNum + (rowNum >> 8) + bufSize + (bufSize >> 8)); + err = CyBtldr_VerifyRow(arrayId, rowNum, checksum2); + break; + } + if (CYRET_SUCCESS == err && NULL != update) + update(arrayId, rowNum); + } + else if (CYRET_ERR_EOF == err) + { + err = CYRET_SUCCESS; + break; + } + } + + if (CYRET_SUCCESS == err) + { + /* Set the active application to what was just programmed */ + if (PROGRAM == action && INVALID_APP != appId) + { + err = CyBtldr_GetApplicationStatus(appId, &isValid, &isActive); + + if (CYRET_SUCCESS == err) + { + /* If valid set the active application to what was just programmed */ + /* This is multi app */ + err = (0 == isValid) + ? CyBtldr_SetApplicationStatus(appId) + : CYRET_ERR_CHECKSUM; + } + else if (CYBTLDR_STAT_ERR_CMD == (err ^ (int)CYRET_ERR_BTLDR_MASK)) + { + /* Single app - restore previous CYRET_SUCCESS */ + err = CYRET_SUCCESS; + } + } + + /* Verify that the entire application is valid */ + else if ((PROGRAM == action || VERIFY == action) && (blVer >= BL_VER_SUPPORT_VERIFY)) + err = CyBtldr_VerifyApplication(); + } + + CyBtldr_EndBootloadOperation(); + } + else if (CYRET_ERR_COMM_MASK != (CYRET_ERR_COMM_MASK & err)) + CyBtldr_EndBootloadOperation(); + + CyBtldr_CloseDataFile(); + } + + return err; +} + +int CyBtldr_Program(const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update) +{ + return CyBtldr_RunAction(PROGRAM, file, comm, update); +} + +int CyBtldr_Erase(const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update) +{ + return CyBtldr_RunAction(ERASE, file, comm, update); +} + +int CyBtldr_Verify(const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update) +{ + return CyBtldr_RunAction(VERIFY, file, comm, update); +} + +int CyBtldr_Abort(void) +{ + g_abort = 1; + return CYRET_SUCCESS; +} diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_api2.h b/software/bootloaderhost/cybootloaderutils/cybtldr_api2.h new file mode 100644 index 0000000..0755fcc --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_api2.h @@ -0,0 +1,162 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef __CYBTLDR_API2_H__ +#define __CYBTLDR_API2_H__ + +#include "cybtldr_utils.h" + +/* + * This enum defines the different operations that can be performed + * by the bootloader host. + */ +typedef enum +{ + /* Perform a Program operation*/ + PROGRAM, + /* Perform an Erase operation */ + ERASE, + /* Perform a Verify operation */ + VERIFY, +} CyBtldr_Action; + +/* Function used to notify caller that a row was finished */ +typedef void CyBtldr_ProgressUpdate(unsigned char arrayId, unsigned short rowNum); + + +/******************************************************************************* +* Function Name: CyBtldr_RunAction +******************************************************************************** +* Summary: +* +* +* Parameters: +* action - The action to execute +* file – The full canonical path to the *.cyacd file to open +* comm – Communication struct used for communicating with the target device +* update - Optional function pointer to use to notify of progress updates +* +* Returns: +* CYRET_SUCCESS - The device was programmed successfully +* CYRET_ERR_DEVICE - The detected device does not match the desired device +* CYRET_ERR_VERSION - The detected bootloader version is not compatible +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_CHECKSUM - The checksum does not match the expected value +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ABORT - The operation was aborted +* +*******************************************************************************/ +int CyBtldr_RunAction(CyBtldr_Action action, const char* file, + CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update); + +/******************************************************************************* +* Function Name: CyBtldr_Program +******************************************************************************** +* Summary: +* This function reprograms the bootloadable portion of the PSoC’s flash with +* the contents of the provided *.cyacd file. +* +* Parameters: +* file – The full canonical path to the *.cyacd file to open +* comm – Communication struct used for communicating with the target device +* update - Optional function pointer to use to notify of progress updates +* +* Returns: +* CYRET_SUCCESS - The device was programmed successfully +* CYRET_ERR_DEVICE - The detected device does not match the desired device +* CYRET_ERR_VERSION - The detected bootloader version is not compatible +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ABORT - The operation was aborted +* +*******************************************************************************/ +EXTERN int CyBtldr_Program(const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update); + +/******************************************************************************* +* Function Name: CyBtldr_Erase +******************************************************************************** +* Summary: +* This function erases the bootloadable portion of the PSoC’s flash contained +* within the specified *.cyacd file. +* +* +* Parameters: +* file – The full canonical path to the *.cyacd file to open +* comm – Communication struct used for communicating with the target device +* update - Optional function pointer to use to notify of progress updates +* +* Returns: +* CYRET_SUCCESS - The device was erased successfully +* CYRET_ERR_DEVICE - The detected device does not match the desired device +* CYRET_ERR_VERSION - The detected bootloader version is not compatible +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ABORT - The operation was aborted +* +*******************************************************************************/ +EXTERN int CyBtldr_Erase(const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update); + +/******************************************************************************* +* Function Name: CyBtldr_Verify +******************************************************************************** +* Summary: +* This function verifies the contents of bootloadable portion of the PSoC’s +* flash with the contents of the provided *.cyacd file. +* +* Parameters: +* file – The full canonical path to the *.cyacd file to open +* comm – Communication struct used for communicating with the target device +* update - Optional function pointer to use to notify of progress updates +* +* Returns: +* CYRET_SUCCESS - The device’s flash image was verified successfully +* CYRET_ERR_DEVICE - The detected device does not match the desired device +* CYRET_ERR_VERSION - The detected bootloader version is not compatible +* CYRET_ERR_LENGTH - The result packet does not have enough data +* CYRET_ERR_DATA - The result packet does not contain valid data +* CYRET_ERR_ARRAY - The array is not valid for programming +* CYRET_ERR_ROW - The array/row number is not valid for programming +* CYRET_ERR_CHECKSUM - The checksum does not match the expected value +* CYRET_ERR_BTLDR - The bootloader experienced an error +* CYRET_ERR_COMM - There was a communication error talking to the device +* CYRET_ABORT - The operation was aborted +* +*******************************************************************************/ +EXTERN int CyBtldr_Verify(const char* file, CyBtldr_CommunicationsData* comm, CyBtldr_ProgressUpdate* update); + +/******************************************************************************* +* Function Name: CyBtldr_Abort +******************************************************************************** +* Summary: +* This function aborts the current operation, whether it be Programming, +* Erasing, or Verifying. This is done by setting a global flag that the +* Program, Erase & Verify operations check at the end of each row operation. +* Since all calls are blocking, this will need to be called from a different +* execution thread. +* +* Parameters: +* void. +* +* Returns: +* CYRET_SUCCESS - The abort was sent successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_Abort(void); + +#endif diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_command.c b/software/bootloaderhost/cybootloaderutils/cybtldr_command.c new file mode 100644 index 0000000..a95e0f4 --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_command.c @@ -0,0 +1,435 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include "cybtldr_command.h" + + +/* Variable used to store the currently selected packet checksum type */ +CyBtldr_ChecksumType CyBtldr_Checksum = SUM_CHECKSUM; + +unsigned short CyBtldr_ComputeChecksum(unsigned char* buf, unsigned long size) +{ + if (CyBtldr_Checksum == CRC_CHECKSUM) + { + unsigned short crc = 0xffff; + unsigned short tmp; + int i; + + if (size == 0) + return (~crc); + + do + { + for (i = 0, tmp = 0x00ff & *buf++; i < 8; i++, tmp >>= 1) + { + if ((crc & 0x0001) ^ (tmp & 0x0001)) + crc = (crc >> 1) ^ 0x8408; + else + crc >>= 1; + } + } + while (--size); + + crc = ~crc; + tmp = crc; + crc = (crc << 8) | (tmp >> 8 & 0xFF); + + return crc; + } + else /* SUM_CHECKSUM */ + { + unsigned short sum = 0; + while (size-- > 0) + sum += *buf++; + + return (1 + ~sum); + } +} + +void CyBtldr_SetCheckSumType(CyBtldr_ChecksumType chksumType) +{ + CyBtldr_Checksum = chksumType; +} + +int CyBtldr_ParseDefaultCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status) +{ + int err = CYRET_SUCCESS; + if (cmdSize != BASE_CMD_SIZE) + err = CYRET_ERR_LENGTH; + else if (cmdBuf[1] != CYRET_SUCCESS) + err = CYRET_ERR_BTLDR_MASK | (*status = cmdBuf[1]); + else if (cmdBuf[0] != CMD_START || cmdBuf[2] != 0 || cmdBuf[3] != 0 || cmdBuf[6] != CMD_STOP) + err = CYRET_ERR_DATA; + else + *status = cmdBuf[1]; + + return err; +} + +int CyBtldr_CreateEnterBootLoaderCmd(unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long RESULT_DATA_SIZE = 8; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE + RESULT_DATA_SIZE; + *cmdSize = BASE_CMD_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_ENTER_BOOTLOADER; + cmdBuf[2] = 0; + cmdBuf[3] = 0; + checksum = CyBtldr_ComputeChecksum(cmdBuf, BASE_CMD_SIZE - 3); + cmdBuf[4] = (unsigned char)checksum; + cmdBuf[5] = (unsigned char)(checksum >> 8); + cmdBuf[6] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseEnterBootLoaderCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned long* siliconId, unsigned char* siliconRev, unsigned long* blVersion, unsigned char* status) +{ + const unsigned long RESULT_DATA_SIZE = 8; + const unsigned long RESULT_SIZE = BASE_CMD_SIZE + RESULT_DATA_SIZE; + int err = CYRET_SUCCESS; + + if (cmdSize != RESULT_SIZE) + err = CYRET_ERR_LENGTH; + else if (cmdBuf[1] != CYRET_SUCCESS) + err = CYRET_ERR_BTLDR_MASK | (*status = cmdBuf[1]); + else if (cmdBuf[0] != CMD_START || cmdBuf[2] != RESULT_DATA_SIZE || cmdBuf[3] != (RESULT_DATA_SIZE >> 8) || cmdBuf[RESULT_SIZE - 1] != CMD_STOP) + err = CYRET_ERR_DATA; + else + { + *siliconId = (cmdBuf[7] << 24) | (cmdBuf[6] << 16) | (cmdBuf[5] << 8) | cmdBuf[4]; + *siliconRev = cmdBuf[8]; + *blVersion = (cmdBuf[11] << 16) | (cmdBuf[10] << 8) | cmdBuf[9]; + *status = cmdBuf[1]; + } + + return err; +} + +int CyBtldr_CreateExitBootLoaderCmd(unsigned char resetType, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long COMMAND_DATA_SIZE = 1; + const unsigned int COMMAND_SIZE = BASE_CMD_SIZE + COMMAND_DATA_SIZE; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE; + *cmdSize = COMMAND_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_EXIT_BOOTLOADER; + cmdBuf[2] = (unsigned char)COMMAND_DATA_SIZE; + cmdBuf[3] = (unsigned char)(COMMAND_DATA_SIZE >> 8); + cmdBuf[4] = resetType; + checksum = CyBtldr_ComputeChecksum(cmdBuf, COMMAND_SIZE - 3); + cmdBuf[5] = (unsigned char)checksum; + cmdBuf[6] = (unsigned char)(checksum >> 8); + cmdBuf[7] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_CreateProgramRowCmd(unsigned char arrayId, unsigned short rowNum, unsigned char* buf, unsigned short size, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long COMMAND_DATA_SIZE = 3; + unsigned int checksum; + unsigned long i; + + *resSize = BASE_CMD_SIZE; + *cmdSize = BASE_CMD_SIZE + COMMAND_DATA_SIZE + size; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_PROGRAM_ROW; + cmdBuf[2] = (unsigned char)(size + COMMAND_DATA_SIZE); + cmdBuf[3] = (unsigned char)((size + COMMAND_DATA_SIZE) >> 8); + cmdBuf[4] = arrayId; + cmdBuf[5] = (unsigned char)rowNum; + cmdBuf[6] = (unsigned char)(rowNum >> 8); + for (i = 0; i < size; i++) + cmdBuf[i + 7] = buf[i]; + checksum = CyBtldr_ComputeChecksum(cmdBuf, (*cmdSize) - 3); + cmdBuf[*cmdSize - 3] = (unsigned char)checksum; + cmdBuf[*cmdSize - 2] = (unsigned char)(checksum >> 8); + cmdBuf[*cmdSize - 1] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseProgramRowCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status) +{ + return CyBtldr_ParseDefaultCmdResult(cmdBuf, cmdSize, status); +} + +int CyBtldr_CreateVerifyRowCmd(unsigned char arrayId, unsigned short rowNum, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long RESULT_DATA_SIZE = 1; + const unsigned long COMMAND_DATA_SIZE = 3; + const unsigned int COMMAND_SIZE = BASE_CMD_SIZE + COMMAND_DATA_SIZE; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE + RESULT_DATA_SIZE; + *cmdSize = COMMAND_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_VERIFY_ROW; + cmdBuf[2] = (unsigned char)COMMAND_DATA_SIZE; + cmdBuf[3] = (unsigned char)(COMMAND_DATA_SIZE >> 8); + cmdBuf[4] = arrayId; + cmdBuf[5] = (unsigned char)rowNum; + cmdBuf[6] = (unsigned char)(rowNum >> 8); + checksum = CyBtldr_ComputeChecksum(cmdBuf, COMMAND_SIZE - 3); + cmdBuf[7] = (unsigned char)checksum; + cmdBuf[8] = (unsigned char)(checksum >> 8); + cmdBuf[9] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseVerifyRowCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* checksum, unsigned char* status) +{ + const unsigned long RESULT_DATA_SIZE = 1; + const unsigned long RESULT_SIZE = BASE_CMD_SIZE + RESULT_DATA_SIZE; + int err = CYRET_SUCCESS; + + if (cmdSize != RESULT_SIZE) + err = CYRET_ERR_LENGTH; + else if (cmdBuf[1] != CYRET_SUCCESS) + err = CYRET_ERR_BTLDR_MASK | (*status = cmdBuf[1]); + else if (cmdBuf[0] != CMD_START || cmdBuf[2] != RESULT_DATA_SIZE || cmdBuf[3] != (RESULT_DATA_SIZE >> 8) || cmdBuf[RESULT_SIZE - 1] != CMD_STOP) + err = CYRET_ERR_DATA; + else + { + *checksum = cmdBuf[4]; + *status = cmdBuf[1]; + } + + return err; +} + +int CyBtldr_CreateEraseRowCmd(unsigned char arrayId, unsigned short rowNum, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long COMMAND_DATA_SIZE = 3; + const unsigned int COMMAND_SIZE = BASE_CMD_SIZE + COMMAND_DATA_SIZE; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE; + *cmdSize = COMMAND_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_ERASE_ROW; + cmdBuf[2] = (unsigned char)COMMAND_DATA_SIZE; + cmdBuf[3] = (unsigned char)(COMMAND_DATA_SIZE >> 8); + cmdBuf[4] = arrayId; + cmdBuf[5] = (unsigned char)rowNum; + cmdBuf[6] = (unsigned char)(rowNum >> 8); + checksum = CyBtldr_ComputeChecksum(cmdBuf, COMMAND_SIZE - 3); + cmdBuf[7] = (unsigned char)checksum; + cmdBuf[8] = (unsigned char)(checksum >> 8); + cmdBuf[9] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseEraseRowCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status) +{ + return CyBtldr_ParseDefaultCmdResult(cmdBuf, cmdSize, status); +} + +int CyBtldr_CreateVerifyChecksumCmd(unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long RESULT_DATA_SIZE = 1; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE + RESULT_DATA_SIZE; + *cmdSize = BASE_CMD_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_VERIFY_CHECKSUM; + cmdBuf[2] = 0; + cmdBuf[3] = 0; + checksum = CyBtldr_ComputeChecksum(cmdBuf, BASE_CMD_SIZE - 3); + cmdBuf[4] = (unsigned char)checksum; + cmdBuf[5] = (unsigned char)(checksum >> 8); + cmdBuf[6] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseVerifyChecksumCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* checksumValid, unsigned char* status) +{ + const unsigned long RESULT_DATA_SIZE = 1; + const unsigned long RESULT_SIZE = BASE_CMD_SIZE + RESULT_DATA_SIZE; + int err = CYRET_SUCCESS; + + if (cmdSize != RESULT_SIZE) + err = CYRET_ERR_LENGTH; + else if (cmdBuf[1] != CYRET_SUCCESS) + err = CYRET_ERR_BTLDR_MASK | (*status = cmdBuf[1]); + else if (cmdBuf[0] != CMD_START || cmdBuf[2] != RESULT_DATA_SIZE || cmdBuf[3] != (RESULT_DATA_SIZE >> 8) || cmdBuf[RESULT_SIZE - 1] != CMD_STOP) + err = CYRET_ERR_DATA; + else + { + *checksumValid = cmdBuf[4]; + *status = cmdBuf[1]; + } + + return err; +} + +int CyBtldr_CreateGetFlashSizeCmd(unsigned char arrayId, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long RESULT_DATA_SIZE = 4; + const unsigned long COMMAND_DATA_SIZE = 1; + const unsigned int COMMAND_SIZE = BASE_CMD_SIZE + COMMAND_DATA_SIZE; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE + RESULT_DATA_SIZE; + *cmdSize = COMMAND_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_GET_FLASH_SIZE; + cmdBuf[2] = (unsigned char)COMMAND_DATA_SIZE; + cmdBuf[3] = (unsigned char)(COMMAND_DATA_SIZE >> 8); + cmdBuf[4] = arrayId; + checksum = CyBtldr_ComputeChecksum(cmdBuf, COMMAND_SIZE - 3); + cmdBuf[5] = (unsigned char)checksum; + cmdBuf[6] = (unsigned char)(checksum >> 8); + cmdBuf[7] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseGetFlashSizeCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned short* startRow, unsigned short* endRow, unsigned char* status) +{ + const unsigned long RESULT_DATA_SIZE = 4; + const unsigned long RESULT_SIZE = BASE_CMD_SIZE + RESULT_DATA_SIZE; + int err = CYRET_SUCCESS; + + if (cmdSize != RESULT_SIZE) + err = CYRET_ERR_LENGTH; + else if (cmdBuf[1] != CYRET_SUCCESS) + err = CYRET_ERR_BTLDR_MASK | (*status = cmdBuf[1]); + else if (cmdBuf[0] != CMD_START || cmdBuf[2] != RESULT_DATA_SIZE || cmdBuf[3] != (RESULT_DATA_SIZE >> 8) || cmdBuf[RESULT_SIZE - 1] != CMD_STOP) + err = CYRET_ERR_DATA; + else + { + *startRow = (cmdBuf[5] << 8) | cmdBuf[4]; + *endRow = (cmdBuf[7] << 8) | cmdBuf[6]; + *status = cmdBuf[1]; + } + + return err; +} + +int CyBtldr_CreateSendDataCmd(unsigned char* buf, unsigned short size, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + unsigned short checksum; + unsigned long i; + + *resSize = BASE_CMD_SIZE; + *cmdSize = size + BASE_CMD_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_SEND_DATA; + cmdBuf[2] = (unsigned char)size; + cmdBuf[3] = (unsigned char)(size >> 8); + for (i = 0; i < size; i++) + cmdBuf[i + 4] = buf[i]; + checksum = CyBtldr_ComputeChecksum(cmdBuf, (*cmdSize) - 3); + cmdBuf[(*cmdSize) - 3] = (unsigned char)checksum; + cmdBuf[(*cmdSize) - 2] = (unsigned char)(checksum >> 8); + cmdBuf[(*cmdSize) - 1] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseSendDataCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status) +{ + return CyBtldr_ParseDefaultCmdResult(cmdBuf, cmdSize, status); +} + +int CyBtldr_CreateSyncBootLoaderCmd(unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + unsigned short checksum; + + *resSize = BASE_CMD_SIZE; + *cmdSize = BASE_CMD_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_SYNC; + cmdBuf[2] = 0; + cmdBuf[3] = 0; + checksum = CyBtldr_ComputeChecksum(cmdBuf, BASE_CMD_SIZE - 3); + cmdBuf[4] = (unsigned char)checksum; + cmdBuf[5] = (unsigned char)(checksum >> 8); + cmdBuf[6] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_CreateGetAppStatusCmd(unsigned char appId, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long RESULT_DATA_SIZE = 2; + const unsigned long COMMAND_DATA_SIZE = 1; + const unsigned int COMMAND_SIZE = BASE_CMD_SIZE + COMMAND_DATA_SIZE; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE + RESULT_DATA_SIZE; + *cmdSize = COMMAND_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_GET_APP_STATUS; + cmdBuf[2] = (unsigned char)COMMAND_DATA_SIZE; + cmdBuf[3] = (unsigned char)(COMMAND_DATA_SIZE >> 8); + cmdBuf[4] = appId; + checksum = CyBtldr_ComputeChecksum(cmdBuf, COMMAND_SIZE - 3); + cmdBuf[5] = (unsigned char)checksum; + cmdBuf[6] = (unsigned char)(checksum >> 8); + cmdBuf[7] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseGetAppStatusCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* isValid, unsigned char* isActive, unsigned char* status) +{ + const unsigned long RESULT_DATA_SIZE = 2; + const unsigned long RESULT_SIZE = BASE_CMD_SIZE + RESULT_DATA_SIZE; + int err = CYRET_SUCCESS; + + if (cmdSize != RESULT_SIZE) + err = CYRET_ERR_LENGTH; + else if (cmdBuf[1] != CYRET_SUCCESS) + err = CYRET_ERR_BTLDR_MASK | (*status = cmdBuf[1]); + else if (cmdBuf[0] != CMD_START || cmdBuf[2] != RESULT_DATA_SIZE || cmdBuf[3] != (RESULT_DATA_SIZE >> 8) || cmdBuf[RESULT_SIZE - 1] != CMD_STOP) + err = CYRET_ERR_DATA; + else + { + *isValid = cmdBuf[4]; + *isActive = cmdBuf[5]; + *status = cmdBuf[1]; + } + + return err; +} + +int CyBtldr_CreateSetActiveAppCmd(unsigned char appId, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize) +{ + const unsigned long COMMAND_DATA_SIZE = 1; + const unsigned int COMMAND_SIZE = BASE_CMD_SIZE + COMMAND_DATA_SIZE; + unsigned short checksum; + + *resSize = BASE_CMD_SIZE; + *cmdSize = COMMAND_SIZE; + cmdBuf[0] = CMD_START; + cmdBuf[1] = CMD_SET_ACTIVE_APP; + cmdBuf[2] = (unsigned char)COMMAND_DATA_SIZE; + cmdBuf[3] = (unsigned char)(COMMAND_DATA_SIZE >> 8); + cmdBuf[4] = appId; + checksum = CyBtldr_ComputeChecksum(cmdBuf, COMMAND_SIZE - 3); + cmdBuf[5] = (unsigned char)checksum; + cmdBuf[6] = (unsigned char)(checksum >> 8); + cmdBuf[7] = CMD_STOP; + + return CYRET_SUCCESS; +} + +int CyBtldr_ParseSetActiveAppCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status) +{ + return CyBtldr_ParseDefaultCmdResult(cmdBuf, cmdSize, status); +} diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_command.h b/software/bootloaderhost/cybootloaderutils/cybtldr_command.h new file mode 100644 index 0000000..986fb50 --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_command.h @@ -0,0 +1,520 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef __CYBTLDR_COMMAND_H__ +#define __CYBTLDR_COMMAND_H__ + +#include "cybtldr_utils.h" + +/* Maximum number of bytes to allocate for a single command. */ +#define MAX_COMMAND_SIZE 512 + + +//STANDARD PACKET FORMAT: +// Multi byte entries are encoded in LittleEndian. +/******************************************************************************* +* [1-byte] [1-byte ] [2-byte] [n-byte] [ 2-byte ] [1-byte] +* [ SOP ] [Command] [ Size ] [ Data ] [Checksum] [ EOP ] +*******************************************************************************/ + + +/* The first byte of any boot loader command. */ +#define CMD_START 0x01 +/* The last byte of any boot loader command. */ +#define CMD_STOP 0x17 +/* The minimum number of bytes in a bootloader command. */ +#define BASE_CMD_SIZE 0x07 + +/* Command identifier for verifying the checksum value of the bootloadable project. */ +#define CMD_VERIFY_CHECKSUM 0x31 +/* Command identifier for getting the number of flash rows in the target device. */ +#define CMD_GET_FLASH_SIZE 0x32 +/* Command identifier for getting info about the app status. This is only supported on multi app bootloader. */ +#define CMD_GET_APP_STATUS 0x33 +/* Command identifier for reasing a row of flash data from the target device. */ +#define CMD_ERASE_ROW 0x34 +/* Command identifier for making sure the bootloader host and bootloader are in sync. */ +#define CMD_SYNC 0x35 +/* Command identifier for setting the active application. This is only supported on multi app bootloader. */ +#define CMD_SET_ACTIVE_APP 0x36 +/* Command identifier for sending a block of data to the bootloader without doing anything with it yet. */ +#define CMD_SEND_DATA 0x37 +/* Command identifier for starting the boot loader. All other commands ignored until this is sent. */ +#define CMD_ENTER_BOOTLOADER 0x38 +/* Command identifier for programming a single row of flash. */ +#define CMD_PROGRAM_ROW 0x39 +/* Command identifier for verifying the contents of a single row of flash. */ +#define CMD_VERIFY_ROW 0x3A +/* Command identifier for exiting the bootloader and restarting the target program. */ +#define CMD_EXIT_BOOTLOADER 0x3B + +/* + * This enum defines the different types of checksums that can be + * used by the bootloader for ensuring data integrety. + */ +typedef enum +{ + /* Checksum type is a basic inverted summation of all bytes */ + SUM_CHECKSUM = 0x00, + /* 16-bit CRC checksum using the CCITT implementation */ + CRC_CHECKSUM = 0x01, +} CyBtldr_ChecksumType; + +/******************************************************************************* +* Function Name: CyBtldr_ComputeChecksum +******************************************************************************** +* Summary: +* Computes the 2byte checksum for the provided command data. The checksum is +* the 2's complement of the 1-byte sum of all bytes. +* +* Parameters: +* buf - The data to compute the checksum on +* size - The number of bytes contained in buf. +* +* Returns: +* The checksum for the provided data. +* +*******************************************************************************/ +unsigned short CyBtldr_ComputeChecksum(unsigned char* buf, unsigned long size); + +/******************************************************************************* +* Function Name: CyBtldr_SetCheckSumType +******************************************************************************** +* Summary: +* Updates what checksum algorithm is used when generating packets +* +* Parameters: +* chksumType - The type of checksum to use when creating packets +* +* Returns: +* NA +* +*******************************************************************************/ +void CyBtldr_SetCheckSumType(CyBtldr_ChecksumType chksumType); + +/******************************************************************************* +* Function Name: CyBtldr_ParseDefaultCmdResult +******************************************************************************** +* Summary: +* Parses the output from any command that returns the default result packet +* data. The default result is just a status byte +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +int CyBtldr_ParseDefaultCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateEnterBootLoaderCmd +******************************************************************************** +* Summary: +* Creates the command used to startup the bootloader. +* NB: This command must be sent before the bootloader will respond to any +* other command. +* +* Parameters: +* protect - The flash protection settings. +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateEnterBootLoaderCmd(unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseEnterBootLoaderCmdResult +******************************************************************************** +* Summary: +* Parses the output from the EnterBootLoader command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The buffer containing the output from the bootloader. +* cmdSize - The number of bytes in cmdBuf. +* siliconId - The silicon ID of the device being communicated with. +* siliconRev - The silicon Revision of the device being communicated with. +* blVersion - The bootloader version being communicated with. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseEnterBootLoaderCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned long* siliconId, unsigned char* siliconRev, unsigned long* blVersion, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateExitBootLoaderCmd +******************************************************************************** +* Summary: +* Creates the command used to stop communicating with the boot loader and to +* trigger the target device to restart, running the new bootloadable +* application. +* +* Parameters: +* resetType - The type of reset to perform (0 = Reset, 1 = Direct Call). +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateExitBootLoaderCmd(unsigned char resetType, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_CreateProgramRowCmd +******************************************************************************** +* Summary: +* Creates the command used to program a single flash row. +* +* Parameters: +* arrayId - The array id to program. +* rowNum - The row number to program. +* buf - The buffer of data to program into the flash row. +* size - The number of bytes in data for the row. +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateProgramRowCmd(unsigned char arrayId, unsigned short rowNum, unsigned char* buf, unsigned short size, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseProgramRowCmdResult +******************************************************************************** +* Summary: +* Parses the output from the ProgramRow command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseProgramRowCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateVerifyRowCmd +******************************************************************************** +* Summary: +* Creates the command used to verify that the contents of flash match the +* provided row data. +* +* Parameters: +* arrayId - The array id to verify. +* rowNum - The row number to verify. +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateVerifyRowCmd(unsigned char arrayId, unsigned short rowNum, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseVerifyRowCmdResult +******************************************************************************** +* Summary: +* Parses the output from the VerifyRow command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* checksum - The checksum from the row to verify. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseVerifyRowCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* checksum, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateEraseRowCmd +******************************************************************************** +* Summary: +* Creates the command used to erase a single flash row. +* +* Parameters: +* arrayId - The array id to erase. +* rowNum - The row number to erase. +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateEraseRowCmd(unsigned char arrayId, unsigned short rowNum, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseEraseRowCmdResult +******************************************************************************** +* Summary: +* Parses the output from the EraseRow command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseEraseRowCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateVerifyChecksumCmd +******************************************************************************** +* Summary: +* Creates the command used to verify that the checkusm value in flash matches +* what is expected. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateVerifyChecksumCmd(unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseVerifyChecksumCmdResult +******************************************************************************** +* Summary: +* Parses the output from the VerifyChecksum command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* checksumValid - Whether or not the full checksums match (1 = valid, 0 = invalid) +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseVerifyChecksumCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* checksumValid, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateGetFlashSizeCmd +******************************************************************************** +* Summary: +* Creates the command used to retreive the number of flash rows in the device. +* +* Parameters: +* arrayId - The array ID to get the flash size of. +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateGetFlashSizeCmd(unsigned char arrayId, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseGetFlashSizeCmdResult +******************************************************************************** +* Summary: +* Parses the output from the GetFlashSize command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* startRow - The first available row number in the flash array. +* endRow - The last available row number in the flash array. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseGetFlashSizeCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned short* startRow, unsigned short* endRow, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateSendDataCmd +******************************************************************************** +* Summary: +* Creates the command used to send a block of data to the target. +* +* Parameters: +* buf - The buffer of data data to program into the flash row. +* size - The number of bytes in data for the row. +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateSendDataCmd(unsigned char* buf, unsigned short size, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseSendDataCmdResult +******************************************************************************** +* Summary: +* Parses the output from the SendData command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseSendDataCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateSyncBootLoaderCmd +******************************************************************************** +* Summary: +* Creates the command used to ensure that the host application is in sync +* with the bootloader application. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateSyncBootLoaderCmd(unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_CreateGetAppStatusCmd +******************************************************************************** +* Summary: +* Creates the command used to get information about the application. This +* command is only supported by the multi application bootloaader. +* +* Parameters: +* appId - The id for the application to get status for +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateGetAppStatusCmd(unsigned char appId, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseGetAppStatusCmdResult +******************************************************************************** +* Summary: +* Parses the output from the GetAppStatus command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* isValid - Is the application valid. +* isActive- Is the application currently marked as active. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseGetAppStatusCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* isValid, unsigned char* isActive, unsigned char* status); + +/******************************************************************************* +* Function Name: CyBtldr_CreateSetActiveAppCmd +******************************************************************************** +* Summary: +* Creates the command used to set the active application for the bootloader +* to run. This command is only supported by the multi application +* bootloaader. +* +* Parameters: +* appId - The id for the application to get status for +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* resSize - The number of bytes expected in the bootloader's response packet. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* +*******************************************************************************/ +EXTERN int CyBtldr_CreateSetActiveAppCmd(unsigned char appId, unsigned char* cmdBuf, unsigned long* cmdSize, unsigned long* resSize); + +/******************************************************************************* +* Function Name: CyBtldr_ParseSetActiveAppCmdResult +******************************************************************************** +* Summary: +* Parses the output from the SetActiveApp command to get the resultant +* data. +* +* Parameters: +* cmdBuf - The preallocated buffer to store command data in. +* cmdSize - The number of bytes in the command. +* status - The status code returned by the bootloader. +* +* Returns: +* CYRET_SUCCESS - The command was constructed successfully +* CYRET_ERR_LENGTH - The packet does not contain enough data +* CYRET_ERR_DATA - The packet's contents are not correct +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseSetActiveAppCmdResult(unsigned char* cmdBuf, unsigned long cmdSize, unsigned char* status); + +#endif diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_parse.c b/software/bootloaderhost/cybootloaderutils/cybtldr_parse.c new file mode 100644 index 0000000..bb13d73 --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_parse.c @@ -0,0 +1,151 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include +#include "cybtldr_parse.h" + +/* Pointer to the *.cyacd file containing the data that is to be read */ +static FILE* dataFile; + +unsigned char CyBtldr_FromHex(char value) +{ + if ('0' <= value && value <= '9') + return (unsigned char)(value - '0'); + if ('a' <= value && value <= 'f') + return (unsigned char)(10 + value - 'a'); + if ('A' <= value && value <= 'F') + return (unsigned char)(10 + value - 'A'); + return 0; +} + +int CyBtldr_FromAscii(unsigned int bufSize, unsigned char* buffer, unsigned short* rowSize, unsigned char* rowData) +{ + unsigned short i; + int err = CYRET_SUCCESS; + + if (bufSize & 1) // Make sure even number of bytes + err = CYRET_ERR_LENGTH; + else + { + for (i = 0; i < bufSize / 2; i++) + { + rowData[i] = (CyBtldr_FromHex(buffer[i * 2]) << 4) | CyBtldr_FromHex(buffer[i * 2 + 1]); + } + *rowSize = i; + } + + return err; +} + +int CyBtldr_ReadLine(unsigned int* size, char* buffer) +{ + int err = CYRET_SUCCESS; + unsigned int len = 0; + + if (NULL != dataFile && !feof(dataFile)) + { + if (NULL != fgets(buffer, MAX_BUFFER_SIZE, dataFile)) + { + len = strlen(buffer); + + while (len > 0 && ('\n' == buffer[len - 1] || '\r' == buffer[len - 1])) + --len; + } + else + err = CYRET_ERR_EOF; + } + else + err = CYRET_ERR_FILE; + + *size = len; + return err; +} + +int CyBtldr_OpenDataFile(const char* file) +{ + dataFile = fopen(file, "r"); + + return (NULL == dataFile) + ? CYRET_ERR_FILE + : CYRET_SUCCESS; +} + +int CyBtldr_ParseHeader(unsigned int bufSize, unsigned char* buffer, unsigned long* siliconId, unsigned char* siliconRev, unsigned char* chksum) +{ + const unsigned int LENGTH_ID = 5; //4-silicon id, 1-silicon rev + const unsigned int LENGTH_CHKSUM = LENGTH_ID + 1; //1-checksum type + + unsigned short rowSize; + unsigned char rowData[MAX_BUFFER_SIZE]; + + int err = CyBtldr_FromAscii(bufSize, buffer, &rowSize, rowData); + + if (CYRET_SUCCESS == err) + { + if (rowSize >= LENGTH_CHKSUM) + *chksum = rowData[5]; + if (rowSize >= LENGTH_ID) + { + *siliconId = (rowData[0] << 24) | (rowData[1] << 16) | (rowData[2] << 8) | (rowData[3]); + *siliconRev = rowData[4]; + } + else + err = CYRET_ERR_LENGTH; + } + + return err; +} + +int CyBtldr_ParseRowData(unsigned int bufSize, unsigned char* buffer, unsigned char* arrayId, unsigned short* rowNum, unsigned char* rowData, unsigned short* size, unsigned char* checksum) +{ + const unsigned short MIN_SIZE = 6; //1-array, 2-addr, 2-size, 1-checksum + const int DATA_OFFSET = 5; + + unsigned int i; + unsigned short hexSize; + unsigned char hexData[MAX_BUFFER_SIZE]; + int err = CYRET_SUCCESS; + + if (bufSize <= MIN_SIZE) + err = CYRET_ERR_LENGTH; + else if (buffer[0] == ':') + { + err = CyBtldr_FromAscii(bufSize - 1, &buffer[1], &hexSize, hexData); + + *arrayId = hexData[0]; + *rowNum = (hexData[1] << 8) | (hexData[2]); + *size = (hexData[3] << 8) | (hexData[4]); + *checksum = (hexData[hexSize - 1]); + + if ((*size + MIN_SIZE) == hexSize) + { + for (i = 0; i < *size; i++) + { + rowData[i] = (hexData[DATA_OFFSET + i]); + } + } + else + err = CYRET_ERR_DATA; + } + else + err = CYRET_ERR_CMD; + + return err; +} + +int CyBtldr_CloseDataFile(void) +{ + int err = 0; + if (NULL != dataFile) + { + err = fclose(dataFile); + dataFile = NULL; + } + return (0 == err) + ? CYRET_SUCCESS + : CYRET_ERR_FILE; +} diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_parse.h b/software/bootloaderhost/cybootloaderutils/cybtldr_parse.h new file mode 100644 index 0000000..b2b27cc --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_parse.h @@ -0,0 +1,156 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef __CYBTLDR_PARSE_H__ +#define __CYBTLDR_PARSE_H__ + +#include "cybtldr_utils.h" + +/* Maximum number of bytes to allocate for a single row. */ +/* NB: Rows should have a max of 592 chars (2-arrayID, 4-rowNum, 4-len, 576-data, 2-checksum, 4-newline) */ +#define MAX_BUFFER_SIZE 768 + +/******************************************************************************* +* Function Name: CyBtldr_FromHex +******************************************************************************** +* Summary: +* Converts the provided ASCII char into its hexadecimal numerical equivilant. +* +* Parameters: +* value - the ASCII char to convert into a number +* +* Returns: +* The hexadecimal numerical equivilant of the provided ASCII char. If the +* provided char is not a valid ASCII char, it will return 0. +* +*******************************************************************************/ +unsigned char CyBtldr_FromHex(char value); + +/******************************************************************************* +* Function Name: CyBtldr_FromAscii +******************************************************************************** +* Summary: +* Converts the provided ASCII array into its hexadecimal numerical equivilant. +* +* Parameters: +* bufSize - The length of the buffer to convert +* buffer - The buffer of ASCII characters to convert +* rowSize - The number of bytes of equivilant hex data generated +* rowData - The hex data generated for the buffer +* +* Returns: +* CYRET_SUCCESS - The buffer was converted successfully +* CYRET_ERR_LENGTH - The buffer does not have an even number of chars +* +*******************************************************************************/ +int CyBtldr_FromAscii(unsigned int bufSize, unsigned char* buffer, unsigned short* rowSize, unsigned char* rowData); + +/******************************************************************************* +* Function Name: CyBtldr_ReadLine +******************************************************************************** +* Summary: +* Reads a single line from the open data file. This function will remove +* any Windows, Linux, or Unix line endings from the data. +* +* Parameters: +* size - The number of bytes of data read from the line and stored in buffer +* file - The preallocated buffer, with MAX_BUFFER_SIZE bytes, to store the +* read data in. +* +* Returns: +* CYRET_SUCCESS - The file was opened successfully. +* CYRET_ERR_FILE - An error occurred opening the provided file. +* CYRET_ERR_EOF - The end of the file has been reached +* +*******************************************************************************/ +EXTERN int CyBtldr_ReadLine(unsigned int* size, char* buffer); + +/******************************************************************************* +* Function Name: CyBtldr_OpenDataFile +******************************************************************************** +* Summary: +* Opens the provided file for reading. Once open, it is expected that the +* first call will be to ParseHeader() to read the first line of data. After +* that, successive calls to ParseRowData() are possible to read each line +* of data, one at a time, from the file. Once all data has been read from +* the file, a call to CloseDataFile() should be made to release resources. +* +* Parameters: +* file - The full canonical path to the *.cyacd file to open +* +* Returns: +* CYRET_SUCCESS - The file was opened successfully. +* CYRET_ERR_FILE - An error occurred opening the provided file. +* +*******************************************************************************/ +EXTERN int CyBtldr_OpenDataFile(const char* file); + +/******************************************************************************* +* Function Name: CyBtldr_ParseHeader +******************************************************************************** +* Summary: +* Parses the hader information from the *.cyacd file. The header information +* is stored as the first line, so this method should only be called once, +* and only immediatly after calling OpenDataFile and reading the first line. +* +* Parameters: +* bufSize - The number of bytes contained within buffer +* buffer - The buffer containing the header data to parse +* siliconId - The silicon ID that the provided *.cyacd file is for +* siliconRev - The silicon Revision that the provided *.cyacd file is for +* chksum - The type of checksum to use for packet integrety check +* +* Returns: +* CYRET_SUCCESS - The file was opened successfully. +* CYRET_ERR_LENGTH - The line does not contain enough data +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseHeader(unsigned int bufSize, unsigned char* buffer, unsigned long* siliconId, unsigned char* siliconRev, unsigned char* chksum); + +/******************************************************************************* +* Function Name: CyBtldr_ParseRowData +******************************************************************************** +* Summary: +* Parses the contents of the provided buffer which is expected to contain +* the row data from the *.cyacd file. This is expected to be called multiple +* times. Once for each row of the *.cyacd file, excluding the header row. +* +* Parameters: +* bufSize - The number of bytes contained within buffer +* buffer - The buffer containing the row data to parse +* arrayId - The flash array that the row of data belongs in +* rowNum - The flash row number that the data corresponds to +* rowData - The preallocated buffer to store the flash row data +* size - The number of bytes of rowData +* checksum - The checksum value for the entire row (rowNum, size, rowData) +* +* Returns: +* CYRET_SUCCESS - The file was opened successfully. +* CYRET_ERR_LENGTH - The line does not contain enough data +* CYRET_ERR_DATA - The line does not contain a full row of data +* CYRET_ERR_CMD - The line does not start with the cmd identifier ':' +* +*******************************************************************************/ +EXTERN int CyBtldr_ParseRowData(unsigned int bufSize, unsigned char* buffer, unsigned char* arrayId, unsigned short* rowNum, unsigned char* rowData, unsigned short* size, unsigned char* checksum); + +/******************************************************************************* +* Function Name: CyBtldr_CloseDataFile +******************************************************************************** +* Summary: +* Closes the data file pointer. +* +* Parameters: +* void. +* +* Returns: +* CYRET_SUCCESS - The file was opened successfully. +* CYRET_ERR_FILE - An error occured opening the provided file. +* +*******************************************************************************/ +EXTERN int CyBtldr_CloseDataFile(void); + +#endif diff --git a/software/bootloaderhost/cybootloaderutils/cybtldr_utils.h b/software/bootloaderhost/cybootloaderutils/cybtldr_utils.h new file mode 100644 index 0000000..cb0065e --- /dev/null +++ b/software/bootloaderhost/cybootloaderutils/cybtldr_utils.h @@ -0,0 +1,131 @@ +/******************************************************************************* +* Copyright 2011-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef __CYBTLDR_UTILS_H__ +#define __CYBTLDR_UTILS_H__ + +#include + +// NB: These items are toolchain specific that will need to be updated +// depending on the environment being used. +#ifdef WIN32 +#define EXTERN extern __declspec(dllexport) +#else +#define EXTERN extern +#endif + +/****************************************************************************** + * HOST ERROR CODES + ****************************************************************************** + * + * Different return codes from the bootloader host. Functions are not + * limited to these values, but are encuraged to use them when returning + * standard error values. + * + * 0 is successful, all other values indicate a failure. + *****************************************************************************/ +/* Completed successfully */ +#define CYRET_SUCCESS 0x00 +/* File is not accessable */ +#define CYRET_ERR_FILE 0x01 +/* Reached the end of the file */ +#define CYRET_ERR_EOF 0x02 +/* The amount of data available is outside the expected range */ +#define CYRET_ERR_LENGTH 0x03 +/* The data is not of the proper form */ +#define CYRET_ERR_DATA 0x04 +/* The command is not recognized */ +#define CYRET_ERR_CMD 0x05 +/* The expected device does not match the detected device */ +#define CYRET_ERR_DEVICE 0x06 +/* The bootloader version detected is not supported */ +#define CYRET_ERR_VERSION 0x07 +/* The checksum does not match the expected value */ +#define CYRET_ERR_CHECKSUM 0x08 +/* The flash array is not valid */ +#define CYRET_ERR_ARRAY 0x09 +/* The flash row is not valid */ +#define CYRET_ERR_ROW 0x0A +/* The bootloader is not ready to process data */ +#define CYRET_ERR_BTLDR 0x0B +/* The application is currently marked as active */ +#define CYRET_ERR_ACTIVE 0x0C +/* An unknown error occured */ +#define CYRET_ERR_UNK 0x0F +/* The operation was aborted */ +#define CYRET_ABORT 0xFF + +/* The communications object reported an error */ +#define CYRET_ERR_COMM_MASK 0x2000 +/* The bootloader reported an error */ +#define CYRET_ERR_BTLDR_MASK 0x4000 + + +/****************************************************************************** + * BOOTLOADER STATUS CODES + ****************************************************************************** + * + * Different return status codes from the bootloader. + * + * 0 is successful, all other values indicate a failure. + *****************************************************************************/ +/* Completed successfully */ +#define CYBTLDR_STAT_SUCCESS 0x00 +/* The provided key does not match the expected value */ +#define CYBTLDR_STAT_ERR_KEY 0x01 +/* The verification of flash failed */ +#define CYBTLDR_STAT_ERR_VERIFY 0x02 +/* The amount of data available is outside the expected range */ +#define CYBTLDR_STAT_ERR_LENGTH 0x03 +/* The data is not of the proper form */ +#define CYBTLDR_STAT_ERR_DATA 0x04 +/* The command is not recognized */ +#define CYBTLDR_STAT_ERR_CMD 0x05 +/* The expected device does not match the detected device */ +#define CYBTLDR_STAT_ERR_DEVICE 0x06 +/* The bootloader version detected is not supported */ +#define CYBTLDR_STAT_ERR_VERSION 0x07 +/* The checksum does not match the expected value */ +#define CYBTLDR_STAT_ERR_CHECKSUM 0x08 +/* The flash array is not valid */ +#define CYBTLDR_STAT_ERR_ARRAY 0x09 +/* The flash row is not valid */ +#define CYBTLDR_STAT_ERR_ROW 0x0A +/* The flash row is protected and can not be programmed */ +#define CYBTLDR_STAT_ERR_PROTECT 0x0B +/* The application is not valid and cannot be set as active */ +#define CYBTLDR_STAT_ERR_APP 0x0C +/* The application is currently marked as active */ +#define CYBTLDR_STAT_ERR_ACTIVE 0x0D +/* An unknown error occured */ +#define CYBTLDR_STAT_ERR_UNK 0x0F + + +/****************************************************************************** + * VERSION INFORMATION + ****************************************************************************** + * + * Major – Used to indicate binary compatibility. If a change is incompatible + * in any way with the prior release, the major version number will be + * updated. + * Minor – Used to indicate feature set. If a new feature or functionality is + * added beyond what was available in a prior release, the this number + * will be updated. + * Patch – Used to indicate very minor fixes. If the code was modified to fix + * a defect or to improve the quality in any way that does not add new + * functionality or change APIs this version number will be updated. + * + * 1.0 - Original (PSoC Creator 1.0 Beta 5) + * 1.1 - Add checksum option (PSoC Creator 1.0 Production) + * 1.2 - Add support for Multi Application Bootloaders + * + *****************************************************************************/ +#define VERSION_MAJOR 0x01 +#define VERSION_MINOR 0x02 +#define VERSION_PATCH 0x00 + +#endif diff --git a/software/bootloaderhost/hidapi b/software/bootloaderhost/hidapi new file mode 160000 index 0000000..40cf516 --- /dev/null +++ b/software/bootloaderhost/hidapi @@ -0,0 +1 @@ +Subproject commit 40cf516139b5b61e30d9403a48db23d8f915f52c diff --git a/software/bootloaderhost/main.c b/software/bootloaderhost/main.c new file mode 100644 index 0000000..c8a3c6e --- /dev/null +++ b/software/bootloaderhost/main.c @@ -0,0 +1,94 @@ +#include +#include + +#include "hidapi.h" +#include "cybtldr_api.h" +#include "cybtldr_api2.h" + +hid_device *handle = NULL; + +static int OpenConnection(void) +{ + return 0; +} + +static int CloseConnection(void) +{ + return 0; +} + +static int ReadData(unsigned char* data, int count) +{ +printf("read"); + return (hid_read(handle, data, count) >= 0 ? 0 : -1); +} + +static int WriteData(unsigned char* data, int count) +{ + unsigned char buf[65]; + buf[0] = 0; // report ID + int i; + for (i = 0; i < count; ++i) + { + buf[i+1] = data[i]; + } + //int result = hid_write(handle, buf, 65); + int result = hid_send_feature_report(handle, buf, count + 1); +printf("write %d, %d", count, result); + return (result >= 0) ? 0 : -1; +} + + +static void ProgressUpdate(unsigned char arrayId, unsigned short rowNum) +{ + printf("Completed array %d, row %d\n", arrayId, rowNum); +} + +int main(int argc, char* argv[]) +{ + int res; + unsigned char buf[65]; + #define MAX_STR 255 + wchar_t wstr[MAX_STR]; + int i; + + CyBtldr_CommunicationsData cyComms = + { + &OpenConnection, + &CloseConnection, + &ReadData, + &WriteData, + 64 + }; + + // Enumerate and print the HID devices on the system + struct hid_device_info *dev = NULL; + + printf("Waiting for a mate\n"); + while (dev == NULL) + { + dev = hid_enumerate(0x04B4, 0xB71D); + usleep(10000); // 10ms + } + printf("Device Found\n type: %04hx %04hx\n path: %s\n serial_number: %ls", + dev->vendor_id, dev->product_id, dev->path, dev->serial_number); + printf("\n"); + printf(" Manufacturer: %ls\n", dev->manufacturer_string); + printf(" Product: %ls\n", dev->product_string); + printf("\n"); + //hid_free_enumeration(devs); + + // Open the device using the VID, PID, + // and optionally the Serial number. + handle = hid_open(0x04B4, 0xB71D, NULL); + + + printf("Tryng to program\n"); + int result = CyBtldr_Program("/home/michael/projects/SCSI2SD/git/software/SCSI2SD/SCSI2SD.cydsn/CortexM3/ARM_GCC_473/Release/SCSI2SD.cyacd", + &cyComms, + &ProgressUpdate); + printf("Possibly successful ? %d\n", result); + + return 0; +} + -- 2.38.5

    xZ=+ht!Aw1 zqMp^$klDVxu8Z^Axqd2*vN$Dk+vm2Hq^k1e-m>^jn2pIs)86j*iPX2=5Q~oNJr#E~ zKKc9aC(2Tryv9WtJe;mG3$Rw|wwGY^UgL0jL73Lk*k`7w9VRWC#tm0Re7g3swCzN? z4*Qqyf+=V(0(SSusB{{@cR`S`S9u7)7O&*!NuHZt<)A7wW4vW+A0OI3!c`m*ZuR_N9WgspuOY>6vgB`muL)bAy z3GOeuahI#{2UEMSA5IoW)3ZepEFCUAnx3bubGN9$KD?!)9J_@}PR35M1-tSbvpluA zUFIK4*ZRv+mw@wCM$5~P`ETmzjIw{X3p)??%eh*Hnz^QfV-2KMM?2bI-s?|Y36v)S z@n@^2#M|240e`9-8L~bl0$caq6hEFiz4wGQXGt>^;ha?;P1i*V;8mSJz&XQ?yTGi% zo`73vJcOOyHJ&zF5Gh~0P|w){tc*IY&D`iUwGQ1{I9hD0_7f+_= z;m$PMPv1=F&%u7=xp)erI)xFEdn!FQvsSNGW7wXOwbt5wDkbMzH8VxspQnOf#R@_u z{f|)?FKYF-zkr-D_IJnS*yQ$-c*~f-tvh~r%ond+{ru}oBWRP!jRxcc^Iiadk6ig3 zt~YVriR&(0Dt9t@<5BA&ug!~WY&K`4rnFT5%HuhbZthYeS-tdmnmVp>thB?knvr@F zk)kw^D#ks_?YeMw`+2-=|GvkQ=xZ9`#N1VR{ z_Kx84J?FzJdC@C>OnZ4M`mbTcKIr;g1#usAebA%+(&tKa|L!p8t9KLe zdKYTX<9QRS zQj{y^#D`1fEd<*oeNRKpbJ-V>G;=B^$aO}@4bc) zc|9;*YW(K2XypA27{>3Ev`TotK3Y!-9U1NCVjp+^lz4O z$yJr_+e|W`r8T|;;}_KAY~Mu=u1b@0d@s{fwI*wQS4h@qa;|SKhcH`{^L!DSnxo12 zzRB!pt|k}w7SZxNP1gC=atI4F84`sI_7$`!`UWb~MgA@9DkPsn3@7~({m8TjRU!J+ zC+OlipyYu(ML&(Y>yVI>WgqOyF2(#ie414H4S4>gsO|E>0EiOVl*pgx4ccZhPfc4D@)-1681FWB9 zSfwGb=tSu%RNi4_J1X9M*ejFA@hOG%mgz$%BBZZF*rt3JAJQd135NfwejyFXRGr!c z!_uwl+d*IbG15L6g|_QN+|QTM5}4kJ@WIQ{q*zh`4IzT3r0+_FFFH&3yFk*R{gzH9 zDm7?#pT)!IN)KvNrH`V*k(Yi76^_^cJyaOOuW9Y2qV!)i%Rd!^V=!N_WbUMhR0tJ> z6|i5r6k>*0MdL^zTt&xUF1b z5L7PZiE_rUOg6v?d7}$jLTtx%FD`j6MAPz-xR5ZWpTjln+l-?{e;+j3R+NuXVwGAKgDkW!DmeRp~4nBxVAv!935|scd6@QP49ED6w ze+#DN58YBu|0$C zd55y^qT($;^YkgjnFi&ne+Z`hw4&wuiCHtjR#Q|azsw$YTD#JEuotk8pRl)I zv9?p)20KtKErpbWK_Z=IB0Xd^qKku6eG83Bi$RrOpiolNao$qm0=V? zW%={AWkyF-?&63lxr2H*8=~?odop?7^%%UZS0>rEY6r|%8fsaXavj+2sFYm80cMLZ zPChpD$y?TnIpitWW& zde+MJzo2!DuS(v>STtL@T!NNvwH&4HZIWY0FZt}{b}6|AY-<79c!bv2tLIVH{=im` z)9z!eZL``lv8`67c+iqm-OB~^5))MTy z7xxEQB5R7v3XS6F#T)WUk8Y-(D)ib$SadZ zabY335~O!hMrA2d$)`zATN%lGZ2%;mZ!#@CY*{E}TNx`w0T`|?#H~7H+49UODv_l! zlJ`J!5jMsgZh_)X4#|~8mU9kmn)83?4v8@~DBC3;zC#LE!HH%#Qwjk#o(AZYWm-G? zV(Tf3v=41%GvLxyAbl9C8o<;EnF}$y+Cjp6`D_)RX`YM$DpgpWeyq8O{Z5}4iwWy9 zX2P3wazoz6!c8hBGs)oCZN@C8qiss0!a`Rob^n+h7y36ds)cikmKRY2na98fLt-LU z%MsElr)pc7rCjaQB9qyQf{ezy#36-!NG#N=l|X+Wf0dlbhbG98Iupg&o)0FF`)%nn z(C7Z`5ec!h`Y~3yi5DtzSl~G$@R+SjboRAf(T8j|DHaF=9)h|E+i|(Y8?r0Q0PkT^ z+(fVyZpu<{_P~3!(_4@Nn=N}FQ2gE=EQOn#3KKoh*>cMQ;Y+Hzfxs72*d@@e6dUlF zDNe6=qjq}5!CJ%WBK!&QLu-NoP1q!`(O3v<+ydvXaT!0ek)n9Z;Oz!GP|7e7i8CS)R5)WiYGERF>`P z)0z}k8Auj7$HHN-*t9booQ@f|xLm9^4ODdb|Jmo-S0!A(f~9draK(z?torDRXvYep zH)PB*>w@)xKro;R0qSUjicw=4TTP=nWPHFh=9<^9_@Jv2W3#(|yGTxnYTJYrnV#5H z)R@xV;VN?IUl205sj_}WbY--%zG2svl?xkJj&?RQZoTfV8I4VmJF7Z(H*N|>MuU++ zWpqU_a%caFrbb*werR)}%XC;e?2^-rF>}t%(`)7~oW8KSGH~6Rtww0$%$3I0ohzH4 zF!t{>g3J3G*Ww&R9_2^d+RkJ9)^@VGi63=q8wpWWU(>jyqw%kAHVQVbd}{0N#b*lzPZNu zf!JtNhOAa>8K^Xh%`Ke`Th^-9n~llGjj5q%eZ$P^I@e@}p{IPZda;o*Ye$X8q-p%@ zHWS!x6mRU@QeRhHGo!9%O<=2W(FpAhRMuC`Tob5kh%{LBm4QmuS450Q4u*{V=A!z_ zKyX$tV4S%v1mz%x7p$+Wp%V$Npc4BWSj-zX#sMMgQQ?hT$D>NHtlV9PN%J+xEc6eLVwWH?(fp z0|}$Bs()91@`gPs2K@s=T|=X>#G0YLn8OA3texoH-IEaTym@4pjzbblBu3&%_8)`A zwhkS`H>}rj=^Gm1eEhjBZL8kV*mz;1NDc1ZZ+UOK zpytf(;seXJcDHo5HFmGsx}m#yTl3IRa$u;ZFO~@Pj`a=i;l$laN1J++s}r%kA}iX% zy}ij*J;@%9i%AhnT6-%SDDC%75GJ4xp{0*%CYyVDZymwxBu08Udp9s%Es6eQe{at~ zcdR$rKV&KA=&3Nqk`t3*r{z#wr&miyXG=6E$%c0iC6Z>=5PFlNZT7TtzFTv$zGZBU zow|{(Np5gA_ggY zT*%daOgbkH7U|wjq=A}|RDUveLSSpKdmt8zb3OH~8@AS6(?Akg0?Vsn13hCcW4!~h zVR7Ty4Q7kED6}NB&}LUR#SPcoEE2I@YAFnM#^b}tw24f#mRxp1iTJ>PGwZQ%8*bH$ z*vf*;ic|X+CxS+)7Y^H+%CePNdMjC_)?NaG%xn$zCWFxFMbglS`GJaBXtBf|Yvrq_ z2&-gR*7V9%vuvOG_iML?-n<S9(!UvJ8*bhi>jvu+ea)_w`QTpEAXz#ca^%Q$gAYl_>N(ktdO(ZIO!;Y z-W3$QeIG0?nv{7y23wuNHy2k&j^#)0^hNISM()mw+~bLS$Q}8x5&4KK!ZSX$w+o-m z`MP8mzGXHQ`y$6IRrQQ*%lO>hT9T4JaswA9_-S)u)V8JERFYa2Kx8lF~yQ%enhBuXmBuwXQdEUZKx-iO!V&z=~xdaO9-QB8@ia*H#e#gL-&HR3%rBGL|{G_~z}@TSb8`w!JXu+P~yh;W+mX zyV&;nz;N2Dg*~>VfAq_?cLa5(y>SG{J}ZDo=@Ad%a@so%d#AypJ)YRu_8vjqX)le# z;_cws#rE$(Fr4;k@wF}eqP-$qw!O2cJMC>mFfM^-7u(+7g5k6mhP_(wXpdhe*!I4S zy3<}5$3pfQ2t=9jeiL=4y^XM!0+06mT95wn+dZef-DpG|yV(A{0*2Gx1=uSGkGlLu z#P;t^)SdQDp^<$i15w7mH&A!ld)*PgDO!*IO@T3|z1RPYe|{)A?TtI^O?B9tmt$`V zCf|8RWRG`tjy?Q5Gc&&&w>{n#ZO>kReXz&*vJ1qjbZR}DpMc&BCY~;~UKADQIK(m` zILC}L_Y}L|Ejjk?N6`44m0fImgE@NV5R4zZk6wRHzu_h!E~6n_?3>6gnfrW zNQ|r=N#l2PSuNc7s=^uzW95Pz(F>rUzZl5Pih^hWGE*Io%e;Sun91{kLzH?`y zzCTCbuk`s%yj3{U6UD$zpY4CC(no6Q!aKo4F~pP{{V6&AbElsuhM1b8KQ+fbck+p1 z;0~1SPr1@>&8Tpvo+t*sm*J}ui}h_vh0?b;{4@lXk19xew>0wP@QgpHSRF3}hIrNXTKA*u#5y7A3 z$bXkZdoydx8t?QRx;}?)%Awci(7STzksSI?&~$iX3-3MgYH=KgtAkAS#X)yc z)w>3>-^jBMBQs}D)|VI77a6J@tM54o%Q|&Ow}Y7zPW$-t&m35T{bx>1CtmdtEPfS` zeTMy>Lq+GIn|;vu{>RjrrkQiL_Z-(b8)meeUr1=5_}vapL8B@k9ET7LLC(;JG_e-_vOR7*ysu~aTWviDlg~Yw_Maq1gm{Sve_qj8 zO1tn&^>-uuCJp~ozpeODAn7)%UuBOp?Ga(`9I;7=%Ru_`??m{=HBZEUQ2^)o9#DWA z=c7bCXnjx1&jDwxfiC&bTT1K{;^RaZ`8E+h3I929o%MT5+W9d1?H1y3A~vMY5%D{V zvqaecI`Oa2p7;UuM}%EJI-+0fkp0^J@ocaFbTvg_@@a?d`BYV~Mbogur#KgG@I^#S zGM|l!S82p6h;ZRSp@xWu^97pE=XB~}N)i=eoW)j z8hOv6{F@ptYP_rw4^~QEq;U$-gYyfGGl*flM{4;zB3^Jp8kcJRO5!B^>5Il2iD-9| zrehjY8jol^rSTDs&uV;8se=?40pgdC^5T4OyC{6(5> zA)?(zBG&aTO&`#Bzs3hNKCbbz8o#dbA2j~6#(&rNUmE|QF&{Byf2PK{8XGn8$Eoyp zGqDW&s>U5e_&uQIqnbXbY5p3R^7}Q-pP1se7vdp}k81g6wET;j{)(nw)bvZ5{*k8n z!&ut+nZ{pfd_&{AMEL8&hC$?Sm5DPns=t>3T~8XD#zKuti14>b>vd>)gO+b1Vt?t^ z^q|Hujdy7Lh(?|_(EevMeo5mwjo;Py1C6g}{5Ori*7ygFS2X&t2h(ne#_1ZXHS*kv z<6Ta~<{Htsiiq*8)$&c6zD3iw5|I;eO&`$m!3LZDFHH?cPSnl_2p7ppa@`z2_E zR`DZ5D6i7kp|MNjc8z@+hcpgryqAdm;<(1s8Xwm9IgQV1{IbTcY5cy%iyHZEM|-bo z{BI)mf!}I;OQX7vg3mt%p`6cI#AzC5YUH1Wkgxu754cRz*K6$3xLzaAZmGXb<4%nq z)VNn8|1yMn$B0;`_h>w>@svjO9LfDb(_hf|MU8yEV|)Hh4e=i|{x6M}h**!WYE;jE zpkLQ?TH~KI@&~Nc=esPCe_}yY_jRDUuVWqa4+^-R`EE?)cRfV@83nOR;|DZu(a1le z;CkMxaZKYOjr?tybOdO(OEPmWX@} z6Oku;p5;75h=^Y+5##J4VjLTZLF){G{jyL`trsAcwW5nOs{XKkU_z}YlZdsa)(=qi z4?h-=Z?7ZxgDpY%rTl?k%Si(xMEIxH7f|_!emlqqDnHTLdeT7UFZ|w08n~SZ|JC{f z?k0_K3}{Rc;qPyV@GrnTfM2%~;g@=EfFF4-(CELDh<^W*i2fv>ThPx;BJ4L1VSftk zEw;W#qJ8B*XyrfpQT~Ef{-VFQ)=v`A?{Q6^BBKA#Y5G|r{P>=xFA(9+uQfeRgkS3U Y820@f2mD(=1RW;A&sI%$5aI9t0hhh*U;qFB literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.lst new file mode 100755 index 0000000..a551fd4 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.lst @@ -0,0 +1,2829 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "cyfitter_cfg.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.SetAnalogRoutingPumps,"ax",%progbits + 19 .align 1 + 20 .global SetAnalogRoutingPumps + 21 .thumb + 22 .thumb_func + 23 .type SetAnalogRoutingPumps, %function + 24 SetAnalogRoutingPumps: + 25 .LFB7: + 26 .file 1 ".\\Generated_Source\\PSoC5\\cyfitter_cfg.c" + 1:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * FILENAME: cyfitter_cfg.c + 3:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * PSoC Creator 3.0 + 4:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 5:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Description: + 6:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * This file is automatically generated by PSoC Creator with device + 7:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * initialization code. Except for the user defined sections in + 8:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * CyClockStartupError(), this file should not be modified. + 9:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 10:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ********************************************************************************/ + 16:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 17:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 18:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 19:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 20:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 21:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 22:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 23:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 24:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_NEED_CYCLOCKSTARTUPERROR 1 + 25:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 26:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 27:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #if defined(__GNUC__) || defined(__ARMCC_VERSION) + 28:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYPACKED + 29:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYPACKED_ATTR __attribute__ ((packed)) + 30:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYALIGNED __attribute__ ((aligned)) + 31:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_UNUSED __attribute__ ((unused)) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 2 + + + 32:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_SECTION __attribute__ ((section(".psocinit"))) + 33:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 34:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #if defined(__ARMCC_VERSION) + 35:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_MEMORY_BARRIER() __memory_changed() + 36:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #else + 37:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_MEMORY_BARRIER() __sync_synchronize() + 38:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #endif + 39:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 40:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #elif defined(__ICCARM__) + 41:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #include + 42:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 43:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYPACKED __packed + 44:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYPACKED_ATTR + 45:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYALIGNED _Pragma("data_alignment=4") + 46:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_UNUSED _Pragma("diag_suppress=Pe177") + 47:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_SECTION _Pragma("location=\".psocinit\"") + 48:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 49:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_MEMORY_BARRIER() __DMB() + 50:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 51:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #else + 52:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #error Unsupported toolchain + 53:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #endif + 54:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 55:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 56:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 57:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CYMEMZERO(void *s, size_t n); + 58:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 59:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CYMEMZERO(void *s, size_t n) + 60:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 61:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memset(s, 0, n); + 62:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 63:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 64:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CYCONFIGCPY(void *dest, const void *src, size_t n); + 65:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 66:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CYCONFIGCPY(void *dest, const void *src, size_t n) + 67:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 68:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 69:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 70:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 71:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n); + 72:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 73:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n) + 74:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 75:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 76:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 77:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 78:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 79:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 80:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Clock startup error codes */ + 81:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYCLOCKSTART_NO_ERROR 0u + 82:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYCLOCKSTART_XTAL_ERROR 1u + 83:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYCLOCKSTART_32KHZ_ERROR 2u + 84:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CYCLOCKSTART_PLL_ERROR 3u + 85:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 86:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #ifdef CY_NEED_CYCLOCKSTARTUPERROR + 87:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 88:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Function Name: CyClockStartupError + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 3 + + + 89:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 90:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Summary: + 91:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * If an error is encountered during clock configuration (crystal startup error, + 92:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * PLL lock error, etc.), the system will end up here. Unless reimplemented by + 93:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * the customer, this function will stop in an infinite loop. + 94:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 95:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Parameters: + 96:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 97:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 98:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Return: + 99:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 100:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 101:.\Generated_Source\PSoC5/cyfitter_cfg.c **** *******************************************************************************/ + 102:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 103:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CyClockStartupError(uint8 errorCode); + 104:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_CFG_UNUSED + 105:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void CyClockStartupError(uint8 errorCode) + 106:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 107:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* To remove the compiler warning if errorCode not used. */ + 108:.\Generated_Source\PSoC5/cyfitter_cfg.c **** errorCode = errorCode; + 109:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 110:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* `#START CyClockStartupError` */ + 111:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 112:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* If we have a clock startup error (bad MHz crystal, PLL lock, etc.), */ + 113:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* we will end up here to allow the customer to implement something to */ + 114:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* deal with the clock condition. */ + 115:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 116:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* `#END` */ + 117:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 118:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* If nothing else, stop here since the clocks have not started */ + 119:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* correctly. */ + 120:.\Generated_Source\PSoC5/cyfitter_cfg.c **** while(1) {} + 121:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 122:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #endif + 123:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 124:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_CFG_BASE_ADDR_COUNT 12u + 125:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYPACKED typedef struct + 126:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 127:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 offset; + 128:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 value; + 129:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } CYPACKED_ATTR cy_cfg_addrvalue_t; + 130:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 131:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 132:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 133:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 134:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Function Name: cfg_write_bytes32 + 135:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 136:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Summary: + 137:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * This function is used for setting up the chip configuration areas that + 138:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * contain relatively sparse data. + 139:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 140:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Parameters: + 141:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 142:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 143:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Return: + 144:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 145:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 4 + + + 146:.\Generated_Source\PSoC5/cyfitter_cfg.c **** *******************************************************************************/ + 147:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]); + 148:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]) + 149:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 150:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* For 32-bit little-endian architectures */ + 151:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint32 i, j = 0u; + 152:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (i = 0u; i < CY_CFG_BASE_ADDR_COUNT; i++) + 153:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 154:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint32 baseAddr = addr_table[i]; + 155:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 count = (uint8)baseAddr; + 156:.\Generated_Source\PSoC5/cyfitter_cfg.c **** baseAddr &= 0xFFFFFF00u; + 157:.\Generated_Source\PSoC5/cyfitter_cfg.c **** while (count != 0u) + 158:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 159:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(baseAddr + data_table[j].offset), data_table[j].value); + 160:.\Generated_Source\PSoC5/cyfitter_cfg.c **** j++; + 161:.\Generated_Source\PSoC5/cyfitter_cfg.c **** count--; + 162:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 163:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 164:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 165:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 166:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 167:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Function Name: ClockSetup + 168:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 169:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 170:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Summary: + 171:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Performs the initialization of all of the clocks in the device based on the + 172:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * settings in the Clock tab of the DWR. This includes enabling the requested + 173:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * clocks and setting the necessary dividers to produce the desired frequency. + 174:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 175:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Parameters: + 176:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 177:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 178:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Return: + 179:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 180:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 181:.\Generated_Source\PSoC5/cyfitter_cfg.c **** *******************************************************************************/ + 182:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void ClockSetup(void); + 183:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void ClockSetup(void) + 184:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 185:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint32 timeout; + 186:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 pllLock; + 187:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 188:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 189:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Configure ILO based on settings from Clock DWR */ + 190:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); + 191:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 192:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Configure IMO based on settings from Clock DWR */ + 193:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + 194:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_ + 195:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 196:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Configure PLL based on settings from Clock DWR */ + 197:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + 198:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); + 199:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Wait up to 250us for the PLL to lock */ + 200:.\Generated_Source\PSoC5/cyfitter_cfg.c **** pllLock = 0u; + 201:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (timeout = 250u / 10u; (timeout > 0u) && (pllLock != 0x03u); timeout--) + 202:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 5 + + + 203:.\Generated_Source\PSoC5/cyfitter_cfg.c **** pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_ + 204:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CyDelayCycles(10u * 48u); /* Delay 10us based on 48MHz clock */ + 205:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 206:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* If we ran out of time the PLL didn't lock so go to the error function */ + 207:.\Generated_Source\PSoC5/cyfitter_cfg.c **** if (timeout == 0u) + 208:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 209:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CyClockStartupError(CYCLOCKSTART_PLL_ERROR); + 210:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 211:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 212:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Configure Bus/Master Clock based on settings from Clock DWR */ + 213:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + 214:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x07u); + 215:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); + 216:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); + 217:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x00u); + 218:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 219:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Configure USB Clock based on settings from Clock DWR */ + 220:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_UCFG), 0x00u); + 221:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); + 222:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 223:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 224:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 225:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Analog API Functions */ + 226:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 227:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 228:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 229:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Function Name: AnalogSetDefault + 230:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 231:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 232:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Summary: + 233:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Sets up the analog portions of the chip to default values based on chip + 234:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * configuration options from the project. + 235:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 236:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Parameters: + 237:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 238:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 239:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Return: + 240:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 241:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 242:.\Generated_Source\PSoC5/cyfitter_cfg.c **** *******************************************************************************/ + 243:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void AnalogSetDefault(void); + 244:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static void AnalogSetDefault(void) + 245:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 246:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 bg_xover_inl_trim = CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM + + 247:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT0), (bg_xover_inl_trim & 0x07u)); + 248:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT1), ((bg_xover_inl_trim >> 4) & 0x0Fu)); + 249:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, 0x44u); + 250:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 251:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 252:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 253:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 254:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Function Name: SetAnalogRoutingPumps + 255:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 256:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 257:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Summary: + 258:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Enables or disables the analog pumps feeding analog routing switches. + 259:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Intended to be called at startup, based on the Vdda system configuration; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 6 + + + 260:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * may be called during operation when the user informs us that the Vdda voltage + 261:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * crossed the pump threshold. + 262:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 263:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Parameters: + 264:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * enabled - 1 to enable the pumps, 0 to disable the pumps + 265:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 266:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Return: + 267:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 268:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 269:.\Generated_Source\PSoC5/cyfitter_cfg.c **** *******************************************************************************/ + 270:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void SetAnalogRoutingPumps(uint8 enabled) + 271:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 27 .loc 1 271 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 @ link register save eliminated. + 32 .LVL0: + 272:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 regValue = CY_GET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0); + 33 .loc 1 272 0 + 34 0000 014B ldr r3, .L2 + 35 0002 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 36 .LVL1: + 273:.\Generated_Source\PSoC5/cyfitter_cfg.c **** if (enabled != 0u) + 274:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 275:.\Generated_Source\PSoC5/cyfitter_cfg.c **** regValue |= 0x00u; + 276:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 277:.\Generated_Source\PSoC5/cyfitter_cfg.c **** else + 278:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 279:.\Generated_Source\PSoC5/cyfitter_cfg.c **** regValue &= (uint8)~0x00u; + 280:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 281:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, regValue); + 37 .loc 1 281 0 + 38 0004 1A70 strb r2, [r3, #0] + 39 0006 7047 bx lr + 40 .L3: + 41 .align 2 + 42 .L2: + 43 0008 76580040 .word 1073764470 + 44 .cfi_endproc + 45 .LFE7: + 46 .size SetAnalogRoutingPumps, .-SetAnalogRoutingPumps + 47 .section .text.cyfitter_cfg,"ax",%progbits + 48 .align 1 + 49 .global cyfitter_cfg + 50 .thumb + 51 .thumb_func + 52 .type cyfitter_cfg, %function + 53 cyfitter_cfg: + 54 .LFB8: + 282:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 283:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 284:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #define CY_AMUX_UNUSED CYREG_BOOST_SR + 285:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 286:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 287:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /******************************************************************************* + 288:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Function Name: cyfitter_cfg + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 7 + + + 289:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ******************************************************************************** + 290:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Summary: + 291:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * This function is called by the start-up code for the selected device. It + 292:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * performs all of the necessary device configuration based on the design + 293:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * settings. This includes settings from the Design Wide Resources (DWR) such + 294:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * as Clocks and Pins as well as any component configuration that is necessary. + 295:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 296:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Parameters: + 297:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 298:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 299:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * Return: + 300:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * void + 301:.\Generated_Source\PSoC5/cyfitter_cfg.c **** * + 302:.\Generated_Source\PSoC5/cyfitter_cfg.c **** *******************************************************************************/ + 303:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 304:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void cyfitter_cfg(void) + 305:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 55 .loc 1 305 0 + 56 .cfi_startproc + 57 @ args = 0, pretend = 0, frame = 0 + 58 @ frame_needed = 0, uses_anonymous_args = 0 + 59 0000 F8B5 push {r3, r4, r5, r6, r7, lr} + 60 .LCFI0: + 61 .cfi_def_cfa_offset 24 + 62 .cfi_offset 3, -24 + 63 .cfi_offset 4, -20 + 64 .cfi_offset 5, -16 + 65 .cfi_offset 6, -12 + 66 .cfi_offset 7, -8 + 67 .cfi_offset 14, -4 + 306:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* IOPINS0_0 Address: CYREG_PRT0_DM0 Size (bytes): 8 */ + 307:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const uint8 CYCODE BS_IOPINS0_0_VAL[] = { + 308:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x00u, 0xFFu, 0xFFu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + 309:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 310:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* IOPINS0_8 Address: CYREG_PRT15_DR Size (bytes): 10 */ + 311:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const uint8 CYCODE BS_IOPINS0_8_VAL[] = { + 312:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0xC0u, 0x00u}; + 313:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 314:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* IOPINS0_4 Address: CYREG_PRT4_DM0 Size (bytes): 8 */ + 315:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const uint8 CYCODE BS_IOPINS0_4_VAL[] = { + 316:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x00u, 0xFCu, 0xFCu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + 317:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 318:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* IOPINS0_6 Address: CYREG_PRT6_DM0 Size (bytes): 8 */ + 319:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const uint8 CYCODE BS_IOPINS0_6_VAL[] = { + 320:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x00u, 0x0Fu, 0x0Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + 321:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 322:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #ifdef CYGlobalIntDisable + 323:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Disable interrupts by default. Let user enable if/when they want. */ + 324:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYGlobalIntDisable + 68 .loc 1 324 0 + 69 @ 324 ".\Generated_Source\PSoC5\cyfitter_cfg.c" 1 + 70 0002 72B6 CPSID i + 71 @ 0 "" 2 + 325:.\Generated_Source\PSoC5/cyfitter_cfg.c **** #endif + 326:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 327:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 328:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Set Flash Cycles based on max possible frequency in case a glitch occurs during ClockSetup(). * + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 8 + + + 329:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CACHE_CC_CTL), (((CYDEV_INSTRUCT_CACHE_ENABLED) != 0) ? 0x01 + 72 .loc 1 329 0 + 73 .thumb + 74 0004 5A4B ldr r3, .L23 + 75 0006 0122 movs r2, #1 + 76 .LBB30: + 77 .LBB31: + 190:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); + 78 .loc 1 190 0 + 79 0008 A3F5A061 sub r1, r3, #1280 + 193:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + 80 .loc 1 193 0 + 81 000c A1F58075 sub r5, r1, #256 + 190:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); + 82 .loc 1 190 0 + 83 0010 0620 movs r0, #6 + 193:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + 84 .loc 1 193 0 + 85 0012 5224 movs r4, #82 + 194:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_ + 86 .loc 1 194 0 + 87 0014 574E ldr r6, .L23+4 + 88 .LBE31: + 89 .LBE30: + 90 .loc 1 329 0 + 91 0016 1A70 strb r2, [r3, #0] + 92 .LBB34: + 93 .LBB32: + 190:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); + 94 .loc 1 190 0 + 95 0018 0870 strb r0, [r1, #0] + 193:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + 96 .loc 1 193 0 + 97 001a 2C70 strb r4, [r5, #0] + 194:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_ + 98 .loc 1 194 0 + 99 001c 3778 ldrb r7, [r6, #0] @ zero_extendqisi2 + 197:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + 100 .loc 1 197 0 + 101 001e 564B ldr r3, .L23+8 + 194:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_ + 102 .loc 1 194 0 + 103 0020 564A ldr r2, .L23+12 + 197:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + 104 .loc 1 197 0 + 105 0022 40F61800 movw r0, #2072 + 198:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); + 106 .loc 1 198 0 + 107 0026 41F25121 movw r1, #4689 + 194:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_ + 108 .loc 1 194 0 + 109 002a 1770 strb r7, [r2, #0] + 198:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); + 110 .loc 1 198 0 + 111 002c 1925 movs r5, #25 + 197:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + 112 .loc 1 197 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 9 + + + 113 002e 1880 strh r0, [r3, #0] @ movhi + 200:.\Generated_Source\PSoC5/cyfitter_cfg.c **** pllLock = 0u; + 114 .loc 1 200 0 + 115 0030 0024 movs r4, #0 + 198:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); + 116 .loc 1 198 0 + 117 0032 23F8021C strh r1, [r3, #-2] @ movhi + 118 .LVL2: + 119 .L6: + 203:.\Generated_Source\PSoC5/cyfitter_cfg.c **** pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_ + 120 .loc 1 203 0 + 121 0036 524E ldr r6, .L23+16 + 204:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CyDelayCycles(10u * 48u); /* Delay 10us based on 48MHz clock */ + 122 .loc 1 204 0 + 123 0038 4FF4F070 mov r0, #480 + 203:.\Generated_Source\PSoC5/cyfitter_cfg.c **** pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_ + 124 .loc 1 203 0 + 125 003c 3778 ldrb r7, [r6, #0] @ zero_extendqisi2 + 126 003e 07F00102 and r2, r7, #1 + 127 0042 42EA4404 orr r4, r2, r4, lsl #1 + 204:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CyDelayCycles(10u * 48u); /* Delay 10us based on 48MHz clock */ + 128 .loc 1 204 0 + 129 0046 FFF7FEFF bl CyDelayCycles + 130 .LVL3: + 201:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (timeout = 250u / 10u; (timeout > 0u) && (pllLock != 0x03u); timeout--) + 131 .loc 1 201 0 + 132 004a 013D subs r5, r5, #1 + 203:.\Generated_Source\PSoC5/cyfitter_cfg.c **** pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_ + 133 .loc 1 203 0 + 134 004c 04F00304 and r4, r4, #3 + 135 .LVL4: + 201:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (timeout = 250u / 10u; (timeout > 0u) && (pllLock != 0x03u); timeout--) + 136 .loc 1 201 0 + 137 0050 17D0 beq .L5 + 138 0052 032C cmp r4, #3 + 139 0054 EFD1 bne .L6 + 213:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + 140 .loc 1 213 0 + 141 0056 4B48 ldr r0, .L23+20 + 215:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); + 142 .loc 1 215 0 + 143 0058 4B4F ldr r7, .L23+24 + 144 005a 0026 movs r6, #0 + 213:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + 145 .loc 1 213 0 + 146 005c 4FF48073 mov r3, #256 + 147 .LBE32: + 148 .LBE34: + 330:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Setup clocks based on selections from Clock DWR */ + 331:.\Generated_Source\PSoC5/cyfitter_cfg.c **** ClockSetup(); + 332:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Enable/Disable Debug functionality based on settings from System DWR */ + 333:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG, (CY_GET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DE + 149 .loc 1 333 0 + 150 0060 4A4D ldr r5, .L23+28 + 151 .LBB35: + 152 .LBB33: + 214:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x07u); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 10 + + + 153 .loc 1 214 0 + 154 0062 0721 movs r1, #7 + 216:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); + 155 .loc 1 216 0 + 156 0064 4822 movs r2, #72 + 221:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); + 157 .loc 1 221 0 + 158 0066 0224 movs r4, #2 + 159 .LVL5: + 213:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + 160 .loc 1 213 0 + 161 0068 0380 strh r3, [r0, #0] @ movhi + 214:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x07u); + 162 .loc 1 214 0 + 163 006a 0170 strb r1, [r0, #0] + 215:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); + 164 .loc 1 215 0 + 165 006c 3E70 strb r6, [r7, #0] + 216:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); + 166 .loc 1 216 0 + 167 006e BA70 strb r2, [r7, #2] + 217:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x00u); + 168 .loc 1 217 0 + 169 0070 0670 strb r6, [r0, #0] + 220:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_UCFG), 0x00u); + 170 .loc 1 220 0 + 171 0072 4671 strb r6, [r0, #5] + 221:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); + 172 .loc 1 221 0 + 173 0074 00F8034C strb r4, [r0, #-3] + 174 .LBE33: + 175 .LBE35: + 176 .loc 1 333 0 + 177 0078 2878 ldrb r0, [r5, #0] @ zero_extendqisi2 + 178 007a 40F00403 orr r3, r0, #4 + 179 007e 2B70 strb r3, [r5, #0] + 180 .LVL6: + 181 0080 00E0 b .L8 + 182 .LVL7: + 183 .L5: + 184 0082 FEE7 b .L5 + 185 .LVL8: + 186 .L8: + 187 .LBB36: + 188 .LBB37: + 334:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 335:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 336:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const uint32 CYCODE cy_cfg_addr_table[] = { + 337:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40004501u, /* Base address: 0x40004500 Count: 1 */ + 338:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40005201u, /* Base address: 0x40005200 Count: 1 */ + 339:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40011701u, /* Base address: 0x40011700 Count: 1 */ + 340:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40011901u, /* Base address: 0x40011900 Count: 1 */ + 341:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014003u, /* Base address: 0x40014000 Count: 3 */ + 342:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014102u, /* Base address: 0x40014100 Count: 2 */ + 343:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014202u, /* Base address: 0x40014200 Count: 2 */ + 344:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014302u, /* Base address: 0x40014300 Count: 2 */ + 345:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014703u, /* Base address: 0x40014700 Count: 3 */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 11 + + + 346:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014803u, /* Base address: 0x40014800 Count: 3 */ + 347:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40014C02u, /* Base address: 0x40014C00 Count: 2 */ + 348:.\Generated_Source\PSoC5/cyfitter_cfg.c **** 0x40015101u, /* Base address: 0x40015100 Count: 1 */ + 349:.\Generated_Source\PSoC5/cyfitter_cfg.c **** }; + 350:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 351:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const cy_cfg_addrvalue_t CYCODE cy_cfg_data_table[] = { + 352:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x7Eu, 0x02u}, + 353:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x7Cu, 0x40u}, + 354:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xEEu, 0x0Au}, + 355:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xEEu, 0x0Au}, + 356:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x33u, 0x80u}, + 357:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x36u, 0x40u}, + 358:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xCCu, 0x30u}, + 359:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xA6u, 0x40u}, + 360:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xA7u, 0x80u}, + 361:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xA6u, 0x40u}, + 362:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xA7u, 0x80u}, + 363:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xA6u, 0x40u}, + 364:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xA7u, 0x80u}, + 365:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x08u, 0x08u}, + 366:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x0Fu, 0x40u}, + 367:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xC2u, 0x0Cu}, + 368:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xAEu, 0x40u}, + 369:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xAFu, 0x80u}, + 370:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xEEu, 0x50u}, + 371:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xACu, 0x08u}, + 372:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0xAFu, 0x40u}, + 373:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {0x00u, 0x0Au}, + 374:.\Generated_Source\PSoC5/cyfitter_cfg.c **** }; + 375:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 376:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 377:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 378:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYPACKED typedef struct { + 379:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void CYFAR *address; + 380:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint16 size; + 381:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } CYPACKED_ATTR cfg_memset_t; + 382:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 383:.\Generated_Source\PSoC5/cyfitter_cfg.c **** static const cfg_memset_t CYCODE cfg_memset_list [] = { + 384:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* address, size */ + 385:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYREG_PRT1_DR), 48u}, + 386:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYREG_PRT5_DR), 16u}, + 387:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYREG_PRT12_DR), 16u}, + 388:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYDEV_UCFG_B0_P0_U0_BASE), 4096u}, + 389:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 2048u}, + 390:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYDEV_UCFG_DSI0_BASE), 2560u}, + 391:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYDEV_UCFG_DSI12_BASE), 512u}, + 392:.\Generated_Source\PSoC5/cyfitter_cfg.c **** {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), 32u}, + 393:.\Generated_Source\PSoC5/cyfitter_cfg.c **** }; + 394:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 395:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 CYDATA i; + 396:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 397:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Zero out critical memory blocks before beginning configuration */ + 398:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (i = 0u; i < (sizeof(cfg_memset_list)/sizeof(cfg_memset_list[0])); i++) + 399:.\Generated_Source\PSoC5/cyfitter_cfg.c **** { + 400:.\Generated_Source\PSoC5/cyfitter_cfg.c **** const cfg_memset_t CYCODE * CYDATA ms = &cfg_memset_list[i]; + 189 .loc 1 400 0 discriminator 2 + 190 0084 424F ldr r7, .L23+32 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 12 + + + 191 0086 0621 movs r1, #6 + 192 0088 01FB0672 mla r2, r1, r6, r7 + 193 .LVL9: + 194 .LBB38: + 195 .LBB39: + 61:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memset(s, 0, n); + 196 .loc 1 61 0 discriminator 2 + 197 008c 0021 movs r1, #0 + 198 008e 1068 ldr r0, [r2, #0] @ unaligned + 199 0090 0136 adds r6, r6, #1 + 200 .LVL10: + 201 0092 9288 ldrh r2, [r2, #4] @ unaligned + 202 .LVL11: + 203 0094 FFF7FEFF bl memset + 204 .LVL12: + 205 .LBE39: + 206 .LBE38: + 207 .LBE37: + 398:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (i = 0u; i < (sizeof(cfg_memset_list)/sizeof(cfg_memset_list[0])); i++) + 208 .loc 1 398 0 discriminator 2 + 209 0098 082E cmp r6, #8 + 210 009a F3D1 bne .L8 + 398:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (i = 0u; i < (sizeof(cfg_memset_list)/sizeof(cfg_memset_list[0])); i++) + 211 .loc 1 398 0 is_stmt 0 + 212 009c 0023 movs r3, #0 + 213 009e 1946 mov r1, r3 + 214 .LVL13: + 215 .L11: + 216 .LBB40: + 217 .LBB41: + 218 .LBB42: + 154:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint32 baseAddr = addr_table[i]; + 219 .loc 1 154 0 is_stmt 1 + 220 00a0 3C4C ldr r4, .L23+36 + 221 .LBE42: + 222 .LBE41: + 223 .LBE40: + 304:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void cyfitter_cfg(void) + 224 .loc 1 304 0 + 225 00a2 0022 movs r2, #0 + 226 .LBB45: + 227 .LBB44: + 228 .LBB43: + 154:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint32 baseAddr = addr_table[i]; + 229 .loc 1 154 0 + 230 00a4 1859 ldr r0, [r3, r4] + 231 .LVL14: + 304:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void cyfitter_cfg(void) + 232 .loc 1 304 0 + 233 00a6 3034 adds r4, r4, #48 + 155:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 count = (uint8)baseAddr; + 234 .loc 1 155 0 + 235 00a8 C6B2 uxtb r6, r0 + 236 .LVL15: + 156:.\Generated_Source\PSoC5/cyfitter_cfg.c **** baseAddr &= 0xFFFFFF00u; + 237 .loc 1 156 0 + 238 00aa 20F0FF07 bic r7, r0, #255 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 13 + + + 239 .LVL16: + 304:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void cyfitter_cfg(void) + 240 .loc 1 304 0 + 241 00ae 04EB4104 add r4, r4, r1, lsl #1 + 242 .LVL17: + 243 .L9: + 157:.\Generated_Source\PSoC5/cyfitter_cfg.c **** while (count != 0u) + 244 .loc 1 157 0 + 245 00b2 D5B2 uxtb r5, r2 + 246 00b4 AE42 cmp r6, r5 + 247 00b6 09D0 beq .L22 + 248 .L10: + 304:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void cyfitter_cfg(void) + 249 .loc 1 304 0 + 250 00b8 04EB420C add ip, r4, r2, lsl #1 + 159:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(baseAddr + data_table[j].offset), data_table[j].value); + 251 .loc 1 159 0 + 252 00bc 14F81250 ldrb r5, [r4, r2, lsl #1] @ zero_extendqisi2 + 253 00c0 9CF801E0 ldrb lr, [ip, #1] @ zero_extendqisi2 + 254 00c4 0132 adds r2, r2, #1 + 255 00c6 05F807E0 strb lr, [r5, r7] + 256 00ca F2E7 b .L9 + 257 .L22: + 258 00cc 0433 adds r3, r3, #4 + 304:.\Generated_Source\PSoC5/cyfitter_cfg.c **** void cyfitter_cfg(void) + 259 .loc 1 304 0 + 260 00ce C0B2 uxtb r0, r0 + 261 .LBE43: + 152:.\Generated_Source\PSoC5/cyfitter_cfg.c **** for (i = 0u; i < CY_CFG_BASE_ADDR_COUNT; i++) + 262 .loc 1 152 0 + 263 00d0 302B cmp r3, #48 + 264 00d2 0144 add r1, r1, r0 + 265 .LVL18: + 266 00d4 E4D1 bne .L11 + 267 .LBE44: + 268 .LBE45: + 401:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYMEMZERO(ms->address, (uint32)(ms->size)); + 402:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 403:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 404:.\Generated_Source\PSoC5/cyfitter_cfg.c **** cfg_write_bytes32(cy_cfg_addr_table, cy_cfg_data_table); + 405:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 406:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Enable digital routing */ + 407:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL0_B + 269 .loc 1 407 0 + 270 00d6 304C ldr r4, .L23+40 + 271 00d8 2278 ldrb r2, [r4, #0] @ zero_extendqisi2 + 272 00da 42F00200 orr r0, r2, #2 + 273 00de 2070 strb r0, [r4, #0] + 408:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL1_B + 274 .loc 1 408 0 + 275 00e0 217C ldrb r1, [r4, #16] @ zero_extendqisi2 + 276 .LVL19: + 409:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 410:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Enable UDB array */ + 411:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG + 412:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_ + 277 .loc 1 412 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 14 + + + 278 00e2 2E48 ldr r0, .L23+44 + 408:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL1_B + 279 .loc 1 408 0 + 280 00e4 41F00203 orr r3, r1, #2 + 411:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG + 281 .loc 1 411 0 + 282 00e8 2D49 ldr r1, .L23+48 + 408:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL1_B + 283 .loc 1 408 0 + 284 00ea 2374 strb r3, [r4, #16] + 411:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG + 285 .loc 1 411 0 + 286 00ec 0C78 ldrb r4, [r1, #0] @ zero_extendqisi2 + 287 00ee 44F04002 orr r2, r4, #64 + 288 00f2 0A70 strb r2, [r1, #0] + 289 .loc 1 412 0 + 290 00f4 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 75:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 291 .loc 1 75 0 + 292 00f6 2B4A ldr r2, .L23+52 + 293 .loc 1 412 0 + 294 00f8 43F01004 orr r4, r3, #16 + 75:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 295 .loc 1 75 0 + 296 00fc 2A4B ldr r3, .L23+56 + 297 .loc 1 412 0 + 298 00fe 0470 strb r4, [r0, #0] + 299 .LVL20: + 75:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 300 .loc 1 75 0 + 301 0100 1868 ldr r0, [r3, #0] @ unaligned + 302 0102 5C68 ldr r4, [r3, #4] @ unaligned + 303 0104 1060 str r0, [r2, #0] @ unaligned + 304 0106 5460 str r4, [r2, #4] @ unaligned + 305 .LVL21: + 306 0108 1A46 mov r2, r3 + 307 010a 2848 ldr r0, .L23+60 + 308 010c 52F8084F ldr r4, [r2, #8]! @ unaligned + 309 0110 0460 str r4, [r0, #0] @ unaligned + 310 0112 5468 ldr r4, [r2, #4] @ unaligned + 311 0114 1289 ldrh r2, [r2, #8] @ unaligned + 312 0116 4460 str r4, [r0, #4] @ unaligned + 313 0118 0281 strh r2, [r0, #8] @ unaligned + 314 .LVL22: + 315 011a 1A46 mov r2, r3 + 316 011c 52F8124F ldr r4, [r2, #18]! @ unaligned + 317 0120 5268 ldr r2, [r2, #4] @ unaligned + 318 0122 40F8AE4C str r4, [r0, #-174] @ unaligned + 319 0126 40F8AA2C str r2, [r0, #-170] @ unaligned + 320 .LVL23: + 321 012a 53F81A0F ldr r0, [r3, #26]! @ unaligned + 322 012e 204A ldr r2, .L23+64 + 323 0130 5B68 ldr r3, [r3, #4] @ unaligned + 324 0132 1060 str r0, [r2, #0] @ unaligned + 325 .LBE36: + 413:.\Generated_Source\PSoC5/cyfitter_cfg.c **** } + 414:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 15 + + + 415:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Perform second pass device configuration. These items must be configured in specific order afte + 416:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT0_DM0), (const void CYCODE *)(BS_IOPINS0_0_VAL), 8u); + 417:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT15_DR), (const void CYCODE *)(BS_IOPINS0_8_VAL), 10u); + 418:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT4_DM0), (const void CYCODE *)(BS_IOPINS0_4_VAL), 8u); + 419:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT6_DM0), (const void CYCODE *)(BS_IOPINS0_6_VAL), 8u); + 420:.\Generated_Source\PSoC5/cyfitter_cfg.c **** + 421:.\Generated_Source\PSoC5/cyfitter_cfg.c **** /* Switch Boost to the precision bandgap reference from its internal reference */ + 422:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_REG8((void CYXDATA *)CYREG_BOOST_CR2, (CY_GET_REG8((void CYXDATA *)CYREG_BOOST_CR2) | 0x08u + 326 .loc 1 422 0 + 327 0134 1F48 ldr r0, .L23+68 + 328 .LBB46: + 75:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 329 .loc 1 75 0 + 330 0136 5360 str r3, [r2, #4] @ unaligned + 331 .LBE46: + 332 .loc 1 422 0 + 333 0138 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 334 013a 42F00803 orr r3, r2, #8 + 335 013e 0370 strb r3, [r0, #0] + 336 .LBB47: + 337 .LBB48: + 246:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 bg_xover_inl_trim = CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM + + 338 .loc 1 246 0 + 339 0140 1D48 ldr r0, .L23+72 + 247:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT0), (bg_xover_inl_trim & 0x07u)); + 340 .loc 1 247 0 + 341 0142 1E4A ldr r2, .L23+76 + 246:.\Generated_Source\PSoC5/cyfitter_cfg.c **** uint8 bg_xover_inl_trim = CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM + + 342 .loc 1 246 0 + 343 0144 0378 ldrb r3, [r0, #0] @ zero_extendqisi2 + 344 .LVL24: + 247:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT0), (bg_xover_inl_trim & 0x07u)); + 345 .loc 1 247 0 + 346 0146 03F00700 and r0, r3, #7 + 248:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT1), ((bg_xover_inl_trim >> 4) & 0x0Fu)); + 347 .loc 1 248 0 + 348 014a 1B09 lsrs r3, r3, #4 + 349 .LVL25: + 247:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT0), (bg_xover_inl_trim & 0x07u)); + 350 .loc 1 247 0 + 351 014c 1070 strb r0, [r2, #0] + 248:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT1), ((bg_xover_inl_trim >> 4) & 0x0Fu)); + 352 .loc 1 248 0 + 353 014e 5370 strb r3, [r2, #1] + 249:.\Generated_Source\PSoC5/cyfitter_cfg.c **** CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, 0x44u); + 354 .loc 1 249 0 + 355 0150 1B4A ldr r2, .L23+80 + 356 0152 4420 movs r0, #68 + 357 0154 1070 strb r0, [r2, #0] + 358 .LVL26: + 359 .LBE48: + 360 .LBE47: + 361 .LBB49: + 362 .LBB50: + 68:.\Generated_Source\PSoC5/cyfitter_cfg.c **** (void)memcpy(dest, src, n); + 363 .loc 1 68 0 + 364 0156 1B4A ldr r2, .L23+84 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 16 + + + 365 0158 0B46 mov r3, r1 + 366 015a 0C31 adds r1, r1, #12 + 367 .L12: + 368 015c 53F8040B ldr r0, [r3], #4 @ unaligned + 369 0160 8B42 cmp r3, r1 + 370 0162 42F8040B str r0, [r2], #4 @ unaligned + 371 0166 F9D1 bne .L12 + 372 0168 1988 ldrh r1, [r3, #0] @ unaligned + 373 016a 1180 strh r1, [r2, #0] @ unaligned + 374 016c F8BD pop {r3, r4, r5, r6, r7, pc} + 375 .L24: + 376 016e 00BF .align 2 + 377 .L23: + 378 0170 00480040 .word 1073760256 + 379 0174 0F010049 .word 1224737039 + 380 0178 22420040 .word 1073758754 + 381 017c A1460040 .word 1073759905 + 382 0180 25420040 .word 1073758757 + 383 0184 04400040 .word 1073758212 + 384 0188 06400040 .word 1073758214 + 385 018c E8460040 .word 1073759976 + 386 0190 00000000 .word .LANCHOR0 + 387 0194 30000000 .word .LANCHOR0+48 + 388 0198 03500140 .word 1073827843 + 389 019c C2430040 .word 1073759170 + 390 01a0 A0430040 .word 1073759136 + 391 01a4 02510040 .word 1073762562 + 392 01a8 8C000000 .word .LANCHOR0+140 + 393 01ac F0510040 .word 1073762800 + 394 01b0 62510040 .word 1073762658 + 395 01b4 22430040 .word 1073759010 + 396 01b8 CF010049 .word 1224737231 + 397 01bc 6E580040 .word 1073764462 + 398 01c0 76580040 .word 1073764470 + 399 01c4 B0430040 .word 1073759152 + 400 .LBE50: + 401 .LBE49: + 402 .cfi_endproc + 403 .LFE8: + 404 .size cyfitter_cfg, .-cyfitter_cfg + 405 .section .rodata + 406 .align 2 + 407 .set .LANCHOR0,. + 0 + 408 .type cfg_memset_list.4818, %object + 409 .size cfg_memset_list.4818, 48 + 410 cfg_memset_list.4818: + 411 0000 10510040 .4byte 1073762576 + 412 0004 3000 .2byte 48 + 413 0006 50510040 .4byte 1073762640 + 414 000a 1000 .2byte 16 + 415 000c C0510040 .4byte 1073762752 + 416 0010 1000 .2byte 16 + 417 0012 00000140 .4byte 1073807360 + 418 0016 0010 .2byte 4096 + 419 0018 00140140 .4byte 1073812480 + 420 001c 0008 .2byte 2048 + 421 001e 00400140 .4byte 1073823744 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 17 + + + 422 0022 000A .2byte 2560 + 423 0024 004C0140 .4byte 1073826816 + 424 0028 0002 .2byte 512 + 425 002a 00500140 .4byte 1073827840 + 426 002e 2000 .2byte 32 + 427 .type cy_cfg_addr_table.4812, %object + 428 .size cy_cfg_addr_table.4812, 48 + 429 cy_cfg_addr_table.4812: + 430 0030 01450040 .word 1073759489 + 431 0034 01520040 .word 1073762817 + 432 0038 01170140 .word 1073813249 + 433 003c 01190140 .word 1073813761 + 434 0040 03400140 .word 1073823747 + 435 0044 02410140 .word 1073824002 + 436 0048 02420140 .word 1073824258 + 437 004c 02430140 .word 1073824514 + 438 0050 03470140 .word 1073825539 + 439 0054 03480140 .word 1073825795 + 440 0058 024C0140 .word 1073826818 + 441 005c 01510140 .word 1073828097 + 442 .type cy_cfg_data_table.4813, %object + 443 .size cy_cfg_data_table.4813, 44 + 444 cy_cfg_data_table.4813: + 445 0060 7E .byte 126 + 446 0061 02 .byte 2 + 447 0062 7C .byte 124 + 448 0063 40 .byte 64 + 449 0064 EE .byte -18 + 450 0065 0A .byte 10 + 451 0066 EE .byte -18 + 452 0067 0A .byte 10 + 453 0068 33 .byte 51 + 454 0069 80 .byte -128 + 455 006a 36 .byte 54 + 456 006b 40 .byte 64 + 457 006c CC .byte -52 + 458 006d 30 .byte 48 + 459 006e A6 .byte -90 + 460 006f 40 .byte 64 + 461 0070 A7 .byte -89 + 462 0071 80 .byte -128 + 463 0072 A6 .byte -90 + 464 0073 40 .byte 64 + 465 0074 A7 .byte -89 + 466 0075 80 .byte -128 + 467 0076 A6 .byte -90 + 468 0077 40 .byte 64 + 469 0078 A7 .byte -89 + 470 0079 80 .byte -128 + 471 007a 08 .byte 8 + 472 007b 08 .byte 8 + 473 007c 0F .byte 15 + 474 007d 40 .byte 64 + 475 007e C2 .byte -62 + 476 007f 0C .byte 12 + 477 0080 AE .byte -82 + 478 0081 40 .byte 64 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 18 + + + 479 0082 AF .byte -81 + 480 0083 80 .byte -128 + 481 0084 EE .byte -18 + 482 0085 50 .byte 80 + 483 0086 AC .byte -84 + 484 0087 08 .byte 8 + 485 0088 AF .byte -81 + 486 0089 40 .byte 64 + 487 008a 00 .byte 0 + 488 008b 0A .byte 10 + 489 .type BS_IOPINS0_0_VAL.4808, %object + 490 .size BS_IOPINS0_0_VAL.4808, 8 + 491 BS_IOPINS0_0_VAL.4808: + 492 008c 00 .byte 0 + 493 008d FF .byte -1 + 494 008e FF .byte -1 + 495 008f 00 .byte 0 + 496 0090 00 .byte 0 + 497 0091 00 .byte 0 + 498 0092 00 .byte 0 + 499 0093 00 .byte 0 + 500 .type BS_IOPINS0_8_VAL.4809, %object + 501 .size BS_IOPINS0_8_VAL.4809, 10 + 502 BS_IOPINS0_8_VAL.4809: + 503 0094 00 .byte 0 + 504 0095 00 .byte 0 + 505 0096 00 .byte 0 + 506 0097 00 .byte 0 + 507 0098 00 .byte 0 + 508 0099 00 .byte 0 + 509 009a 00 .byte 0 + 510 009b 00 .byte 0 + 511 009c C0 .byte -64 + 512 009d 00 .byte 0 + 513 .type BS_IOPINS0_4_VAL.4810, %object + 514 .size BS_IOPINS0_4_VAL.4810, 8 + 515 BS_IOPINS0_4_VAL.4810: + 516 009e 00 .byte 0 + 517 009f FC .byte -4 + 518 00a0 FC .byte -4 + 519 00a1 00 .byte 0 + 520 00a2 00 .byte 0 + 521 00a3 00 .byte 0 + 522 00a4 00 .byte 0 + 523 00a5 00 .byte 0 + 524 .type BS_IOPINS0_6_VAL.4811, %object + 525 .size BS_IOPINS0_6_VAL.4811, 8 + 526 BS_IOPINS0_6_VAL.4811: + 527 00a6 00 .byte 0 + 528 00a7 0F .byte 15 + 529 00a8 0F .byte 15 + 530 00a9 00 .byte 0 + 531 00aa 00 .byte 0 + 532 00ab 00 .byte 0 + 533 00ac 00 .byte 0 + 534 00ad 00 .byte 0 + 535 00ae 0000 .text + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 19 + + + 536 .Letext0: + 537 .file 2 "c:\\program files (x86)\\cypress\\psoc creator\\3.0\\psoc creator\\import\\gnu_cs\\arm\\4 + 538 .file 3 "./Generated_Source/PSoC5/cytypes.h" + 539 .file 4 "./Generated_Source/PSoC5/CyLib.h" + 540 .section .debug_info,"",%progbits + 541 .Ldebug_info0: + 542 0000 2E050000 .4byte 0x52e + 543 0004 0200 .2byte 0x2 + 544 0006 00000000 .4byte .Ldebug_abbrev0 + 545 000a 04 .byte 0x4 + 546 000b 01 .uleb128 0x1 + 547 000c 1A020000 .4byte .LASF51 + 548 0010 01 .byte 0x1 + 549 0011 4E010000 .4byte .LASF52 + 550 0015 12010000 .4byte .LASF53 + 551 0019 A0000000 .4byte .Ldebug_ranges0+0xa0 + 552 001d 00000000 .4byte 0 + 553 0021 00000000 .4byte 0 + 554 0025 00000000 .4byte .Ldebug_line0 + 555 0029 02 .uleb128 0x2 + 556 002a 01 .byte 0x1 + 557 002b 06 .byte 0x6 + 558 002c 8F000000 .4byte .LASF0 + 559 0030 02 .uleb128 0x2 + 560 0031 01 .byte 0x1 + 561 0032 08 .byte 0x8 + 562 0033 68020000 .4byte .LASF1 + 563 0037 02 .uleb128 0x2 + 564 0038 02 .byte 0x2 + 565 0039 05 .byte 0x5 + 566 003a 76020000 .4byte .LASF2 + 567 003e 02 .uleb128 0x2 + 568 003f 02 .byte 0x2 + 569 0040 07 .byte 0x7 + 570 0041 95010000 .4byte .LASF3 + 571 0045 03 .uleb128 0x3 + 572 0046 04 .byte 0x4 + 573 0047 05 .byte 0x5 + 574 0048 696E7400 .ascii "int\000" + 575 004c 02 .uleb128 0x2 + 576 004d 04 .byte 0x4 + 577 004e 07 .byte 0x7 + 578 004f 41010000 .4byte .LASF4 + 579 0053 02 .uleb128 0x2 + 580 0054 08 .byte 0x8 + 581 0055 05 .byte 0x5 + 582 0056 81000000 .4byte .LASF5 + 583 005a 02 .uleb128 0x2 + 584 005b 08 .byte 0x8 + 585 005c 07 .byte 0x7 + 586 005d 3C000000 .4byte .LASF6 + 587 0061 02 .uleb128 0x2 + 588 0062 04 .byte 0x4 + 589 0063 05 .byte 0x5 + 590 0064 E5000000 .4byte .LASF7 + 591 0068 02 .uleb128 0x2 + 592 0069 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 20 + + + 593 006a 07 .byte 0x7 + 594 006b C9010000 .4byte .LASF8 + 595 006f 04 .uleb128 0x4 + 596 0070 04 .byte 0x4 + 597 0071 02 .uleb128 0x2 + 598 0072 04 .byte 0x4 + 599 0073 07 .byte 0x7 + 600 0074 76010000 .4byte .LASF9 + 601 0078 02 .uleb128 0x2 + 602 0079 01 .byte 0x1 + 603 007a 08 .byte 0x8 + 604 007b BD020000 .4byte .LASF10 + 605 007f 05 .uleb128 0x5 + 606 0080 16000000 .4byte .LASF11 + 607 0084 02 .byte 0x2 + 608 0085 D5 .byte 0xd5 + 609 0086 4C000000 .4byte 0x4c + 610 008a 05 .uleb128 0x5 + 611 008b F4000000 .4byte .LASF12 + 612 008f 03 .byte 0x3 + 613 0090 5B .byte 0x5b + 614 0091 30000000 .4byte 0x30 + 615 0095 05 .uleb128 0x5 + 616 0096 06000000 .4byte .LASF13 + 617 009a 03 .byte 0x3 + 618 009b 5C .byte 0x5c + 619 009c 3E000000 .4byte 0x3e + 620 00a0 05 .uleb128 0x5 + 621 00a1 0B010000 .4byte .LASF14 + 622 00a5 03 .byte 0x3 + 623 00a6 5D .byte 0x5d + 624 00a7 71000000 .4byte 0x71 + 625 00ab 02 .uleb128 0x2 + 626 00ac 04 .byte 0x4 + 627 00ad 04 .byte 0x4 + 628 00ae 06020000 .4byte .LASF15 + 629 00b2 02 .uleb128 0x2 + 630 00b3 08 .byte 0x8 + 631 00b4 04 .byte 0x4 + 632 00b5 FA000000 .4byte .LASF16 + 633 00b9 05 .uleb128 0x5 + 634 00ba 63020000 .4byte .LASF17 + 635 00be 03 .byte 0x3 + 636 00bf F0 .byte 0xf0 + 637 00c0 C4000000 .4byte 0xc4 + 638 00c4 06 .uleb128 0x6 + 639 00c5 8A000000 .4byte 0x8a + 640 00c9 05 .uleb128 0x5 + 641 00ca EE000000 .4byte .LASF18 + 642 00ce 03 .byte 0x3 + 643 00cf F1 .byte 0xf1 + 644 00d0 D4000000 .4byte 0xd4 + 645 00d4 06 .uleb128 0x6 + 646 00d5 95000000 .4byte 0x95 + 647 00d9 07 .uleb128 0x7 + 648 00da 02 .byte 0x2 + 649 00db 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 21 + + + 650 00dc 7D .byte 0x7d + 651 00dd FE000000 .4byte 0xfe + 652 00e1 08 .uleb128 0x8 + 653 00e2 D8020000 .4byte .LASF19 + 654 00e6 01 .byte 0x1 + 655 00e7 7F .byte 0x7f + 656 00e8 8A000000 .4byte 0x8a + 657 00ec 02 .byte 0x2 + 658 00ed 23 .byte 0x23 + 659 00ee 00 .uleb128 0 + 660 00ef 08 .uleb128 0x8 + 661 00f0 3B010000 .4byte .LASF20 + 662 00f4 01 .byte 0x1 + 663 00f5 80 .byte 0x80 + 664 00f6 8A000000 .4byte 0x8a + 665 00fa 02 .byte 0x2 + 666 00fb 23 .byte 0x23 + 667 00fc 01 .uleb128 0x1 + 668 00fd 00 .byte 0 + 669 00fe 05 .uleb128 0x5 + 670 00ff AA020000 .4byte .LASF21 + 671 0103 01 .byte 0x1 + 672 0104 81 .byte 0x81 + 673 0105 D9000000 .4byte 0xd9 + 674 0109 09 .uleb128 0x9 + 675 010a 1D000000 .4byte .LASF22 + 676 010e 01 .byte 0x1 + 677 010f 69 .byte 0x69 + 678 0110 01 .byte 0x1 + 679 0111 01 .byte 0x1 + 680 0112 22010000 .4byte 0x122 + 681 0116 0A .uleb128 0xa + 682 0117 CA000000 .4byte .LASF24 + 683 011b 01 .byte 0x1 + 684 011c 69 .byte 0x69 + 685 011d 8A000000 .4byte 0x8a + 686 0121 00 .byte 0 + 687 0122 09 .uleb128 0x9 + 688 0123 B9010000 .4byte .LASF23 + 689 0127 01 .byte 0x1 + 690 0128 49 .byte 0x49 + 691 0129 01 .byte 0x1 + 692 012a 01 .byte 0x1 + 693 012b 4F010000 .4byte 0x14f + 694 012f 0A .uleb128 0xa + 695 0130 01020000 .4byte .LASF25 + 696 0134 01 .byte 0x1 + 697 0135 49 .byte 0x49 + 698 0136 6F000000 .4byte 0x6f + 699 013a 0B .uleb128 0xb + 700 013b 73726300 .ascii "src\000" + 701 013f 01 .byte 0x1 + 702 0140 49 .byte 0x49 + 703 0141 4F010000 .4byte 0x14f + 704 0145 0B .uleb128 0xb + 705 0146 6E00 .ascii "n\000" + 706 0148 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 22 + + + 707 0149 49 .byte 0x49 + 708 014a 7F000000 .4byte 0x7f + 709 014e 00 .byte 0 + 710 014f 0C .uleb128 0xc + 711 0150 04 .byte 0x4 + 712 0151 55010000 .4byte 0x155 + 713 0155 0D .uleb128 0xd + 714 0156 09 .uleb128 0x9 + 715 0157 EE020000 .4byte .LASF26 + 716 015b 01 .byte 0x1 + 717 015c 42 .byte 0x42 + 718 015d 01 .byte 0x1 + 719 015e 01 .byte 0x1 + 720 015f 83010000 .4byte 0x183 + 721 0163 0A .uleb128 0xa + 722 0164 01020000 .4byte .LASF25 + 723 0168 01 .byte 0x1 + 724 0169 42 .byte 0x42 + 725 016a 6F000000 .4byte 0x6f + 726 016e 0B .uleb128 0xb + 727 016f 73726300 .ascii "src\000" + 728 0173 01 .byte 0x1 + 729 0174 42 .byte 0x42 + 730 0175 4F010000 .4byte 0x14f + 731 0179 0B .uleb128 0xb + 732 017a 6E00 .ascii "n\000" + 733 017c 01 .byte 0x1 + 734 017d 42 .byte 0x42 + 735 017e 7F000000 .4byte 0x7f + 736 0182 00 .byte 0 + 737 0183 09 .uleb128 0x9 + 738 0184 AD000000 .4byte .LASF27 + 739 0188 01 .byte 0x1 + 740 0189 94 .byte 0x94 + 741 018a 01 .byte 0x1 + 742 018b 01 .byte 0x1 + 743 018c D1010000 .4byte 0x1d1 + 744 0190 0A .uleb128 0xa + 745 0191 53000000 .4byte .LASF28 + 746 0195 01 .byte 0x1 + 747 0196 94 .byte 0x94 + 748 0197 D1010000 .4byte 0x1d1 + 749 019b 0A .uleb128 0xa + 750 019c 31000000 .4byte .LASF29 + 751 01a0 01 .byte 0x1 + 752 01a1 94 .byte 0x94 + 753 01a2 DC010000 .4byte 0x1dc + 754 01a6 0E .uleb128 0xe + 755 01a7 6900 .ascii "i\000" + 756 01a9 01 .byte 0x1 + 757 01aa 97 .byte 0x97 + 758 01ab A0000000 .4byte 0xa0 + 759 01af 0E .uleb128 0xe + 760 01b0 6A00 .ascii "j\000" + 761 01b2 01 .byte 0x1 + 762 01b3 97 .byte 0x97 + 763 01b4 A0000000 .4byte 0xa0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 23 + + + 764 01b8 0F .uleb128 0xf + 765 01b9 10 .uleb128 0x10 + 766 01ba 0D000000 .4byte .LASF30 + 767 01be 01 .byte 0x1 + 768 01bf 9A .byte 0x9a + 769 01c0 A0000000 .4byte 0xa0 + 770 01c4 10 .uleb128 0x10 + 771 01c5 00000000 .4byte .LASF31 + 772 01c9 01 .byte 0x1 + 773 01ca 9B .byte 0x9b + 774 01cb 8A000000 .4byte 0x8a + 775 01cf 00 .byte 0 + 776 01d0 00 .byte 0 + 777 01d1 0C .uleb128 0xc + 778 01d2 04 .byte 0x4 + 779 01d3 D7010000 .4byte 0x1d7 + 780 01d7 11 .uleb128 0x11 + 781 01d8 A0000000 .4byte 0xa0 + 782 01dc 0C .uleb128 0xc + 783 01dd 04 .byte 0x4 + 784 01de E2010000 .4byte 0x1e2 + 785 01e2 11 .uleb128 0x11 + 786 01e3 FE000000 .4byte 0xfe + 787 01e7 12 .uleb128 0x12 + 788 01e8 01 .byte 0x1 + 789 01e9 C2020000 .4byte .LASF38 + 790 01ed 01 .byte 0x1 + 791 01ee 0E01 .2byte 0x10e + 792 01f0 01 .byte 0x1 + 793 01f1 00000000 .4byte .LFB7 + 794 01f5 0C000000 .4byte .LFE7 + 795 01f9 02 .byte 0x2 + 796 01fa 7D .byte 0x7d + 797 01fb 00 .sleb128 0 + 798 01fc 01 .byte 0x1 + 799 01fd 1E020000 .4byte 0x21e + 800 0201 13 .uleb128 0x13 + 801 0202 DF020000 .4byte .LASF54 + 802 0206 01 .byte 0x1 + 803 0207 0E01 .2byte 0x10e + 804 0209 8A000000 .4byte 0x8a + 805 020d 01 .byte 0x1 + 806 020e 50 .byte 0x50 + 807 020f 14 .uleb128 0x14 + 808 0210 32010000 .4byte .LASF40 + 809 0214 01 .byte 0x1 + 810 0215 1001 .2byte 0x110 + 811 0217 8A000000 .4byte 0x8a + 812 021b 01 .byte 0x1 + 813 021c 52 .byte 0x52 + 814 021d 00 .byte 0 + 815 021e 09 .uleb128 0x9 + 816 021f BF000000 .4byte .LASF32 + 817 0223 01 .byte 0x1 + 818 0224 B7 .byte 0xb7 + 819 0225 01 .byte 0x1 + 820 0226 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 24 + + + 821 0227 42020000 .4byte 0x242 + 822 022b 10 .uleb128 0x10 + 823 022c 88010000 .4byte .LASF33 + 824 0230 01 .byte 0x1 + 825 0231 B9 .byte 0xb9 + 826 0232 A0000000 .4byte 0xa0 + 827 0236 10 .uleb128 0x10 + 828 0237 91020000 .4byte .LASF34 + 829 023b 01 .byte 0x1 + 830 023c BA .byte 0xba + 831 023d 8A000000 .4byte 0x8a + 832 0241 00 .byte 0 + 833 0242 09 .uleb128 0x9 + 834 0243 01010000 .4byte .LASF35 + 835 0247 01 .byte 0x1 + 836 0248 3B .byte 0x3b + 837 0249 01 .byte 0x1 + 838 024a 01 .byte 0x1 + 839 024b 62020000 .4byte 0x262 + 840 024f 0B .uleb128 0xb + 841 0250 7300 .ascii "s\000" + 842 0252 01 .byte 0x1 + 843 0253 3B .byte 0x3b + 844 0254 6F000000 .4byte 0x6f + 845 0258 0B .uleb128 0xb + 846 0259 6E00 .ascii "n\000" + 847 025b 01 .byte 0x1 + 848 025c 3B .byte 0x3b + 849 025d 7F000000 .4byte 0x7f + 850 0261 00 .byte 0 + 851 0262 09 .uleb128 0x9 + 852 0263 70000000 .4byte .LASF36 + 853 0267 01 .byte 0x1 + 854 0268 F4 .byte 0xf4 + 855 0269 01 .byte 0x1 + 856 026a 01 .byte 0x1 + 857 026b 7B020000 .4byte 0x27b + 858 026f 10 .uleb128 0x10 + 859 0270 D2010000 .4byte .LASF37 + 860 0274 01 .byte 0x1 + 861 0275 F6 .byte 0xf6 + 862 0276 8A000000 .4byte 0x8a + 863 027a 00 .byte 0 + 864 027b 15 .uleb128 0x15 + 865 027c 01 .byte 0x1 + 866 027d 02030000 .4byte .LASF39 + 867 0281 01 .byte 0x1 + 868 0282 3001 .2byte 0x130 + 869 0284 01 .byte 0x1 + 870 0285 00000000 .4byte .LFB8 + 871 0289 C8010000 .4byte .LFE8 + 872 028d 00000000 .4byte .LLST0 + 873 0291 01 .byte 0x1 + 874 0292 A2040000 .4byte 0x4a2 + 875 0296 14 .uleb128 0x14 + 876 0297 80020000 .4byte .LASF41 + 877 029b 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 25 + + + 878 029c 3301 .2byte 0x133 + 879 029e B2040000 .4byte 0x4b2 + 880 02a2 05 .byte 0x5 + 881 02a3 03 .byte 0x3 + 882 02a4 8C000000 .4byte BS_IOPINS0_0_VAL.4808 + 883 02a8 14 .uleb128 0x14 + 884 02a9 99020000 .4byte .LASF42 + 885 02ad 01 .byte 0x1 + 886 02ae 3701 .2byte 0x137 + 887 02b0 C7040000 .4byte 0x4c7 + 888 02b4 05 .byte 0x5 + 889 02b5 03 .byte 0x3 + 890 02b6 94000000 .4byte BS_IOPINS0_8_VAL.4809 + 891 02ba 14 .uleb128 0x14 + 892 02bb D4000000 .4byte .LASF43 + 893 02bf 01 .byte 0x1 + 894 02c0 3B01 .2byte 0x13b + 895 02c2 CC040000 .4byte 0x4cc + 896 02c6 05 .byte 0x5 + 897 02c7 03 .byte 0x3 + 898 02c8 9E000000 .4byte BS_IOPINS0_4_VAL.4810 + 899 02cc 14 .uleb128 0x14 + 900 02cd A8010000 .4byte .LASF44 + 901 02d1 01 .byte 0x1 + 902 02d2 3F01 .2byte 0x13f + 903 02d4 D1040000 .4byte 0x4d1 + 904 02d8 05 .byte 0x5 + 905 02d9 03 .byte 0x3 + 906 02da A6000000 .4byte BS_IOPINS0_6_VAL.4811 + 907 02de 16 .uleb128 0x16 + 908 02df 1E020000 .4byte 0x21e + 909 02e3 08000000 .4byte .LBB30 + 910 02e7 00000000 .4byte .Ldebug_ranges0+0 + 911 02eb 01 .byte 0x1 + 912 02ec 4B01 .2byte 0x14b + 913 02ee 18030000 .4byte 0x318 + 914 02f2 17 .uleb128 0x17 + 915 02f3 20000000 .4byte .Ldebug_ranges0+0x20 + 916 02f7 18 .uleb128 0x18 + 917 02f8 2B020000 .4byte 0x22b + 918 02fc 19 .uleb128 0x19 + 919 02fd 36020000 .4byte 0x236 + 920 0301 20000000 .4byte .LLST1 + 921 0305 1A .uleb128 0x1a + 922 0306 4A000000 .4byte .LVL3 + 923 030a 00050000 .4byte 0x500 + 924 030e 1B .uleb128 0x1b + 925 030f 01 .byte 0x1 + 926 0310 50 .byte 0x50 + 927 0311 03 .byte 0x3 + 928 0312 0A .byte 0xa + 929 0313 E001 .2byte 0x1e0 + 930 0315 00 .byte 0 + 931 0316 00 .byte 0 + 932 0317 00 .byte 0 + 933 0318 1C .uleb128 0x1c + 934 0319 40000000 .4byte .Ldebug_ranges0+0x40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 26 + + + 935 031d 50040000 .4byte 0x450 + 936 0321 14 .uleb128 0x14 + 937 0322 9B000000 .4byte .LASF45 + 938 0326 01 .byte 0x1 + 939 0327 5001 .2byte 0x150 + 940 0329 E6040000 .4byte 0x4e6 + 941 032d 05 .byte 0x5 + 942 032e 03 .byte 0x3 + 943 032f 30000000 .4byte cy_cfg_addr_table.4812 + 944 0333 14 .uleb128 0x14 + 945 0334 5E000000 .4byte .LASF46 + 946 0338 01 .byte 0x1 + 947 0339 5F01 .2byte 0x15f + 948 033b FB040000 .4byte 0x4fb + 949 033f 05 .byte 0x5 + 950 0340 03 .byte 0x3 + 951 0341 60000000 .4byte cy_cfg_data_table.4813 + 952 0345 1D .uleb128 0x1d + 953 0346 06 .byte 0x6 + 954 0347 01 .byte 0x1 + 955 0348 7A01 .2byte 0x17a + 956 034a 6D030000 .4byte 0x36d + 957 034e 1E .uleb128 0x1e + 958 034f FA020000 .4byte .LASF47 + 959 0353 01 .byte 0x1 + 960 0354 7B01 .2byte 0x17b + 961 0356 6F000000 .4byte 0x6f + 962 035a 02 .byte 0x2 + 963 035b 23 .byte 0x23 + 964 035c 00 .uleb128 0 + 965 035d 1E .uleb128 0x1e + 966 035e 90010000 .4byte .LASF48 + 967 0362 01 .byte 0x1 + 968 0363 7C01 .2byte 0x17c + 969 0365 95000000 .4byte 0x95 + 970 0369 02 .byte 0x2 + 971 036a 23 .byte 0x23 + 972 036b 04 .uleb128 0x4 + 973 036c 00 .byte 0 + 974 036d 1F .uleb128 0x1f + 975 036e E4010000 .4byte .LASF49 + 976 0372 01 .byte 0x1 + 977 0373 7D01 .2byte 0x17d + 978 0375 45030000 .4byte 0x345 + 979 0379 20 .uleb128 0x20 + 980 037a 6D030000 .4byte 0x36d + 981 037e 89030000 .4byte 0x389 + 982 0382 21 .uleb128 0x21 + 983 0383 68000000 .4byte 0x68 + 984 0387 07 .byte 0x7 + 985 0388 00 .byte 0 + 986 0389 14 .uleb128 0x14 + 987 038a F1010000 .4byte .LASF50 + 988 038e 01 .byte 0x1 + 989 038f 7F01 .2byte 0x17f + 990 0391 9B030000 .4byte 0x39b + 991 0395 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 27 + + + 992 0396 03 .byte 0x3 + 993 0397 00000000 .4byte cfg_memset_list.4818 + 994 039b 11 .uleb128 0x11 + 995 039c 79030000 .4byte 0x379 + 996 03a0 22 .uleb128 0x22 + 997 03a1 6900 .ascii "i\000" + 998 03a3 01 .byte 0x1 + 999 03a4 8B01 .2byte 0x18b + 1000 03a6 8A000000 .4byte 0x8a + 1001 03aa 3E000000 .4byte .LLST2 + 1002 03ae 23 .uleb128 0x23 + 1003 03af 84000000 .4byte .LBB37 + 1004 03b3 98000000 .4byte .LBE37 + 1005 03b7 08040000 .4byte 0x408 + 1006 03bb 22 .uleb128 0x22 + 1007 03bc 6D7300 .ascii "ms\000" + 1008 03bf 01 .byte 0x1 + 1009 03c0 9001 .2byte 0x190 + 1010 03c2 CA030000 .4byte 0x3ca + 1011 03c6 52000000 .4byte .LLST3 + 1012 03ca 0C .uleb128 0xc + 1013 03cb 04 .byte 0x4 + 1014 03cc D0030000 .4byte 0x3d0 + 1015 03d0 11 .uleb128 0x11 + 1016 03d1 6D030000 .4byte 0x36d + 1017 03d5 24 .uleb128 0x24 + 1018 03d6 42020000 .4byte 0x242 + 1019 03da 8C000000 .4byte .LBB38 + 1020 03de 98000000 .4byte .LBE38 + 1021 03e2 01 .byte 0x1 + 1022 03e3 9101 .2byte 0x191 + 1023 03e5 25 .uleb128 0x25 + 1024 03e6 58020000 .4byte 0x258 + 1025 03ea 77000000 .4byte .LLST4 + 1026 03ee 25 .uleb128 0x25 + 1027 03ef 4F020000 .4byte 0x24f + 1028 03f3 AC000000 .4byte .LLST5 + 1029 03f7 1A .uleb128 0x1a + 1030 03f8 98000000 .4byte .LVL12 + 1031 03fc 14050000 .4byte 0x514 + 1032 0400 1B .uleb128 0x1b + 1033 0401 01 .byte 0x1 + 1034 0402 51 .byte 0x51 + 1035 0403 01 .byte 0x1 + 1036 0404 30 .byte 0x30 + 1037 0405 00 .byte 0 + 1038 0406 00 .byte 0 + 1039 0407 00 .byte 0 + 1040 0408 26 .uleb128 0x26 + 1041 0409 83010000 .4byte 0x183 + 1042 040d A0000000 .4byte .LBB40 + 1043 0411 58000000 .4byte .Ldebug_ranges0+0x58 + 1044 0415 01 .byte 0x1 + 1045 0416 9401 .2byte 0x194 + 1046 0418 17 .uleb128 0x17 + 1047 0419 70000000 .4byte .Ldebug_ranges0+0x70 + 1048 041d 18 .uleb128 0x18 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 28 + + + 1049 041e A6010000 .4byte 0x1a6 + 1050 0422 19 .uleb128 0x19 + 1051 0423 AF010000 .4byte 0x1af + 1052 0427 DC000000 .4byte .LLST6 + 1053 042b 27 .uleb128 0x27 + 1054 042c 9B010000 .4byte 0x19b + 1055 0430 27 .uleb128 0x27 + 1056 0431 90010000 .4byte 0x190 + 1057 0435 17 .uleb128 0x17 + 1058 0436 88000000 .4byte .Ldebug_ranges0+0x88 + 1059 043a 19 .uleb128 0x19 + 1060 043b B9010000 .4byte 0x1b9 + 1061 043f EF000000 .4byte .LLST7 + 1062 0443 19 .uleb128 0x19 + 1063 0444 C4010000 .4byte 0x1c4 + 1064 0448 0D010000 .4byte .LLST8 + 1065 044c 00 .byte 0 + 1066 044d 00 .byte 0 + 1067 044e 00 .byte 0 + 1068 044f 00 .byte 0 + 1069 0450 28 .uleb128 0x28 + 1070 0451 62020000 .4byte 0x262 + 1071 0455 40010000 .4byte .LBB47 + 1072 0459 56010000 .4byte .LBE47 + 1073 045d 01 .byte 0x1 + 1074 045e A901 .2byte 0x1a9 + 1075 0460 78040000 .4byte 0x478 + 1076 0464 29 .uleb128 0x29 + 1077 0465 40010000 .4byte .LBB48 + 1078 0469 56010000 .4byte .LBE48 + 1079 046d 19 .uleb128 0x19 + 1080 046e 6F020000 .4byte 0x26f + 1081 0472 20010000 .4byte .LLST9 + 1082 0476 00 .byte 0 + 1083 0477 00 .byte 0 + 1084 0478 24 .uleb128 0x24 + 1085 0479 56010000 .4byte 0x156 + 1086 047d 56010000 .4byte .LBB49 + 1087 0481 C8010000 .4byte .LBE49 + 1088 0485 01 .byte 0x1 + 1089 0486 AC01 .2byte 0x1ac + 1090 0488 2A .uleb128 0x2a + 1091 0489 79010000 .4byte 0x179 + 1092 048d 0E .byte 0xe + 1093 048e 2B .uleb128 0x2b + 1094 048f 6E010000 .4byte 0x16e + 1095 0493 A0430040 .4byte 0x400043a0 + 1096 0497 2B .uleb128 0x2b + 1097 0498 63010000 .4byte 0x163 + 1098 049c B0430040 .4byte 0x400043b0 + 1099 04a0 00 .byte 0 + 1100 04a1 00 .byte 0 + 1101 04a2 20 .uleb128 0x20 + 1102 04a3 8A000000 .4byte 0x8a + 1103 04a7 B2040000 .4byte 0x4b2 + 1104 04ab 21 .uleb128 0x21 + 1105 04ac 68000000 .4byte 0x68 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 29 + + + 1106 04b0 07 .byte 0x7 + 1107 04b1 00 .byte 0 + 1108 04b2 11 .uleb128 0x11 + 1109 04b3 A2040000 .4byte 0x4a2 + 1110 04b7 20 .uleb128 0x20 + 1111 04b8 8A000000 .4byte 0x8a + 1112 04bc C7040000 .4byte 0x4c7 + 1113 04c0 21 .uleb128 0x21 + 1114 04c1 68000000 .4byte 0x68 + 1115 04c5 09 .byte 0x9 + 1116 04c6 00 .byte 0 + 1117 04c7 11 .uleb128 0x11 + 1118 04c8 B7040000 .4byte 0x4b7 + 1119 04cc 11 .uleb128 0x11 + 1120 04cd A2040000 .4byte 0x4a2 + 1121 04d1 11 .uleb128 0x11 + 1122 04d2 A2040000 .4byte 0x4a2 + 1123 04d6 20 .uleb128 0x20 + 1124 04d7 A0000000 .4byte 0xa0 + 1125 04db E6040000 .4byte 0x4e6 + 1126 04df 21 .uleb128 0x21 + 1127 04e0 68000000 .4byte 0x68 + 1128 04e4 0B .byte 0xb + 1129 04e5 00 .byte 0 + 1130 04e6 11 .uleb128 0x11 + 1131 04e7 D6040000 .4byte 0x4d6 + 1132 04eb 20 .uleb128 0x20 + 1133 04ec FE000000 .4byte 0xfe + 1134 04f0 FB040000 .4byte 0x4fb + 1135 04f4 21 .uleb128 0x21 + 1136 04f5 68000000 .4byte 0x68 + 1137 04f9 15 .byte 0x15 + 1138 04fa 00 .byte 0 + 1139 04fb 11 .uleb128 0x11 + 1140 04fc EB040000 .4byte 0x4eb + 1141 0500 2C .uleb128 0x2c + 1142 0501 01 .byte 0x1 + 1143 0502 0C020000 .4byte .LASF55 + 1144 0506 04 .byte 0x4 + 1145 0507 7A .byte 0x7a + 1146 0508 01 .byte 0x1 + 1147 0509 01 .byte 0x1 + 1148 050a 14050000 .4byte 0x514 + 1149 050e 2D .uleb128 0x2d + 1150 050f A0000000 .4byte 0xa0 + 1151 0513 00 .byte 0 + 1152 0514 2E .uleb128 0x2e + 1153 0515 01 .byte 0x1 + 1154 0516 E7020000 .4byte .LASF56 + 1155 051a 01 .byte 0x1 + 1156 051b 6F000000 .4byte 0x6f + 1157 051f 01 .byte 0x1 + 1158 0520 01 .byte 0x1 + 1159 0521 2D .uleb128 0x2d + 1160 0522 6F000000 .4byte 0x6f + 1161 0526 2D .uleb128 0x2d + 1162 0527 45000000 .4byte 0x45 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 30 + + + 1163 052b 2D .uleb128 0x2d + 1164 052c 68000000 .4byte 0x68 + 1165 0530 00 .byte 0 + 1166 0531 00 .byte 0 + 1167 .section .debug_abbrev,"",%progbits + 1168 .Ldebug_abbrev0: + 1169 0000 01 .uleb128 0x1 + 1170 0001 11 .uleb128 0x11 + 1171 0002 01 .byte 0x1 + 1172 0003 25 .uleb128 0x25 + 1173 0004 0E .uleb128 0xe + 1174 0005 13 .uleb128 0x13 + 1175 0006 0B .uleb128 0xb + 1176 0007 03 .uleb128 0x3 + 1177 0008 0E .uleb128 0xe + 1178 0009 1B .uleb128 0x1b + 1179 000a 0E .uleb128 0xe + 1180 000b 55 .uleb128 0x55 + 1181 000c 06 .uleb128 0x6 + 1182 000d 11 .uleb128 0x11 + 1183 000e 01 .uleb128 0x1 + 1184 000f 52 .uleb128 0x52 + 1185 0010 01 .uleb128 0x1 + 1186 0011 10 .uleb128 0x10 + 1187 0012 06 .uleb128 0x6 + 1188 0013 00 .byte 0 + 1189 0014 00 .byte 0 + 1190 0015 02 .uleb128 0x2 + 1191 0016 24 .uleb128 0x24 + 1192 0017 00 .byte 0 + 1193 0018 0B .uleb128 0xb + 1194 0019 0B .uleb128 0xb + 1195 001a 3E .uleb128 0x3e + 1196 001b 0B .uleb128 0xb + 1197 001c 03 .uleb128 0x3 + 1198 001d 0E .uleb128 0xe + 1199 001e 00 .byte 0 + 1200 001f 00 .byte 0 + 1201 0020 03 .uleb128 0x3 + 1202 0021 24 .uleb128 0x24 + 1203 0022 00 .byte 0 + 1204 0023 0B .uleb128 0xb + 1205 0024 0B .uleb128 0xb + 1206 0025 3E .uleb128 0x3e + 1207 0026 0B .uleb128 0xb + 1208 0027 03 .uleb128 0x3 + 1209 0028 08 .uleb128 0x8 + 1210 0029 00 .byte 0 + 1211 002a 00 .byte 0 + 1212 002b 04 .uleb128 0x4 + 1213 002c 0F .uleb128 0xf + 1214 002d 00 .byte 0 + 1215 002e 0B .uleb128 0xb + 1216 002f 0B .uleb128 0xb + 1217 0030 00 .byte 0 + 1218 0031 00 .byte 0 + 1219 0032 05 .uleb128 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 31 + + + 1220 0033 16 .uleb128 0x16 + 1221 0034 00 .byte 0 + 1222 0035 03 .uleb128 0x3 + 1223 0036 0E .uleb128 0xe + 1224 0037 3A .uleb128 0x3a + 1225 0038 0B .uleb128 0xb + 1226 0039 3B .uleb128 0x3b + 1227 003a 0B .uleb128 0xb + 1228 003b 49 .uleb128 0x49 + 1229 003c 13 .uleb128 0x13 + 1230 003d 00 .byte 0 + 1231 003e 00 .byte 0 + 1232 003f 06 .uleb128 0x6 + 1233 0040 35 .uleb128 0x35 + 1234 0041 00 .byte 0 + 1235 0042 49 .uleb128 0x49 + 1236 0043 13 .uleb128 0x13 + 1237 0044 00 .byte 0 + 1238 0045 00 .byte 0 + 1239 0046 07 .uleb128 0x7 + 1240 0047 13 .uleb128 0x13 + 1241 0048 01 .byte 0x1 + 1242 0049 0B .uleb128 0xb + 1243 004a 0B .uleb128 0xb + 1244 004b 3A .uleb128 0x3a + 1245 004c 0B .uleb128 0xb + 1246 004d 3B .uleb128 0x3b + 1247 004e 0B .uleb128 0xb + 1248 004f 01 .uleb128 0x1 + 1249 0050 13 .uleb128 0x13 + 1250 0051 00 .byte 0 + 1251 0052 00 .byte 0 + 1252 0053 08 .uleb128 0x8 + 1253 0054 0D .uleb128 0xd + 1254 0055 00 .byte 0 + 1255 0056 03 .uleb128 0x3 + 1256 0057 0E .uleb128 0xe + 1257 0058 3A .uleb128 0x3a + 1258 0059 0B .uleb128 0xb + 1259 005a 3B .uleb128 0x3b + 1260 005b 0B .uleb128 0xb + 1261 005c 49 .uleb128 0x49 + 1262 005d 13 .uleb128 0x13 + 1263 005e 38 .uleb128 0x38 + 1264 005f 0A .uleb128 0xa + 1265 0060 00 .byte 0 + 1266 0061 00 .byte 0 + 1267 0062 09 .uleb128 0x9 + 1268 0063 2E .uleb128 0x2e + 1269 0064 01 .byte 0x1 + 1270 0065 03 .uleb128 0x3 + 1271 0066 0E .uleb128 0xe + 1272 0067 3A .uleb128 0x3a + 1273 0068 0B .uleb128 0xb + 1274 0069 3B .uleb128 0x3b + 1275 006a 0B .uleb128 0xb + 1276 006b 27 .uleb128 0x27 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 32 + + + 1277 006c 0C .uleb128 0xc + 1278 006d 20 .uleb128 0x20 + 1279 006e 0B .uleb128 0xb + 1280 006f 01 .uleb128 0x1 + 1281 0070 13 .uleb128 0x13 + 1282 0071 00 .byte 0 + 1283 0072 00 .byte 0 + 1284 0073 0A .uleb128 0xa + 1285 0074 05 .uleb128 0x5 + 1286 0075 00 .byte 0 + 1287 0076 03 .uleb128 0x3 + 1288 0077 0E .uleb128 0xe + 1289 0078 3A .uleb128 0x3a + 1290 0079 0B .uleb128 0xb + 1291 007a 3B .uleb128 0x3b + 1292 007b 0B .uleb128 0xb + 1293 007c 49 .uleb128 0x49 + 1294 007d 13 .uleb128 0x13 + 1295 007e 00 .byte 0 + 1296 007f 00 .byte 0 + 1297 0080 0B .uleb128 0xb + 1298 0081 05 .uleb128 0x5 + 1299 0082 00 .byte 0 + 1300 0083 03 .uleb128 0x3 + 1301 0084 08 .uleb128 0x8 + 1302 0085 3A .uleb128 0x3a + 1303 0086 0B .uleb128 0xb + 1304 0087 3B .uleb128 0x3b + 1305 0088 0B .uleb128 0xb + 1306 0089 49 .uleb128 0x49 + 1307 008a 13 .uleb128 0x13 + 1308 008b 00 .byte 0 + 1309 008c 00 .byte 0 + 1310 008d 0C .uleb128 0xc + 1311 008e 0F .uleb128 0xf + 1312 008f 00 .byte 0 + 1313 0090 0B .uleb128 0xb + 1314 0091 0B .uleb128 0xb + 1315 0092 49 .uleb128 0x49 + 1316 0093 13 .uleb128 0x13 + 1317 0094 00 .byte 0 + 1318 0095 00 .byte 0 + 1319 0096 0D .uleb128 0xd + 1320 0097 26 .uleb128 0x26 + 1321 0098 00 .byte 0 + 1322 0099 00 .byte 0 + 1323 009a 00 .byte 0 + 1324 009b 0E .uleb128 0xe + 1325 009c 34 .uleb128 0x34 + 1326 009d 00 .byte 0 + 1327 009e 03 .uleb128 0x3 + 1328 009f 08 .uleb128 0x8 + 1329 00a0 3A .uleb128 0x3a + 1330 00a1 0B .uleb128 0xb + 1331 00a2 3B .uleb128 0x3b + 1332 00a3 0B .uleb128 0xb + 1333 00a4 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 33 + + + 1334 00a5 13 .uleb128 0x13 + 1335 00a6 00 .byte 0 + 1336 00a7 00 .byte 0 + 1337 00a8 0F .uleb128 0xf + 1338 00a9 0B .uleb128 0xb + 1339 00aa 01 .byte 0x1 + 1340 00ab 00 .byte 0 + 1341 00ac 00 .byte 0 + 1342 00ad 10 .uleb128 0x10 + 1343 00ae 34 .uleb128 0x34 + 1344 00af 00 .byte 0 + 1345 00b0 03 .uleb128 0x3 + 1346 00b1 0E .uleb128 0xe + 1347 00b2 3A .uleb128 0x3a + 1348 00b3 0B .uleb128 0xb + 1349 00b4 3B .uleb128 0x3b + 1350 00b5 0B .uleb128 0xb + 1351 00b6 49 .uleb128 0x49 + 1352 00b7 13 .uleb128 0x13 + 1353 00b8 00 .byte 0 + 1354 00b9 00 .byte 0 + 1355 00ba 11 .uleb128 0x11 + 1356 00bb 26 .uleb128 0x26 + 1357 00bc 00 .byte 0 + 1358 00bd 49 .uleb128 0x49 + 1359 00be 13 .uleb128 0x13 + 1360 00bf 00 .byte 0 + 1361 00c0 00 .byte 0 + 1362 00c1 12 .uleb128 0x12 + 1363 00c2 2E .uleb128 0x2e + 1364 00c3 01 .byte 0x1 + 1365 00c4 3F .uleb128 0x3f + 1366 00c5 0C .uleb128 0xc + 1367 00c6 03 .uleb128 0x3 + 1368 00c7 0E .uleb128 0xe + 1369 00c8 3A .uleb128 0x3a + 1370 00c9 0B .uleb128 0xb + 1371 00ca 3B .uleb128 0x3b + 1372 00cb 05 .uleb128 0x5 + 1373 00cc 27 .uleb128 0x27 + 1374 00cd 0C .uleb128 0xc + 1375 00ce 11 .uleb128 0x11 + 1376 00cf 01 .uleb128 0x1 + 1377 00d0 12 .uleb128 0x12 + 1378 00d1 01 .uleb128 0x1 + 1379 00d2 40 .uleb128 0x40 + 1380 00d3 0A .uleb128 0xa + 1381 00d4 9742 .uleb128 0x2117 + 1382 00d6 0C .uleb128 0xc + 1383 00d7 01 .uleb128 0x1 + 1384 00d8 13 .uleb128 0x13 + 1385 00d9 00 .byte 0 + 1386 00da 00 .byte 0 + 1387 00db 13 .uleb128 0x13 + 1388 00dc 05 .uleb128 0x5 + 1389 00dd 00 .byte 0 + 1390 00de 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 34 + + + 1391 00df 0E .uleb128 0xe + 1392 00e0 3A .uleb128 0x3a + 1393 00e1 0B .uleb128 0xb + 1394 00e2 3B .uleb128 0x3b + 1395 00e3 05 .uleb128 0x5 + 1396 00e4 49 .uleb128 0x49 + 1397 00e5 13 .uleb128 0x13 + 1398 00e6 02 .uleb128 0x2 + 1399 00e7 0A .uleb128 0xa + 1400 00e8 00 .byte 0 + 1401 00e9 00 .byte 0 + 1402 00ea 14 .uleb128 0x14 + 1403 00eb 34 .uleb128 0x34 + 1404 00ec 00 .byte 0 + 1405 00ed 03 .uleb128 0x3 + 1406 00ee 0E .uleb128 0xe + 1407 00ef 3A .uleb128 0x3a + 1408 00f0 0B .uleb128 0xb + 1409 00f1 3B .uleb128 0x3b + 1410 00f2 05 .uleb128 0x5 + 1411 00f3 49 .uleb128 0x49 + 1412 00f4 13 .uleb128 0x13 + 1413 00f5 02 .uleb128 0x2 + 1414 00f6 0A .uleb128 0xa + 1415 00f7 00 .byte 0 + 1416 00f8 00 .byte 0 + 1417 00f9 15 .uleb128 0x15 + 1418 00fa 2E .uleb128 0x2e + 1419 00fb 01 .byte 0x1 + 1420 00fc 3F .uleb128 0x3f + 1421 00fd 0C .uleb128 0xc + 1422 00fe 03 .uleb128 0x3 + 1423 00ff 0E .uleb128 0xe + 1424 0100 3A .uleb128 0x3a + 1425 0101 0B .uleb128 0xb + 1426 0102 3B .uleb128 0x3b + 1427 0103 05 .uleb128 0x5 + 1428 0104 27 .uleb128 0x27 + 1429 0105 0C .uleb128 0xc + 1430 0106 11 .uleb128 0x11 + 1431 0107 01 .uleb128 0x1 + 1432 0108 12 .uleb128 0x12 + 1433 0109 01 .uleb128 0x1 + 1434 010a 40 .uleb128 0x40 + 1435 010b 06 .uleb128 0x6 + 1436 010c 9742 .uleb128 0x2117 + 1437 010e 0C .uleb128 0xc + 1438 010f 01 .uleb128 0x1 + 1439 0110 13 .uleb128 0x13 + 1440 0111 00 .byte 0 + 1441 0112 00 .byte 0 + 1442 0113 16 .uleb128 0x16 + 1443 0114 1D .uleb128 0x1d + 1444 0115 01 .byte 0x1 + 1445 0116 31 .uleb128 0x31 + 1446 0117 13 .uleb128 0x13 + 1447 0118 52 .uleb128 0x52 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 35 + + + 1448 0119 01 .uleb128 0x1 + 1449 011a 55 .uleb128 0x55 + 1450 011b 06 .uleb128 0x6 + 1451 011c 58 .uleb128 0x58 + 1452 011d 0B .uleb128 0xb + 1453 011e 59 .uleb128 0x59 + 1454 011f 05 .uleb128 0x5 + 1455 0120 01 .uleb128 0x1 + 1456 0121 13 .uleb128 0x13 + 1457 0122 00 .byte 0 + 1458 0123 00 .byte 0 + 1459 0124 17 .uleb128 0x17 + 1460 0125 0B .uleb128 0xb + 1461 0126 01 .byte 0x1 + 1462 0127 55 .uleb128 0x55 + 1463 0128 06 .uleb128 0x6 + 1464 0129 00 .byte 0 + 1465 012a 00 .byte 0 + 1466 012b 18 .uleb128 0x18 + 1467 012c 34 .uleb128 0x34 + 1468 012d 00 .byte 0 + 1469 012e 31 .uleb128 0x31 + 1470 012f 13 .uleb128 0x13 + 1471 0130 00 .byte 0 + 1472 0131 00 .byte 0 + 1473 0132 19 .uleb128 0x19 + 1474 0133 34 .uleb128 0x34 + 1475 0134 00 .byte 0 + 1476 0135 31 .uleb128 0x31 + 1477 0136 13 .uleb128 0x13 + 1478 0137 02 .uleb128 0x2 + 1479 0138 06 .uleb128 0x6 + 1480 0139 00 .byte 0 + 1481 013a 00 .byte 0 + 1482 013b 1A .uleb128 0x1a + 1483 013c 898201 .uleb128 0x4109 + 1484 013f 01 .byte 0x1 + 1485 0140 11 .uleb128 0x11 + 1486 0141 01 .uleb128 0x1 + 1487 0142 31 .uleb128 0x31 + 1488 0143 13 .uleb128 0x13 + 1489 0144 00 .byte 0 + 1490 0145 00 .byte 0 + 1491 0146 1B .uleb128 0x1b + 1492 0147 8A8201 .uleb128 0x410a + 1493 014a 00 .byte 0 + 1494 014b 02 .uleb128 0x2 + 1495 014c 0A .uleb128 0xa + 1496 014d 9142 .uleb128 0x2111 + 1497 014f 0A .uleb128 0xa + 1498 0150 00 .byte 0 + 1499 0151 00 .byte 0 + 1500 0152 1C .uleb128 0x1c + 1501 0153 0B .uleb128 0xb + 1502 0154 01 .byte 0x1 + 1503 0155 55 .uleb128 0x55 + 1504 0156 06 .uleb128 0x6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 36 + + + 1505 0157 01 .uleb128 0x1 + 1506 0158 13 .uleb128 0x13 + 1507 0159 00 .byte 0 + 1508 015a 00 .byte 0 + 1509 015b 1D .uleb128 0x1d + 1510 015c 13 .uleb128 0x13 + 1511 015d 01 .byte 0x1 + 1512 015e 0B .uleb128 0xb + 1513 015f 0B .uleb128 0xb + 1514 0160 3A .uleb128 0x3a + 1515 0161 0B .uleb128 0xb + 1516 0162 3B .uleb128 0x3b + 1517 0163 05 .uleb128 0x5 + 1518 0164 01 .uleb128 0x1 + 1519 0165 13 .uleb128 0x13 + 1520 0166 00 .byte 0 + 1521 0167 00 .byte 0 + 1522 0168 1E .uleb128 0x1e + 1523 0169 0D .uleb128 0xd + 1524 016a 00 .byte 0 + 1525 016b 03 .uleb128 0x3 + 1526 016c 0E .uleb128 0xe + 1527 016d 3A .uleb128 0x3a + 1528 016e 0B .uleb128 0xb + 1529 016f 3B .uleb128 0x3b + 1530 0170 05 .uleb128 0x5 + 1531 0171 49 .uleb128 0x49 + 1532 0172 13 .uleb128 0x13 + 1533 0173 38 .uleb128 0x38 + 1534 0174 0A .uleb128 0xa + 1535 0175 00 .byte 0 + 1536 0176 00 .byte 0 + 1537 0177 1F .uleb128 0x1f + 1538 0178 16 .uleb128 0x16 + 1539 0179 00 .byte 0 + 1540 017a 03 .uleb128 0x3 + 1541 017b 0E .uleb128 0xe + 1542 017c 3A .uleb128 0x3a + 1543 017d 0B .uleb128 0xb + 1544 017e 3B .uleb128 0x3b + 1545 017f 05 .uleb128 0x5 + 1546 0180 49 .uleb128 0x49 + 1547 0181 13 .uleb128 0x13 + 1548 0182 00 .byte 0 + 1549 0183 00 .byte 0 + 1550 0184 20 .uleb128 0x20 + 1551 0185 01 .uleb128 0x1 + 1552 0186 01 .byte 0x1 + 1553 0187 49 .uleb128 0x49 + 1554 0188 13 .uleb128 0x13 + 1555 0189 01 .uleb128 0x1 + 1556 018a 13 .uleb128 0x13 + 1557 018b 00 .byte 0 + 1558 018c 00 .byte 0 + 1559 018d 21 .uleb128 0x21 + 1560 018e 21 .uleb128 0x21 + 1561 018f 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 37 + + + 1562 0190 49 .uleb128 0x49 + 1563 0191 13 .uleb128 0x13 + 1564 0192 2F .uleb128 0x2f + 1565 0193 0B .uleb128 0xb + 1566 0194 00 .byte 0 + 1567 0195 00 .byte 0 + 1568 0196 22 .uleb128 0x22 + 1569 0197 34 .uleb128 0x34 + 1570 0198 00 .byte 0 + 1571 0199 03 .uleb128 0x3 + 1572 019a 08 .uleb128 0x8 + 1573 019b 3A .uleb128 0x3a + 1574 019c 0B .uleb128 0xb + 1575 019d 3B .uleb128 0x3b + 1576 019e 05 .uleb128 0x5 + 1577 019f 49 .uleb128 0x49 + 1578 01a0 13 .uleb128 0x13 + 1579 01a1 02 .uleb128 0x2 + 1580 01a2 06 .uleb128 0x6 + 1581 01a3 00 .byte 0 + 1582 01a4 00 .byte 0 + 1583 01a5 23 .uleb128 0x23 + 1584 01a6 0B .uleb128 0xb + 1585 01a7 01 .byte 0x1 + 1586 01a8 11 .uleb128 0x11 + 1587 01a9 01 .uleb128 0x1 + 1588 01aa 12 .uleb128 0x12 + 1589 01ab 01 .uleb128 0x1 + 1590 01ac 01 .uleb128 0x1 + 1591 01ad 13 .uleb128 0x13 + 1592 01ae 00 .byte 0 + 1593 01af 00 .byte 0 + 1594 01b0 24 .uleb128 0x24 + 1595 01b1 1D .uleb128 0x1d + 1596 01b2 01 .byte 0x1 + 1597 01b3 31 .uleb128 0x31 + 1598 01b4 13 .uleb128 0x13 + 1599 01b5 11 .uleb128 0x11 + 1600 01b6 01 .uleb128 0x1 + 1601 01b7 12 .uleb128 0x12 + 1602 01b8 01 .uleb128 0x1 + 1603 01b9 58 .uleb128 0x58 + 1604 01ba 0B .uleb128 0xb + 1605 01bb 59 .uleb128 0x59 + 1606 01bc 05 .uleb128 0x5 + 1607 01bd 00 .byte 0 + 1608 01be 00 .byte 0 + 1609 01bf 25 .uleb128 0x25 + 1610 01c0 05 .uleb128 0x5 + 1611 01c1 00 .byte 0 + 1612 01c2 31 .uleb128 0x31 + 1613 01c3 13 .uleb128 0x13 + 1614 01c4 02 .uleb128 0x2 + 1615 01c5 06 .uleb128 0x6 + 1616 01c6 00 .byte 0 + 1617 01c7 00 .byte 0 + 1618 01c8 26 .uleb128 0x26 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 38 + + + 1619 01c9 1D .uleb128 0x1d + 1620 01ca 01 .byte 0x1 + 1621 01cb 31 .uleb128 0x31 + 1622 01cc 13 .uleb128 0x13 + 1623 01cd 52 .uleb128 0x52 + 1624 01ce 01 .uleb128 0x1 + 1625 01cf 55 .uleb128 0x55 + 1626 01d0 06 .uleb128 0x6 + 1627 01d1 58 .uleb128 0x58 + 1628 01d2 0B .uleb128 0xb + 1629 01d3 59 .uleb128 0x59 + 1630 01d4 05 .uleb128 0x5 + 1631 01d5 00 .byte 0 + 1632 01d6 00 .byte 0 + 1633 01d7 27 .uleb128 0x27 + 1634 01d8 05 .uleb128 0x5 + 1635 01d9 00 .byte 0 + 1636 01da 31 .uleb128 0x31 + 1637 01db 13 .uleb128 0x13 + 1638 01dc 00 .byte 0 + 1639 01dd 00 .byte 0 + 1640 01de 28 .uleb128 0x28 + 1641 01df 1D .uleb128 0x1d + 1642 01e0 01 .byte 0x1 + 1643 01e1 31 .uleb128 0x31 + 1644 01e2 13 .uleb128 0x13 + 1645 01e3 11 .uleb128 0x11 + 1646 01e4 01 .uleb128 0x1 + 1647 01e5 12 .uleb128 0x12 + 1648 01e6 01 .uleb128 0x1 + 1649 01e7 58 .uleb128 0x58 + 1650 01e8 0B .uleb128 0xb + 1651 01e9 59 .uleb128 0x59 + 1652 01ea 05 .uleb128 0x5 + 1653 01eb 01 .uleb128 0x1 + 1654 01ec 13 .uleb128 0x13 + 1655 01ed 00 .byte 0 + 1656 01ee 00 .byte 0 + 1657 01ef 29 .uleb128 0x29 + 1658 01f0 0B .uleb128 0xb + 1659 01f1 01 .byte 0x1 + 1660 01f2 11 .uleb128 0x11 + 1661 01f3 01 .uleb128 0x1 + 1662 01f4 12 .uleb128 0x12 + 1663 01f5 01 .uleb128 0x1 + 1664 01f6 00 .byte 0 + 1665 01f7 00 .byte 0 + 1666 01f8 2A .uleb128 0x2a + 1667 01f9 05 .uleb128 0x5 + 1668 01fa 00 .byte 0 + 1669 01fb 31 .uleb128 0x31 + 1670 01fc 13 .uleb128 0x13 + 1671 01fd 1C .uleb128 0x1c + 1672 01fe 0B .uleb128 0xb + 1673 01ff 00 .byte 0 + 1674 0200 00 .byte 0 + 1675 0201 2B .uleb128 0x2b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 39 + + + 1676 0202 05 .uleb128 0x5 + 1677 0203 00 .byte 0 + 1678 0204 31 .uleb128 0x31 + 1679 0205 13 .uleb128 0x13 + 1680 0206 1C .uleb128 0x1c + 1681 0207 06 .uleb128 0x6 + 1682 0208 00 .byte 0 + 1683 0209 00 .byte 0 + 1684 020a 2C .uleb128 0x2c + 1685 020b 2E .uleb128 0x2e + 1686 020c 01 .byte 0x1 + 1687 020d 3F .uleb128 0x3f + 1688 020e 0C .uleb128 0xc + 1689 020f 03 .uleb128 0x3 + 1690 0210 0E .uleb128 0xe + 1691 0211 3A .uleb128 0x3a + 1692 0212 0B .uleb128 0xb + 1693 0213 3B .uleb128 0x3b + 1694 0214 0B .uleb128 0xb + 1695 0215 27 .uleb128 0x27 + 1696 0216 0C .uleb128 0xc + 1697 0217 3C .uleb128 0x3c + 1698 0218 0C .uleb128 0xc + 1699 0219 01 .uleb128 0x1 + 1700 021a 13 .uleb128 0x13 + 1701 021b 00 .byte 0 + 1702 021c 00 .byte 0 + 1703 021d 2D .uleb128 0x2d + 1704 021e 05 .uleb128 0x5 + 1705 021f 00 .byte 0 + 1706 0220 49 .uleb128 0x49 + 1707 0221 13 .uleb128 0x13 + 1708 0222 00 .byte 0 + 1709 0223 00 .byte 0 + 1710 0224 2E .uleb128 0x2e + 1711 0225 2E .uleb128 0x2e + 1712 0226 01 .byte 0x1 + 1713 0227 3F .uleb128 0x3f + 1714 0228 0C .uleb128 0xc + 1715 0229 03 .uleb128 0x3 + 1716 022a 0E .uleb128 0xe + 1717 022b 27 .uleb128 0x27 + 1718 022c 0C .uleb128 0xc + 1719 022d 49 .uleb128 0x49 + 1720 022e 13 .uleb128 0x13 + 1721 022f 34 .uleb128 0x34 + 1722 0230 0C .uleb128 0xc + 1723 0231 3C .uleb128 0x3c + 1724 0232 0C .uleb128 0xc + 1725 0233 00 .byte 0 + 1726 0234 00 .byte 0 + 1727 0235 00 .byte 0 + 1728 .section .debug_loc,"",%progbits + 1729 .Ldebug_loc0: + 1730 .LLST0: + 1731 0000 00000000 .4byte .LFB8 + 1732 0004 02000000 .4byte .LCFI0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 40 + + + 1733 0008 0200 .2byte 0x2 + 1734 000a 7D .byte 0x7d + 1735 000b 00 .sleb128 0 + 1736 000c 02000000 .4byte .LCFI0 + 1737 0010 C8010000 .4byte .LFE8 + 1738 0014 0200 .2byte 0x2 + 1739 0016 7D .byte 0x7d + 1740 0017 18 .sleb128 24 + 1741 0018 00000000 .4byte 0 + 1742 001c 00000000 .4byte 0 + 1743 .LLST1: + 1744 0020 50000000 .4byte .LVL4 + 1745 0024 68000000 .4byte .LVL5 + 1746 0028 0100 .2byte 0x1 + 1747 002a 54 .byte 0x54 + 1748 002b 82000000 .4byte .LVL7 + 1749 002f 84000000 .4byte .LVL8 + 1750 0033 0100 .2byte 0x1 + 1751 0035 54 .byte 0x54 + 1752 0036 00000000 .4byte 0 + 1753 003a 00000000 .4byte 0 + 1754 .LLST2: + 1755 003e 80000000 .4byte .LVL6 + 1756 0042 82000000 .4byte .LVL7 + 1757 0046 0200 .2byte 0x2 + 1758 0048 30 .byte 0x30 + 1759 0049 9F .byte 0x9f + 1760 004a 00000000 .4byte 0 + 1761 004e 00000000 .4byte 0 + 1762 .LLST3: + 1763 0052 8C000000 .4byte .LVL9 + 1764 0056 94000000 .4byte .LVL11 + 1765 005a 0100 .2byte 0x1 + 1766 005c 52 .byte 0x52 + 1767 005d 94000000 .4byte .LVL11 + 1768 0061 A0000000 .4byte .LVL13 + 1769 0065 0800 .2byte 0x8 + 1770 0067 76 .byte 0x76 + 1771 0068 7F .sleb128 -1 + 1772 0069 36 .byte 0x36 + 1773 006a 1E .byte 0x1e + 1774 006b 77 .byte 0x77 + 1775 006c 00 .sleb128 0 + 1776 006d 22 .byte 0x22 + 1777 006e 9F .byte 0x9f + 1778 006f 00000000 .4byte 0 + 1779 0073 00000000 .4byte 0 + 1780 .LLST4: + 1781 0077 8C000000 .4byte .LVL9 + 1782 007b 94000000 .4byte .LVL11 + 1783 007f 0900 .2byte 0x9 + 1784 0081 72 .byte 0x72 + 1785 0082 04 .sleb128 4 + 1786 0083 94 .byte 0x94 + 1787 0084 02 .byte 0x2 + 1788 0085 0A .byte 0xa + 1789 0086 FFFF .2byte 0xffff + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 41 + + + 1790 0088 1A .byte 0x1a + 1791 0089 9F .byte 0x9f + 1792 008a 94000000 .4byte .LVL11 + 1793 008e 97000000 .4byte .LVL12-1 + 1794 0092 1000 .2byte 0x10 + 1795 0094 76 .byte 0x76 + 1796 0095 7F .sleb128 -1 + 1797 0096 36 .byte 0x36 + 1798 0097 1E .byte 0x1e + 1799 0098 77 .byte 0x77 + 1800 0099 00 .sleb128 0 + 1801 009a 22 .byte 0x22 + 1802 009b 23 .byte 0x23 + 1803 009c 04 .uleb128 0x4 + 1804 009d 94 .byte 0x94 + 1805 009e 02 .byte 0x2 + 1806 009f 0A .byte 0xa + 1807 00a0 FFFF .2byte 0xffff + 1808 00a2 1A .byte 0x1a + 1809 00a3 9F .byte 0x9f + 1810 00a4 00000000 .4byte 0 + 1811 00a8 00000000 .4byte 0 + 1812 .LLST5: + 1813 00ac 8C000000 .4byte .LVL9 + 1814 00b0 92000000 .4byte .LVL10 + 1815 00b4 0A00 .2byte 0xa + 1816 00b6 76 .byte 0x76 + 1817 00b7 00 .sleb128 0 + 1818 00b8 36 .byte 0x36 + 1819 00b9 1E .byte 0x1e + 1820 00ba 03 .byte 0x3 + 1821 00bb 00000000 .4byte .LANCHOR0 + 1822 00bf 22 .byte 0x22 + 1823 00c0 92000000 .4byte .LVL10 + 1824 00c4 97000000 .4byte .LVL12-1 + 1825 00c8 0A00 .2byte 0xa + 1826 00ca 76 .byte 0x76 + 1827 00cb 7F .sleb128 -1 + 1828 00cc 36 .byte 0x36 + 1829 00cd 1E .byte 0x1e + 1830 00ce 03 .byte 0x3 + 1831 00cf 00000000 .4byte .LANCHOR0 + 1832 00d3 22 .byte 0x22 + 1833 00d4 00000000 .4byte 0 + 1834 00d8 00000000 .4byte 0 + 1835 .LLST6: + 1836 00dc D4000000 .4byte .LVL18 + 1837 00e0 E2000000 .4byte .LVL19 + 1838 00e4 0100 .2byte 0x1 + 1839 00e6 51 .byte 0x51 + 1840 00e7 00000000 .4byte 0 + 1841 00eb 00000000 .4byte 0 + 1842 .LLST7: + 1843 00ef A6000000 .4byte .LVL14 + 1844 00f3 AE000000 .4byte .LVL16 + 1845 00f7 0100 .2byte 0x1 + 1846 00f9 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 42 + + + 1847 00fa AE000000 .4byte .LVL16 + 1848 00fe C8010000 .4byte .LFE8 + 1849 0102 0100 .2byte 0x1 + 1850 0104 57 .byte 0x57 + 1851 0105 00000000 .4byte 0 + 1852 0109 00000000 .4byte 0 + 1853 .LLST8: + 1854 010d AA000000 .4byte .LVL15 + 1855 0111 B2000000 .4byte .LVL17 + 1856 0115 0100 .2byte 0x1 + 1857 0117 50 .byte 0x50 + 1858 0118 00000000 .4byte 0 + 1859 011c 00000000 .4byte 0 + 1860 .LLST9: + 1861 0120 46010000 .4byte .LVL24 + 1862 0124 4C010000 .4byte .LVL25 + 1863 0128 0100 .2byte 0x1 + 1864 012a 53 .byte 0x53 + 1865 012b 00000000 .4byte 0 + 1866 012f 00000000 .4byte 0 + 1867 .section .debug_aranges,"",%progbits + 1868 0000 24000000 .4byte 0x24 + 1869 0004 0200 .2byte 0x2 + 1870 0006 00000000 .4byte .Ldebug_info0 + 1871 000a 04 .byte 0x4 + 1872 000b 00 .byte 0 + 1873 000c 0000 .2byte 0 + 1874 000e 0000 .2byte 0 + 1875 0010 00000000 .4byte .LFB7 + 1876 0014 0C000000 .4byte .LFE7-.LFB7 + 1877 0018 00000000 .4byte .LFB8 + 1878 001c C8010000 .4byte .LFE8-.LFB8 + 1879 0020 00000000 .4byte 0 + 1880 0024 00000000 .4byte 0 + 1881 .section .debug_ranges,"",%progbits + 1882 .Ldebug_ranges0: + 1883 0000 08000000 .4byte .LBB30 + 1884 0004 16000000 .4byte .LBE30 + 1885 0008 18000000 .4byte .LBB34 + 1886 000c 60000000 .4byte .LBE34 + 1887 0010 62000000 .4byte .LBB35 + 1888 0014 78000000 .4byte .LBE35 + 1889 0018 00000000 .4byte 0 + 1890 001c 00000000 .4byte 0 + 1891 0020 08000000 .4byte .LBB31 + 1892 0024 16000000 .4byte .LBE31 + 1893 0028 18000000 .4byte .LBB32 + 1894 002c 60000000 .4byte .LBE32 + 1895 0030 62000000 .4byte .LBB33 + 1896 0034 78000000 .4byte .LBE33 + 1897 0038 00000000 .4byte 0 + 1898 003c 00000000 .4byte 0 + 1899 0040 84000000 .4byte .LBB36 + 1900 0044 34010000 .4byte .LBE36 + 1901 0048 36010000 .4byte .LBB46 + 1902 004c 38010000 .4byte .LBE46 + 1903 0050 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 43 + + + 1904 0054 00000000 .4byte 0 + 1905 0058 A0000000 .4byte .LBB40 + 1906 005c A2000000 .4byte .LBE40 + 1907 0060 A4000000 .4byte .LBB45 + 1908 0064 D6000000 .4byte .LBE45 + 1909 0068 00000000 .4byte 0 + 1910 006c 00000000 .4byte 0 + 1911 0070 A0000000 .4byte .LBB41 + 1912 0074 A2000000 .4byte .LBE41 + 1913 0078 A4000000 .4byte .LBB44 + 1914 007c D6000000 .4byte .LBE44 + 1915 0080 00000000 .4byte 0 + 1916 0084 00000000 .4byte 0 + 1917 0088 A0000000 .4byte .LBB42 + 1918 008c A2000000 .4byte .LBE42 + 1919 0090 A4000000 .4byte .LBB43 + 1920 0094 D0000000 .4byte .LBE43 + 1921 0098 00000000 .4byte 0 + 1922 009c 00000000 .4byte 0 + 1923 00a0 00000000 .4byte .LFB7 + 1924 00a4 0C000000 .4byte .LFE7 + 1925 00a8 00000000 .4byte .LFB8 + 1926 00ac C8010000 .4byte .LFE8 + 1927 00b0 00000000 .4byte 0 + 1928 00b4 00000000 .4byte 0 + 1929 .section .debug_line,"",%progbits + 1930 .Ldebug_line0: + 1931 0000 D1010000 .section .debug_str,"MS",%progbits,1 + 1931 0200E200 + 1931 00000201 + 1931 FB0E0D00 + 1931 01010101 + 1932 .LASF31: + 1933 0000 636F756E .ascii "count\000" + 1933 7400 + 1934 .LASF13: + 1935 0006 75696E74 .ascii "uint16\000" + 1935 313600 + 1936 .LASF30: + 1937 000d 62617365 .ascii "baseAddr\000" + 1937 41646472 + 1937 00 + 1938 .LASF11: + 1939 0016 73697A65 .ascii "size_t\000" + 1939 5F7400 + 1940 .LASF22: + 1941 001d 4379436C .ascii "CyClockStartupError\000" + 1941 6F636B53 + 1941 74617274 + 1941 75704572 + 1941 726F7200 + 1942 .LASF29: + 1943 0031 64617461 .ascii "data_table\000" + 1943 5F746162 + 1943 6C6500 + 1944 .LASF6: + 1945 003c 6C6F6E67 .ascii "long long unsigned int\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 44 + + + 1945 206C6F6E + 1945 6720756E + 1945 7369676E + 1945 65642069 + 1946 .LASF28: + 1947 0053 61646472 .ascii "addr_table\000" + 1947 5F746162 + 1947 6C6500 + 1948 .LASF46: + 1949 005e 63795F63 .ascii "cy_cfg_data_table\000" + 1949 66675F64 + 1949 6174615F + 1949 7461626C + 1949 6500 + 1950 .LASF36: + 1951 0070 416E616C .ascii "AnalogSetDefault\000" + 1951 6F675365 + 1951 74446566 + 1951 61756C74 + 1951 00 + 1952 .LASF5: + 1953 0081 6C6F6E67 .ascii "long long int\000" + 1953 206C6F6E + 1953 6720696E + 1953 7400 + 1954 .LASF0: + 1955 008f 7369676E .ascii "signed char\000" + 1955 65642063 + 1955 68617200 + 1956 .LASF45: + 1957 009b 63795F63 .ascii "cy_cfg_addr_table\000" + 1957 66675F61 + 1957 6464725F + 1957 7461626C + 1957 6500 + 1958 .LASF27: + 1959 00ad 6366675F .ascii "cfg_write_bytes32\000" + 1959 77726974 + 1959 655F6279 + 1959 74657333 + 1959 3200 + 1960 .LASF32: + 1961 00bf 436C6F63 .ascii "ClockSetup\000" + 1961 6B536574 + 1961 757000 + 1962 .LASF24: + 1963 00ca 6572726F .ascii "errorCode\000" + 1963 72436F64 + 1963 6500 + 1964 .LASF43: + 1965 00d4 42535F49 .ascii "BS_IOPINS0_4_VAL\000" + 1965 4F50494E + 1965 53305F34 + 1965 5F56414C + 1965 00 + 1966 .LASF7: + 1967 00e5 6C6F6E67 .ascii "long int\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 45 + + + 1967 20696E74 + 1967 00 + 1968 .LASF18: + 1969 00ee 72656731 .ascii "reg16\000" + 1969 3600 + 1970 .LASF12: + 1971 00f4 75696E74 .ascii "uint8\000" + 1971 3800 + 1972 .LASF16: + 1973 00fa 646F7562 .ascii "double\000" + 1973 6C6500 + 1974 .LASF35: + 1975 0101 43594D45 .ascii "CYMEMZERO\000" + 1975 4D5A4552 + 1975 4F00 + 1976 .LASF14: + 1977 010b 75696E74 .ascii "uint32\000" + 1977 333200 + 1978 .LASF53: + 1979 0112 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 1979 43534932 + 1979 53445C55 + 1979 53425F42 + 1979 6F6F746C + 1980 .LASF40: + 1981 0132 72656756 .ascii "regValue\000" + 1981 616C7565 + 1981 00 + 1982 .LASF20: + 1983 013b 76616C75 .ascii "value\000" + 1983 6500 + 1984 .LASF4: + 1985 0141 756E7369 .ascii "unsigned int\000" + 1985 676E6564 + 1985 20696E74 + 1985 00 + 1986 .LASF52: + 1987 014e 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\cyfitter_cfg.c\000" + 1987 6E657261 + 1987 7465645F + 1987 536F7572 + 1987 63655C50 + 1988 .LASF9: + 1989 0176 6C6F6E67 .ascii "long unsigned int\000" + 1989 20756E73 + 1989 69676E65 + 1989 6420696E + 1989 7400 + 1990 .LASF33: + 1991 0188 74696D65 .ascii "timeout\000" + 1991 6F757400 + 1992 .LASF48: + 1993 0190 73697A65 .ascii "size\000" + 1993 00 + 1994 .LASF3: + 1995 0195 73686F72 .ascii "short unsigned int\000" + 1995 7420756E + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 46 + + + 1995 7369676E + 1995 65642069 + 1995 6E7400 + 1996 .LASF44: + 1997 01a8 42535F49 .ascii "BS_IOPINS0_6_VAL\000" + 1997 4F50494E + 1997 53305F36 + 1997 5F56414C + 1997 00 + 1998 .LASF23: + 1999 01b9 4359434F .ascii "CYCONFIGCPYCODE\000" + 1999 4E464947 + 1999 43505943 + 1999 4F444500 + 2000 .LASF8: + 2001 01c9 73697A65 .ascii "sizetype\000" + 2001 74797065 + 2001 00 + 2002 .LASF37: + 2003 01d2 62675F78 .ascii "bg_xover_inl_trim\000" + 2003 6F766572 + 2003 5F696E6C + 2003 5F747269 + 2003 6D00 + 2004 .LASF49: + 2005 01e4 6366675F .ascii "cfg_memset_t\000" + 2005 6D656D73 + 2005 65745F74 + 2005 00 + 2006 .LASF50: + 2007 01f1 6366675F .ascii "cfg_memset_list\000" + 2007 6D656D73 + 2007 65745F6C + 2007 69737400 + 2008 .LASF25: + 2009 0201 64657374 .ascii "dest\000" + 2009 00 + 2010 .LASF15: + 2011 0206 666C6F61 .ascii "float\000" + 2011 7400 + 2012 .LASF55: + 2013 020c 43794465 .ascii "CyDelayCycles\000" + 2013 6C617943 + 2013 79636C65 + 2013 7300 + 2014 .LASF51: + 2015 021a 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 2015 4320342E + 2015 372E3320 + 2015 32303133 + 2015 30333132 + 2016 024d 616E6368 .ascii "anch revision 196615]\000" + 2016 20726576 + 2016 6973696F + 2016 6E203139 + 2016 36363135 + 2017 .LASF17: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 47 + + + 2018 0263 72656738 .ascii "reg8\000" + 2018 00 + 2019 .LASF1: + 2020 0268 756E7369 .ascii "unsigned char\000" + 2020 676E6564 + 2020 20636861 + 2020 7200 + 2021 .LASF2: + 2022 0276 73686F72 .ascii "short int\000" + 2022 7420696E + 2022 7400 + 2023 .LASF41: + 2024 0280 42535F49 .ascii "BS_IOPINS0_0_VAL\000" + 2024 4F50494E + 2024 53305F30 + 2024 5F56414C + 2024 00 + 2025 .LASF34: + 2026 0291 706C6C4C .ascii "pllLock\000" + 2026 6F636B00 + 2027 .LASF42: + 2028 0299 42535F49 .ascii "BS_IOPINS0_8_VAL\000" + 2028 4F50494E + 2028 53305F38 + 2028 5F56414C + 2028 00 + 2029 .LASF21: + 2030 02aa 63795F63 .ascii "cy_cfg_addrvalue_t\000" + 2030 66675F61 + 2030 64647276 + 2030 616C7565 + 2030 5F7400 + 2031 .LASF10: + 2032 02bd 63686172 .ascii "char\000" + 2032 00 + 2033 .LASF38: + 2034 02c2 53657441 .ascii "SetAnalogRoutingPumps\000" + 2034 6E616C6F + 2034 67526F75 + 2034 74696E67 + 2034 50756D70 + 2035 .LASF19: + 2036 02d8 6F666673 .ascii "offset\000" + 2036 657400 + 2037 .LASF54: + 2038 02df 656E6162 .ascii "enabled\000" + 2038 6C656400 + 2039 .LASF56: + 2040 02e7 6D656D73 .ascii "memset\000" + 2040 657400 + 2041 .LASF26: + 2042 02ee 4359434F .ascii "CYCONFIGCPY\000" + 2042 4E464947 + 2042 43505900 + 2043 .LASF47: + 2044 02fa 61646472 .ascii "address\000" + 2044 65737300 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccuuLLS2.s page 48 + + + 2045 .LASF39: + 2046 0302 63796669 .ascii "cyfitter_cfg\000" + 2046 74746572 + 2046 5F636667 + 2046 00 + 2047 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.o new file mode 100755 index 0000000000000000000000000000000000000000..370e05afae44ef19150398e6d23e14b001c61227 GIT binary patch literal 8200 zcmb_gdvILUc|Ygg-PP)0y=^^g%XcN&mXWnuSwc3(h1E)yC0n*+2^%|z$gABeY0GMN z*o{=RSn=_W1KRzSo&9sgz5QeUxo3O)bI+_Fn5!%>w%-BkRb2xI zH28PxdSYc))pmVSpUo1>vkMagiRIfnj6$@zoq0_IbB^3c0s*xDd#U1B(e&I4;ya>C z_zR@yt_}$Q6P?1p8tCu~zYFzs@U%=mRJ~97Uu+lt8B}ez@IMOKEN~dL8nPe3M-1yn zYj_TPA>=;Mhqh2$P^}QsFA7B|TDS0{%@I9lH383tkXs?^M=g_nSt0#8EVMS%0BSq< zZKz$K(MRr<{v+BU|CO98InC3p{vZ0D@_%L8s&03I|HZs#{b#4I^qp~^rE%uxDU5}3 zL5Sb}Hp3MZu+-}mmO;&M4txqe<7FfzzaW3}%|J^|5A|k1LzzM7#$=d>3#tYoXbe}N)KA+yJ++~DCtfYk%PAo znG0k6&bvWs4u^}zbUWTBMF_M$`w~dk!^B@fqrd&n#D4}&mL?B>2z37hcJe5hXf?up zGu+AP3@3&28B*T=uTW;ML?a_oR>LCaWyO%0$bOExbW7Pw3P~NhZvQUm>%Al%H7W6IkpMu=)g4pX{%pqdX<$B{CjRj3S#9`Ey9~BSM~@0h|BF zOcWF@A?=@&un<+q$JJ~xgMUGXB9iAhUL}&UP%K}h8Rchgs60rt!$MX;R`MSi1@)GF zr5n&g7D~nSi|p@^^qW!!U{rXFbkEH|RCtm)J)g-B`II+HISg5D3Z#k@DY-clUV?nQ zE9HIU%@Osp(*RnK^wQ3M%r;4k1dX$$zsv58A2InJfJ~NjA#wDak34&{AXE zA1cWgN%Ax~zg1pRlBXb9MiII}P?0-iIR-2Oh%9Mv-hZI&o~n{-Fz;}AJfv2as>YOMStYB_F!sOCX5pF?$H?CNnO z`-FTMR{ap=IWB@KBDb})T$D93l_%xuecceyYUEc-6#mMN@Q*> z$s`!}k_CfJIk<7WGGo6s&rtdt=Yk$b42;|ImPaFyza?uhwfq*Fs(^0JLP!>dE?T38 zF80b>^OV%NHm^t)OMlMkKpxGbgvW7qmuQ$rDR*Xe>2>{F+;7=R*;LXm_qg`u?st-- zvRp9OKP{pOTm^v!zVi4366qt^@`oRgLd!WBz&I<&n!2szq$nR%oD_^sNm<271dFAV zvb%(OcsvNH5jn2r&}pt1sU{cW6iYIxrO6RPlfw~k@?_T7Cyk9wa_nEbHFaN?@g&3z zWUvB5)hhyntY1h-?%KR9DuWG9m11v3vo^20qy-waZ?H*#nmDYGwms-_R*60kw7;ad z?E%1p84|53(`ZSo3E!8L01cgQx(B7`CzwHXi-Sj7tL_oi*BNDtq~ny9Ge2MXB{DvZ zT4)*9SQ-dbA% zJ1AA2L3lXNHMcricI|KQbQJ+NpF{)K&Kzx+^qGinZyXH;bcugfvlONf5IN{7Sdh$2 z(>IP73g0|pc=S`!tN#j$Ps=U(Tfmd@g#J1V=H-_CwJVxd*6h&F9yat#ngR4O8v4&P z_X+(kq4*gT7msZBtnxIj@_6)rII=~%2|id5uYb103B zv`6Eiy9Uz1WO^d8HJOYjML3ua4yA*`QBy?Yu~9=c6R}ieG-iekdR7PFfh|H)l=mT9 zpSD;q8Xp}n(;enWa3Y$vIibGnCNvgIVsTVwyF2*0CmBhbL&H;PGu6C7WaejLcEY6T zwa2mOfq|j!-oEZ#1HPe_p}lQAnK8(H(i}yY6zwJvj!#e!?fZ9b-TASt{k=*Im$z>o z9B3ct-Z0QHxMv_R6o|*u(ReUyCcUAla4JSV_6DO9rZ}kDt3v;M=Kvf2s%?0E($bM} zGd@8HxYrb^G35^i%u_71O|X|To2noAi^N9zCdLyf5g!>ryuysp zP7RAp_*m?Pq^WI+JLsw#LWphc?VF7{iv6~DJeo2_;zq!_$^u?*D|#|De!LI)q)mxjhzi* zKaFA|+AOY@L?bcN@`$+$Zzw)KZenA3v1;C68V6u_0(XVo%LqP4_%!^%WjQPZ2+&eQ#prxEh>HFKgw=BY_1*G`Y=Pa@XYAB#?BAk{@}2lBVCYZ zqgrKOCEkxtIq+7o{M`kC6%*;c1-lL8h>N8g1#cgB8sqv9 zJdI1^kv~HE#b@;aDc;D!BgdMAO?TWzlbwO*cC)RcMLq4g>gRz z+Uf&R3_wYFv5KXmm#E#}g{sUWj{MQT8&({D1={KZQrriB-y(vGrTYqayT6~nA9W;u z1*n$47cyeBnbM3+@QURGB8z+u^i}>=(W^P*uLx-Q`vLdy0jaq0E3m-YjNr*1ReC2A zT6Se{ayNLZSa$yg0lFtF`7zivTMUrwsJxA8(Zv5P?7E2HLi3~YD^zhy3=OZJtvXtR;oLrjwVsR?_#KNR(2NFg) z{<VL&ej%`y#5Pr+=jA z2bRTyTqwFNG7{>_%Yqs_4v($q>ZC0SbahhfJnf3bq9a<0cQHq_gBFXv5Pe3bi_%3U zC|xWSe+{H`;@F8|tk3tDr#rS7`KNPY6&mMNivg-}?Vm;b2&qkr+VHgxF>lMJrcKws zc}cv%5-+}^N!)CIBdNy|q`pmdsd_n)^d`GhJ&MVL&n{KZN|HAHo+p((ifi7A{JcWE zov1k;-VfD6(BE$wmhcqg(~R^j)s|**s^K8gsu4n@kj}b>U&yNo!O-3#J>4sWn;F{} zdl~mK-pLqdyo)i-cpu|5<0FipWqg8>{tck*aTViw#*K{aj3LGZ<6*{!2ysx4 zGyW+dj?8J+KS_x7{3`R`VE)_8f1mk(Wd5hj|0f~*(m$27uJ15PTz}->MF@QbA@tRR z$X_EN#%p1|jrm^YKh79qe3)jZ;s0+KzsvHUGXL+4Z?OCw#yOTR!L>{M ziwH5l3dXgB@ZZY(t;}~Z?jc0K{fs7KgfU5o{)d^rpOMy<;$OyyZKeD`t&r{_@=Ny% zp}|k literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.lst new file mode 100755 index 0000000..281f116 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.lst @@ -0,0 +1,574 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "cymetadata.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .global cy_metadata + 19 .global cy_meta_flashprotect + 20 .global cy_meta_wonvl + 21 .global cy_meta_custnvl + 22 .global cy_meta_configecc + 23 .global cy_meta_loader + 24 .section .cyconfigecc,"a",%progbits + 25 .type cy_meta_configecc, %object + 26 .size cy_meta_configecc, 1 + 27 cy_meta_configecc: + 28 0000 00 .space 1 + 29 .section .cywolatch,"a",%progbits + 30 .type cy_meta_wonvl, %object + 31 .size cy_meta_wonvl, 4 + 32 cy_meta_wonvl: + 33 0000 BC .byte -68 + 34 0001 90 .byte -112 + 35 0002 AC .byte -84 + 36 0003 AF .byte -81 + 37 .section .cyloadermeta,"a",%progbits + 38 .type cy_meta_loader, %object + 39 .size cy_meta_loader, 64 + 40 cy_meta_loader: + 41 0000 00 .byte 0 + 42 0001 00 .byte 0 + 43 0002 00 .byte 0 + 44 0003 00 .byte 0 + 45 0004 00 .byte 0 + 46 0005 00 .byte 0 + 47 0006 00 .byte 0 + 48 0007 00 .byte 0 + 49 0008 00 .byte 0 + 50 0009 00 .byte 0 + 51 000a 00 .byte 0 + 52 000b 00 .byte 0 + 53 000c 00 .byte 0 + 54 000d 00 .byte 0 + 55 000e 00 .byte 0 + 56 000f 00 .byte 0 + 57 0010 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 2 + + + 58 0011 00 .byte 0 + 59 0012 00 .byte 0 + 60 0013 00 .byte 0 + 61 0014 01 .byte 1 + 62 0015 00 .byte 0 + 63 0016 01 .byte 1 + 64 0017 00 .byte 0 + 65 0018 00 .byte 0 + 66 0019 00 .byte 0 + 67 001a 00 .byte 0 + 68 001b 00 .byte 0 + 69 001c 00 .byte 0 + 70 001d 00 .byte 0 + 71 001e 00 .byte 0 + 72 001f 00 .byte 0 + 73 0020 00 .byte 0 + 74 0021 00 .byte 0 + 75 0022 00 .byte 0 + 76 0023 00 .byte 0 + 77 0024 00 .byte 0 + 78 0025 00 .byte 0 + 79 0026 00 .byte 0 + 80 0027 00 .byte 0 + 81 0028 00 .byte 0 + 82 0029 00 .byte 0 + 83 002a 00 .byte 0 + 84 002b 00 .byte 0 + 85 002c 00 .byte 0 + 86 002d 00 .byte 0 + 87 002e 00 .byte 0 + 88 002f 00 .byte 0 + 89 0030 00 .byte 0 + 90 0031 00 .byte 0 + 91 0032 00 .byte 0 + 92 0033 00 .byte 0 + 93 0034 00 .byte 0 + 94 0035 00 .byte 0 + 95 0036 00 .byte 0 + 96 0037 00 .byte 0 + 97 0038 00 .byte 0 + 98 0039 00 .byte 0 + 99 003a 00 .byte 0 + 100 003b 00 .byte 0 + 101 003c 00 .byte 0 + 102 003d 00 .byte 0 + 103 003e 00 .byte 0 + 104 003f 00 .byte 0 + 105 .section .cycustnvl,"a",%progbits + 106 .type cy_meta_custnvl, %object + 107 .size cy_meta_custnvl, 4 + 108 cy_meta_custnvl: + 109 0000 00 .byte 0 + 110 0001 00 .byte 0 + 111 0002 40 .byte 64 + 112 0003 05 .byte 5 + 113 .section .cymeta,"a",%progbits + 114 .type cy_metadata, %object + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 3 + + + 115 .size cy_metadata, 12 + 116 cy_metadata: + 117 0000 00 .byte 0 + 118 0001 01 .byte 1 + 119 0002 2E .byte 46 + 120 0003 13 .byte 19 + 121 0004 30 .byte 48 + 122 0005 69 .byte 105 + 123 0006 00 .byte 0 + 124 0007 01 .byte 1 + 125 0008 00 .byte 0 + 126 0009 00 .byte 0 + 127 000a 00 .byte 0 + 128 000b 00 .byte 0 + 129 .section .cyflashprotect,"a",%progbits + 130 .type cy_meta_flashprotect, %object + 131 .size cy_meta_flashprotect, 128 + 132 cy_meta_flashprotect: + 133 0000 00000000 .space 128 + 133 00000000 + 133 00000000 + 133 00000000 + 133 00000000 + 134 .text + 135 .Letext0: + 136 .file 1 ".\\Generated_Source\\PSoC5\\cymetadata.c" + 137 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 138 .section .debug_info,"",%progbits + 139 .Ldebug_info0: + 140 0000 61010000 .4byte 0x161 + 141 0004 0200 .2byte 0x2 + 142 0006 00000000 .4byte .Ldebug_abbrev0 + 143 000a 04 .byte 0x4 + 144 000b 01 .uleb128 0x1 + 145 000c 16010000 .4byte .LASF19 + 146 0010 01 .byte 0x1 + 147 0011 00000000 .4byte .LASF20 + 148 0015 5F010000 .4byte .LASF21 + 149 0019 00000000 .4byte .Ldebug_line0 + 150 001d 02 .uleb128 0x2 + 151 001e 01 .byte 0x1 + 152 001f 06 .byte 0x6 + 153 0020 88010000 .4byte .LASF0 + 154 0024 02 .uleb128 0x2 + 155 0025 01 .byte 0x1 + 156 0026 08 .byte 0x8 + 157 0027 47000000 .4byte .LASF1 + 158 002b 02 .uleb128 0x2 + 159 002c 02 .byte 0x2 + 160 002d 05 .byte 0x5 + 161 002e 06010000 .4byte .LASF2 + 162 0032 02 .uleb128 0x2 + 163 0033 02 .byte 0x2 + 164 0034 07 .byte 0x7 + 165 0035 67000000 .4byte .LASF3 + 166 0039 02 .uleb128 0x2 + 167 003a 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 4 + + + 168 003b 05 .byte 0x5 + 169 003c 7F010000 .4byte .LASF4 + 170 0040 02 .uleb128 0x2 + 171 0041 04 .byte 0x4 + 172 0042 07 .byte 0x7 + 173 0043 55000000 .4byte .LASF5 + 174 0047 02 .uleb128 0x2 + 175 0048 08 .byte 0x8 + 176 0049 05 .byte 0x5 + 177 004a F3000000 .4byte .LASF6 + 178 004e 02 .uleb128 0x2 + 179 004f 08 .byte 0x8 + 180 0050 07 .byte 0x7 + 181 0051 B0000000 .4byte .LASF7 + 182 0055 03 .uleb128 0x3 + 183 0056 04 .byte 0x4 + 184 0057 05 .byte 0x5 + 185 0058 696E7400 .ascii "int\000" + 186 005c 02 .uleb128 0x2 + 187 005d 04 .byte 0x4 + 188 005e 07 .byte 0x7 + 189 005f A3000000 .4byte .LASF8 + 190 0063 04 .uleb128 0x4 + 191 0064 10010000 .4byte .LASF22 + 192 0068 02 .byte 0x2 + 193 0069 5B .byte 0x5b + 194 006a 24000000 .4byte 0x24 + 195 006e 02 .uleb128 0x2 + 196 006f 04 .byte 0x4 + 197 0070 04 .byte 0x4 + 198 0071 41000000 .4byte .LASF9 + 199 0075 02 .uleb128 0x2 + 200 0076 08 .byte 0x8 + 201 0077 04 .byte 0x4 + 202 0078 7A000000 .4byte .LASF10 + 203 007c 02 .uleb128 0x2 + 204 007d 01 .byte 0x1 + 205 007e 08 .byte 0x8 + 206 007f 01010000 .4byte .LASF11 + 207 0083 05 .uleb128 0x5 + 208 0084 63000000 .4byte 0x63 + 209 0088 93000000 .4byte 0x93 + 210 008c 06 .uleb128 0x6 + 211 008d 93000000 .4byte 0x93 + 212 0091 3F .byte 0x3f + 213 0092 00 .byte 0 + 214 0093 02 .uleb128 0x2 + 215 0094 04 .byte 0x4 + 216 0095 07 .byte 0x7 + 217 0096 EA000000 .4byte .LASF12 + 218 009a 07 .uleb128 0x7 + 219 009b 26000000 .4byte .LASF13 + 220 009f 01 .byte 0x1 + 221 00a0 1C .byte 0x1c + 222 00a1 AC000000 .4byte 0xac + 223 00a5 01 .byte 0x1 + 224 00a6 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 5 + + + 225 00a7 03 .byte 0x3 + 226 00a8 00000000 .4byte cy_meta_loader + 227 00ac 08 .uleb128 0x8 + 228 00ad 83000000 .4byte 0x83 + 229 00b1 05 .uleb128 0x5 + 230 00b2 63000000 .4byte 0x63 + 231 00b6 C1000000 .4byte 0xc1 + 232 00ba 06 .uleb128 0x6 + 233 00bb 93000000 .4byte 0x93 + 234 00bf 00 .byte 0 + 235 00c0 00 .byte 0 + 236 00c1 07 .uleb128 0x7 + 237 00c2 91000000 .4byte .LASF14 + 238 00c6 01 .byte 0x1 + 239 00c7 2E .byte 0x2e + 240 00c8 D3000000 .4byte 0xd3 + 241 00cc 01 .byte 0x1 + 242 00cd 05 .byte 0x5 + 243 00ce 03 .byte 0x3 + 244 00cf 00000000 .4byte cy_meta_configecc + 245 00d3 08 .uleb128 0x8 + 246 00d4 B1000000 .4byte 0xb1 + 247 00d8 05 .uleb128 0x5 + 248 00d9 63000000 .4byte 0x63 + 249 00dd E8000000 .4byte 0xe8 + 250 00e1 06 .uleb128 0x6 + 251 00e2 93000000 .4byte 0x93 + 252 00e6 03 .byte 0x3 + 253 00e7 00 .byte 0 + 254 00e8 07 .uleb128 0x7 + 255 00e9 81000000 .4byte .LASF15 + 256 00ed 01 .byte 0x1 + 257 00ee 39 .byte 0x39 + 258 00ef FA000000 .4byte 0xfa + 259 00f3 01 .byte 0x1 + 260 00f4 05 .byte 0x5 + 261 00f5 03 .byte 0x3 + 262 00f6 00000000 .4byte cy_meta_custnvl + 263 00fa 08 .uleb128 0x8 + 264 00fb D8000000 .4byte 0xd8 + 265 00ff 07 .uleb128 0x7 + 266 0100 DC000000 .4byte .LASF16 + 267 0104 01 .byte 0x1 + 268 0105 44 .byte 0x44 + 269 0106 11010000 .4byte 0x111 + 270 010a 01 .byte 0x1 + 271 010b 05 .byte 0x5 + 272 010c 03 .byte 0x3 + 273 010d 00000000 .4byte cy_meta_wonvl + 274 0111 08 .uleb128 0x8 + 275 0112 D8000000 .4byte 0xd8 + 276 0116 05 .uleb128 0x5 + 277 0117 63000000 .4byte 0x63 + 278 011b 26010000 .4byte 0x126 + 279 011f 06 .uleb128 0x6 + 280 0120 93000000 .4byte 0x93 + 281 0124 7F .byte 0x7f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 6 + + + 282 0125 00 .byte 0 + 283 0126 07 .uleb128 0x7 + 284 0127 C7000000 .4byte .LASF17 + 285 012b 01 .byte 0x1 + 286 012c 4F .byte 0x4f + 287 012d 38010000 .4byte 0x138 + 288 0131 01 .byte 0x1 + 289 0132 05 .byte 0x5 + 290 0133 03 .byte 0x3 + 291 0134 00000000 .4byte cy_meta_flashprotect + 292 0138 08 .uleb128 0x8 + 293 0139 16010000 .4byte 0x116 + 294 013d 05 .uleb128 0x5 + 295 013e 63000000 .4byte 0x63 + 296 0142 4D010000 .4byte 0x14d + 297 0146 06 .uleb128 0x6 + 298 0147 93000000 .4byte 0x93 + 299 014b 0B .byte 0xb + 300 014c 00 .byte 0 + 301 014d 07 .uleb128 0x7 + 302 014e 35000000 .4byte .LASF18 + 303 0152 01 .byte 0x1 + 304 0153 69 .byte 0x69 + 305 0154 5F010000 .4byte 0x15f + 306 0158 01 .byte 0x1 + 307 0159 05 .byte 0x5 + 308 015a 03 .byte 0x3 + 309 015b 00000000 .4byte cy_metadata + 310 015f 08 .uleb128 0x8 + 311 0160 3D010000 .4byte 0x13d + 312 0164 00 .byte 0 + 313 .section .debug_abbrev,"",%progbits + 314 .Ldebug_abbrev0: + 315 0000 01 .uleb128 0x1 + 316 0001 11 .uleb128 0x11 + 317 0002 01 .byte 0x1 + 318 0003 25 .uleb128 0x25 + 319 0004 0E .uleb128 0xe + 320 0005 13 .uleb128 0x13 + 321 0006 0B .uleb128 0xb + 322 0007 03 .uleb128 0x3 + 323 0008 0E .uleb128 0xe + 324 0009 1B .uleb128 0x1b + 325 000a 0E .uleb128 0xe + 326 000b 10 .uleb128 0x10 + 327 000c 06 .uleb128 0x6 + 328 000d 00 .byte 0 + 329 000e 00 .byte 0 + 330 000f 02 .uleb128 0x2 + 331 0010 24 .uleb128 0x24 + 332 0011 00 .byte 0 + 333 0012 0B .uleb128 0xb + 334 0013 0B .uleb128 0xb + 335 0014 3E .uleb128 0x3e + 336 0015 0B .uleb128 0xb + 337 0016 03 .uleb128 0x3 + 338 0017 0E .uleb128 0xe + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 7 + + + 339 0018 00 .byte 0 + 340 0019 00 .byte 0 + 341 001a 03 .uleb128 0x3 + 342 001b 24 .uleb128 0x24 + 343 001c 00 .byte 0 + 344 001d 0B .uleb128 0xb + 345 001e 0B .uleb128 0xb + 346 001f 3E .uleb128 0x3e + 347 0020 0B .uleb128 0xb + 348 0021 03 .uleb128 0x3 + 349 0022 08 .uleb128 0x8 + 350 0023 00 .byte 0 + 351 0024 00 .byte 0 + 352 0025 04 .uleb128 0x4 + 353 0026 16 .uleb128 0x16 + 354 0027 00 .byte 0 + 355 0028 03 .uleb128 0x3 + 356 0029 0E .uleb128 0xe + 357 002a 3A .uleb128 0x3a + 358 002b 0B .uleb128 0xb + 359 002c 3B .uleb128 0x3b + 360 002d 0B .uleb128 0xb + 361 002e 49 .uleb128 0x49 + 362 002f 13 .uleb128 0x13 + 363 0030 00 .byte 0 + 364 0031 00 .byte 0 + 365 0032 05 .uleb128 0x5 + 366 0033 01 .uleb128 0x1 + 367 0034 01 .byte 0x1 + 368 0035 49 .uleb128 0x49 + 369 0036 13 .uleb128 0x13 + 370 0037 01 .uleb128 0x1 + 371 0038 13 .uleb128 0x13 + 372 0039 00 .byte 0 + 373 003a 00 .byte 0 + 374 003b 06 .uleb128 0x6 + 375 003c 21 .uleb128 0x21 + 376 003d 00 .byte 0 + 377 003e 49 .uleb128 0x49 + 378 003f 13 .uleb128 0x13 + 379 0040 2F .uleb128 0x2f + 380 0041 0B .uleb128 0xb + 381 0042 00 .byte 0 + 382 0043 00 .byte 0 + 383 0044 07 .uleb128 0x7 + 384 0045 34 .uleb128 0x34 + 385 0046 00 .byte 0 + 386 0047 03 .uleb128 0x3 + 387 0048 0E .uleb128 0xe + 388 0049 3A .uleb128 0x3a + 389 004a 0B .uleb128 0xb + 390 004b 3B .uleb128 0x3b + 391 004c 0B .uleb128 0xb + 392 004d 49 .uleb128 0x49 + 393 004e 13 .uleb128 0x13 + 394 004f 3F .uleb128 0x3f + 395 0050 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 8 + + + 396 0051 02 .uleb128 0x2 + 397 0052 0A .uleb128 0xa + 398 0053 00 .byte 0 + 399 0054 00 .byte 0 + 400 0055 08 .uleb128 0x8 + 401 0056 26 .uleb128 0x26 + 402 0057 00 .byte 0 + 403 0058 49 .uleb128 0x49 + 404 0059 13 .uleb128 0x13 + 405 005a 00 .byte 0 + 406 005b 00 .byte 0 + 407 005c 00 .byte 0 + 408 .section .debug_aranges,"",%progbits + 409 0000 14000000 .4byte 0x14 + 410 0004 0200 .2byte 0x2 + 411 0006 00000000 .4byte .Ldebug_info0 + 412 000a 04 .byte 0x4 + 413 000b 00 .byte 0 + 414 000c 0000 .2byte 0 + 415 000e 0000 .2byte 0 + 416 0010 00000000 .4byte 0 + 417 0014 00000000 .4byte 0 + 418 .section .debug_line,"",%progbits + 419 .Ldebug_line0: + 420 0000 4F000000 .section .debug_str,"MS",%progbits,1 + 420 02004900 + 420 00000201 + 420 FB0E0D00 + 420 01010101 + 421 .LASF20: + 422 0000 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\cymetadata.c\000" + 422 6E657261 + 422 7465645F + 422 536F7572 + 422 63655C50 + 423 .LASF13: + 424 0026 63795F6D .ascii "cy_meta_loader\000" + 424 6574615F + 424 6C6F6164 + 424 657200 + 425 .LASF18: + 426 0035 63795F6D .ascii "cy_metadata\000" + 426 65746164 + 426 61746100 + 427 .LASF9: + 428 0041 666C6F61 .ascii "float\000" + 428 7400 + 429 .LASF1: + 430 0047 756E7369 .ascii "unsigned char\000" + 430 676E6564 + 430 20636861 + 430 7200 + 431 .LASF5: + 432 0055 6C6F6E67 .ascii "long unsigned int\000" + 432 20756E73 + 432 69676E65 + 432 6420696E + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 9 + + + 432 7400 + 433 .LASF3: + 434 0067 73686F72 .ascii "short unsigned int\000" + 434 7420756E + 434 7369676E + 434 65642069 + 434 6E7400 + 435 .LASF10: + 436 007a 646F7562 .ascii "double\000" + 436 6C6500 + 437 .LASF15: + 438 0081 63795F6D .ascii "cy_meta_custnvl\000" + 438 6574615F + 438 63757374 + 438 6E766C00 + 439 .LASF14: + 440 0091 63795F6D .ascii "cy_meta_configecc\000" + 440 6574615F + 440 636F6E66 + 440 69676563 + 440 6300 + 441 .LASF8: + 442 00a3 756E7369 .ascii "unsigned int\000" + 442 676E6564 + 442 20696E74 + 442 00 + 443 .LASF7: + 444 00b0 6C6F6E67 .ascii "long long unsigned int\000" + 444 206C6F6E + 444 6720756E + 444 7369676E + 444 65642069 + 445 .LASF17: + 446 00c7 63795F6D .ascii "cy_meta_flashprotect\000" + 446 6574615F + 446 666C6173 + 446 6870726F + 446 74656374 + 447 .LASF16: + 448 00dc 63795F6D .ascii "cy_meta_wonvl\000" + 448 6574615F + 448 776F6E76 + 448 6C00 + 449 .LASF12: + 450 00ea 73697A65 .ascii "sizetype\000" + 450 74797065 + 450 00 + 451 .LASF6: + 452 00f3 6C6F6E67 .ascii "long long int\000" + 452 206C6F6E + 452 6720696E + 452 7400 + 453 .LASF11: + 454 0101 63686172 .ascii "char\000" + 454 00 + 455 .LASF2: + 456 0106 73686F72 .ascii "short int\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\cc9iBHYT.s page 10 + + + 456 7420696E + 456 7400 + 457 .LASF22: + 458 0110 75696E74 .ascii "uint8\000" + 458 3800 + 459 .LASF19: + 460 0116 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 460 4320342E + 460 372E3320 + 460 32303133 + 460 30333132 + 461 0149 616E6368 .ascii "anch revision 196615]\000" + 461 20726576 + 461 6973696F + 461 6E203139 + 461 36363135 + 462 .LASF21: + 463 015f 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 463 43534932 + 463 53445C55 + 463 53425F42 + 463 6F6F746C + 464 .LASF4: + 465 017f 6C6F6E67 .ascii "long int\000" + 465 20696E74 + 465 00 + 466 .LASF0: + 467 0188 7369676E .ascii "signed char\000" + 467 65642063 + 467 68617200 + 468 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.o new file mode 100755 index 0000000000000000000000000000000000000000..0640d4280e045d5acb2396af37ed9757ba9b8271 GIT binary patch literal 3272 zcmb_eO^6&t6t140+1X^0HIq#?iBaiDj2keW{mZ|aO=jb=;wCYZ;2|4^o}SvBf!Xe) zyC=y6WFsQzO^N8iiy%l20e|qQpdM88;6V@%-n^(c5k&N$-&a#L(~}>JkO$T8@2gj@ zUcIjFm1EC5Wm%RmWQkGH(}b8AP@deBVxwY23=8q`t2f>=6Vlx3o0|6APM*#Q%N-tT z{I5y33v^Fx9(J8kk+RkZWL1QUE=9%~c$t1{a2AkECO1GWlV75i&8<+&=FdAxd z{(Uezn`;CKeeXcat|RX3cKWl~BMO$iLS_x}2y#B;YZwE39OkrNA>?=9VLfnz&zy~? z7<>^Ah5ihJLId_1WXt`IPYwIPzgu`&1#VyFsemX zME*;{PV7&?SS$sbH?V@p?;)(g@|kE)Ko(ZfdSqz0U=M8_T8GBUY!`*XlTcA9Ee@hg zBf=g!SU6Oe8%AWynj5wt3~Uo~!@CQ}bgJtg$jD1%tx^tKkuMicmctUV_uI=d@oHY;xjuWrZzt{ZGIr~#G43>P zp>LYst}sZY8G1DtA%s#HQ!K)kh*l6cmI7IG{JIy3W*96vT@(8zu7^?5Z>oi@YEzn6 z6~7fH!Nq1z4}-z!p z1%BO$qhcixLEk4&JYJn2<&gN;JWm2g_}@~-(w zF|ay6zbdmnyTRIMZL&98Tde!7hq4b_!j0R@iB|qgq|H3^64Ck2mjAvp%?8*nUY;e59vIJ-{b7r8Y<8j`!#*i7@DJ`3LZRod)ckU+K z^U&8|7&7rB4C!@WfqvD1w2vy+F>|P|f+AA}Bev)xK+{*aUH^p4ixl^&%9Ztf3B$~R zC<1JVIU6#*H(@X`ecu4L*?>~MYmn3Heu~X<9j?0;gEgZ5E=D@uPl#8B&5-eZ2m|FY z?bwTkc-=U)kLo8{Hn^{`&;>n*j2MnDFc9KAn4&{DAtsFiZbBC!o8F^40PcGVnhcKI z^Z;ESVe@apxDhh<%>AyzU`^8TPUAdo&JU1V$gD$0R{Zu)8en&`?O5%;Ot@ZpMe13B z&vA;FU5{*mO{U21zRevYelSvA#^Xu$$i^=F$?`dV@2fmpmtwX`YOSDoy46NvEOMbppKpwCp)UDY!TL~)~CUXtViEp z!1kK?q^i69F21=2a_5h`LFhjVtjjw!gTiu88El!mCTEY4Zy`sMIa=r4NxQ2#?>g#_ zYhKd)Y0aP2yrOwc^9!0^)_g_tH#C1s^XueD;&ZKkOODIo7p?z6z6lRBGxJzWK7u=5 U>r>>|%yF%sCCAI7_KlYK7xcuH#sB~S literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.lst new file mode 100755 index 0000000..03e459a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.lst @@ -0,0 +1,531 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "cyutils.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.CySetReg24,"ax",%progbits + 19 .align 1 + 20 .global CySetReg24 + 21 .thumb + 22 .thumb_func + 23 .type CySetReg24, %function + 24 CySetReg24: + 25 .LFB0: + 26 .file 1 ".\\Generated_Source\\PSoC5\\cyutils.c" + 1:.\Generated_Source\PSoC5/cyutils.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/cyutils.c **** * FILENAME: cyutils.c + 3:.\Generated_Source\PSoC5/cyutils.c **** * Version 4.0 + 4:.\Generated_Source\PSoC5/cyutils.c **** * + 5:.\Generated_Source\PSoC5/cyutils.c **** * Description: + 6:.\Generated_Source\PSoC5/cyutils.c **** * CyUtils provides function to handle 24-bit value writes. + 7:.\Generated_Source\PSoC5/cyutils.c **** * + 8:.\Generated_Source\PSoC5/cyutils.c **** ******************************************************************************** + 9:.\Generated_Source\PSoC5/cyutils.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 10:.\Generated_Source\PSoC5/cyutils.c **** * You may use this file only in accordance with the license, terms, conditions, + 11:.\Generated_Source\PSoC5/cyutils.c **** * disclaimers, and limitations in the end user license agreement accompanying + 12:.\Generated_Source\PSoC5/cyutils.c **** * the software package with which this file was provided. + 13:.\Generated_Source\PSoC5/cyutils.c **** *******************************************************************************/ + 14:.\Generated_Source\PSoC5/cyutils.c **** + 15:.\Generated_Source\PSoC5/cyutils.c **** #include "cytypes.h" + 16:.\Generated_Source\PSoC5/cyutils.c **** + 17:.\Generated_Source\PSoC5/cyutils.c **** #if (!CY_PSOC3) + 18:.\Generated_Source\PSoC5/cyutils.c **** + 19:.\Generated_Source\PSoC5/cyutils.c **** /*************************************************************************** + 20:.\Generated_Source\PSoC5/cyutils.c **** * Function Name: CySetReg24 + 21:.\Generated_Source\PSoC5/cyutils.c **** **************************************************************************** + 22:.\Generated_Source\PSoC5/cyutils.c **** * + 23:.\Generated_Source\PSoC5/cyutils.c **** * Summary: + 24:.\Generated_Source\PSoC5/cyutils.c **** * Writes the 24-bit value to the specified register. + 25:.\Generated_Source\PSoC5/cyutils.c **** * + 26:.\Generated_Source\PSoC5/cyutils.c **** * Parameters: + 27:.\Generated_Source\PSoC5/cyutils.c **** * addr : adress where data must be written + 28:.\Generated_Source\PSoC5/cyutils.c **** * value: data that must be written + 29:.\Generated_Source\PSoC5/cyutils.c **** * + 30:.\Generated_Source\PSoC5/cyutils.c **** * Return: + 31:.\Generated_Source\PSoC5/cyutils.c **** * None + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 2 + + + 32:.\Generated_Source\PSoC5/cyutils.c **** * + 33:.\Generated_Source\PSoC5/cyutils.c **** * Reentrant: + 34:.\Generated_Source\PSoC5/cyutils.c **** * No + 35:.\Generated_Source\PSoC5/cyutils.c **** * + 36:.\Generated_Source\PSoC5/cyutils.c **** ***************************************************************************/ + 37:.\Generated_Source\PSoC5/cyutils.c **** void CySetReg24(uint32 volatile * addr, uint32 value) + 38:.\Generated_Source\PSoC5/cyutils.c **** { + 27 .loc 1 38 0 + 28 .cfi_startproc + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 @ link register save eliminated. + 32 .LVL0: + 39:.\Generated_Source\PSoC5/cyutils.c **** uint8 volatile *tmpAddr; + 40:.\Generated_Source\PSoC5/cyutils.c **** + 41:.\Generated_Source\PSoC5/cyutils.c **** tmpAddr = (uint8 volatile *) addr; + 42:.\Generated_Source\PSoC5/cyutils.c **** + 43:.\Generated_Source\PSoC5/cyutils.c **** tmpAddr[0u] = (uint8) value; + 33 .loc 1 43 0 + 34 0000 CBB2 uxtb r3, r1 + 44:.\Generated_Source\PSoC5/cyutils.c **** tmpAddr[1u] = (uint8) (value >> 8u); + 35 .loc 1 44 0 + 36 0002 C1F30722 ubfx r2, r1, #8, #8 + 45:.\Generated_Source\PSoC5/cyutils.c **** tmpAddr[2u] = (uint8) (value >> 16u); + 37 .loc 1 45 0 + 38 0006 C1F30741 ubfx r1, r1, #16, #8 + 39 .LVL1: + 43:.\Generated_Source\PSoC5/cyutils.c **** tmpAddr[0u] = (uint8) value; + 40 .loc 1 43 0 + 41 000a 0370 strb r3, [r0, #0] + 44:.\Generated_Source\PSoC5/cyutils.c **** tmpAddr[1u] = (uint8) (value >> 8u); + 42 .loc 1 44 0 + 43 000c 4270 strb r2, [r0, #1] + 44 .loc 1 45 0 + 45 000e 8170 strb r1, [r0, #2] + 46 0010 7047 bx lr + 47 .cfi_endproc + 48 .LFE0: + 49 .size CySetReg24, .-CySetReg24 + 50 .text + 51 .Letext0: + 52 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 53 .section .debug_info,"",%progbits + 54 .Ldebug_info0: + 55 0000 F0000000 .4byte 0xf0 + 56 0004 0200 .2byte 0x2 + 57 0006 00000000 .4byte .Ldebug_abbrev0 + 58 000a 04 .byte 0x4 + 59 000b 01 .uleb128 0x1 + 60 000c CF000000 .4byte .LASF16 + 61 0010 01 .byte 0x1 + 62 0011 06000000 .4byte .LASF17 + 63 0015 18010000 .4byte .LASF18 + 64 0019 00000000 .4byte .Ldebug_ranges0+0 + 65 001d 00000000 .4byte 0 + 66 0021 00000000 .4byte 0 + 67 0025 00000000 .4byte .Ldebug_line0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 3 + + + 68 0029 02 .uleb128 0x2 + 69 002a 01 .byte 0x1 + 70 002b 06 .byte 0x6 + 71 002c 41010000 .4byte .LASF0 + 72 0030 02 .uleb128 0x2 + 73 0031 01 .byte 0x1 + 74 0032 08 .byte 0x8 + 75 0033 3F000000 .4byte .LASF1 + 76 0037 02 .uleb128 0x2 + 77 0038 02 .byte 0x2 + 78 0039 05 .byte 0x5 + 79 003a BF000000 .4byte .LASF2 + 80 003e 02 .uleb128 0x2 + 81 003f 02 .byte 0x2 + 82 0040 07 .byte 0x7 + 83 0041 67000000 .4byte .LASF3 + 84 0045 02 .uleb128 0x2 + 85 0046 04 .byte 0x4 + 86 0047 05 .byte 0x5 + 87 0048 38010000 .4byte .LASF4 + 88 004c 02 .uleb128 0x2 + 89 004d 04 .byte 0x4 + 90 004e 07 .byte 0x7 + 91 004f 55000000 .4byte .LASF5 + 92 0053 02 .uleb128 0x2 + 93 0054 08 .byte 0x8 + 94 0055 05 .byte 0x5 + 95 0056 AC000000 .4byte .LASF6 + 96 005a 02 .uleb128 0x2 + 97 005b 08 .byte 0x8 + 98 005c 07 .byte 0x7 + 99 005d 95000000 .4byte .LASF7 + 100 0061 03 .uleb128 0x3 + 101 0062 04 .byte 0x4 + 102 0063 05 .byte 0x5 + 103 0064 696E7400 .ascii "int\000" + 104 0068 02 .uleb128 0x2 + 105 0069 04 .byte 0x4 + 106 006a 07 .byte 0x7 + 107 006b 88000000 .4byte .LASF8 + 108 006f 04 .uleb128 0x4 + 109 0070 C9000000 .4byte .LASF9 + 110 0074 02 .byte 0x2 + 111 0075 5B .byte 0x5b + 112 0076 30000000 .4byte 0x30 + 113 007a 04 .uleb128 0x4 + 114 007b 81000000 .4byte .LASF10 + 115 007f 02 .byte 0x2 + 116 0080 5D .byte 0x5d + 117 0081 4C000000 .4byte 0x4c + 118 0085 02 .uleb128 0x2 + 119 0086 04 .byte 0x4 + 120 0087 04 .byte 0x4 + 121 0088 39000000 .4byte .LASF11 + 122 008c 02 .uleb128 0x2 + 123 008d 08 .byte 0x8 + 124 008e 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 4 + + + 125 008f 7A000000 .4byte .LASF12 + 126 0093 02 .uleb128 0x2 + 127 0094 01 .byte 0x1 + 128 0095 08 .byte 0x8 + 129 0096 BA000000 .4byte .LASF13 + 130 009a 05 .uleb128 0x5 + 131 009b 6F000000 .4byte 0x6f + 132 009f 05 .uleb128 0x5 + 133 00a0 7A000000 .4byte 0x7a + 134 00a4 06 .uleb128 0x6 + 135 00a5 01 .byte 0x1 + 136 00a6 29000000 .4byte .LASF19 + 137 00aa 01 .byte 0x1 + 138 00ab 25 .byte 0x25 + 139 00ac 01 .byte 0x1 + 140 00ad 00000000 .4byte .LFB0 + 141 00b1 12000000 .4byte .LFE0 + 142 00b5 02 .byte 0x2 + 143 00b6 7D .byte 0x7d + 144 00b7 00 .sleb128 0 + 145 00b8 01 .byte 0x1 + 146 00b9 E7000000 .4byte 0xe7 + 147 00bd 07 .uleb128 0x7 + 148 00be 34000000 .4byte .LASF14 + 149 00c2 01 .byte 0x1 + 150 00c3 25 .byte 0x25 + 151 00c4 E7000000 .4byte 0xe7 + 152 00c8 01 .byte 0x1 + 153 00c9 50 .byte 0x50 + 154 00ca 08 .uleb128 0x8 + 155 00cb 00000000 .4byte .LASF15 + 156 00cf 01 .byte 0x1 + 157 00d0 25 .byte 0x25 + 158 00d1 7A000000 .4byte 0x7a + 159 00d5 00000000 .4byte .LLST0 + 160 00d9 09 .uleb128 0x9 + 161 00da 4D000000 .4byte .LASF20 + 162 00de 01 .byte 0x1 + 163 00df 27 .byte 0x27 + 164 00e0 ED000000 .4byte 0xed + 165 00e4 01 .byte 0x1 + 166 00e5 50 .byte 0x50 + 167 00e6 00 .byte 0 + 168 00e7 0A .uleb128 0xa + 169 00e8 04 .byte 0x4 + 170 00e9 9F000000 .4byte 0x9f + 171 00ed 0A .uleb128 0xa + 172 00ee 04 .byte 0x4 + 173 00ef 9A000000 .4byte 0x9a + 174 00f3 00 .byte 0 + 175 .section .debug_abbrev,"",%progbits + 176 .Ldebug_abbrev0: + 177 0000 01 .uleb128 0x1 + 178 0001 11 .uleb128 0x11 + 179 0002 01 .byte 0x1 + 180 0003 25 .uleb128 0x25 + 181 0004 0E .uleb128 0xe + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 5 + + + 182 0005 13 .uleb128 0x13 + 183 0006 0B .uleb128 0xb + 184 0007 03 .uleb128 0x3 + 185 0008 0E .uleb128 0xe + 186 0009 1B .uleb128 0x1b + 187 000a 0E .uleb128 0xe + 188 000b 55 .uleb128 0x55 + 189 000c 06 .uleb128 0x6 + 190 000d 11 .uleb128 0x11 + 191 000e 01 .uleb128 0x1 + 192 000f 52 .uleb128 0x52 + 193 0010 01 .uleb128 0x1 + 194 0011 10 .uleb128 0x10 + 195 0012 06 .uleb128 0x6 + 196 0013 00 .byte 0 + 197 0014 00 .byte 0 + 198 0015 02 .uleb128 0x2 + 199 0016 24 .uleb128 0x24 + 200 0017 00 .byte 0 + 201 0018 0B .uleb128 0xb + 202 0019 0B .uleb128 0xb + 203 001a 3E .uleb128 0x3e + 204 001b 0B .uleb128 0xb + 205 001c 03 .uleb128 0x3 + 206 001d 0E .uleb128 0xe + 207 001e 00 .byte 0 + 208 001f 00 .byte 0 + 209 0020 03 .uleb128 0x3 + 210 0021 24 .uleb128 0x24 + 211 0022 00 .byte 0 + 212 0023 0B .uleb128 0xb + 213 0024 0B .uleb128 0xb + 214 0025 3E .uleb128 0x3e + 215 0026 0B .uleb128 0xb + 216 0027 03 .uleb128 0x3 + 217 0028 08 .uleb128 0x8 + 218 0029 00 .byte 0 + 219 002a 00 .byte 0 + 220 002b 04 .uleb128 0x4 + 221 002c 16 .uleb128 0x16 + 222 002d 00 .byte 0 + 223 002e 03 .uleb128 0x3 + 224 002f 0E .uleb128 0xe + 225 0030 3A .uleb128 0x3a + 226 0031 0B .uleb128 0xb + 227 0032 3B .uleb128 0x3b + 228 0033 0B .uleb128 0xb + 229 0034 49 .uleb128 0x49 + 230 0035 13 .uleb128 0x13 + 231 0036 00 .byte 0 + 232 0037 00 .byte 0 + 233 0038 05 .uleb128 0x5 + 234 0039 35 .uleb128 0x35 + 235 003a 00 .byte 0 + 236 003b 49 .uleb128 0x49 + 237 003c 13 .uleb128 0x13 + 238 003d 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 6 + + + 239 003e 00 .byte 0 + 240 003f 06 .uleb128 0x6 + 241 0040 2E .uleb128 0x2e + 242 0041 01 .byte 0x1 + 243 0042 3F .uleb128 0x3f + 244 0043 0C .uleb128 0xc + 245 0044 03 .uleb128 0x3 + 246 0045 0E .uleb128 0xe + 247 0046 3A .uleb128 0x3a + 248 0047 0B .uleb128 0xb + 249 0048 3B .uleb128 0x3b + 250 0049 0B .uleb128 0xb + 251 004a 27 .uleb128 0x27 + 252 004b 0C .uleb128 0xc + 253 004c 11 .uleb128 0x11 + 254 004d 01 .uleb128 0x1 + 255 004e 12 .uleb128 0x12 + 256 004f 01 .uleb128 0x1 + 257 0050 40 .uleb128 0x40 + 258 0051 0A .uleb128 0xa + 259 0052 9742 .uleb128 0x2117 + 260 0054 0C .uleb128 0xc + 261 0055 01 .uleb128 0x1 + 262 0056 13 .uleb128 0x13 + 263 0057 00 .byte 0 + 264 0058 00 .byte 0 + 265 0059 07 .uleb128 0x7 + 266 005a 05 .uleb128 0x5 + 267 005b 00 .byte 0 + 268 005c 03 .uleb128 0x3 + 269 005d 0E .uleb128 0xe + 270 005e 3A .uleb128 0x3a + 271 005f 0B .uleb128 0xb + 272 0060 3B .uleb128 0x3b + 273 0061 0B .uleb128 0xb + 274 0062 49 .uleb128 0x49 + 275 0063 13 .uleb128 0x13 + 276 0064 02 .uleb128 0x2 + 277 0065 0A .uleb128 0xa + 278 0066 00 .byte 0 + 279 0067 00 .byte 0 + 280 0068 08 .uleb128 0x8 + 281 0069 05 .uleb128 0x5 + 282 006a 00 .byte 0 + 283 006b 03 .uleb128 0x3 + 284 006c 0E .uleb128 0xe + 285 006d 3A .uleb128 0x3a + 286 006e 0B .uleb128 0xb + 287 006f 3B .uleb128 0x3b + 288 0070 0B .uleb128 0xb + 289 0071 49 .uleb128 0x49 + 290 0072 13 .uleb128 0x13 + 291 0073 02 .uleb128 0x2 + 292 0074 06 .uleb128 0x6 + 293 0075 00 .byte 0 + 294 0076 00 .byte 0 + 295 0077 09 .uleb128 0x9 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 7 + + + 296 0078 34 .uleb128 0x34 + 297 0079 00 .byte 0 + 298 007a 03 .uleb128 0x3 + 299 007b 0E .uleb128 0xe + 300 007c 3A .uleb128 0x3a + 301 007d 0B .uleb128 0xb + 302 007e 3B .uleb128 0x3b + 303 007f 0B .uleb128 0xb + 304 0080 49 .uleb128 0x49 + 305 0081 13 .uleb128 0x13 + 306 0082 02 .uleb128 0x2 + 307 0083 0A .uleb128 0xa + 308 0084 00 .byte 0 + 309 0085 00 .byte 0 + 310 0086 0A .uleb128 0xa + 311 0087 0F .uleb128 0xf + 312 0088 00 .byte 0 + 313 0089 0B .uleb128 0xb + 314 008a 0B .uleb128 0xb + 315 008b 49 .uleb128 0x49 + 316 008c 13 .uleb128 0x13 + 317 008d 00 .byte 0 + 318 008e 00 .byte 0 + 319 008f 00 .byte 0 + 320 .section .debug_loc,"",%progbits + 321 .Ldebug_loc0: + 322 .LLST0: + 323 0000 00000000 .4byte .LVL0 + 324 0004 0A000000 .4byte .LVL1 + 325 0008 0100 .2byte 0x1 + 326 000a 51 .byte 0x51 + 327 000b 0A000000 .4byte .LVL1 + 328 000f 12000000 .4byte .LFE0 + 329 0013 0400 .2byte 0x4 + 330 0015 F3 .byte 0xf3 + 331 0016 01 .uleb128 0x1 + 332 0017 51 .byte 0x51 + 333 0018 9F .byte 0x9f + 334 0019 00000000 .4byte 0 + 335 001d 00000000 .4byte 0 + 336 .section .debug_aranges,"",%progbits + 337 0000 1C000000 .4byte 0x1c + 338 0004 0200 .2byte 0x2 + 339 0006 00000000 .4byte .Ldebug_info0 + 340 000a 04 .byte 0x4 + 341 000b 00 .byte 0 + 342 000c 0000 .2byte 0 + 343 000e 0000 .2byte 0 + 344 0010 00000000 .4byte .LFB0 + 345 0014 12000000 .4byte .LFE0-.LFB0 + 346 0018 00000000 .4byte 0 + 347 001c 00000000 .4byte 0 + 348 .section .debug_ranges,"",%progbits + 349 .Ldebug_ranges0: + 350 0000 00000000 .4byte .LFB0 + 351 0004 12000000 .4byte .LFE0 + 352 0008 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 8 + + + 353 000c 00000000 .4byte 0 + 354 .section .debug_line,"",%progbits + 355 .Ldebug_line0: + 356 0000 61000000 .section .debug_str,"MS",%progbits,1 + 356 02004600 + 356 00000201 + 356 FB0E0D00 + 356 01010101 + 357 .LASF15: + 358 0000 76616C75 .ascii "value\000" + 358 6500 + 359 .LASF17: + 360 0006 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\cyutils.c\000" + 360 6E657261 + 360 7465645F + 360 536F7572 + 360 63655C50 + 361 .LASF19: + 362 0029 43795365 .ascii "CySetReg24\000" + 362 74526567 + 362 323400 + 363 .LASF14: + 364 0034 61646472 .ascii "addr\000" + 364 00 + 365 .LASF11: + 366 0039 666C6F61 .ascii "float\000" + 366 7400 + 367 .LASF1: + 368 003f 756E7369 .ascii "unsigned char\000" + 368 676E6564 + 368 20636861 + 368 7200 + 369 .LASF20: + 370 004d 746D7041 .ascii "tmpAddr\000" + 370 64647200 + 371 .LASF5: + 372 0055 6C6F6E67 .ascii "long unsigned int\000" + 372 20756E73 + 372 69676E65 + 372 6420696E + 372 7400 + 373 .LASF3: + 374 0067 73686F72 .ascii "short unsigned int\000" + 374 7420756E + 374 7369676E + 374 65642069 + 374 6E7400 + 375 .LASF12: + 376 007a 646F7562 .ascii "double\000" + 376 6C6500 + 377 .LASF10: + 378 0081 75696E74 .ascii "uint32\000" + 378 333200 + 379 .LASF8: + 380 0088 756E7369 .ascii "unsigned int\000" + 380 676E6564 + 380 20696E74 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccAHBH8x.s page 9 + + + 380 00 + 381 .LASF7: + 382 0095 6C6F6E67 .ascii "long long unsigned int\000" + 382 206C6F6E + 382 6720756E + 382 7369676E + 382 65642069 + 383 .LASF6: + 384 00ac 6C6F6E67 .ascii "long long int\000" + 384 206C6F6E + 384 6720696E + 384 7400 + 385 .LASF13: + 386 00ba 63686172 .ascii "char\000" + 386 00 + 387 .LASF2: + 388 00bf 73686F72 .ascii "short int\000" + 388 7420696E + 388 7400 + 389 .LASF9: + 390 00c9 75696E74 .ascii "uint8\000" + 390 3800 + 391 .LASF16: + 392 00cf 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 392 4320342E + 392 372E3320 + 392 32303133 + 392 30333132 + 393 0102 616E6368 .ascii "anch revision 196615]\000" + 393 20726576 + 393 6973696F + 393 6E203139 + 393 36363135 + 394 .LASF18: + 395 0118 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 395 43534932 + 395 53445C55 + 395 53425F42 + 395 6F6F746C + 396 .LASF4: + 397 0138 6C6F6E67 .ascii "long int\000" + 397 20696E74 + 397 00 + 398 .LASF0: + 399 0141 7369676E .ascii "signed char\000" + 399 65642063 + 399 68617200 + 400 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.o new file mode 100755 index 0000000000000000000000000000000000000000..834bbfb90e7163b6a5b4a5f8752e53efc6d748a9 GIT binary patch literal 2980 zcmb_e&2Jk;6rb5$$4Q)~P5PBmgf>;8rc%4jSHFU2aZDR3HIUW>keVu5dpC)}@tWOr zMW7Y*)&mkq6p*-Ze&d;V(+c&%lV*PJYu=lA z^E~U<&R#etr4)vw7!w^yh_#%u2==6EaZS3?cZ6j`}g-Rb`8i&at97=jfg_wG?dt~W)acZBkcZ} z!lQ-rJF%H#g3#s3GktCC!M*`GC?D^AZ?;dulFw~=TfIVbXGCP^nciaU-HwEerwH!2 zy^92%&=Gg!MQ&Nq!w8|g+fOuRJ;IJwGhkIKEnM-LAVTNXlI_3N!r{8zlC zPPo!WIZQ8wtCQ2h4}w^%)FVF?twvH?ZG?eaUGrm+t~ZOc)uYC$yJ=$MBx_NeZdC=+K^PpFUOsW868nwnnj42#Ye_9?xD%(2AD=jOMLajNR4$dzPnMrp zS}e~l&qh&-0|#O3RX2j9(cU8SX3sC?N~IZhjGK5Sit35G62&f3x@UDtcOj0dVUk2~ za_IlqWpNVM>W6-%Cgd1zxP&r?FCUqo5?#Id`5tS~*(G<&z4nmYCm)iJI0x7WeHeE^ zQdrme`?e_=Dn+URy<}rO^((?l(zuOlhC}pJc!8h#!mA{S@Tl-I&EcWgUJzDVtIM^< zN(5iU`;`i6EHc)5RLzP$E@CxAz|Q*nHT7Bp&DxHL9SN@*t*?i;1rJsB{4|Ygl~%en z%1Z37hvs+>#QpGKjrf3)+V5_g=iL4Q3o#6yem0e$d;Mr59mL?9_8`B*@VPf{_AxMd zi`oyMh|j~{nkSgNkAd&W=u^B&zd+uD!B2{V!F{Rs6=-M%0p2nK(8OEL@HBr9!!Q|5 z#_uxZY~Bk6AzbK~m-$TI26(dutoR**OGcBiy#P5Iua0;-pkq8fvnJjR@MaBIQ9!}y zXUN#DLy?VlEfbHw3lr~c@MaBT>_@z87a7}|uw~=Dfp|P8_lJ+rW;}icxQ5?M((8yf zZ-PK3%khy$#org?akA-wf2^s(4Ngm*%3HyhD=q=`u3kN<6w)tVHMiy#ycuob!Jv(XLZO{ospGy zC=Kh;CRV&dwzya3Ep*66MX6y;SldBXxAWy5NySJYGEX$$HVaM4U0K_NT1(um<$XjH zWRwV}!&=Y&qTh_hqQ)mRKCSUtjaM|jsFBUe^{;BYsgb`S>fhD)p~g>$DE+4zztsBM q8h<9DfqvC8K>73bxQ=&9+^$ib8&}6VQ%@oq|FV{M5wU1U%l`u3t6D?= literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/library.deps b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/library.deps new file mode 100755 index 0000000..c012720 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/library.deps @@ -0,0 +1 @@ +W:\SCSI2SD\USB_Bootloader.cydsn\CortexM3\ARM_GCC_473\Release\USB_Bootloader.a : .\CortexM3\ARM_GCC_473\Release\cyfitter_cfg.o .\CortexM3\ARM_GCC_473\Release\USBFS.o .\CortexM3\ARM_GCC_473\Release\USBFS_audio.o .\CortexM3\ARM_GCC_473\Release\USBFS_boot.o .\CortexM3\ARM_GCC_473\Release\USBFS_cdc.o .\CortexM3\ARM_GCC_473\Release\USBFS_cls.o .\CortexM3\ARM_GCC_473\Release\USBFS_descr.o .\CortexM3\ARM_GCC_473\Release\USBFS_drv.o .\CortexM3\ARM_GCC_473\Release\USBFS_episr.o .\CortexM3\ARM_GCC_473\Release\USBFS_hid.o .\CortexM3\ARM_GCC_473\Release\USBFS_pm.o .\CortexM3\ARM_GCC_473\Release\USBFS_std.o .\CortexM3\ARM_GCC_473\Release\USBFS_vnd.o .\CortexM3\ARM_GCC_473\Release\USBFS_midi.o .\CortexM3\ARM_GCC_473\Release\BL.o .\CortexM3\ARM_GCC_473\Release\USBFS_Dm.o .\CortexM3\ARM_GCC_473\Release\USBFS_Dp.o .\CortexM3\ARM_GCC_473\Release\CyBootAsmGnu.o .\CortexM3\ARM_GCC_473\Release\CyDmac.o .\CortexM3\ARM_GCC_473\Release\CyFlash.o .\CortexM3\ARM_GCC_473\Release\CyLib.o .\CortexM3\ARM_GCC_473\Release\cyPm.o .\CortexM3\ARM_GCC_473\Release\CySpc.o .\CortexM3\ARM_GCC_473\Release\cyutils.o diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.lst new file mode 100755 index 0000000..d9cb371 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.lst @@ -0,0 +1,668 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "main.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.startup.main,"ax",%progbits + 19 .align 1 + 20 .global main + 21 .thumb + 22 .thumb_func + 23 .type main, %function + 24 main: + 25 .LFB57: + 26 .file 1 ".\\main.c" + 1:.\main.c **** // Copyright (C) 2013 Michael McMaster + 2:.\main.c **** // + 3:.\main.c **** // This file is part of SCSI2SD. + 4:.\main.c **** // + 5:.\main.c **** // SCSI2SD is free software: you can redistribute it and/or modify + 6:.\main.c **** // it under the terms of the GNU General Public License as published by + 7:.\main.c **** // the Free Software Foundation, either version 3 of the License, or + 8:.\main.c **** // (at your option) any later version. + 9:.\main.c **** // + 10:.\main.c **** // SCSI2SD is distributed in the hope that it will be useful, + 11:.\main.c **** // but WITHOUT ANY WARRANTY; without even the implied warranty of + 12:.\main.c **** // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + 13:.\main.c **** // GNU General Public License for more details. + 14:.\main.c **** // + 15:.\main.c **** // You should have received a copy of the GNU General Public License + 16:.\main.c **** // along with SCSI2SD. If not, see . + 17:.\main.c **** #include + 18:.\main.c **** + 19:.\main.c **** static void resetSCSI() + 20:.\main.c **** { + 21:.\main.c **** CyPins_ClearPin(SCSI_Out_IO_raw); + 22:.\main.c **** CyPins_ClearPin(SCSI_Out_ATN); + 23:.\main.c **** CyPins_ClearPin(SCSI_Out_BSY); + 24:.\main.c **** CyPins_ClearPin(SCSI_Out_ACK); + 25:.\main.c **** CyPins_ClearPin(SCSI_Out_RST); + 26:.\main.c **** CyPins_ClearPin(SCSI_Out_SEL); + 27:.\main.c **** CyPins_ClearPin(SCSI_Out_REQ); + 28:.\main.c **** CyPins_ClearPin(SCSI_Out_MSG); + 29:.\main.c **** CyPins_ClearPin(SCSI_Out_CD); + 30:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB0); + 31:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB1); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 2 + + + 32:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB2); + 33:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB3); + 34:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB4); + 35:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB5); + 36:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB6); + 37:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB7); + 38:.\main.c **** CyPins_ClearPin(SCSI_Out_DBP_raw); + 39:.\main.c **** } + 40:.\main.c **** + 41:.\main.c **** void main() + 42:.\main.c **** { + 27 .loc 1 42 0 + 28 .cfi_startproc + 29 @ Volatile: function does not return. + 30 @ args = 0, pretend = 0, frame = 0 + 31 @ frame_needed = 0, uses_anonymous_args = 0 + 32 0000 08B5 push {r3, lr} + 33 .LCFI0: + 34 .cfi_def_cfa_offset 8 + 35 .cfi_offset 3, -8 + 36 .cfi_offset 14, -4 + 37 .LBB4: + 38 .LBB5: + 21:.\main.c **** CyPins_ClearPin(SCSI_Out_IO_raw); + 39 .loc 1 21 0 + 40 0002 364B ldr r3, .L4 + 41 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 42 0006 02F0FE00 and r0, r2, #254 + 43 000a 1870 strb r0, [r3, #0] + 22:.\main.c **** CyPins_ClearPin(SCSI_Out_ATN); + 44 .loc 1 22 0 + 45 000c 93F82210 ldrb r1, [r3, #34] @ zero_extendqisi2 + 46 0010 01F0FE02 and r2, r1, #254 + 47 0014 83F82220 strb r2, [r3, #34] + 23:.\main.c **** CyPins_ClearPin(SCSI_Out_BSY); + 48 .loc 1 23 0 + 49 0018 0733 adds r3, r3, #7 + 50 001a 1878 ldrb r0, [r3, #0] @ zero_extendqisi2 + 51 001c 00F0FE01 and r1, r0, #254 + 52 0020 1970 strb r1, [r3, #0] + 24:.\main.c **** CyPins_ClearPin(SCSI_Out_ACK); + 53 .loc 1 24 0 + 54 0022 13F8012C ldrb r2, [r3, #-1] @ zero_extendqisi2 + 55 0026 02F0FE00 and r0, r2, #254 + 56 002a 03F8010C strb r0, [r3, #-1] + 25:.\main.c **** CyPins_ClearPin(SCSI_Out_RST); + 57 .loc 1 25 0 + 58 002e 13F8021C ldrb r1, [r3, #-2] @ zero_extendqisi2 + 59 0032 01F0FE02 and r2, r1, #254 + 60 0036 03F8022C strb r2, [r3, #-2] + 26:.\main.c **** CyPins_ClearPin(SCSI_Out_SEL); + 61 .loc 1 26 0 + 62 003a 13F8040C ldrb r0, [r3, #-4] @ zero_extendqisi2 + 63 003e 00F0FE01 and r1, r0, #254 + 64 0042 03F8041C strb r1, [r3, #-4] + 27:.\main.c **** CyPins_ClearPin(SCSI_Out_REQ); + 65 .loc 1 27 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 3 + + + 66 0046 13F8062C ldrb r2, [r3, #-6] @ zero_extendqisi2 + 67 004a 02F0FE00 and r0, r2, #254 + 68 004e 03F8060C strb r0, [r3, #-6] + 28:.\main.c **** CyPins_ClearPin(SCSI_Out_MSG); + 69 .loc 1 28 0 + 70 0052 13F8031C ldrb r1, [r3, #-3] @ zero_extendqisi2 + 71 0056 01F0FE02 and r2, r1, #254 + 72 005a 03F8032C strb r2, [r3, #-3] + 29:.\main.c **** CyPins_ClearPin(SCSI_Out_CD); + 73 .loc 1 29 0 + 74 005e 13F8050C ldrb r0, [r3, #-5] @ zero_extendqisi2 + 75 0062 00F0FE01 and r1, r0, #254 + 76 0066 03F8051C strb r1, [r3, #-5] + 30:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB0); + 77 .loc 1 30 0 + 78 006a 93F82C20 ldrb r2, [r3, #44] @ zero_extendqisi2 + 79 006e 02F0FE00 and r0, r2, #254 + 80 0072 83F82C00 strb r0, [r3, #44] + 31:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB1); + 81 .loc 1 31 0 + 82 0076 2B33 adds r3, r3, #43 + 83 0078 1978 ldrb r1, [r3, #0] @ zero_extendqisi2 + 84 007a 01F0FE02 and r2, r1, #254 + 85 007e 1A70 strb r2, [r3, #0] + 32:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB2); + 86 .loc 1 32 0 + 87 0080 13F8010C ldrb r0, [r3, #-1] @ zero_extendqisi2 + 88 0084 00F0FE01 and r1, r0, #254 + 89 0088 03F8011C strb r1, [r3, #-1] + 33:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB3); + 90 .loc 1 33 0 + 91 008c 13F8022C ldrb r2, [r3, #-2] @ zero_extendqisi2 + 92 0090 02F0FE00 and r0, r2, #254 + 93 0094 03F8020C strb r0, [r3, #-2] + 34:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB4); + 94 .loc 1 34 0 + 95 0098 13F80B1C ldrb r1, [r3, #-11] @ zero_extendqisi2 + 96 009c 01F0FE02 and r2, r1, #254 + 97 00a0 03F80B2C strb r2, [r3, #-11] + 35:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB5); + 98 .loc 1 35 0 + 99 00a4 13F80C0C ldrb r0, [r3, #-12] @ zero_extendqisi2 + 100 00a8 00F0FE01 and r1, r0, #254 + 101 00ac 03F80C1C strb r1, [r3, #-12] + 36:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB6); + 102 .loc 1 36 0 + 103 00b0 13F80D2C ldrb r2, [r3, #-13] @ zero_extendqisi2 + 104 00b4 02F0FE00 and r0, r2, #254 + 105 00b8 03F80D0C strb r0, [r3, #-13] + 37:.\main.c **** CyPins_ClearPin(SCSI_Out_DBx_DB7); + 106 .loc 1 37 0 + 107 00bc 13F80E1C ldrb r1, [r3, #-14] @ zero_extendqisi2 + 108 00c0 01F0FE02 and r2, r1, #254 + 109 00c4 03F80E2C strb r2, [r3, #-14] + 38:.\main.c **** CyPins_ClearPin(SCSI_Out_DBP_raw); + 110 .loc 1 38 0 + 111 00c8 13F80F0C ldrb r0, [r3, #-15] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 4 + + + 112 00cc 00F0FE01 and r1, r0, #254 + 113 00d0 03F80F1C strb r1, [r3, #-15] + 114 .LBE5: + 115 .LBE4: + 43:.\main.c **** resetSCSI(); + 44:.\main.c **** + 45:.\main.c **** // The call to the bootloader should not return + 46:.\main.c **** CyBtldr_Start(); + 116 .loc 1 46 0 + 117 00d4 FFF7FEFF bl BL_Start + 118 .LVL0: + 119 .L2: + 120 00d8 FEE7 b .L2 + 121 .L5: + 122 00da 00BF .align 2 + 123 .L4: + 124 00dc 00500040 .word 1073762304 + 125 .cfi_endproc + 126 .LFE57: + 127 .size main, .-main + 128 .text + 129 .Letext0: + 130 .file 2 "c:\\program files (x86)\\cypress\\psoc creator\\3.0\\psoc creator\\import\\gnu_cs\\arm\\4 + 131 .file 3 "./Generated_Source/PSoC5/cytypes.h" + 132 .file 4 "./Generated_Source/PSoC5/core_cm3.h" + 133 .file 5 "./Generated_Source/PSoC5/BL.h" + 134 .section .debug_info,"",%progbits + 135 .Ldebug_info0: + 136 0000 05010000 .4byte 0x105 + 137 0004 0200 .2byte 0x2 + 138 0006 00000000 .4byte .Ldebug_abbrev0 + 139 000a 04 .byte 0x4 + 140 000b 01 .uleb128 0x1 + 141 000c 33000000 .4byte .LASF16 + 142 0010 01 .byte 0x1 + 143 0011 C3000000 .4byte .LASF17 + 144 0015 17010000 .4byte .LASF18 + 145 0019 00000000 .4byte .Ldebug_ranges0+0 + 146 001d 00000000 .4byte 0 + 147 0021 00000000 .4byte 0 + 148 0025 00000000 .4byte .Ldebug_line0 + 149 0029 02 .uleb128 0x2 + 150 002a 01 .byte 0x1 + 151 002b 06 .byte 0x6 + 152 002c E9000000 .4byte .LASF0 + 153 0030 02 .uleb128 0x2 + 154 0031 01 .byte 0x1 + 155 0032 08 .byte 0x8 + 156 0033 AB000000 .4byte .LASF1 + 157 0037 02 .uleb128 0x2 + 158 0038 02 .byte 0x2 + 159 0039 05 .byte 0x5 + 160 003a 05010000 .4byte .LASF2 + 161 003e 02 .uleb128 0x2 + 162 003f 02 .byte 0x2 + 163 0040 07 .byte 0x7 + 164 0041 0E000000 .4byte .LASF3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 5 + + + 165 0045 03 .uleb128 0x3 + 166 0046 0F010000 .4byte .LASF9 + 167 004a 02 .byte 0x2 + 168 004b 4F .byte 0x4f + 169 004c 50000000 .4byte 0x50 + 170 0050 02 .uleb128 0x2 + 171 0051 04 .byte 0x4 + 172 0052 05 .byte 0x5 + 173 0053 D3000000 .4byte .LASF4 + 174 0057 02 .uleb128 0x2 + 175 0058 04 .byte 0x4 + 176 0059 07 .byte 0x7 + 177 005a 82000000 .4byte .LASF5 + 178 005e 02 .uleb128 0x2 + 179 005f 08 .byte 0x8 + 180 0060 05 .byte 0x5 + 181 0061 00000000 .4byte .LASF6 + 182 0065 02 .uleb128 0x2 + 183 0066 08 .byte 0x8 + 184 0067 07 .byte 0x7 + 185 0068 94000000 .4byte .LASF7 + 186 006c 04 .uleb128 0x4 + 187 006d 04 .byte 0x4 + 188 006e 05 .byte 0x5 + 189 006f 696E7400 .ascii "int\000" + 190 0073 02 .uleb128 0x2 + 191 0074 04 .byte 0x4 + 192 0075 07 .byte 0x7 + 193 0076 21000000 .4byte .LASF8 + 194 007a 03 .uleb128 0x3 + 195 007b 7C000000 .4byte .LASF10 + 196 007f 03 .byte 0x3 + 197 0080 5B .byte 0x5b + 198 0081 30000000 .4byte 0x30 + 199 0085 02 .uleb128 0x2 + 200 0086 04 .byte 0x4 + 201 0087 04 .byte 0x4 + 202 0088 FF000000 .4byte .LASF11 + 203 008c 02 .uleb128 0x2 + 204 008d 08 .byte 0x8 + 205 008e 04 .byte 0x4 + 206 008f CC000000 .4byte .LASF12 + 207 0093 02 .uleb128 0x2 + 208 0094 01 .byte 0x1 + 209 0095 08 .byte 0x8 + 210 0096 B9000000 .4byte .LASF13 + 211 009a 03 .uleb128 0x3 + 212 009b BE000000 .4byte .LASF14 + 213 009f 03 .byte 0x3 + 214 00a0 F0 .byte 0xf0 + 215 00a1 A5000000 .4byte 0xa5 + 216 00a5 05 .uleb128 0x5 + 217 00a6 7A000000 .4byte 0x7a + 218 00aa 02 .uleb128 0x2 + 219 00ab 04 .byte 0x4 + 220 00ac 07 .byte 0x7 + 221 00ad 40010000 .4byte .LASF15 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 6 + + + 222 00b1 06 .uleb128 0x6 + 223 00b2 F5000000 .4byte .LASF19 + 224 00b6 01 .byte 0x1 + 225 00b7 13 .byte 0x13 + 226 00b8 01 .byte 0x1 + 227 00b9 07 .uleb128 0x7 + 228 00ba 01 .byte 0x1 + 229 00bb 2E000000 .4byte .LASF20 + 230 00bf 01 .byte 0x1 + 231 00c0 29 .byte 0x29 + 232 00c1 00000000 .4byte .LFB57 + 233 00c5 E0000000 .4byte .LFE57 + 234 00c9 00000000 .4byte .LLST0 + 235 00cd 01 .byte 0x1 + 236 00ce EB000000 .4byte 0xeb + 237 00d2 08 .uleb128 0x8 + 238 00d3 B1000000 .4byte 0xb1 + 239 00d7 02000000 .4byte .LBB4 + 240 00db D4000000 .4byte .LBE4 + 241 00df 01 .byte 0x1 + 242 00e0 2B .byte 0x2b + 243 00e1 09 .uleb128 0x9 + 244 00e2 D8000000 .4byte .LVL0 + 245 00e6 FE000000 .4byte 0xfe + 246 00ea 00 .byte 0 + 247 00eb 0A .uleb128 0xa + 248 00ec DC000000 .4byte .LASF21 + 249 00f0 04 .byte 0x4 + 250 00f1 1606 .2byte 0x616 + 251 00f3 F9000000 .4byte 0xf9 + 252 00f7 01 .byte 0x1 + 253 00f8 01 .byte 0x1 + 254 00f9 05 .uleb128 0x5 + 255 00fa 45000000 .4byte 0x45 + 256 00fe 0B .uleb128 0xb + 257 00ff 01 .byte 0x1 + 258 0100 37010000 .4byte .LASF22 + 259 0104 05 .byte 0x5 + 260 0105 93 .byte 0x93 + 261 0106 01 .byte 0x1 + 262 0107 01 .byte 0x1 + 263 0108 00 .byte 0 + 264 .section .debug_abbrev,"",%progbits + 265 .Ldebug_abbrev0: + 266 0000 01 .uleb128 0x1 + 267 0001 11 .uleb128 0x11 + 268 0002 01 .byte 0x1 + 269 0003 25 .uleb128 0x25 + 270 0004 0E .uleb128 0xe + 271 0005 13 .uleb128 0x13 + 272 0006 0B .uleb128 0xb + 273 0007 03 .uleb128 0x3 + 274 0008 0E .uleb128 0xe + 275 0009 1B .uleb128 0x1b + 276 000a 0E .uleb128 0xe + 277 000b 55 .uleb128 0x55 + 278 000c 06 .uleb128 0x6 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 7 + + + 279 000d 11 .uleb128 0x11 + 280 000e 01 .uleb128 0x1 + 281 000f 52 .uleb128 0x52 + 282 0010 01 .uleb128 0x1 + 283 0011 10 .uleb128 0x10 + 284 0012 06 .uleb128 0x6 + 285 0013 00 .byte 0 + 286 0014 00 .byte 0 + 287 0015 02 .uleb128 0x2 + 288 0016 24 .uleb128 0x24 + 289 0017 00 .byte 0 + 290 0018 0B .uleb128 0xb + 291 0019 0B .uleb128 0xb + 292 001a 3E .uleb128 0x3e + 293 001b 0B .uleb128 0xb + 294 001c 03 .uleb128 0x3 + 295 001d 0E .uleb128 0xe + 296 001e 00 .byte 0 + 297 001f 00 .byte 0 + 298 0020 03 .uleb128 0x3 + 299 0021 16 .uleb128 0x16 + 300 0022 00 .byte 0 + 301 0023 03 .uleb128 0x3 + 302 0024 0E .uleb128 0xe + 303 0025 3A .uleb128 0x3a + 304 0026 0B .uleb128 0xb + 305 0027 3B .uleb128 0x3b + 306 0028 0B .uleb128 0xb + 307 0029 49 .uleb128 0x49 + 308 002a 13 .uleb128 0x13 + 309 002b 00 .byte 0 + 310 002c 00 .byte 0 + 311 002d 04 .uleb128 0x4 + 312 002e 24 .uleb128 0x24 + 313 002f 00 .byte 0 + 314 0030 0B .uleb128 0xb + 315 0031 0B .uleb128 0xb + 316 0032 3E .uleb128 0x3e + 317 0033 0B .uleb128 0xb + 318 0034 03 .uleb128 0x3 + 319 0035 08 .uleb128 0x8 + 320 0036 00 .byte 0 + 321 0037 00 .byte 0 + 322 0038 05 .uleb128 0x5 + 323 0039 35 .uleb128 0x35 + 324 003a 00 .byte 0 + 325 003b 49 .uleb128 0x49 + 326 003c 13 .uleb128 0x13 + 327 003d 00 .byte 0 + 328 003e 00 .byte 0 + 329 003f 06 .uleb128 0x6 + 330 0040 2E .uleb128 0x2e + 331 0041 00 .byte 0 + 332 0042 03 .uleb128 0x3 + 333 0043 0E .uleb128 0xe + 334 0044 3A .uleb128 0x3a + 335 0045 0B .uleb128 0xb + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 8 + + + 336 0046 3B .uleb128 0x3b + 337 0047 0B .uleb128 0xb + 338 0048 20 .uleb128 0x20 + 339 0049 0B .uleb128 0xb + 340 004a 00 .byte 0 + 341 004b 00 .byte 0 + 342 004c 07 .uleb128 0x7 + 343 004d 2E .uleb128 0x2e + 344 004e 01 .byte 0x1 + 345 004f 3F .uleb128 0x3f + 346 0050 0C .uleb128 0xc + 347 0051 03 .uleb128 0x3 + 348 0052 0E .uleb128 0xe + 349 0053 3A .uleb128 0x3a + 350 0054 0B .uleb128 0xb + 351 0055 3B .uleb128 0x3b + 352 0056 0B .uleb128 0xb + 353 0057 11 .uleb128 0x11 + 354 0058 01 .uleb128 0x1 + 355 0059 12 .uleb128 0x12 + 356 005a 01 .uleb128 0x1 + 357 005b 40 .uleb128 0x40 + 358 005c 06 .uleb128 0x6 + 359 005d 9742 .uleb128 0x2117 + 360 005f 0C .uleb128 0xc + 361 0060 01 .uleb128 0x1 + 362 0061 13 .uleb128 0x13 + 363 0062 00 .byte 0 + 364 0063 00 .byte 0 + 365 0064 08 .uleb128 0x8 + 366 0065 1D .uleb128 0x1d + 367 0066 00 .byte 0 + 368 0067 31 .uleb128 0x31 + 369 0068 13 .uleb128 0x13 + 370 0069 11 .uleb128 0x11 + 371 006a 01 .uleb128 0x1 + 372 006b 12 .uleb128 0x12 + 373 006c 01 .uleb128 0x1 + 374 006d 58 .uleb128 0x58 + 375 006e 0B .uleb128 0xb + 376 006f 59 .uleb128 0x59 + 377 0070 0B .uleb128 0xb + 378 0071 00 .byte 0 + 379 0072 00 .byte 0 + 380 0073 09 .uleb128 0x9 + 381 0074 898201 .uleb128 0x4109 + 382 0077 00 .byte 0 + 383 0078 11 .uleb128 0x11 + 384 0079 01 .uleb128 0x1 + 385 007a 31 .uleb128 0x31 + 386 007b 13 .uleb128 0x13 + 387 007c 00 .byte 0 + 388 007d 00 .byte 0 + 389 007e 0A .uleb128 0xa + 390 007f 34 .uleb128 0x34 + 391 0080 00 .byte 0 + 392 0081 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 9 + + + 393 0082 0E .uleb128 0xe + 394 0083 3A .uleb128 0x3a + 395 0084 0B .uleb128 0xb + 396 0085 3B .uleb128 0x3b + 397 0086 05 .uleb128 0x5 + 398 0087 49 .uleb128 0x49 + 399 0088 13 .uleb128 0x13 + 400 0089 3F .uleb128 0x3f + 401 008a 0C .uleb128 0xc + 402 008b 3C .uleb128 0x3c + 403 008c 0C .uleb128 0xc + 404 008d 00 .byte 0 + 405 008e 00 .byte 0 + 406 008f 0B .uleb128 0xb + 407 0090 2E .uleb128 0x2e + 408 0091 00 .byte 0 + 409 0092 3F .uleb128 0x3f + 410 0093 0C .uleb128 0xc + 411 0094 03 .uleb128 0x3 + 412 0095 0E .uleb128 0xe + 413 0096 3A .uleb128 0x3a + 414 0097 0B .uleb128 0xb + 415 0098 3B .uleb128 0x3b + 416 0099 0B .uleb128 0xb + 417 009a 27 .uleb128 0x27 + 418 009b 0C .uleb128 0xc + 419 009c 3C .uleb128 0x3c + 420 009d 0C .uleb128 0xc + 421 009e 00 .byte 0 + 422 009f 00 .byte 0 + 423 00a0 00 .byte 0 + 424 .section .debug_loc,"",%progbits + 425 .Ldebug_loc0: + 426 .LLST0: + 427 0000 00000000 .4byte .LFB57 + 428 0004 02000000 .4byte .LCFI0 + 429 0008 0200 .2byte 0x2 + 430 000a 7D .byte 0x7d + 431 000b 00 .sleb128 0 + 432 000c 02000000 .4byte .LCFI0 + 433 0010 E0000000 .4byte .LFE57 + 434 0014 0200 .2byte 0x2 + 435 0016 7D .byte 0x7d + 436 0017 08 .sleb128 8 + 437 0018 00000000 .4byte 0 + 438 001c 00000000 .4byte 0 + 439 .section .debug_aranges,"",%progbits + 440 0000 1C000000 .4byte 0x1c + 441 0004 0200 .2byte 0x2 + 442 0006 00000000 .4byte .Ldebug_info0 + 443 000a 04 .byte 0x4 + 444 000b 00 .byte 0 + 445 000c 0000 .2byte 0 + 446 000e 0000 .2byte 0 + 447 0010 00000000 .4byte .LFB57 + 448 0014 E0000000 .4byte .LFE57-.LFB57 + 449 0018 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 10 + + + 450 001c 00000000 .4byte 0 + 451 .section .debug_ranges,"",%progbits + 452 .Ldebug_ranges0: + 453 0000 00000000 .4byte .LFB57 + 454 0004 E0000000 .4byte .LFE57 + 455 0008 00000000 .4byte 0 + 456 000c 00000000 .4byte 0 + 457 .section .debug_line,"",%progbits + 458 .Ldebug_line0: + 459 0000 2B010000 .section .debug_str,"MS",%progbits,1 + 459 02000101 + 459 00000201 + 459 FB0E0D00 + 459 01010101 + 460 .LASF6: + 461 0000 6C6F6E67 .ascii "long long int\000" + 461 206C6F6E + 461 6720696E + 461 7400 + 462 .LASF3: + 463 000e 73686F72 .ascii "short unsigned int\000" + 463 7420756E + 463 7369676E + 463 65642069 + 463 6E7400 + 464 .LASF8: + 465 0021 756E7369 .ascii "unsigned int\000" + 465 676E6564 + 465 20696E74 + 465 00 + 466 .LASF20: + 467 002e 6D61696E .ascii "main\000" + 467 00 + 468 .LASF16: + 469 0033 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 469 4320342E + 469 372E3320 + 469 32303133 + 469 30333132 + 470 0066 616E6368 .ascii "anch revision 196615]\000" + 470 20726576 + 470 6973696F + 470 6E203139 + 470 36363135 + 471 .LASF10: + 472 007c 75696E74 .ascii "uint8\000" + 472 3800 + 473 .LASF5: + 474 0082 6C6F6E67 .ascii "long unsigned int\000" + 474 20756E73 + 474 69676E65 + 474 6420696E + 474 7400 + 475 .LASF7: + 476 0094 6C6F6E67 .ascii "long long unsigned int\000" + 476 206C6F6E + 476 6720756E + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 11 + + + 476 7369676E + 476 65642069 + 477 .LASF1: + 478 00ab 756E7369 .ascii "unsigned char\000" + 478 676E6564 + 478 20636861 + 478 7200 + 479 .LASF13: + 480 00b9 63686172 .ascii "char\000" + 480 00 + 481 .LASF14: + 482 00be 72656738 .ascii "reg8\000" + 482 00 + 483 .LASF17: + 484 00c3 2E5C6D61 .ascii ".\\main.c\000" + 484 696E2E63 + 484 00 + 485 .LASF12: + 486 00cc 646F7562 .ascii "double\000" + 486 6C6500 + 487 .LASF4: + 488 00d3 6C6F6E67 .ascii "long int\000" + 488 20696E74 + 488 00 + 489 .LASF21: + 490 00dc 49544D5F .ascii "ITM_RxBuffer\000" + 490 52784275 + 490 66666572 + 490 00 + 491 .LASF0: + 492 00e9 7369676E .ascii "signed char\000" + 492 65642063 + 492 68617200 + 493 .LASF19: + 494 00f5 72657365 .ascii "resetSCSI\000" + 494 74534353 + 494 4900 + 495 .LASF11: + 496 00ff 666C6F61 .ascii "float\000" + 496 7400 + 497 .LASF2: + 498 0105 73686F72 .ascii "short int\000" + 498 7420696E + 498 7400 + 499 .LASF9: + 500 010f 696E7433 .ascii "int32_t\000" + 500 325F7400 + 501 .LASF18: + 502 0117 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 502 43534932 + 502 53445C55 + 502 53425F42 + 502 6F6F746C + 503 .LASF22: + 504 0137 424C5F53 .ascii "BL_Start\000" + 504 74617274 + 504 00 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccoNPR8i.s page 12 + + + 505 .LASF15: + 506 0140 73697A65 .ascii "sizetype\000" + 506 74797065 + 506 00 + 507 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.o new file mode 100755 index 0000000000000000000000000000000000000000..fce018652bcfcae157834cb8310b3a20b220be82 GIT binary patch literal 3560 zcmb_eU1%KF6~1?7|D;{5EwBINC}nIMB4KIP(#k(o+gO(B7*RVB-k8S8mSuK!v|6$| zvzeI1=N+E3tc?hIXNFbptMf4?v_Q81w-cXS9ojdpL z&N_tTA%~s&oxl6tx#ymlonM{1@G$3`DdB924J^hM(jt;v5HQ6i*nXCI`{d6KZECl7 z*ul=t-jUt>_KtSFcf?4S4sNpBJA9&3=ph+;^t4IJ(0kfUp_j-}hTcm|7J4a} zlR}Ozb9Ce+WlnPPW^cwIp6k6CcC0k9Nj!&$U1o5wXp%((OIEUE!IG0XIpmDVoH69& zWlkPByJXI;$-8%U?(Tfb{>;|cQW6Ew#C{XJ1my2xI1sOj2qKB6K7*?9%xeT%Qq0uS zd06@`VtI6p0*T~@0@BYBWRe&G>E8hoiDc6ak&-?POTS9PlgBBZNZcjJBt9VEnLkqK z9U5-m!kB!P+==uOmPvg9ofmkTo1{!*VB0i6_n1S3z%lwl*wSlZ-ga#8j;-@}(uFE8gfk}~(RxdM3}&t5^=$cxW$HqPe|8C{5` zCszw+azD=D&P=8#9uyATZL}?oT@%~e7WOsVLL`&ICOr{3FmCs?NQv9W=*&k>&!YeaH>^=+rPR`ym-FlJWTR?{_Y+Gr5g(P-udJ>B+JxYcn280ZPx^PQ^Q zE=AVzg$Qwo;t|lNx&D-KrE#SZi9}aRp*StiZ5U#p9u79JN2BWo&4%mL4RQ?`bT91u zBbSX8Lu}2MKR#DFUYeUXrhKR6Sb;NbJbCug#iG-$IrX|zpIxY)nyvYkYi}67^Gq{n zdag0|!;>fHPW*y(!GD^G#fMfI+|!V|Z`d1_&&1$6jnjh?)xB=5#L7ltX|q& z?yj#pK9gIL(*h?fuasBWddsuawrL8k(tI^!KVPg+e7^kC%H{HMb=mVmWY!(uw72Sk z%a$)x%c13CYV%o#PJ*%XD=Uk}6cy(&&uaz7y5}1xg>g=n!dUY?8-3>a!Swf0y|eRZ z35(i{@hPau6`Y;3IeW3hQlsgMBif$CUcQeX&=2wneu)1daRhCHN08lxaHd_&=f>DU z*h;pcoXuyJu4_xbMk;zQ*lLGX4H)_nZYT{4h0Ls5p~cKv5HJ(RZ;}(aC`-3vidGTs zx>M^ms!ey@!wjKYwHlfsniP3jo*g$WbWFpMv{A;_J*}pTCnt*HzL;ry?Y4tMG*Ncb z3PZnH>xRQD>v;HaR^M6fLnhS%FHIrQf2cG7;sFC5#zlELE|PomL_Ohu5Es4LzAcab zKJHz28$KoKT{r{Bh;jmN;DCw$04~a*i|q5b)IC6Ddtr>k97(-Gf0u5VixS7b;qJST9^E4-4ME(1C{;z8~8ei#rfU< z-){Jb4}Vd8z89d!`F6lZc}i65IvjDnzr^^U_W53cuI3O&9tT~FIh6W)#NvE^2Z=hj zU5Kgu-hxhZs2@mvg21XGflVr1Z%9;m&nFps8a^c|Pkeze)=~aD$SWxWsHp!I(3+TLib1VKCbvaK8e+3FJfvRLRK!&-xr-c$IV5PZ9V zv}T;Ig+8S(1tp44*$U(S1pAym&-$YGcOSX*p*x}V;wzG!5NFmWfK9zc-(!)eDn*vw ze~T!aPJAHxP$h|<*ao6A>a>CAj5=i?IvW==s6LU<2@FJM_u6{~L1;QEOrO0}qitM! zupg?q62U9KMvjK;Xq}07eE+ZTBP5Pu33^XxI3Rj;XdtAsC)_7-f)K$62(cyVD~eMe z5-v(SEAe58Wr x`=1j3Bk_NPxNj<)KdM literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.c new file mode 100644 index 0000000..ea1c5aa --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.c @@ -0,0 +1,1462 @@ +/******************************************************************************* +* File Name: BL.c +* Version 1.20 +* +* Description: +* Provides an API for the Bootloader component. The API includes functions +* for starting boot loading operations, validating the application and +* jumping to the application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "BL_PVT.h" + +#include "project.h" +#include + + +/******************************************************************************* +* The Checksum and SizeBytes are forcefully set in code. We then post process +* the hex file from the linker and inject their values then. When the hex file +* is loaded onto the device these two variables should have valid values. +* Because the compiler can do optimizations remove the constant +* accesses, these should not be accessed directly. Instead, the variables +* CyBtldr_ChecksumAccess & CyBtldr_SizeBytesAccess should be used to get the +* proper values at runtime. +*******************************************************************************/ +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ + +const uint8 CYCODE BL_Checksum = 0u; +const uint8 CYCODE *BL_ChecksumAccess = (const uint8 CYCODE *)(&BL_Checksum); + +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ + +const uint32 CYCODE BL_SizeBytes = 0xFFFFFFFFu; +const uint32 CYCODE *BL_SizeBytesAccess = (const uint32 CYCODE *)(&BL_SizeBytes); + + +#if(0u != BL_DUAL_APP_BOOTLOADER) + uint8 BL_activeApp = BL_MD_BTLDB_ACTIVE_NONE; +#else + #define BL_activeApp (BL_MD_BTLDB_ACTIVE_0) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/*************************************** +* Function Prototypes +***************************************/ +static cystatus BL_WritePacket(uint8 status, uint8 buffer[], uint16 size) CYSMALL \ + ; + +static uint16 BL_CalcPacketChecksum(const uint8 buffer[], uint16 size) CYSMALL \ + ; + +static uint8 BL_Calc8BitFlashSum(uint32 start, uint32 size) CYSMALL \ + ; +#if(!CY_PSOC4) +static uint8 BL_Calc8BitEepromSum(uint32 start, uint32 size) CYSMALL \ + ; +#endif /* (!CY_PSOC4) */ + +static void BL_HostLink(uint8 timeOut) \ + ; + +static void BL_LaunchApplication(void) CYSMALL \ + ; + +static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + ; + +static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId)\ + ; + +#if(!CY_PSOC3) + /* Implementation for the PSoC 3 resides in a BL_psoc3.a51 file. */ + static void BL_LaunchBootloadable(uint32 appAddr); +#endif /* (!CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: BL_CalcPacketChecksum +******************************************************************************** +* +* Summary: +* This computes the 16 bit checksum for the provided number of bytes contained +* in the provided buffer +* +* Parameters: +* buffer: +* The buffer containing the data to compute the checksum for +* size: +* The number of bytes in buffer to compute the checksum for +* +* Returns: +* 16 bit checksum for the provided data +* +*******************************************************************************/ +static uint16 BL_CalcPacketChecksum(const uint8 buffer[], uint16 size) \ + CYSMALL +{ + #if(0u != BL_PACKET_CHECKSUM_CRC) + + uint16 CYDATA crc = BL_CRC_CCITT_INITIAL_VALUE; + uint16 CYDATA tmp; + uint8 CYDATA i; + uint16 CYDATA tmpIndex = size; + + if(0u == size) + { + crc = ~crc; + } + else + { + do + { + tmp = buffer[tmpIndex - size]; + + for (i = 0u; i < 8u; i++) + { + if (0u != ((crc & 0x0001u) ^ (tmp & 0x0001u))) + { + crc = (crc >> 1u) ^ BL_CRC_CCITT_POLYNOMIAL; + } + else + { + crc >>= 1u; + } + + tmp >>= 1u; + } + + size--; + } + while(0u != size); + + crc = ~crc; + tmp = crc; + crc = ( uint16 )(crc << 8u) | (tmp >> 8u); + } + + return(crc); + + #else + + uint16 CYDATA sum = 0u; + + while (size > 0u) + { + sum += buffer[size - 1u]; + size--; + } + + return(( uint16 )1u + ( uint16 )(~sum)); + + #endif /* (0u != BL_PACKET_CHECKSUM_CRC) */ +} + + +/******************************************************************************* +* Function Name: BL_Calc8BitFlashSum +******************************************************************************** +* +* Summary: +* This computes the 8 bit sum for the provided number of bytes contained in +* flash. +* +* Parameters: +* start: +* The starting address to start summing data for +* size: +* The number of bytes to read and compute the sum for +* +* Returns: +* 8 bit sum for the provided data +* +*******************************************************************************/ +static uint8 BL_Calc8BitFlashSum(uint32 start, uint32 size) \ + CYSMALL +{ + uint8 CYDATA sum = 0u; + + while (size > 0u) + { + size--; + sum += BL_GET_CODE_BYTE(start + size); + } + + return(sum); +} + + +#if(!CY_PSOC4) + + /******************************************************************************* + * Function Name: BL_Calc8BitEepromSum + ******************************************************************************** + * + * Summary: + * This computes the 8 bit sum for the provided number of bytes contained in + * EEPROM. + * + * Parameters: + * start: + * The starting address to start summing data for + * size: + * The number of bytes to read and compute the sum for + * + * Returns: + * 8 bit sum for the provided data + * + *******************************************************************************/ + static uint8 BL_Calc8BitEepromSum(uint32 start, uint32 size) \ + CYSMALL + { + uint8 CYDATA sum = 0u; + + while (size > 0u) + { + size--; + sum += BL_GET_EEPROM_BYTE(start + size); + } + + return(sum); + } + +#endif /* (!CY_PSOC4) */ + + +/******************************************************************************* +* Function Name: BL_Start +******************************************************************************** +* Summary: +* This function is called in order executing following algorithm: +* +* - Identify active bootloadable application (applicable only to +* Multi-application bootloader) +* +* - Validate bootloader application (desing-time configurable, Bootloader +* application validation option of the component customizer) +* +* - Validate active bootloadable application +* +* - Run communication subroutine (desing-time configurable, Wait for command +* option of the component customizer) +* +* - Schedule bootloadable and reset device +* +* Parameters: +* None +* +* Return: +* This method will never return. It will either load a new application and +* reset the device or it will jump directly to the existing application. +* +* Side Effects: +* If this method determines that the bootloader appliation itself is corrupt, +* this method will not return, instead it will simply hang the application. +* +*******************************************************************************/ +void BL_Start(void) CYSMALL +{ + #if(0u != BL_BOOTLOADER_APP_VALIDATION) + uint8 CYDATA calcedChecksum; + #endif /* (0u != BL_BOOTLOADER_APP_VALIDATION) */ + + #if(!CY_PSOC4) + uint8 CYXDATA BL_flashBuffer[BL_FROW_SIZE]; + #endif /* (!CY_PSOC4) */ + + cystatus tmpStatus; + + + /* Identify active bootloadable application */ + #if(0u != BL_DUAL_APP_BOOTLOADER) + + if(BL_MD_BTLDB_ACTIVE_VALUE(0u) == BL_MD_BTLDB_IS_ACTIVE) + { + BL_activeApp = BL_MD_BTLDB_ACTIVE_0; + } + else if (BL_MD_BTLDB_ACTIVE_VALUE(1u) == BL_MD_BTLDB_IS_ACTIVE) + { + BL_activeApp = BL_MD_BTLDB_ACTIVE_1; + } + else + { + BL_activeApp = BL_MD_BTLDB_ACTIVE_NONE; + } + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + /* Initialize Flash subsystem for non-PSoC 4 devices */ + #if(!CY_PSOC4) + if (CYRET_SUCCESS != CySetTemp()) + { + CyHalt(0x00u); + } + + if (CYRET_SUCCESS != CySetFlashEEBuffer(BL_flashBuffer)) + { + CyHalt(0x00u); + } + #endif /* (CY_PSOC4) */ + + + /*********************************************************************** + * Bootloader Application Validation + * + * Halt device if: + * - Calculated checksum does not much one stored in metadata section + * - Invalid pointer to the place where bootloader application ends + * - Flash subsystem where not initialized correctly + ***********************************************************************/ + #if(0u != BL_BOOTLOADER_APP_VALIDATION) + + /* Calculate Bootloader application checksum */ + calcedChecksum = BL_Calc8BitFlashSum(BL_MD_BTLDR_ADDR_PTR, + *BL_SizeBytesAccess - BL_MD_BTLDR_ADDR_PTR); + + /* we actually included the checksum, so remove it */ + calcedChecksum -= *BL_ChecksumAccess; + calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + + /* Checksum and pointer to bootloader verification */ + if((calcedChecksum != *BL_ChecksumAccess) || + (0u == *BL_SizeBytesAccess)) + { + CyHalt(0x00u); + } + + #endif /* (0u != BL_BOOTLOADER_APP_VALIDATION) */ + + + /*********************************************************************** + * Active Bootloadable Application Validation + * + * If active bootloadable application is invalid or bootloader + * application is scheduled - do the following: + * - schedule bootloader application to be run after software reset + * - Go to the communication subroutine. Will wait for commands forever + ***********************************************************************/ + tmpStatus = BL_ValidateBootloadable(BL_activeApp); + + if ((BL_GET_RUN_TYPE == BL_START_BTLDR) || + (CYRET_SUCCESS != tmpStatus)) + { + BL_SET_RUN_TYPE(0u); + + BL_HostLink(BL_WAIT_FOR_COMMAND_FOREVER); + } + + + /* Go to the communication subroutine. Will wait for commands specifed time */ + #if(0u != BL_WAIT_FOR_COMMAND) + + /* Timeout is in 100s of miliseconds */ + BL_HostLink(BL_WAIT_FOR_COMMAND_TIME); + + #endif /* (0u != BL_WAIT_FOR_COMMAND) */ + + + /* Schedule bootloadable application and perform software reset */ + BL_LaunchApplication(); +} + + +/******************************************************************************* +* Function Name: BL_LaunchApplication +******************************************************************************** +* +* Summary: +* Jumps the PC to the start address of the user application in flash. +* +* Parameters: +* None +* +* Returns: +* This method will never return if it succesfully goes to the user application. +* +*******************************************************************************/ +static void BL_LaunchApplication(void) CYSMALL +{ + /* Schedule Bootloadable to start after reset */ + BL_SET_RUN_TYPE(BL_START_APP); + + CySoftwareReset(); +} + + +/******************************************************************************* +* Function Name: CyBtldr_CheckLaunch +******************************************************************************** +* +* Summary: +* This routine checks to see if the bootloader or the bootloadable application +* should be run. If the application is to be run, it will start executing. +* If the bootloader is to be run, it will return so the bootloader can +* continue starting up. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void CyBtldr_CheckLaunch(void) CYSMALL +{ + +#if(CY_PSOC4) + + /******************************************************************************* + * Set cyBtldrRunType to zero in case of non-software reset occured. This means + * that bootloader application is scheduled - that is initial clean state. The + * value of cyBtldrRunType is valid only in case of software reset. + *******************************************************************************/ + if (0u == (BL_RES_CAUSE_REG & BL_RES_CAUSE_RESET_SOFT)) + { + cyBtldrRunType = 0u; + } + +#endif /* (CY_PSOC4) */ + + + if (BL_GET_RUN_TYPE == BL_START_APP) + { + BL_SET_RUN_TYPE(0u); + + /******************************************************************************* + * Indicates that we have told ourselves to jump to the application since we have + * already told ourselves to jump, we do not do any expensive verification of the + * application. We just check to make sure that the value at CY_APP_ADDR_ADDRESS + * is something other than 0. + *******************************************************************************/ + if(0u != BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, BL_activeApp)) + { + /* Never return from this method */ + BL_LaunchBootloadable(BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, + BL_activeApp)); + } + } +} + + +/* Moves the arguement appAddr (RO) into PC, moving execution to the appAddr */ +#if defined (__ARMCC_VERSION) + + __asm static void BL_LaunchBootloadable(uint32 appAddr) + { + BX R0 + ALIGN + } + +#elif defined(__GNUC__) + + __attribute__((noinline)) /* Workaround for GCC toolchain bug with inlining */ + __attribute__((naked)) + static void BL_LaunchBootloadable(uint32 appAddr) + { + __asm volatile(" BX R0\n"); + } + +#elif defined (__ICCARM__) + + static void BL_LaunchBootloadable(uint32 appAddr) + { + __asm volatile(" BX R0\n"); + } + +#endif /* (__ARMCC_VERSION) */ + + +/******************************************************************************* +* Function Name: BL_ValidateBootloadable +******************************************************************************** +* Summary: +* This routine computes the checksum, zero check, 0xFF check of the +* application area to determine whether a valid application is loaded. +* +* Parameters: +* appId: +* The application number to verify +* +* Returns: +* CYRET_SUCCESS - if successful +* CYRET_BAD_DATA - if the bootloadable is corrupt +* +*******************************************************************************/ +static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + + { + uint32 CYDATA idx; + + uint32 CYDATA end = BL_FIRST_APP_BYTE(appId) + + BL_GetMetadata(BL_GET_METADATA_BTLDB_LENGTH, + appId); + + CYBIT valid = 0u; /* Assume bad flash image */ + uint8 CYDATA calcedChecksum = 0u; + + + #if(0u != BL_DUAL_APP_BOOTLOADER) + + if(appId > 1u) + { + return(CYRET_BAD_DATA); + } + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + #if(0u != BL_FAST_APP_VALIDATION) + + if(BL_MD_BTLDB_VERIFIED_VALUE(appId) == BL_MD_BTLDB_IS_VERIFIED) + { + return(CYRET_SUCCESS); + } + + #endif /* (0u != BL_FAST_APP_VALIDATION) */ + + + /* Calculate checksum of bootloadable image */ + for(idx = BL_FIRST_APP_BYTE(appId); idx < end; ++idx) + { + uint8 CYDATA curByte = BL_GET_CODE_BYTE(idx); + + if((curByte != 0u) && (curByte != 0xFFu)) + { + valid = 1u; + } + + calcedChecksum += curByte; + } + + + /*************************************************************************** + * We do not compute checksum over the meta data section, so no need to + * subtract off App Verified or App Active information here like we do when + * verifying a row. + ***************************************************************************/ + + + #if((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u)) + + /* Add ECC data to checksum */ + idx = ((BL_FIRST_APP_BYTE(appId)) >> 3u); + + /* Flash may run into meta data, ECC does not so use full row */ + end = (end == (CY_FLASH_SIZE - BL_MD_SIZEOF)) + ? (CY_FLASH_SIZE >> 3u) + : (end >> 3u); + + for (; idx < end; ++idx) + { + calcedChecksum += CY_GET_XTND_REG8((volatile uint8 *)(CYDEV_ECC_BASE + idx)); + } + + #endif /* ((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u)) */ + + + calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + + if((calcedChecksum != BL_MD_BTLDB_CHECKSUM_VALUE(appId)) || + (0u == valid)) + { + return(CYRET_BAD_DATA); + } + + + #if(0u != BL_FAST_APP_VALIDATION) + BL_SetFlashByte((uint32) BL_MD_BTLDB_VERIFIED_OFFSET(appId), + BL_MD_BTLDB_IS_VERIFIED); + #endif /* (0u != BL_FAST_APP_VALIDATION) */ + + + return(CYRET_SUCCESS); +} + + +/******************************************************************************* +* Function Name: BL_HostLink +******************************************************************************** +* +* Summary: +* Causes the bootloader to attempt to read data being transmitted by the +* host application. If data is sent from the host, this establishes the +* communication interface to process all requests. +* +* Parameters: +* timeOut: +* The amount of time to listen for data before giving up. Timeout is +* measured in 10s of ms. Use 0 for infinite wait. +* +* Return: +* None +* +*******************************************************************************/ +static void BL_HostLink(uint8 timeOut) +{ + uint16 CYDATA numberRead; + uint16 CYDATA rspSize; + uint8 CYDATA ackCode; + uint16 CYDATA pktChecksum; + cystatus CYDATA readStat; + uint16 CYDATA pktSize = 0u; + uint16 CYDATA dataOffset = 0u; + uint8 CYDATA timeOutCnt = 10u; + + #if(0u == BL_DUAL_APP_BOOTLOADER) + uint8 CYDATA clearedMetaData = 0u; + #endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + + CYBIT communicationState = BL_COMMUNICATION_STATE_IDLE; + + uint8 packetBuffer[BL_SIZEOF_COMMAND_BUFFER]; + uint8 dataBuffer [BL_SIZEOF_COMMAND_BUFFER]; + + + /* Initialize communications channel. */ + CyBtldrCommStart(); + + /* Enable global interrupts */ + CyGlobalIntEnable; + + do + { + ackCode = CYRET_SUCCESS; + + do + { + readStat = CyBtldrCommRead(packetBuffer, + BL_SIZEOF_COMMAND_BUFFER, + &numberRead, + (0u == timeOut) ? 0xFFu : timeOut); + if (0u != timeOut) + { + timeOutCnt--; + } + + } while ( (0u != timeOutCnt) && (readStat != CYRET_SUCCESS) ); + + + if( readStat != CYRET_SUCCESS ) + { + continue; + } + + if((numberRead < BL_MIN_PKT_SIZE) || + (packetBuffer[BL_SOP_ADDR] != BL_SOP)) + { + ackCode = BL_ERR_DATA; + } + else + { + pktSize = ((uint16)((uint16)packetBuffer[BL_SIZE_ADDR + 1u] << 8u)) | + packetBuffer[BL_SIZE_ADDR]; + + pktChecksum = ((uint16)((uint16)packetBuffer[BL_CHK_ADDR(pktSize) + 1u] << 8u)) | + packetBuffer[BL_CHK_ADDR(pktSize)]; + + if((pktSize + BL_MIN_PKT_SIZE) > numberRead) + { + ackCode = BL_ERR_LENGTH; + } + else if(packetBuffer[BL_EOP_ADDR(pktSize)] != BL_EOP) + { + ackCode = BL_ERR_DATA; + } + else if(pktChecksum != BL_CalcPacketChecksum(packetBuffer, + pktSize + BL_DATA_ADDR)) + { + ackCode = BL_ERR_CHECKSUM; + } + else + { + /* Empty section */ + } + } + + rspSize = 0u; + if(ackCode == CYRET_SUCCESS) + { + uint8 CYDATA btldrData = packetBuffer[BL_DATA_ADDR]; + + ackCode = BL_ERR_DATA; + switch(packetBuffer[BL_CMD_ADDR]) + { + + + /*************************************************************************** + * Get metadata + ***************************************************************************/ + #if(0u != BL_CMD_GET_METADATA) + + case BL_COMMAND_GET_METADATA: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + if (btldrData >= BL_MAX_NUM_OF_BTLDB) + { + ackCode = BL_ERR_APP; + } + else if(CYRET_SUCCESS == BL_ValidateBootloadable(btldrData)) + { + #if(CY_PSOC3) + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + ((uint8 CYCODE *) (BL_META_BASE(btldrData))), 56); + #else + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + (uint8 *) BL_META_BASE(btldrData), 56u); + #endif /* (CY_PSOC3) */ + + rspSize = 56u; + ackCode = CYRET_SUCCESS; + } + else + { + ackCode = BL_ERR_APP; + } + } + break; + + #endif /* (0u != BL_CMD_GET_METADATA) */ + + + /*************************************************************************** + * Verify checksum + ***************************************************************************/ + case BL_COMMAND_CHECKSUM: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 0u)) + { + packetBuffer[BL_DATA_ADDR] = + (uint8)(BL_ValidateBootloadable(BL_activeApp) == CYRET_SUCCESS); + + rspSize = 1u; + ackCode = CYRET_SUCCESS; + } + break; + + + /*************************************************************************** + * Get flash size + ***************************************************************************/ + #if(0u != BL_CMD_GET_FLASH_SIZE_AVAIL) + + case BL_COMMAND_REPORT_SIZE: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + /* btldrData holds flash array ID sent by host */ + if(btldrData < BL_NUM_OF_FLASH_ARRAYS) + { + #if (1u == BL_NUM_OF_FLASH_ARRAYS) + uint16 CYDATA startRow = (uint16)*BL_SizeBytesAccess / CYDEV_FLS_ROW_SIZE; + #else + uint16 CYDATA startRow = 0u; + #endif /* (1u == BL_NUM_OF_FLASH_ARRAYS) */ + + packetBuffer[BL_DATA_ADDR] = LO8(startRow); + packetBuffer[BL_DATA_ADDR + 1u] = HI8(startRow); + packetBuffer[BL_DATA_ADDR + 2u] = LO8(CY_FLASH_NUMBER_ROWS - 1u); + packetBuffer[BL_DATA_ADDR + 3u] = HI8(CY_FLASH_NUMBER_ROWS - 1u); + + rspSize = 4u; + ackCode = CYRET_SUCCESS; + } + + } + break; + + #endif /* (0u != BL_CMD_GET_FLASH_SIZE_AVAIL) */ + + + /*************************************************************************** + * Get application status + ***************************************************************************/ + #if(0u != BL_DUAL_APP_BOOTLOADER) + + #if(0u != BL_CMD_GET_APP_STATUS_AVAIL) + + case BL_COMMAND_APP_STATUS: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + + packetBuffer[BL_DATA_ADDR] = + (uint8)BL_ValidateBootloadable(btldrData); + + packetBuffer[BL_DATA_ADDR + 1u] = + (uint8)BL_MD_BTLDB_ACTIVE_VALUE(btldrData); + + rspSize = 2u; + ackCode = CYRET_SUCCESS; + } + break; + + #endif /* (0u != BL_CMD_GET_APP_STATUS_AVAIL) */ + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + /*************************************************************************** + * Program / Erase row + ***************************************************************************/ + case BL_COMMAND_PROGRAM: + + /* The btldrData variable holds Flash Array ID */ + + #if (0u != BL_CMD_ERASE_ROW_AVAIL) + + case BL_COMMAND_ERASE: + if (BL_COMMAND_ERASE == packetBuffer[BL_CMD_ADDR]) + { + if ((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + { + #if(!CY_PSOC4) + if((btldrData >= BL_FIRST_EE_ARRAYID) && + (btldrData <= BL_LAST_EE_ARRAYID)) + { + /* Size of EEPROM row */ + dataOffset = CY_EEPROM_SIZEOF_ROW; + } + else + { + /* Size of FLASH row (depends on ECC configuration) */ + dataOffset = BL_FROW_SIZE; + } + #else + /* Size of FLASH row (no ECC available) */ + dataOffset = BL_FROW_SIZE; + #endif /* (!CY_PSOC4) */ + + #if(CY_PSOC3) + (void) memset(dataBuffer, (char8) 0, (int16) dataOffset); + #else + (void) memset(dataBuffer, 0, dataOffset); + #endif /* (CY_PSOC3) */ + } + else + { + break; + } + } + + #endif /* (0u != BL_CMD_ERASE_ROW_AVAIL) */ + + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize >= 3u)) + { + + /* The command may be sent along with the last block of data, to program the row. */ + #if(CY_PSOC3) + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR + 3u], + ( int16 )pktSize - 3); + #else + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR + 3u], + pktSize - 3u); + #endif /* (CY_PSOC3) */ + + dataOffset += (pktSize - 3u); + + #if(!CY_PSOC4) + if((btldrData >= BL_FIRST_EE_ARRAYID) && + (btldrData <= BL_LAST_EE_ARRAYID)) + { + + CyEEPROM_Start(); + + /* Size of EEPROM row */ + pktSize = CY_EEPROM_SIZEOF_ROW; + } + else + { + /* Size of FLASH row (depends on ECC configuration) */ + pktSize = BL_FROW_SIZE; + } + #else + /* Size of FLASH row (no ECC available) */ + pktSize = BL_FROW_SIZE; + #endif /* (!CY_PSOC4) */ + + + /* Check if we have all data to program */ + if(dataOffset == pktSize) + { + /* Get FLASH/EEPROM row number */ + dataOffset = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + packetBuffer[BL_DATA_ADDR + 1u]; + + #if(!CY_PSOC4) + if(btldrData <= BL_LAST_FLASH_ARRAYID) + { + #endif /* (!CY_PSOC4) */ + + #if(0u == BL_DUAL_APP_BOOTLOADER) + + if(0u == clearedMetaData) + { + /* Metadata section must be filled with zeroes */ + + uint8 erase[BL_FROW_SIZE]; + + #if(CY_PSOC3) + (void) memset(erase, (char8) 0, (int16) BL_FROW_SIZE); + #else + (void) memset(erase, 0, BL_FROW_SIZE); + #endif /* (CY_PSOC3) */ + + #if(CY_PSOC4) + (void) CySysFlashWriteRow(BL_MD_ROW, erase); + #else + (void) CyWriteRowFull((uint8) BL_MD_FLASH_ARRAY_NUM, + (uint16) BL_MD_ROW, + erase, + BL_FROW_SIZE); + #endif /* (CY_PSOC4) */ + + /* Set up flag that metadata was cleared */ + clearedMetaData = 1u; + } + + #else + + if(BL_activeApp < BL_MD_BTLDB_ACTIVE_NONE) + { + /* First active bootloadable application row */ + uint16 firstRow = (uint16) 1u + + (uint16) BL_GetMetadata(BL_GET_METADATA_BTLDR_LAST_ROW, + BL_activeApp); + + #if(CY_PSOC4) + uint16 row = dataOffset; + #else + uint16 row = (uint16)(btldrData * (CYDEV_FLS_SECTOR_SIZE / CYDEV_FLS_ROW_SIZE)) + + dataOffset; + #endif /* (CY_PSOC4) */ + + + /******************************************************************************* + * Last row is equal to the first row plus the number of rows available for each + * app. To compute this, we first subtract the number of appliaction images from + * the total flash rows: (CY_FLASH_NUMBER_ROWS - 2u). + * + * Then subtract off the first row: + * App Rows = (CY_FLASH_NUMBER_ROWS - 2u - firstRow) + * Then divide that number by the number of application that must fit within the + * space, if we are app1 then that number is 2, if app2 then 1. Our divisor is + * then: (2u - BL_activeApp). + * + * Adding this number to firstRow gives the address right beyond our valid range + * so we subtract 1. + *******************************************************************************/ + uint16 lastRow = (firstRow - 1u) + + ((uint16)((CYDEV_FLASH_SIZE / CYDEV_FLS_ROW_SIZE) - 2u - firstRow) / + ((uint16)2u - (uint16)BL_activeApp)); + + + /******************************************************************************* + * Check to see if the row to program is within the range of the active + * application, or if it maches the active application's metadata row. If so, + * refuse to program as it would corrupt the active app. + *******************************************************************************/ + if(((row >= firstRow) && (row <= lastRow)) || + ((btldrData == BL_MD_FLASH_ARRAY_NUM) && + (dataOffset == BL_MD_ROW_NUM(BL_activeApp)))) + { + ackCode = BL_ERR_ACTIVE; + dataOffset = 0u; + break; + } + } + + #endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + + #if(!CY_PSOC4) + } + #endif /* (!CY_PSOC4) */ + + #if(CY_PSOC4) + + ackCode = (CYRET_SUCCESS != CySysFlashWriteRow((uint32) dataOffset, dataBuffer)) \ + ? BL_ERR_ROW \ + : CYRET_SUCCESS; + + #else + + ackCode = (CYRET_SUCCESS != CyWriteRowFull(btldrData, dataOffset, dataBuffer, pktSize)) \ + ? BL_ERR_ROW \ + : CYRET_SUCCESS; + + #endif /* (CY_PSOC4) */ + + } + else + { + ackCode = BL_ERR_LENGTH; + } + + dataOffset = 0u; + } + break; + + + /*************************************************************************** + * Sync bootloader + ***************************************************************************/ + #if(0u != BL_CMD_SYNC_BOOTLOADER_AVAIL) + + case BL_COMMAND_SYNC: + + if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) + { + /* If something failed the host would send this command to reset the bootloader. */ + dataOffset = 0u; + + /* Don't ack the packet, just get ready to accept the next one */ + continue; + } + break; + + #endif /* (0u != BL_CMD_SYNC_BOOTLOADER_AVAIL) */ + + + /*************************************************************************** + * Set active application + ***************************************************************************/ + #if(0u != BL_DUAL_APP_BOOTLOADER) + + case BL_COMMAND_APP_ACTIVE: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + { + if(CYRET_SUCCESS == BL_ValidateBootloadable(btldrData)) + { + uint8 CYDATA idx; + + for(idx = 0u; idx < BL_MAX_NUM_OF_BTLDB; idx++) + { + BL_SetFlashByte((uint32) BL_MD_BTLDB_ACTIVE_OFFSET(idx), + (uint8 )(idx == btldrData)); + } + BL_activeApp = btldrData; + ackCode = CYRET_SUCCESS; + } + else + { + ackCode = BL_ERR_APP; + } + } + break; + + #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + + /*************************************************************************** + * Send data + ***************************************************************************/ + #if (0u != BL_CMD_SEND_DATA_AVAIL) + + case BL_COMMAND_DATA: + + if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) + { + /* Make sure that dataOffset is valid before copying the data */ + if((dataOffset + pktSize) <= BL_SIZEOF_COMMAND_BUFFER) + { + ackCode = CYRET_SUCCESS; + + #if(CY_PSOC3) + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR], + ( int16 )pktSize); + #else + (void) memcpy(&dataBuffer[dataOffset], + &packetBuffer[BL_DATA_ADDR], + pktSize); + #endif /* (CY_PSOC3) */ + + dataOffset += pktSize; + } + else + { + ackCode = BL_ERR_LENGTH; + } + } + + break; + + #endif /* (0u != BL_CMD_SEND_DATA_AVAIL) */ + + + /*************************************************************************** + * Enter bootloader + ***************************************************************************/ + case BL_COMMAND_ENTER: + + if(pktSize == 0u) + { + #if(CY_PSOC3) + + BL_ENTER CYDATA BtldrVersion = + {CYSWAP_ENDIAN32(CYDEV_CHIP_JTAG_ID), CYDEV_CHIP_REV_EXPECT, BL_VERSION}; + + #else + + BL_ENTER CYDATA BtldrVersion = + {CYDEV_CHIP_JTAG_ID, CYDEV_CHIP_REV_EXPECT, BL_VERSION}; + + #endif /* (CY_PSOC3) */ + + communicationState = BL_COMMUNICATION_STATE_ACTIVE; + + rspSize = sizeof(BL_ENTER); + + #if(CY_PSOC3) + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + &BtldrVersion, + ( int16 )rspSize); + #else + (void) memcpy(&packetBuffer[BL_DATA_ADDR], + &BtldrVersion, + rspSize); + #endif /* (CY_PSOC3) */ + + ackCode = CYRET_SUCCESS; + } + break; + + + /*************************************************************************** + * Verify row + ***************************************************************************/ + case BL_COMMAND_VERIFY: + + if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + { + /* Get FLASH/EEPROM row number */ + uint16 CYDATA rowNum = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + packetBuffer[BL_DATA_ADDR + 1u]; + + #if(!CY_PSOC4) + + uint32 CYDATA rowAddr; + uint8 CYDATA checksum; + + if((btldrData >= BL_FIRST_EE_ARRAYID) && + (btldrData <= BL_LAST_EE_ARRAYID)) + { + /* EEPROM */ + /* Both PSoC 3 and PSoC 5LP architectures have one EEPROM array. */ + rowAddr = (uint32)rowNum * CYDEV_EEPROM_ROW_SIZE; + + checksum = BL_Calc8BitEepromSum(rowAddr, CYDEV_EEPROM_ROW_SIZE); + } + else + { + /* FLASH */ + rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) + + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); + + checksum = BL_Calc8BitFlashSum(rowAddr, CYDEV_FLS_ROW_SIZE); + } + + #else + + uint32 CYDATA rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) + + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); + + uint8 CYDATA checksum = BL_Calc8BitFlashSum(rowAddr, CYDEV_FLS_ROW_SIZE); + + #endif /* (!CY_PSOC4) */ + + + /* Calculate checksum on data from ECC */ + #if(!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u) + + if(btldrData <= BL_LAST_FLASH_ARRAYID) + { + uint16 CYDATA tmpIndex; + + rowAddr = CYDEV_ECC_BASE + ((uint32)btldrData * (CYDEV_FLS_SECTOR_SIZE / 8u)) + + ((uint32)rowNum * CYDEV_ECC_ROW_SIZE); + + for(tmpIndex = 0u; tmpIndex < CYDEV_ECC_ROW_SIZE; tmpIndex++) + { + checksum += CY_GET_XTND_REG8((uint8 CYFAR *)(rowAddr + tmpIndex)); + } + } + + #endif /* (!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u) */ + + + /******************************************************************************* + * App Verified & App Active are information that is updated in flash at runtime + * remove these items from the checksum to allow the host to verify everything is + * correct. + ******************************************************************************/ + if((BL_MD_FLASH_ARRAY_NUM == btldrData) && + (BL_CONTAIN_METADATA(rowNum))) + { + checksum -= BL_MD_BTLDB_ACTIVE_VALUE (BL_GET_APP_ID(rowNum)); + checksum -= BL_MD_BTLDB_VERIFIED_VALUE(BL_GET_APP_ID(rowNum)); + } + + packetBuffer[BL_DATA_ADDR] = (uint8)1u + (uint8)(~checksum); + ackCode = CYRET_SUCCESS; + rspSize = 1u; + } + break; + + + /*************************************************************************** + * Exit bootloader + ***************************************************************************/ + case BL_COMMAND_EXIT: + + if(CYRET_SUCCESS == BL_ValidateBootloadable(BL_activeApp)) + { + BL_SET_RUN_TYPE(BL_START_APP); + } + + CySoftwareReset(); + + /* Will never get here */ + break; + + + /*************************************************************************** + * Unsupported command + ***************************************************************************/ + default: + ackCode = BL_ERR_CMD; + break; + } + } + + /* ?CK the packet and function. */ + (void) BL_WritePacket(ackCode, packetBuffer, rspSize); + + } while ((0u == timeOut) || (BL_COMMUNICATION_STATE_ACTIVE == communicationState)); +} + + +/******************************************************************************* +* Function Name: BL_WritePacket +******************************************************************************** +* +* Summary: +* Creates a bootloader responce packet and transmits it back to the bootloader +* host application over the already established communications protocol. +* +* Parameters: +* status: +* The status code to pass back as the second byte of the packet +* buffer: +* The buffer containing the data portion of the packet +* size: +* The number of bytes contained within the buffer to pass back +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_UNKNOWN if there was an error tranmitting the packet. +* +*******************************************************************************/ +static cystatus BL_WritePacket(uint8 status, uint8 buffer[], uint16 size) CYSMALL \ + +{ + uint16 CYDATA checksum; + + /* Start of the packet. */ + buffer[BL_SOP_ADDR] = BL_SOP; + buffer[BL_CMD_ADDR] = status; + buffer[BL_SIZE_ADDR] = LO8(size); + buffer[BL_SIZE_ADDR + 1u] = HI8(size); + + /* Compute the checksum. */ + checksum = BL_CalcPacketChecksum(buffer, size + BL_DATA_ADDR); + + buffer[BL_CHK_ADDR(size)] = LO8(checksum); + buffer[BL_CHK_ADDR(1u + size)] = HI8(checksum); + buffer[BL_EOP_ADDR(size)] = BL_EOP; + + /* Start the packet transmit. */ + return(CyBtldrCommWrite(buffer, size + BL_MIN_PKT_SIZE, &size, 150u)); +} + + +/******************************************************************************* +* Function Name: BL_SetFlashByte +******************************************************************************** +* +* Summary: +* Writes byte a flash memory location +* +* Parameters: +* address: +* Address in Flash memory where data will be written +* +* runType: +* Byte to be written +* +* Return: +* None +* +*******************************************************************************/ +void BL_SetFlashByte(uint32 address, uint8 runType) +{ + uint32 flsAddr = address - CYDEV_FLASH_BASE; + uint8 rowData[CYDEV_FLS_ROW_SIZE]; + + #if !(CY_PSOC4) + uint8 arrayId = ( uint8 )(flsAddr / CYDEV_FLS_SECTOR_SIZE); + #endif /* !(CY_PSOC4) */ + + uint16 rowNum = ( uint16 )((flsAddr % CYDEV_FLS_SECTOR_SIZE) / CYDEV_FLS_ROW_SIZE); + uint32 baseAddr = address - (address % CYDEV_FLS_ROW_SIZE); + uint16 idx; + + for(idx = 0u; idx < CYDEV_FLS_ROW_SIZE; idx++) + { + rowData[idx] = BL_GET_CODE_BYTE(baseAddr + idx); + } + + rowData[address % CYDEV_FLS_ROW_SIZE] = runType; + + #if(CY_PSOC4) + (void) CySysFlashWriteRow((uint32) rowNum, rowData); + #else + (void) CyWriteRowData(arrayId, rowNum, rowData); + #endif /* (CY_PSOC4) */ +} + + +/******************************************************************************* +* Function Name: BL_GetMetadata +******************************************************************************** +* +* Summary: +* Returns value of the multi-byte field. +* +* Parameters: +* fieldName: +* The field to get data from: +* BL_GET_METADATA_BTLDB_ADDR +* BL_GET_METADATA_BTLDR_LAST_ROW +* BL_GET_METADATA_BTLDB_LENGTH +* BL_GET_METADATA_BTLDR_APP_VERSION +* BL_GET_METADATA_BTLDB_APP_VERSION +* BL_GET_METADATA_BTLDB_APP_ID +* BL_GET_METADATA_BTLDB_APP_CUST_ID +* +* appId: +* Number of the bootlodable application. +* +* Return: +* None +* +*******************************************************************************/ +static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId) +{ + uint32 fieldPtr; + uint8 fieldSize = 2u; + uint32 result; + + switch (fieldName) + { + case BL_GET_METADATA_BTLDB_APP_CUST_ID: + fieldPtr = BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId); + fieldSize = 4u; + break; + + case BL_GET_METADATA_BTLDR_APP_VERSION: + fieldPtr = BL_MD_BTLDR_APP_VERSION_OFFSET(appId); + break; + + case BL_GET_METADATA_BTLDB_ADDR: + fieldPtr = BL_MD_BTLDB_ADDR_OFFSET(appId); + #if(!CY_PSOC3) + fieldSize = 4u; + #endif /* (!CY_PSOC3) */ + break; + + case BL_GET_METADATA_BTLDR_LAST_ROW: + fieldPtr = BL_MD_BTLDR_LAST_ROW_OFFSET(appId); + break; + + case BL_GET_METADATA_BTLDB_LENGTH: + fieldPtr = BL_MD_BTLDB_LENGTH_OFFSET(appId); + #if(!CY_PSOC3) + fieldSize = 4u; + #endif /* (!CY_PSOC3) */ + break; + + case BL_GET_METADATA_BTLDB_APP_VERSION: + fieldPtr = BL_MD_BTLDB_APP_VERSION_OFFSET(appId); + break; + + case BL_GET_METADATA_BTLDB_APP_ID: + fieldPtr = BL_MD_BTLDB_APP_ID_OFFSET(appId); + break; + + default: + /* Should never be here */ + CYASSERT(0u != 0u); + fieldPtr = 0u; + break; + } + + + /* Read all fields as big-endian */ + if (2u == fieldSize) + { + result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)); + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *) fieldPtr ) << 8u; + } + else + { + result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 3u)); + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 2u)) << 8u; + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)) << 16u; + result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr )) << 24u; + } + + /* Following fields should be little-endian */ +#if(!CY_PSOC3) + switch (fieldName) + { + case BL_GET_METADATA_BTLDR_LAST_ROW: + result = CYSWAP_ENDIAN16(result); + break; + + case BL_GET_METADATA_BTLDB_ADDR: + case BL_GET_METADATA_BTLDB_LENGTH: + result = CYSWAP_ENDIAN32(result); + break; + + default: + break; + } + +#endif /* (!CY_PSOC3) */ + + return (result); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h new file mode 100755 index 0000000..e459c55 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h @@ -0,0 +1,318 @@ +/******************************************************************************* +* File Name: BL.h +* Version 1.20 +* +* Description: +* Provides an API for the Bootloader. The API includes functions for starting +* boot loading operations, validating the application and jumping to the +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOTLOADER_BL_H) +#define CY_BOOTLOADER_BL_H + +#include "cytypes.h" + + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component Bootloader_v1_20 requires cy_boot v3.0 or later +#endif /* (CY_ PSOC5X) */ + + +#define BL_DUAL_APP_BOOTLOADER (0u) +#define BL_BOOTLOADER_APP_VERSION (0u) +#define BL_FAST_APP_VALIDATION (0u) +#define BL_PACKET_CHECKSUM_CRC (0u) +#define BL_WAIT_FOR_COMMAND (1u) +#define BL_WAIT_FOR_COMMAND_TIME (20u) +#define BL_BOOTLOADER_APP_VALIDATION (1u) + +#define BL_CMD_GET_FLASH_SIZE_AVAIL (1u) +#define BL_CMD_ERASE_ROW_AVAIL (1u) +#define BL_CMD_VERIFY_ROW_AVAIL (1u) +#define BL_CMD_SYNC_BOOTLOADER_AVAIL (1u) +#define BL_CMD_SEND_DATA_AVAIL (1u) +#define BL_CMD_GET_METADATA (0u) + +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_CMD_GET_APP_STATUS_AVAIL (1u) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Bootloadable applications identification +*******************************************************************************/ +#define BL_MD_BTLDB_ACTIVE_0 (0x00u) +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_MD_BTLDB_ACTIVE_1 (0x01u) + #define BL_MD_BTLDB_ACTIVE_NONE (0x02u) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/* Mask used to indicate starting application */ +#define BL_SCHEDULE_BTLDB (0x80u) +#define BL_SCHEDULE_BTLDR (0x40u) +#define BL_SCHEDULE_MASK (0xC0u) + + +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ +extern const uint8 CYCODE BL_Checksum; +extern const uint8 CYCODE *BL_ChecksumAccess; + + +#if defined(__ARMCC_VERSION) || defined (__GNUC__) + __attribute__((section (".bootloader"))) +#elif defined (__ICCARM__) + #pragma location=".bootloader" +#endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ +extern const uint32 CYCODE BL_SizeBytes; +extern const uint32 CYCODE *BL_SizeBytesAccess; + + +/******************************************************************************* +* This variable is used by Bootloader/Bootloadable components to schedule what +* application will be started after software reset. +*******************************************************************************/ +#if (CY_PSOC4) + #if defined(__ARMCC_VERSION) + __attribute__ ((section(".bootloaderruntype"), zero_init)) + #elif defined (__GNUC__) + __attribute__ ((section(".bootloaderruntype"))) + #elif defined (__ICCARM__) + #pragma location=".bootloaderruntype" + #endif /* defined(__ARMCC_VERSION) */ + extern volatile uint32 cyBtldrRunType; +#endif /* (CY_PSOC4) */ + + +#if(0u != BL_DUAL_APP_BOOTLOADER) + extern uint8 BL_activeApp; +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +#if(CY_PSOC4) + /* Reset Cause Observation Register */ + #define BL_RES_CAUSE_REG (* (reg32 *) CYREG_RES_CAUSE) + #define BL_RES_CAUSE_PTR ( (reg32 *) CYREG_RES_CAUSE) +#else + #define BL_RESET_SR0_REG (* (reg8 *) CYREG_RESET_SR0) + #define BL_RESET_SR0_PTR ( (reg8 *) CYREG_RESET_SR0) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Get the reason of the device reset +* Return cyBtldrRunType in case if software reset was reset reason and +* set cyBtldrRunType to zero (bootloader application is scheduled - that is +* initial clean state) and return zero. +*******************************************************************************/ +#if(CY_PSOC4) + #define BL_GET_RUN_TYPE (cyBtldrRunType) +#else + #define BL_GET_RUN_TYPE (BL_RESET_SR0_REG & BL_SCHEDULE_MASK) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Schedule Bootloader/Bootloadable to be run after software reset +*******************************************************************************/ +#if(CY_PSOC4) + #define BL_SET_RUN_TYPE(x) (cyBtldrRunType = (x)) +#else + #define BL_SET_RUN_TYPE(x) (BL_RESET_SR0_REG = (x)) +#endif /* (CY_PSOC4) */ + + +/* Returns the number of Flash arrays availalbe in the device */ +#define BL_NUM_OF_FLASH_ARRAYS (CYDEV_FLASH_SIZE / CYDEV_FLS_SECTOR_SIZE) + + +/******************************************************************************* +* External References +*******************************************************************************/ +void BL_SetFlashByte(uint32 address, uint8 runType); +void CyBtldr_CheckLaunch(void) CYSMALL ; +void BL_Start(void) CYSMALL ; + +#if(CY_PSOC3) + /* Implementation for the PSoC 3 resides in a BL_psoc3.a51 file. */ + extern void BL_LaunchBootloadable(uint32 appAddr); +#endif /* (CY_PSOC3) */ + +/* If using custom interface as the IO Component, user must provide these functions */ +#if defined(CYDEV_BOOTLOADER_IO_COMP) && (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface) + + extern void CyBtldrCommStart(void); + extern void CyBtldrCommStop (void); + extern void CyBtldrCommReset(void); + extern cystatus CyBtldrCommWrite(uint8* buffer, uint16 size, uint16* count, uint8 timeOut); + extern cystatus CyBtldrCommRead (uint8* buffer, uint16 size, uint16* count, uint8 timeOut); + +#endif /* defined(CYDEV_BOOTLOADER_IO_COMP) && (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface) */ + + +/******************************************************************************* +* Kept for backward compatibility. +*******************************************************************************/ +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_ValidateApp(x) BL_ValidateBootloadable((x)) + #define BL_ValidateApplication \ + BL_ValidateBootloadable(BL_MD_BTLDB_ACTIVE_0) +#else + #define BL_ValidateApplication \ + BL_ValidateBootloadable(BL_MD_BTLDB_ACTIVE_0) + #define BL_ValidateApp(x) BL_ValidateBootloadable((x)) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from version 1.10 +*******************************************************************************/ +#define BL_BOOTLOADABLE_APP_VALID (BL_BOOTLOADER_APP_VALIDATION) +#define CyBtldr_Start BL_Start + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from version 1.20 +*******************************************************************************/ +#define BL_META_BASE(x) (CYDEV_FLASH_BASE + \ + (CYDEV_FLASH_SIZE - (( uint32 )(x) * CYDEV_FLS_ROW_SIZE) - \ + BL_META_DATA_SIZE)) +#define BL_META_ARRAY (BL_NUM_OF_FLASH_ARRAYS - 1u) +#define BL_META_APP_ENTRY_POINT_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_ADDR_OFFSET) +#define BL_META_APP_BYTE_LEN(x) (BL_META_BASE(x) + \ + BL_META_APP_BYTE_LEN_OFFSET) +#define BL_META_APP_RUN_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_RUN_TYPE_OFFSET) +#define BL_META_APP_ACTIVE_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_ACTIVE_OFFSET) +#define BL_META_APP_VERIFIED_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_VERIFIED_OFFSET) +#define BL_META_APP_BLDBL_VER_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_BL_BUILD_VER_OFFSET) +#define BL_META_APP_VER_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_VER_OFFSET) +#define BL_META_APP_ID_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_ID_OFFSET) +#define BL_META_APP_CUST_ID_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_CUST_ID_OFFSET) +#define BL_META_LAST_BLDR_ROW_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_BL_LAST_ROW_OFFSET) +#define BL_META_CHECKSUM_ADDR(x) (BL_META_BASE(x) + \ + BL_META_APP_CHECKSUM_OFFSET) +#if(0u == BL_DUAL_APP_BOOTLOADER) + #define BL_MD_BASE BL_META_BASE(0u) + #define BL_MD_ROW ((CY_FLASH_NUMBER_ROWS / BL_NUM_OF_FLASH_ARRAYS) \ + - 1u) + #define BL_MD_CHECKSUM_ADDR BL_META_CHECKSUM_ADDR(0u) + #define BL_MD_LAST_BLDR_ROW_ADDR BL_META_LAST_BLDR_ROW_ADDR(0u) + #define BL_MD_APP_BYTE_LEN BL_META_APP_BYTE_LEN(0u) + #define BL_MD_APP_VERIFIED_ADDR BL_META_APP_VERIFIED_ADDR(0u) + #define BL_MD_APP_ENTRY_POINT_ADDR BL_META_APP_ENTRY_POINT_ADDR(0u) + #define BL_MD_APP_RUN_ADDR BL_META_APP_RUN_ADDR(0u) +#else + #define BL_MD_ROW(x) ((CY_FLASH_NUMBER_ROWS / BL_NUM_OF_FLASH_ARRAYS) \ + - 1u - ( uint32 )(x)) + #define BL_MD_CHECKSUM_ADDR BL_META_CHECKSUM_ADDR(appId) + #define BL_MD_LAST_BLDR_ROW_ADDR BL_META_LAST_BLDR_ROW_ADDR(appId) + #define BL_MD_APP_BYTE_LEN BL_META_APP_BYTE_LEN(appId) + #define BL_MD_APP_VERIFIED_ADDR BL_META_APP_VERIFIED_ADDR(appId) + #define BL_MD_APP_ENTRY_POINT_ADDR \ + BL_META_APP_ENTRY_POINT_ADDR(BL_activeApp) + #define BL_MD_APP_RUN_ADDR BL_META_APP_RUN_ADDR(BL_activeApp) +#endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + +#define BL_P_APP_ACTIVE(x) ((uint8 CYCODE *) BL_META_APP_ACTIVE_ADDR(x)) +#define BL_MD_PTR_CHECKSUM ((uint8 CYCODE *) BL_MD_CHECKSUM_ADDR) +#define BL_MD_PTR_APP_ENTRY_POINT ((BL_APP_ADDRESS CYCODE *) \ + BL_MD_APP_ENTRY_POINT_ADDR) +#define BL_MD_PTR_LAST_BLDR_ROW ((uint16 CYCODE *) BL_MD_LAST_BLDR_ROW_ADDR) +#define BL_MD_PTR_APP_BYTE_LEN ((BL_APP_ADDRESS CYCODE *) \ + BL_MD_APP_BYTE_LEN) +#define BL_MD_PTR_APP_RUN_ADDR ((uint8 CYCODE *) BL_MD_APP_RUN_ADDR) +#define BL_MD_PTR_APP_VERIFIED ((uint8 CYCODE *) BL_MD_APP_VERIFIED_ADDR) +#define BL_MD_PTR_APP_BLD_BL_VER ((uint16 CYCODE *) BL_MD_APP_BLDBL_VER_ADDR) +#define BL_MD_PTR_APP_VER ((uint16 CYCODE *) BL_MD_APP_VER_ADDR) +#define BL_MD_PTR_APP_ID ((uint16 CYCODE *) BL_MD_APP_ID_ADDR) +#define BL_MD_PTR_APP_CUST_ID ((uint32 CYCODE *) BL_MD_APP_CUST_ID_ADDR) +#if(CY_PSOC3) + #define BL_APP_ADDRESS uint16 + #define BL_GET_CODE_DATA(idx) (*((uint8 CYCODE *) (idx))) + #define BL_GET_CODE_WORD(idx) (*((uint32 CYCODE *) (idx))) + #define BL_META_APP_ADDR_OFFSET (3u) + #define BL_META_APP_BL_LAST_ROW_OFFSET (7u) + #define BL_META_APP_BYTE_LEN_OFFSET (11u) + #define BL_META_APP_RUN_TYPE_OFFSET (15u) +#else + #define BL_APP_ADDRESS uint32 + #define BL_GET_CODE_DATA(idx) (*((uint8 *)(CYDEV_FLASH_BASE + (idx)))) + #define BL_GET_CODE_WORD(idx) (*((uint32 *)(CYDEV_FLASH_BASE + (idx)))) + #define BL_META_APP_ADDR_OFFSET (1u) + #define BL_META_APP_BL_LAST_ROW_OFFSET (5u) + #define BL_META_APP_BYTE_LEN_OFFSET (9u) + #define BL_META_APP_RUN_TYPE_OFFSET (13u) +#endif /* (CY_PSOC3) */ +#define BL_META_APP_ACTIVE_OFFSET (16u) +#define BL_META_APP_VERIFIED_OFFSET (17u) +#define BL_META_APP_BL_BUILD_VER_OFFSET (18u) +#define BL_META_APP_ID_OFFSET (20u) +#define BL_META_APP_VER_OFFSET (22u) +#define BL_META_APP_CUST_ID_OFFSET (24u) +#if (CY_PSOC4) + #define BL_GET_REG16(x) ((uint16)( \ + (( uint16 )(( uint16 )CY_GET_XTND_REG8((x) ) )) | \ + (( uint16 )(( uint16 )CY_GET_XTND_REG8((x) + 1u) << 8u)) \ + )) + + #define BL_GET_REG32(x) ( \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) ) )) | \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) + 1u) << 8u)) | \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) + 2u) << 16u)) | \ + (( uint32 )(( uint32 ) CY_GET_XTND_REG8((x) + 3u) << 24u)) \ + ) +#endif /* (CY_PSOC4) */ +#define BL_META_APP_CHECKSUM_OFFSET (0u) +#define BL_META_DATA_SIZE (64u) +#if(CY_PSOC4) + extern uint8 appRunType; +#endif /* (CY_PSOC4) */ + +#if(CY_PSOC4) + #define BL_SOFTWARE_RESET CY_SET_REG32(CYREG_CM0_AIRCR, 0x05FA0004u) +#else + #define BL_SOFTWARE_RESET CY_SET_REG8(CYREG_RESET_CR2, 0x01u) +#endif /* (CY_PSOC4) */ + +#define BL_SetFlashRunType(runType) BL_SetFlashByte( \ + BL_MD_APP_RUN_ADDR(0), (runType)) + +#define BL_START_APP (BL_SCHEDULE_BTLDB) +#define BL_START_BTLDR (BL_SCHEDULE_BTLDR) + +/* Some PSoC Creator versions used to generate only one name types */ +#if !defined (CYDEV_FLASH_BASE) + #define CYDEV_FLASH_BASE (CYDEV_FLS_BASE) +#endif /* !defined (CYDEV_FLASH_BASE) */ + +#if !defined (CYDEV_FLASH_SIZE) + #define CYDEV_FLASH_SIZE (CYDEV_FLS_SIZE) +#endif /* CYDEV_FLASH_SIZE */ + + +#endif /* CY_BOOTLOADER_BL_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h new file mode 100644 index 0000000..9d12d71 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h @@ -0,0 +1,315 @@ +/******************************************************************************* +* File Name: BL_PVT.h +* Version 1.20 +* +* Description: +* Provides an API for the Bootloader. +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOTLOADER_BL_PVT_H) +#define CY_BOOTLOADER_BL_PVT_H + +#include "BL.h" + + +typedef struct +{ + uint32 SiliconId; + uint8 Revision; + uint8 BootLoaderVersion[3u]; + +} BL_ENTER; + + +#define BL_VERSION {\ + (uint8)20, \ + (uint8)1, \ + (uint8)0x01u \ + } + +/* Packet framing constants. */ +#define BL_SOP (0x01u) /* Start of Packet */ +#define BL_EOP (0x17u) /* End of Packet */ + + +/* Bootloader command responces */ +#define BL_ERR_KEY (0x01u) /* The provided key does not match the expected value */ +#define BL_ERR_VERIFY (0x02u) /* The verification of flash failed */ +#define BL_ERR_LENGTH (0x03u) /* The amount of data available is outside the expected range */ +#define BL_ERR_DATA (0x04u) /* The data is not of the proper form */ +#define BL_ERR_CMD (0x05u) /* The command is not recognized */ +#define BL_ERR_DEVICE (0x06u) /* The expected device does not match the detected device */ +#define BL_ERR_VERSION (0x07u) /* The bootloader version detected is not supported */ +#define BL_ERR_CHECKSUM (0x08u) /* The checksum does not match the expected value */ +#define BL_ERR_ARRAY (0x09u) /* The flash array is not valid */ +#define BL_ERR_ROW (0x0Au) /* The flash row is not valid */ +#define BL_ERR_PROTECT (0x0Bu) /* The flash row is protected and can not be programmed */ +#define BL_ERR_APP (0x0Cu) /* The application is not valid and cannot be set as active */ +#define BL_ERR_ACTIVE (0x0Du) /* The application is currently marked as active */ +#define BL_ERR_UNK (0x0Fu) /* An unknown error occurred */ + + +/* Bootloader command definitions. */ +#define BL_COMMAND_CHECKSUM (0x31u) /* Verify the checksum for the bootloadable project */ +#define BL_COMMAND_REPORT_SIZE (0x32u) /* Report the programmable portions of flash */ +#define BL_COMMAND_APP_STATUS (0x33u) /* Gets status info about the provided app status */ +#define BL_COMMAND_ERASE (0x34u) /* Erase the specified flash row */ +#define BL_COMMAND_SYNC (0x35u) /* Sync the bootloader and host application */ +#define BL_COMMAND_APP_ACTIVE (0x36u) /* Sets the active application */ +#define BL_COMMAND_DATA (0x37u) /* Queue up a block of data for programming */ +#define BL_COMMAND_ENTER (0x38u) /* Enter the bootloader */ +#define BL_COMMAND_PROGRAM (0x39u) /* Program the specified row */ +#define BL_COMMAND_VERIFY (0x3Au) /* Compute flash row checksum for verification */ +#define BL_COMMAND_EXIT (0x3Bu) /* Exits the bootloader & resets the chip */ +#define BL_COMMAND_GET_METADATA (0x3Cu) /* Reports the metadata for a selected application */ + + +/******************************************************************************* +* Bootloader packet byte addresses: +* [1-byte] [1-byte ] [2-byte] [n-byte] [ 2-byte ] [1-byte] +* [ SOP ] [Command] [ Size ] [ Data ] [Checksum] [ EOP ] +*******************************************************************************/ +#define BL_SOP_ADDR (0x00u) /* Start of packet offset from beginning */ +#define BL_CMD_ADDR (0x01u) /* Command offset from beginning */ +#define BL_SIZE_ADDR (0x02u) /* Packet size offset from beginning */ +#define BL_DATA_ADDR (0x04u) /* Packet data offset from beginning */ +#define BL_CHK_ADDR(x) (0x04u + (x)) /* Packet checksum offset from end */ +#define BL_EOP_ADDR(x) (0x06u + (x)) /* End of packet offset from end */ +#define BL_MIN_PKT_SIZE (7u) /* The minimum number of bytes in a packet */ + + +/******************************************************************************* +BL_ValidateBootloadable() +*******************************************************************************/ +#define BL_FIRST_APP_BYTE(appId) ((uint32)CYDEV_FLS_ROW_SIZE * \ + ((uint32) BL_GetMetadata(BL_GET_METADATA_BTLDR_LAST_ROW, appId) + \ + (uint32) 1u)) + +#define BL_MD_BTLDB_IS_VERIFIED (0x01u) + + +/******************************************************************************* +* BL_Start() +*******************************************************************************/ +#define BL_MD_BTLDB_IS_ACTIVE (0x01u) +#define BL_WAIT_FOR_COMMAND_FOREVER (0x00u) + + + /* Maximum number of bytes accepted in a packet plus some */ +#define BL_SIZEOF_COMMAND_BUFFER (300u) + + +/******************************************************************************* +* BL_HostLink() +*******************************************************************************/ +#define BL_COMMUNICATION_STATE_IDLE (0u) +#define BL_COMMUNICATION_STATE_ACTIVE (1u) + +#if(!CY_PSOC4) + + /******************************************************************************* + * The Array ID indicates the unique ID of the SONOS array being accessed: + * - 0x00-0x3E : Flash Arrays + * - 0x3F : Selects all Flash arrays simultaneously + * - 0x40-0x7F : Embedded EEPROM Arrays + *******************************************************************************/ + #define BL_FIRST_FLASH_ARRAYID (0x00u) + #define BL_LAST_FLASH_ARRAYID (0x3Fu) + #define BL_FIRST_EE_ARRAYID (0x40u) + #define BL_LAST_EE_ARRAYID (0x7Fu) + +#endif /* (!CY_PSOC4) */ + + +/******************************************************************************* +* BL_CalcPacketChecksum() +*******************************************************************************/ +#if(0u != BL_PACKET_CHECKSUM_CRC) + #define BL_CRC_CCITT_POLYNOMIAL (0x8408u) /* x^16 + x^12 + x^5 + 1 */ + #define BL_CRC_CCITT_INITIAL_VALUE (0xffffu) +#endif /* (0u != BL_PACKET_CHECKSUM_CRC) */ + + +/******************************************************************************* +* BL_GetMetadata() +*******************************************************************************/ +#define BL_GET_METADATA_BTLDB_ADDR (1u) +#define BL_GET_METADATA_BTLDR_LAST_ROW (2u) +#define BL_GET_METADATA_BTLDB_LENGTH (3u) +#define BL_GET_METADATA_BTLDR_APP_VERSION (4u) +#define BL_GET_METADATA_BTLDB_APP_VERSION (5u) +#define BL_GET_METADATA_BTLDB_APP_ID (6u) +#define BL_GET_METADATA_BTLDB_APP_CUST_ID (7u) + + +/******************************************************************************* +* CyBtldr_CheckLaunch() +*******************************************************************************/ +#define BL_RES_CAUSE_RESET_SOFT (0x10u) + + +/******************************************************************************* +* Metadata addresses and pointer defines +*******************************************************************************/ +#define BL_MD_SIZEOF (64u) + + +/******************************************************************************* +* Metadata base address. In case of bootloader application, the metadata is +* placed at row N-1; in case of multi-application bootloader, the bootloadable +* application number 1 will use row N-1, and application number 2 will use row +* N-2 to store its metadata, where N is the total number of rows for the +* selected device. +*******************************************************************************/ +#define BL_MD_BASE_ADDR(appId) (CYDEV_FLASH_BASE + \ + (CYDEV_FLASH_SIZE - ((uint32)(appId) * CYDEV_FLS_ROW_SIZE) - \ + BL_MD_SIZEOF)) + +#define BL_MD_FLASH_ARRAY_NUM (BL_NUM_OF_FLASH_ARRAYS - 1u) + +#define BL_MD_ROW_NUM(appId) ((CY_FLASH_NUMBER_ROWS / BL_NUM_OF_FLASH_ARRAYS) - \ + 1u - (uint32)(appId)) + +#define BL_MD_BTLDB_CHECKSUM_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 0u) +#if(CY_PSOC3) + #define BL_MD_BTLDB_ADDR_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 3u) + #define BL_MD_BTLDR_LAST_ROW_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 7u) + #define BL_MD_BTLDB_LENGTH_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 11u) +#else + #define BL_MD_BTLDB_ADDR_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 1u) + #define BL_MD_BTLDR_LAST_ROW_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 5u) + #define BL_MD_BTLDB_LENGTH_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 9u) +#endif /* (CY_PSOC3) */ +#define BL_MD_BTLDB_ACTIVE_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 16u) +#define BL_MD_BTLDB_VERIFIED_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 17u) +#define BL_MD_BTLDR_APP_VERSION_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 18u) +#define BL_MD_BTLDB_APP_ID_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 20u) +#define BL_MD_BTLDB_APP_VERSION_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 22u) +#define BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId) (BL_MD_BASE_ADDR(appId) + 24u) + + +/******************************************************************************* +* Macro for 1 byte long metadata fields +*******************************************************************************/ +#define BL_MD_BTLDB_CHECKSUM_PTR (appId) \ + ((reg8 *)(BL_MD_BTLDB_CHECKSUM_OFFSET(appId))) +#define BL_MD_BTLDB_CHECKSUM_VALUE(appId) \ + (CY_GET_XTND_REG8(BL_MD_BTLDB_CHECKSUM_OFFSET(appId))) + +#define BL_MD_BTLDB_ACTIVE_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_ACTIVE_OFFSET(appId))) +#define BL_MD_BTLDB_ACTIVE_VALUE(appId) \ + (CY_GET_XTND_REG8(BL_MD_BTLDB_ACTIVE_OFFSET(appId))) + +#define BL_MD_BTLDB_VERIFIED_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_VERIFIED_OFFSET(appId))) +#define BL_MD_BTLDB_VERIFIED_VALUE(appId) \ + (CY_GET_XTND_REG8(BL_MD_BTLDB_VERIFIED_OFFSET(appId))) + + +/******************************************************************************* +* Macro for multiple bytes long metadata fields pointers +*******************************************************************************/ +#define BL_MD_BTLDB_ADDR_PTR (appId) \ + ((reg8 *)(BL_MD_BTLDB_ADDR_OFFSET(appId))) + +#define BL_MD_BTLDR_LAST_ROW_PTR (appId) \ + ((reg8 *)(BL_MD_BTLDR_LAST_ROW_OFFSET(appId))) + +#define BL_MD_BTLDB_LENGTH_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_LENGTH_OFFSET(appId))) + +#define BL_MD_BTLDR_APP_VERSION_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDR_APP_VERSION_OFFSET(appId))) + +#define BL_MD_BTLDB_APP_ID_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_APP_ID_OFFSET(appId))) + +#define BL_MD_BTLDB_APP_VERSION_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_APP_VERSION_OFFSET(appId))) + +#define BL_MD_BTLDB_APP_CUST_ID_PTR(appId) \ + ((reg8 *)(BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId))) + + +/******************************************************************************* +* Get data byte from FLASH +*******************************************************************************/ +#if(CY_PSOC3) + #define BL_GET_CODE_BYTE(addr) (*((uint8 CYCODE *) (addr))) +#else + #define BL_GET_CODE_BYTE(addr) (*((uint8 *)(CYDEV_FLASH_BASE + (addr)))) +#endif /* (CY_PSOC3) */ + + +#if(!CY_PSOC4) + #define BL_GET_EEPROM_BYTE(addr) (*((uint8 *)(CYDEV_EE_BASE + (addr)))) +#endif /* (CY_PSOC3) */ + + +/* Our definition of a row size. */ +#if((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0)) + #define BL_FROW_SIZE ((CYDEV_FLS_ROW_SIZE) + (CYDEV_ECC_ROW_SIZE)) +#else + #define BL_FROW_SIZE CYDEV_FLS_ROW_SIZE +#endif /* ((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0)) */ + + +/******************************************************************************* +* Offset of the Bootloader application in flash +*******************************************************************************/ +#if(CY_PSOC4) + #define BL_MD_BTLDR_ADDR_PTR (0xC0u) /* Exclude the vector */ +#else + #define BL_MD_BTLDR_ADDR_PTR (0x00u) +#endif /* (CY_PSOC4) */ + + +/******************************************************************************* +* Maximum number of Bootloadable applications +*******************************************************************************/ +#if(1u == BL_DUAL_APP_BOOTLOADER) + #define BL_MAX_NUM_OF_BTLDB (0x02u) +#else + #define BL_MAX_NUM_OF_BTLDB (0x01u) +#endif /* (1u == BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Returns TRUE if row specified as parameter contains metadata section +*******************************************************************************/ +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_CONTAIN_METADATA(row) \ + ((BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_0) == (row)) || \ + (BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_1) == (row))) +#else + #define BL_CONTAIN_METADATA(row) \ + (BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_0) == (row)) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + + +/******************************************************************************* +* Metadata section is located at the last flash row for the Boootloader, for the +* Multi-Application Bootloader, metadata section of the Bootloadable application +* # 0 is located at the last flash row, and metadata section of the Bootloadable +* application # 1 is located in the flash row before last. +*******************************************************************************/ +#if(0u != BL_DUAL_APP_BOOTLOADER) + #define BL_GET_APP_ID(row) \ + ((BL_MD_ROW_NUM(BL_MD_BTLDB_ACTIVE_0) == (row)) ? \ + BL_MD_BTLDB_ACTIVE_0 : \ + BL_MD_BTLDB_ACTIVE_1) +#else + #define BL_GET_APP_ID(row) (BL_MD_BTLDB_ACTIVE_0) +#endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + +#endif /* CY_BOOTLOADER_BL_PVT_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Iar.icf b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Iar.icf new file mode 100644 index 0000000..f5416ec --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Iar.icf @@ -0,0 +1,113 @@ +/*###ICF### Section handled by ICF editor, don't touch! ****/ +/*-Editor annotation file-*/ +/* IcfEditorFile="$TOOLKIT_DIR$\config\ide\IcfEditor\cortex_v1_0.xml" */ +/*-Specials-*/ +define symbol __ICFEDIT_intvec_start__ = 0x00000000; +/*-Memory Regions-*/ +define symbol __ICFEDIT_region_ROM_start__ = 0x0; +define symbol __ICFEDIT_region_ROM_end__ = 131072 - 1; +define symbol __ICFEDIT_region_RAM_start__ = 0x20000000 - (32768 / 2); +define symbol __ICFEDIT_region_RAM_end__ = 0x20000000 + (32768 / 2) - 1; +/*-Sizes-*/ +define symbol __ICFEDIT_size_cstack__ = 0x2000; +define symbol __ICFEDIT_size_heap__ = 0x0800; +/**** End of ICF editor section. ###ICF###*/ + + +/******** Definitions ********/ +define symbol CY_APPL_LOADABLE = 0; +define symbol CY_APPL_LOADER = 1; +define symbol CY_APPL_NUM = 1; +define symbol CY_APPL_MAX = 1; +define symbol CY_METADATA_SIZE = 64; +define symbol CY_EE_IN_BTLDR = 0x0; +define symbol CY_EE_SIZE = 2048; + +if (!CY_APPL_LOADABLE) { + define symbol CYDEV_BTLDR_SIZE = 0; +} + +define symbol CY_FLASH_SIZE = 131072; +define symbol CY_APPL_ORIGIN = 0; +define symbol CY_FLASH_ROW_SIZE = 256; +define symbol CY_ECC_ROW_SIZE = 32; + +define memory mem with size = 4G; +define region ROM_region = mem:[from __ICFEDIT_region_ROM_start__ to __ICFEDIT_region_ROM_end__]; +define region RAM_region = mem:[from __ICFEDIT_region_RAM_start__ to __ICFEDIT_region_RAM_end__]; + +define block CSTACK with alignment = 8, size = __ICFEDIT_size_cstack__ { }; +define block HEAP with alignment = 8, size = __ICFEDIT_size_heap__ { }; +define block HSTACK {block HEAP, last block CSTACK}; + +define block LOADER { readonly section .cybootloader }; +define block APPL with fixed order {readonly section .romvectors, readonly}; + +/* The address of Flash row next after Bootloader image */ +define symbol CY_BTLDR_END = CYDEV_BTLDR_SIZE + + ((CYDEV_BTLDR_SIZE % CY_FLASH_ROW_SIZE) ? + (CY_FLASH_ROW_SIZE - (CYDEV_BTLDR_SIZE % CY_FLASH_ROW_SIZE)) : 0); + +/* The start address of Standard/Loader/Loadable#1 image */ +define symbol CY_APPL1_START = CY_APPL_ORIGIN ? CY_APPL_ORIGIN : CY_BTLDR_END; + +/* The number of metadata records located at the end of Flash */ +define symbol CY_METADATA_CNT = (CY_APPL_NUM == 2) ? 2 : ((CY_APPL_LOADER || CY_APPL_LOADABLE) ? 1 : 0); + +/* The application area size measured in rows */ +define symbol CY_APPL_ROW_CNT = ((CY_FLASH_SIZE - CY_APPL1_START) / CY_FLASH_ROW_SIZE) - CY_METADATA_CNT; + +/* The start address of Loadable#2 image if any */ +define symbol CY_APPL2_START = CY_APPL1_START + (CY_APPL_ROW_CNT / 2 + CY_APPL_ROW_CNT % 2) * CY_FLASH_ROW_SIZE; + +/* The current image (Standard/Loader/Loadable) start address */ +define symbol CY_APPL_START = (CY_APPL_NUM == 1) ? CY_APPL1_START : CY_APPL2_START; + +/* The ECC data placement address */ +define exported symbol CY_ECC_OFFSET = (CY_APPL_START / CY_FLASH_ROW_SIZE) * CY_ECC_ROW_SIZE; + +/* The EEPROM offset and size that can be used by current application (Standard/Loader/Loadable) */ +define symbol CY_EE_OFFSET = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? ((CY_EE_SIZE / CY_APPL_MAX) * (CY_APPL_NUM - 1)) : 0; +define symbol CY_EE_IN_USE = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? (CY_EE_SIZE / CY_APPL_MAX) : CY_EE_SIZE; + +/* Define EEPROM region */ +define region EEPROM_region = mem:[from (0x90200000 + CY_EE_OFFSET) size CY_EE_IN_USE]; + +/* Define APPL region that will limit application size */ +define region APPL_region = mem:[from CY_APPL_START size CY_APPL_ROW_CNT * CY_FLASH_ROW_SIZE]; + + +/****** Initializations ******/ +initialize by copy { readwrite }; +do not initialize { section .noinit }; +do not initialize { readwrite section .ramvectors }; + +/******** Placements *********/ +".cybootloader" : place at start of ROM_region {block LOADER}; +"APPL" : place at start of APPL_region {block APPL}; + +"RAMVEC" : place at start of RAM_region { readwrite section .ramvectors }; +"readwrite" : place in RAM_region { readwrite }; +"HSTACK" : place at end of RAM_region { block HSTACK}; + +keep { section .cybootloader, + section .cyloadermeta, + section .cyloadablemeta, + section .cyconfigecc, + section .cycustnvl, + section .cywolatch, + section .cyeeprom, + section .cyflashprotect, + section .cymeta }; + +".cyloadermeta" : place at address mem : (CY_APPL_LOADER ? (CY_FLASH_SIZE - CY_METADATA_SIZE) : 0xF0000000) { readonly section .cyloadermeta }; +".cyloadablemeta" : place at address mem : (CY_FLASH_SIZE - CY_FLASH_ROW_SIZE * (CY_APPL_NUM - 1) - CY_METADATA_SIZE) { readonly section .cyloadablemeta }; +".cyconfigecc" : place at address mem : (0x80000000 + CY_ECC_OFFSET) { readonly section .cyconfigecc }; +".cycustnvl" : place at address mem : 0x90000000 { readonly section .cycustnvl }; +".cywolatch" : place at address mem : 0x90100000 { readonly section .cywolatch }; +".cyeeprom" : place in EEPROM_region { readonly section .cyeeprom }; +".cyflashprotect" : place at address mem : 0x90400000 { readonly section .cyflashprotect }; +".cymeta" : place at address mem : 0x90500000 { readonly section .cymeta }; + + +/* EOF */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3RealView.scat b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3RealView.scat new file mode 100644 index 0000000..7c39f66 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3RealView.scat @@ -0,0 +1,190 @@ +#! armcc -E +; The first line specifies a preprocessor command that the linker invokes +; to pass a scatter file through a C preprocessor. + +;******************************************************************************** +;* File Name: Cm3RealView.scat +;* Version 4.0 +;* +;* Description: +;* This Linker Descriptor file describes the memory layout of the PSoC5 +;* device. The memory layout of the final binary and hex images as well as +;* the placement in PSoC5 memory is described. +;* +;* +;* Note: +;* +;* romvectors: Cypress default Interrupt sevice routine vector table. +;* +;* This is the ISR vector table at bootup. Used only for the reset vector. +;* +;* +;* ramvectors: Cypress ram interrupt service routine vector table. +;* +;* This is the ISR vector table used by the application. +;* +;* +;******************************************************************************** +;* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +;* You may use this file only in accordance with the license, terms, conditions, +;* disclaimers, and limitations in the end user license agreement accompanying +;* the software package with which this file was provided. +;********************************************************************************/ +#include "cyfitter.h" + +#define CY_FLASH_SIZE 131072 +#define CY_APPL_ORIGIN 0 +#define CY_FLASH_ROW_SIZE 256 +#define CY_ECC_ROW_SIZE 32 +#define CY_EE_SIZE 2048 +#define CY_METADATA_SIZE 64 + + +; Define application base address +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + #define CY_APPL_NUM 1 + #define CY_APPL_MAX 1 + #define CY_EE_IN_BTLDR + + #if CY_APPL_ORIGIN + #define APPL1_START CY_APPL_ORIGIN + #else + #define APPL1_START AlignExpr(ImageLimit(CYBOOTLOADER), CY_FLASH_ROW_SIZE) + #endif + + #define APPL_START (APPL1_START + AlignExpr(((CY_FLASH_SIZE - APPL1_START - 2 * CY_FLASH_ROW_SIZE) / 2 ) * (CY_APPL_NUM - 1), CY_FLASH_ROW_SIZE)) + #define ECC_OFFSET ((APPL_START / CY_FLASH_ROW_SIZE) * CY_ECC_ROW_SIZE) + #define EE_OFFSET (CY_EE_IN_BTLDR ? 0 : (CY_EE_SIZE / CY_APPL_MAX) * (CY_APPL_NUM - 1)) + #define EE_SIZE (CY_EE_IN_BTLDR ? CY_EE_SIZE : (CY_EE_SIZE / CY_APPL_MAX)) + +#else + + #define APPL_START 0 + #define ECC_OFFSET 0 + #define EE_OFFSET 0 + #define EE_SIZE CY_EE_SIZE + +#endif + + +; Place Bootloader at the beginning of Flash +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + + CYBOOTLOADER 0 + { + .cybootloader +0 + { + * (.cybootloader) + } + } + + #if CY_APPL_ORIGIN + ScatterAssert(APPL_START > LoadLimit(CYBOOTLOADER)) + #endif + +#endif + + +APPLICATION APPL_START (CY_FLASH_SIZE - APPL_START) +{ + VECTORS +0 + { + * (.romvectors) + } + + CODE +0 + { + * (+RO) + } + + ISRVECTORS (0x20000000 - (32768 / 2)) UNINIT + { + * (.ramvectors) + } + + NOINIT_DATA +0 UNINIT + { + * (.noinit) + } + + DATA +0 + { + .ANY (+RW, +ZI) + } + + ARM_LIB_HEAP (0x20000000 + (32768 / 2) - 0x0800 - 0x2000) EMPTY 0x0800 + { + } + + ARM_LIB_STACK (0x20000000 + (32768 / 2)) EMPTY -0x2000 + { + } +} + + +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_BOOTLOADER || CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER) + + CYLOADERMETA (CY_FLASH_SIZE - CY_METADATA_SIZE) + { + .cyloadermeta +0 { * (.cyloadermeta) } + } + +#else + + #if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + + CYLOADABLEMETA (CY_FLASH_SIZE - CY_FLASH_ROW_SIZE * (CY_APPL_NUM - 1) - CY_METADATA_SIZE) + { + .cyloadablemeta +0 { * (.cyloadablemeta) } + } + + #endif + +#endif + +#if (CYDEV_ECC_ENABLE == 0) + + CYCONFIGECC (0x80000000 + ECC_OFFSET) + { + .cyconfigecc +0 { * (.cyconfigecc) } + } + +#endif + +CYCUSTNVL 0x90000000 +{ + .cycustnvl +0 { * (.cycustnvl) } +} + +CYWOLATCH 0x90100000 +{ + .cywolatch +0 { * (.cywolatch) } +} + +#if defined(CYDEV_ALLOCATE_EEPROM) + + CYEEPROM 0x90200000 + EE_OFFSET (EE_SIZE) + { + .cyeeprom +0 { * (.cyeeprom) } + } + +#endif + +CYFLASHPROTECT 0x90400000 +{ + .cyflashprotect +0 { * (.cyflashprotect) } +} + +CYMETA 0x90500000 +{ + .cymeta +0 { * (.cymeta) } +} + +#if (CYDEV_PROJ_TYPE == CYDEV_PROJ_TYPE_LOADABLE) + + CYLOADERMETA +0 + { + .cyloadermeta +0 { * (.cyloadermeta) } + } + +#endif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Start.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Start.c new file mode 100644 index 0000000..f4d6607 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Start.c @@ -0,0 +1,461 @@ +/******************************************************************************* +* File Name: Cm3Start.c +* Version 4.0 +* +* Description: +* Startup code for the ARM CM3. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "cydevice_trm.h" +#include "cytypes.h" +#include "cyfitter_cfg.h" +#include "CyLib.h" +#include "CyDmac.h" +#include "cyfitter.h" + +#define CY_NUM_INTERRUPTS (32u) +#define CY_NUM_VECTORS (CYINT_IRQ_BASE + CY_NUM_INTERRUPTS) +#define CY_NUM_ROM_VECTORS (4u) +#define CY_NVIC_APINT_PTR ((reg32 *) CYREG_NVIC_APPLN_INTR) +#define CY_NVIC_CFG_CTRL_PTR ((reg32 *) CYREG_NVIC_CFG_CONTROL) +#define CY_NVIC_APINT_PRIGROUP_3_5 (0x00000400u) /* Priority group 3.5 split */ +#define CY_NVIC_APINT_VECTKEY (0x05FA0000u) /* This key is required in order to write the NVIC_APINT register */ +#define CY_NVIC_CFG_STACKALIGN (0x00000200u) /* This specifies that the exception stack must be 8 byte aligned */ + + +/* Extern functions */ +extern void CyBtldr_CheckLaunch(void); + +/* Function prototypes */ +void initialize_psoc(void); +CY_ISR(IntDefaultHandler); +void Reset(void); +CY_ISR(IntDefaultHandler); + +#if defined(__ARMCC_VERSION) + #define INITIAL_STACK_POINTER ((cyisraddress)(uint32)&Image$$ARM_LIB_STACK$$ZI$$Limit) +#elif defined (__GNUC__) + #define INITIAL_STACK_POINTER (&__cy_stack) +#elif defined (__ICCARM__) + #pragma language=extended + #pragma segment="CSTACK" + #define INITIAL_STACK_POINTER { .__ptr = __sfe( "CSTACK" ) } + + extern void __iar_program_start( void ); + extern void __iar_data_init3 (void); +#endif /* (__ARMCC_VERSION) */ + +/* Global variables */ +#if !defined (__ICCARM__) + CY_NOINIT static uint32 cySysNoInitDataValid; +#endif /* !defined (__ICCARM__) */ + + +/******************************************************************************* +* Default Ram Interrupt Vector table storage area. Must be 256-byte aligned. +*******************************************************************************/ +#if defined (__ICCARM__) + #pragma location=".ramvectors" + #pragma data_alignment=256 +#else + CY_SECTION(".ramvectors") + CY_ALIGN(256) +#endif /* defined (__ICCARM__) */ +cyisraddress CyRamVectors[CY_NUM_VECTORS]; + + +/******************************************************************************* +* Function Name: IntDefaultHandler +******************************************************************************** +* +* Summary: +* This function is called for all interrupts, other than reset, that get +* called before the system is setup. +* +* Parameters: +* None +* +* Return: +* None +* +* Theory: +* Any value other than zero is acceptable. +* +*******************************************************************************/ +CY_ISR(IntDefaultHandler) +{ + + while(1) + { + /*********************************************************************** + * We should never get here. If we do, a serious problem occured, so go + * into an infinite loop. + ***********************************************************************/ + } +} + + +#if defined(__ARMCC_VERSION) + +/* Local function for the device reset. */ +extern void Reset(void); + +/* Application entry point. */ +extern void $Super$$main(void); + +/* Linker-generated Stack Base addresses, Two Region and One Region */ +extern uint32 Image$$ARM_LIB_STACK$$ZI$$Limit; + +/* RealView C Library initialization. */ +extern int __main(void); + + +/******************************************************************************* +* Function Name: Reset +******************************************************************************** +* +* Summary: +* This function handles the reset interrupt for the RVDS/MDK toolchains. +* This is the first bit of code that is executed at startup. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void Reset(void) +{ + #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + + /* For PSoC 5LP, debugging is enabled by default */ + #if(CYDEV_DEBUGGING_ENABLE == 0) + *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + #endif /* (CYDEV_DEBUGGING_ENABLE) */ + + /* Reset Status Register has Read-to-clear SW access mode. + * Preserve current RESET_SR0 state to make it available for next reading. + */ + *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + + #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + + #if(CYDEV_BOOTLOADER_ENABLE) + CyBtldr_CheckLaunch(); + #endif /* (CYDEV_BOOTLOADER_ENABLE) */ + + __main(); +} + + +/******************************************************************************* +* Function Name: $Sub$$main +******************************************************************************** +* +* Summary: +* This function is called imediatly before the users main +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void $Sub$$main(void) +{ + initialize_psoc(); + + /* Call original main */ + $Super$$main(); + + while (1) + { + /* If main returns it is undefined what we should do. */ + } +} + +#elif defined(__GNUC__) + +void Start_c(void); + +/* Stack Base address */ +extern void __cy_stack(void); + +/* Application entry point. */ +extern int main(void); + +/* The static objects constructors initializer */ +extern void __libc_init_array(void); + +typedef unsigned char __cy_byte_align8 __attribute ((aligned (8))); + +struct __cy_region +{ + __cy_byte_align8 *init; /* Initial contents of this region. */ + __cy_byte_align8 *data; /* Start address of region. */ + size_t init_size; /* Size of initial data. */ + size_t zero_size; /* Additional size to be zeroed. */ +}; + +extern const struct __cy_region __cy_regions[]; +extern const char __cy_region_num __attribute__((weak)); +#define __cy_region_num ((size_t)&__cy_region_num) + + +/******************************************************************************* +* Function Name: Reset +******************************************************************************** +* +* Summary: +* This function handles the reset interrupt for the GCC toolchain. This is the +* first bit of code that is executed at startup. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void Reset(void) +{ + #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + + /* For PSoC 5LP, debugging is enabled by default */ + #if(CYDEV_DEBUGGING_ENABLE == 0) + *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + #endif /* (CYDEV_DEBUGGING_ENABLE) */ + + /* Reset Status Register has Read-to-clear SW access mode. + * Preserve current RESET_SR0 state to make it available for next reading. + */ + *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + + #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + + #if(CYDEV_BOOTLOADER_ENABLE) + CyBtldr_CheckLaunch(); + #endif /* (CYDEV_BOOTLOADER_ENABLE) */ + + Start_c(); +} + +__attribute__((weak)) +void _exit(int status) +{ + /* Cause a divide by 0 exception */ + int x = status / INT_MAX; + x = 4 / x; + + while(1) + { + } +} + +/******************************************************************************* +* Function Name: Start_c +******************************************************************************** +* +* Summary: +* This function handles initializing the .data and .bss sections in +* preperation for running standard C code. Once initialization is complete +* it will call main(). This function will never return. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void Start_c(void) __attribute__ ((noreturn)); +void Start_c(void) +{ + unsigned regions = __cy_region_num; + const struct __cy_region *rptr = __cy_regions; + + /* Initialize memory */ + for (regions = __cy_region_num, rptr = __cy_regions; regions--; rptr++) + { + uint32 *src = (uint32 *)rptr->init; + uint32 *dst = (uint32 *)rptr->data; + unsigned limit = rptr->init_size; + unsigned count; + + for (count = 0u; count != limit; count += sizeof (uint32)) + { + *dst++ = *src++; + } + limit = rptr->zero_size; + for (count = 0u; count != limit; count += sizeof (uint32)) + { + *dst++ = 0u; + } + } + + /* Invoke static objects constructors */ + __libc_init_array(); + (void) main(); + + while (1) + { + /* If main returns, make sure we don't return. */ + } +} + + +#elif defined (__ICCARM__) + +/******************************************************************************* +* Function Name: __low_level_init +******************************************************************************** +* +* Summary: +* This function perform early initializations for the IAR Embedded +* Workbench IDE. It is executed in the context of reset interrupt handler +* before the data sections are initialized. +* +* Parameters: +* None +* +* Return: +* The value that determines whether or not data sections should be initialized +* by the system startup code: +* 0 - skip data sections initialization; +* 1 - initialize data sections; +* +*******************************************************************************/ +int __low_level_init(void) +{ + #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + + /* For PSoC 5LP, debugging is enabled by default */ + #if(CYDEV_DEBUGGING_ENABLE == 0) + *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + #endif /* (CYDEV_DEBUGGING_ENABLE) */ + + /* Reset Status Register has Read-to-clear SW access mode. + * Preserve current RESET_SR0 state to make it available for next reading. + */ + *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + + #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + + #if (CYDEV_BOOTLOADER_ENABLE) + CyBtldr_CheckLaunch(); + #endif /* CYDEV_BOOTLOADER_ENABLE */ + + /* Initialize data sections */ + __iar_data_init3(); + + initialize_psoc(); + + return 0; +} + +#endif /* __GNUC__ */ + + +/******************************************************************************* +* +* Default Rom Interrupt Vector table. +* +*******************************************************************************/ +#if defined(__ARMCC_VERSION) + /* Suppress diagnostic message 1296-D: extended constant initialiser used */ + #pragma diag_suppress 1296 +#endif /* defined(__ARMCC_VERSION) */ + +#if defined (__ICCARM__) + #pragma location=".romvectors" + const intvec_elem __vector_table[CY_NUM_ROM_VECTORS] = +#else + CY_SECTION(".romvectors") + const cyisraddress RomVectors[CY_NUM_ROM_VECTORS] = +#endif /* defined (__ICCARM__) */ +{ + INITIAL_STACK_POINTER, /* The initial stack pointer 0 */ + #if defined (__ICCARM__) /* The reset handler 1 */ + __iar_program_start, + #else + (cyisraddress)&Reset, + #endif /* defined (__ICCARM__) */ + &IntDefaultHandler, /* The NMI handler 2 */ + &IntDefaultHandler, /* The hard fault handler 3 */ +}; + +#if defined(__ARMCC_VERSION) + #pragma diag_default 1296 +#endif /* defined(__ARMCC_VERSION) */ + + +/******************************************************************************* +* Function Name: initialize_psoc +******************************************************************************** +* +* Summary: +* This function used to initialize the PSoC chip before calling main. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +#if (defined(__GNUC__) && !defined(__ARMCC_VERSION)) +__attribute__ ((constructor(101))) +#endif +void initialize_psoc(void) +{ + uint32 i; + + /* Set Priority group 5. */ + + /* Writes to NVIC_APINT register require the VECTKEY in the upper half */ + *CY_NVIC_APINT_PTR = CY_NVIC_APINT_VECTKEY | CY_NVIC_APINT_PRIGROUP_3_5; + *CY_NVIC_CFG_CTRL_PTR |= CY_NVIC_CFG_STACKALIGN; + + /* Set Ram interrupt vectors to default functions. */ + for (i = 0u; i < CY_NUM_VECTORS; i++) + { + #if defined (__ICCARM__) + CyRamVectors[i] = (i < CY_NUM_ROM_VECTORS) ? __vector_table[i].__fun : &IntDefaultHandler; + #else + CyRamVectors[i] = (i < CY_NUM_ROM_VECTORS) ? RomVectors[i] : &IntDefaultHandler; + #endif /* defined (__ICCARM__) */ + } + + /* Was stored in CFGMEM to avoid being cleared while SRAM gets cleared */ + CyResetStatus = CY_GET_REG8(CYREG_PHUB_CFGMEM23_CFG1); + + /* Point NVIC at the RAM vector table. */ + *CYINT_VECT_TABLE = CyRamVectors; + + /* Initialize the configuration registers. */ + cyfitter_cfg(); + + #if(0u != DMA_CHANNELS_USED__MASK0) + + /* Setup DMA - only necessary if the design contains a DMA component. */ + CyDmacConfigure(); + + #endif /* (0u != DMA_CHANNELS_USED__MASK0) */ + + #if !defined (__ICCARM__) + /* Actually, no need to clean this variable, just to make compiler happy. */ + cySysNoInitDataValid = 0u; + #endif /* !defined (__ICCARM__) */ +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s new file mode 100644 index 0000000..a8797f7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s @@ -0,0 +1,174 @@ +/******************************************************************************* +* File Name: CyBootAsmGnu.s +* Version 4.0 +* +* Description: +* Assembly routines for GNU as. +* +******************************************************************************** +* Copyright 2010-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +.include "cyfittergnu.inc" + +.syntax unified +.text +.thumb + + +/******************************************************************************* +* Function Name: CyDelayCycles +******************************************************************************** +* +* Summary: +* Delays for the specified number of cycles. +* +* Parameters: +* uint32 cycles: number of cycles to delay. +* +* Return: +* None +* +*******************************************************************************/ +/* void CyDelayCycles(uint32 cycles) */ +.align 3 /* Align to 8 byte boundary (2^n) */ +.global CyDelayCycles +.func CyDelayCycles, CyDelayCycles +.type CyDelayCycles, %function +.thumb_func +CyDelayCycles: /* cycles bytes */ +/* If ICache is enabled */ +.ifeq CYDEV_INSTRUCT_CACHE_ENABLED - 1 + + ADDS r0, r0, #2 /* 1 2 Round to nearest multiple of 4 */ + LSRS r0, r0, #2 /* 1 2 Divide by 4 and set flags */ + BEQ CyDelayCycles_done /* 2 2 Skip if 0 */ + NOP /* 1 2 Loop alignment padding */ + +CyDelayCycles_loop: + SUBS r0, r0, #1 /* 1 2 */ + MOV r0, r0 /* 1 2 Pad loop to power of two cycles */ + BNE CyDelayCycles_loop /* 2 2 */ + +CyDelayCycles_done: + BX lr /* 3 2 */ + +.else + + CMP r0, #20 /* 1 2 If delay is short - jump to cycle */ + BLS CyDelayCycles_short /* 1 2 */ + PUSH {r1} /* 2 2 PUSH r1 to stack */ + MOVS r1, #1 /* 1 2 */ + + SUBS r0, r0, #20 /* 1 2 Subtract overhead */ + LDR r1,=CYREG_CACHE_CC_CTL/* 2 2 Load flash wait cycles value */ + LDRB r1, [r1, #0] /* 2 2 */ + ANDS r1, #0xC0 /* 1 2 */ + + LSRS r1, r1, #6 /* 1 2 */ + PUSH {r2} /* 1 2 PUSH r2 to stack */ + LDR r2, =cy_flash_cycles /* 2 2 */ + LDRB r1, [r2, r1] /* 2 2 */ + + POP {r2} /* 2 2 POP r2 from stack */ + NOP /* 1 2 Alignment padding */ + NOP /* 1 2 Alignment padding */ + NOP /* 1 2 Alignment padding */ + +CyDelayCycles_loop: + SBCS r0, r0, r1 /* 1 2 */ + BPL CyDelayCycles_loop /* 3 2 */ + NOP /* 1 2 Loop alignment padding */ + NOP /* 1 2 Loop alignment padding */ + + POP {r1} /* 2 2 POP r1 from stack */ +CyDelayCycles_done: + BX lr /* 3 2 */ + NOP /* 1 2 Alignment padding */ + NOP /* 1 2 Alignment padding */ + +CyDelayCycles_short: + SBCS r0, r0, #4 /* 1 2 */ + BPL CyDelayCycles_short /* 3 2 */ + BX lr /* 3 2 */ + +cy_flash_cycles: +.byte 0x0B +.byte 0x05 +.byte 0x07 +.byte 0x09 +.endif + +.endfunc + + +/******************************************************************************* +* Function Name: CyEnterCriticalSection +******************************************************************************** +* +* Summary: +* CyEnterCriticalSection disables interrupts and returns a value indicating +* whether interrupts were previously enabled (the actual value depends on +* whether the device is PSoC 3 or PSoC 5). +* +* Note Implementation of CyEnterCriticalSection manipulates the IRQ enable bit +* with interrupts still enabled. The test and set of the interrupt bits is not +* atomic; this is true for both PSoC 3 and PSoC 5. Therefore, to avoid +* corrupting processor state, it must be the policy that all interrupt routines +* restore the interrupt enable bits as they were found on entry. +* +* Parameters: +* None +* +* Return: +* uint8 +* Returns 0 if interrupts were previously enabled or 1 if interrupts +* were previously disabled. +* +*******************************************************************************/ +/* uint8 CyEnterCriticalSection(void) */ +.global CyEnterCriticalSection +.func CyEnterCriticalSection, CyEnterCriticalSection +.type CyEnterCriticalSection, %function +.thumb_func +CyEnterCriticalSection: + MRS r0, PRIMASK /* Save and return interrupt state */ + CPSID I /* Disable interrupts */ + BX lr +.endfunc + + +/******************************************************************************* +* Function Name: CyExitCriticalSection +******************************************************************************** +* +* Summary: +* CyExitCriticalSection re-enables interrupts if they were enabled before +* CyEnterCriticalSection was called. The argument should be the value returned +* from CyEnterCriticalSection. +* +* Parameters: +* uint8 savedIntrStatus: +* Saved interrupt status returned by the CyEnterCriticalSection function. +* +* Return: +* None +* +*******************************************************************************/ +/* void CyExitCriticalSection(uint8 savedIntrStatus) */ +.global CyExitCriticalSection +.func CyExitCriticalSection, CyExitCriticalSection +.type CyExitCriticalSection, %function +.thumb_func +CyExitCriticalSection: + MSR PRIMASK, r0 /* Restore interrupt state */ + BX lr +.endfunc + +.end + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmIar.s b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmIar.s new file mode 100644 index 0000000..166ba87 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmIar.s @@ -0,0 +1,156 @@ +;------------------------------------------------------------------------------- +; FILENAME: CyBootAsmIar.s +; Version 4.0 +; +; DESCRIPTION: +; Assembly routines for IAR Embedded Workbench IDE. +; +;------------------------------------------------------------------------------- +; Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + SECTION .text:CODE:ROOT(4) + PUBLIC CyDelayCycles + PUBLIC CyEnterCriticalSection + PUBLIC CyExitCriticalSection + INCLUDE cyfitteriar.inc + THUMB + + +;------------------------------------------------------------------------------- +; Function Name: CyEnterCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyEnterCriticalSection disables interrupts and returns a value indicating +; whether interrupts were previously enabled. +; +; Note Implementation of CyEnterCriticalSection manipulates the IRQ enable bit +; with interrupts still enabled. The test and set of the interrupt bits is not +; atomic. Therefore, to avoid corrupting processor state, it must be the policy +; that all interrupt routines restore the interrupt enable bits as they were +; found on entry. +; +; Parameters: +; None +; +; Return: +; uint8 +; Returns 0 if interrupts were previously enabled or 1 if interrupts +; were previously disabled. +; +;------------------------------------------------------------------------------- +; uint8 CyEnterCriticalSection(void) + +CyEnterCriticalSection: + MRS r0, PRIMASK ; Save and return interrupt state + CPSID I ; Disable interrupts + BX lr + + +;------------------------------------------------------------------------------- +; Function Name: CyExitCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyExitCriticalSection re-enables interrupts if they were enabled before +; CyEnterCriticalSection was called. The argument should be the value returned +; from CyEnterCriticalSection. +; +; Parameters: +; uint8 savedIntrStatus: +; Saved interrupt status returned by the CyEnterCriticalSection function. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyExitCriticalSection(uint8 savedIntrStatus) + +CyExitCriticalSection: + MSR PRIMASK, r0 ; Restore interrupt state + BX lr + + +;------------------------------------------------------------------------------- +; Function Name: CyDelayCycles +;------------------------------------------------------------------------------- +; +; Summary: +; Delays for the specified number of cycles. +; +; Parameters: +; uint32 cycles: number of cycles to delay. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyDelayCycles(uint32 cycles) + +CyDelayCycles: + IF CYDEV_INSTRUCT_CACHE_ENABLED == 1 + ; cycles bytes + ADDS r0, r0, #2 ; 1 2 Round to nearest multiple of 4 + LSRS r0, r0, #2 ; 1 2 Divide by 4 and set flags + BEQ CyDelayCycles_done ; 2 2 Skip if 0 + NOP ; 1 2 Loop alignment padding +CyDelayCycles_loop: + SUBS r0, r0, #1 ; 1 2 + MOV r0, r0 ; 1 2 Pad loop to power of two cycles + BNE CyDelayCycles_loop ; 2 2 +CyDelayCycles_done: + BX lr ; 3 2 + + ELSE + + CMP r0, #20 ; 1 2 If delay is short - jump to cycle + BLS CyDelayCycles_short ; 1 2 + PUSH {r1} ; 2 2 PUSH r1 to stack + MOVS r1, #1 ; 1 2 + + SUBS r0, r0, #20 ; 1 2 Subtract overhead + LDR r1,=CYREG_CACHE_CC_CTL; 2 2 Load flash wait cycles value + LDRB r1, [r1, #0] ; 2 2 + ANDS r1, r1, #0xC0 ; 1 2 + + LSRS r1, r1, #6 ; 1 2 + PUSH {r2} ; 1 2 PUSH r2 to stack + LDR r2, =cy_flash_cycles ; 2 2 + LDRB r1, [r2, r1] ; 2 2 + + POP {r2} ; 2 2 POP r2 from stack + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + +CyDelayCycles_loop: + SBCS r0, r0, r1 ; 1 2 + BPL CyDelayCycles_loop ; 3 2 + NOP ; 1 2 Loop alignment padding + NOP ; 1 2 Loop alignment padding + + POP {r1} ; 2 2 POP r1 from stack +CyDelayCycles_done: + BX lr ; 3 2 + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding +CyDelayCycles_short: + SBCS r0, r0, #4 ; 1 2 + BPL CyDelayCycles_short ; 3 2 + BX lr ; 3 2 + NOP ; 1 2 Loop alignment padding + + DATA +cy_flash_cycles: +byte_1 DCB 0x0B +byte_2 DCB 0x05 +byte_3 DCB 0x07 +byte_4 DCB 0x09 + + ENDIF + + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmRv.s b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmRv.s new file mode 100644 index 0000000..6c40635 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmRv.s @@ -0,0 +1,161 @@ +;------------------------------------------------------------------------------- +; FILENAME: CyBootAsmRv.s +; Version 4.0 +; +; DESCRIPTION: +; Assembly routines for RealView. +; +;------------------------------------------------------------------------------- +; Copyright 2010-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + AREA |.text|,CODE,ALIGN=3 + THUMB + EXTERN Reset + + GET cyfitterrv.inc + +;------------------------------------------------------------------------------- +; Function Name: CyDelayCycles +;------------------------------------------------------------------------------- +; +; Summary: +; Delays for the specified number of cycles. +; +; Parameters: +; uint32 cycles: number of cycles to delay. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyDelayCycles(uint32 cycles) + ALIGN 8 +CyDelayCycles FUNCTION + EXPORT CyDelayCycles + IF CYDEV_INSTRUCT_CACHE_ENABLED == 1 + ; cycles bytes + ADDS r0, r0, #2 ; 1 2 Round to nearest multiple of 4 + LSRS r0, r0, #2 ; 1 2 Divide by 4 and set flags + BEQ CyDelayCycles_done ; 2 2 Skip if 0 + NOP ; 1 2 Loop alignment padding +CyDelayCycles_loop + SUBS r0, r0, #1 ; 1 2 + MOV r0, r0 ; 1 2 Pad loop to power of two cycles + BNE CyDelayCycles_loop ; 2 2 + NOP ; 1 2 Loop alignment padding +CyDelayCycles_done + BX lr ; 3 2 + + ELSE + + CMP r0, #20 ; 1 2 If delay is short - jump to cycle + BLS CyDelayCycles_short ; 1 2 + PUSH {r1} ; 2 2 PUSH r1 to stack + MOVS r1, #1 ; 1 2 + + SUBS r0, r0, #20 ; 1 2 Subtract overhead + LDR r1,=CYREG_CACHE_CC_CTL; 2 2 Load flash wait cycles value + LDRB r1, [r1, #0] ; 2 2 + ANDS r1, #0xC0 ; 1 2 + + LSRS r1, r1, #6 ; 1 2 + PUSH {r2} ; 1 2 PUSH r2 to stack + LDR r2, =cy_flash_cycles ; 2 2 + LDRB r1, [r2, r1] ; 2 2 + + POP {r2} ; 2 2 POP r2 from stack + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + +CyDelayCycles_loop + SBCS r0, r0, r1 ; 1 2 + BPL CyDelayCycles_loop ; 3 2 + NOP ; 1 2 Loop alignment padding + NOP ; 1 2 Loop alignment padding + + POP {r1} ; 2 2 POP r1 from stack +CyDelayCycles_done + BX lr ; 3 2 + NOP ; 1 2 Alignment padding + NOP ; 1 2 Alignment padding + +CyDelayCycles_short + SBCS r0, r0, #4 ; 1 2 + BPL CyDelayCycles_short ; 3 2 + BX lr ; 3 2 + +cy_flash_cycles +byte_1 DCB 0x0B +byte_2 DCB 0x05 +byte_3 DCB 0x07 +byte_4 DCB 0x09 + + ENDIF + ENDFUNC + + +;------------------------------------------------------------------------------- +; Function Name: CyEnterCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyEnterCriticalSection disables interrupts and returns a value indicating +; whether interrupts were previously enabled (the actual value depends on +; whether the device is PSoC 3 or PSoC 5). +; +; Note Implementation of CyEnterCriticalSection manipulates the IRQ enable bit +; with interrupts still enabled. The test and set of the interrupt bits is not +; atomic; this is true for both PSoC 3 and PSoC 5. Therefore, to avoid +; corrupting processor state, it must be the policy that all interrupt routines +; restore the interrupt enable bits as they were found on entry. +; +; Parameters: +; None +; +; Return: +; uint8 +; Returns 0 if interrupts were previously enabled or 1 if interrupts +; were previously disabled. +; +;------------------------------------------------------------------------------- +; uint8 CyEnterCriticalSection(void) +CyEnterCriticalSection FUNCTION + EXPORT CyEnterCriticalSection + MRS r0, PRIMASK ; Save and return interrupt state + CPSID I ; Disable interrupts + BX lr + ENDFUNC + + +;------------------------------------------------------------------------------- +; Function Name: CyExitCriticalSection +;------------------------------------------------------------------------------- +; +; Summary: +; CyExitCriticalSection re-enables interrupts if they were enabled before +; CyEnterCriticalSection was called. The argument should be the value returned +; from CyEnterCriticalSection. +; +; Parameters: +; uint8 savedIntrStatus: +; Saved interrupt status returned by the CyEnterCriticalSection function. +; +; Return: +; None +; +;------------------------------------------------------------------------------- +; void CyExitCriticalSection(uint8 savedIntrStatus) +CyExitCriticalSection FUNCTION + EXPORT CyExitCriticalSection + MSR PRIMASK, r0 ; Restore interrupt state + BX lr + ENDFUNC + + END + +; [] END OF FILE diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.c new file mode 100644 index 0000000..f4983c3 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.c @@ -0,0 +1,1131 @@ +/******************************************************************************* +* File Name: CyDmac.c +* Version 4.0 +* +* Description: +* Provides an API for the DMAC component. The API includes functions for the +* DMA controller, DMA channels and Transfer Descriptors. +* +* This API is the library version not the auto generated code that gets +* generated when the user places a DMA component on the schematic. +* +* The auto generated code would use the APi's in this module. +* +* Note: +* This code is endian agnostic. +* +* The Transfer Descriptor memory can be used as regular memory if the TD's are +* not being used. +* +* This code uses the first byte of each TD to manage the free list of TD's. +* The user can over write this once the TD is allocated. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyDmac.h" + + +/******************************************************************************* +* The following variables are initialized from CyDmacConfigure() function that +* is executed from initialize_psoc() at the early initialization stage. +* In case of IAR EW IDE, initialize_psoc() is executed before the data sections +* are initialized. To avoid zeroing, these variables should be initialized +* properly during segments initialization as well. +*******************************************************************************/ +static uint8 CyDmaTdCurrentNumber = CY_DMA_NUMBEROF_TDS; /* Current Number of free elements in the list */ +static uint8 CyDmaTdFreeIndex = (uint8)(CY_DMA_NUMBEROF_TDS - 1u); /* Index of the first available TD */ +static uint32 CyDmaChannels = DMA_CHANNELS_USED__MASK0; /* Bit map of DMA channel ownership */ + + +/******************************************************************************* +* Function Name: CyDmacConfigure +******************************************************************************** +* +* Summary: +* Creates a linked list of all the TDs to be allocated. This function is called +* by the startup code; you do not normally need to call it. You could call this +* function if all of the DMA channels are inactive. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyDmacConfigure(void) +{ + uint8 dmaIndex; + + /* Set TD list variables. */ + CyDmaTdFreeIndex = (uint8)(CY_DMA_NUMBEROF_TDS - 1u); + CyDmaTdCurrentNumber = CY_DMA_NUMBEROF_TDS; + + /* Make TD free list. */ + for(dmaIndex = (uint8)(CY_DMA_NUMBEROF_TDS - 1u); dmaIndex != 0u; dmaIndex--) + { + CY_DMA_TDMEM_STRUCT_PTR[dmaIndex].TD0[0u] = (uint8)(dmaIndex - 1u); + } + + /* Make the last one point to zero. */ + CY_DMA_TDMEM_STRUCT_PTR[dmaIndex].TD0[0u] = 0u; +} + + +/******************************************************************************* +* Function Name: CyDmacError +******************************************************************************** +* +* Summary: +* Returns errors of the last failed DMA transaction. +* +* Parameters: +* None +* +* Return: +* Errors of the last failed DMA transaction. +* +* DMAC_PERIPH_ERR: +* Set to 1 when a peripheral responds to a bus transaction with an error +* response. +* +* DMAC_UNPOP_ACC: +* Set to 1 when an access is attempted to an invalid address. +* +* DMAC_BUS_TIMEOUT: +* Set to 1 when a bus timeout occurs. Cleared by writing a 1. Timeout values +* are determined by the BUS_TIMEOUT field in the PHUBCFG register. +* +* Theory: +* Once an error occurs the error bits are sticky and are only cleared by a +* write 1 to the error register. +* +*******************************************************************************/ +uint8 CyDmacError(void) +{ + return((uint8)(((uint32) 0x0Fu) & *CY_DMA_ERR_PTR)); +} + + +/******************************************************************************* +* Function Name: CyDmacClearError +******************************************************************************** +* +* Summary: +* Clears the error bits in the error register of the DMAC. +* +* Parameters: +* error: +* Clears the error bits in the DMAC error register. +* +* DMAC_PERIPH_ERR: +* Set to 1 when a peripheral responds to a bus transaction with an error +* response. +* +* DMAC_UNPOP_ACC: +* Set to 1 when an access is attempted to an invalid address. +* +* DMAC_BUS_TIMEOUT: +* Set to 1 when a bus timeout occurs. Cleared by writing a 1. Timeout values +* are determined by the BUS_TIMEOUT field in the PHUBCFG register. +* +* Return: +* None +* +* Theory: +* Once an error occurs the error bits are sticky and are only cleared by a +* write 1 to the error register. +* +*******************************************************************************/ +void CyDmacClearError(uint8 error) +{ + *CY_DMA_ERR_PTR = (((uint32)0x0Fu) & ((uint32)error)); +} + + +/******************************************************************************* +* Function Name: CyDmacErrorAddress +******************************************************************************** +* +* Summary: +* When an DMAC_BUS_TIMEOUT, DMAC_UNPOP_ACC and DMAC_PERIPH_ERR occurs the +* address of the error is written to the error address register and can be read +* with this function. +* +* If there are multiple errors, only the address of the first is saved. +* +* Parameters: +* None +* +* Return: +* The address that caused the error. +* +*******************************************************************************/ +uint32 CyDmacErrorAddress(void) +{ + return(CY_GET_REG32(CY_DMA_ERR_ADR_PTR)); +} + + +/******************************************************************************* +* Function Name: CyDmaChAlloc +******************************************************************************** +* +* Summary: +* Allocates a channel from the DMAC to be used in all functions that require a +* channel handle. +* +* Parameters: +* None +* +* Return: +* The allocated channel number. Zero is a valid channel number. +* DMA_INVALID_CHANNEL is returned if there are no channels available. +* +*******************************************************************************/ +uint8 CyDmaChAlloc(void) +{ + uint8 interruptState; + uint8 dmaIndex; + uint32 channel = 1u; + + + /* Enter critical section! */ + interruptState = CyEnterCriticalSection(); + + /* Look for a free channel. */ + for(dmaIndex = 0u; dmaIndex < CY_DMA_NUMBEROF_CHANNELS; dmaIndex++) + { + if(0uL == (CyDmaChannels & channel)) + { + /* Mark the channel as used. */ + CyDmaChannels |= channel; + break; + } + + channel <<= 1u; + } + + if(dmaIndex >= CY_DMA_NUMBEROF_CHANNELS) + { + dmaIndex = CY_DMA_INVALID_CHANNEL; + } + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + + return(dmaIndex); +} + + +/******************************************************************************* +* Function Name: CyDmaChFree +******************************************************************************** +* +* Summary: +* Frees a channel allocated by DmaChAlloc(). +* +* Parameters: +* uint8 chHandle: +* The handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChFree(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + uint8 interruptState; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Clear the bit mask that keeps track of ownership. */ + CyDmaChannels &= ~(((uint32) 1u) << chHandle); + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChEnable +******************************************************************************** +* +* Summary: +* Enables the DMA channel. A software or hardware request still must happen +* before the channel is executed. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 preserveTds: +* Preserves the original TD state when the TD has completed. This parameter +* applies to all TDs in the channel. +* +* 0 - When a TD is completed, the DMAC leaves the TD configuration values in +* their current state, and does not restore them to their original state. +* +* 1 - When a TD is completed, the DMAC restores the original configuration +* values of the TD. +* +* When preserveTds is set, the TD slot that equals the channel number becomes +* RESERVED and that becomes where the working registers exist. So, for example, +* if you are using CH06 and preserveTds is set, you are not allowed to use TD +* slot 6. That is reclaimed by the DMA engine for its private use. +* +* Note Do not chain back to a completed TD if the preserveTds for the channel +* is set to 0. When a TD has completed preserveTds for the channel set to 0, +* the transfer count will be at 0. If a TD with a transfer count of 0 is +* started, the TD will transfer an indefinite amount of data. +* +* Take extra precautions when using the hardware request (DRQ) option when the +* preserveTds is set to 0, as you might be requesting the wrong data. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if (0u != preserveTds) + { + /* Store the intermediate TD states separately in CHn_SEP_TD0/1 to + * preserve the original TD chain + */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= CY_DMA_CH_BASIC_CFG_WORK_SEP; + } + else + { + /* Store the intermediate and final TD states on top of the original TD chain */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_CH_BASIC_CFG_WORK_SEP); + } + + /* Enable channel */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= CY_DMA_CH_BASIC_CFG_EN; + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChDisable +******************************************************************************** +* +* Summary: +* Disables the DMA channel. Once this function is called, CyDmaChStatus() may +* be called to determine when the channel is disabled and which TDs were being +* executed. +* +* If it is currently executing it will allow the current burst to finish +* naturally. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChDisable(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /*********************************************************************** + * Should not change configuration information of a DMA channel when it + * is active (or vulnerable to becoming active). + ***********************************************************************/ + + /* Disable channel */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~CY_DMA_CH_BASIC_CFG_EN)); + + /* Store the intermediate and final TD states on top of the original TD chain */ + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~CY_DMA_CH_BASIC_CFG_WORK_SEP)); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaClearPendingDrq +******************************************************************************** +* +* Summary: +* Clears pending DMA data request. +* +* Parameters: +* uint8 chHandle: +* Handle to the dma channel. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaClearPendingDrq(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].action[0] |= CY_DMA_CPU_TERM_CHAIN; + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] |= 0x01u; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChPriority +******************************************************************************** +* +* Summary: +* Sets the priority of a DMA channel. You can use this function when you want +* to change the priority at run time. If the priority remains the same for a +* DMA channel, then you can configure the priority in the .cydwr file. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 priority: +* Priority to set the channel to, 0 - 7. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) +{ + uint8 value; + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + value = CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~(0x0Eu))); + + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] = value | ((uint8) ((priority & 0x7u) << 0x01u)); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetExtendedAddress +******************************************************************************** +* +* Summary: +* Sets the high 16 bits of the source and destination addresses for the DMA +* channel (valid for all TDs in the chain). +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint16 source: +* Upper 16 bit address of the DMA transfer source. +* +* uint16 destination: +* Upper 16 bit address of the DMA transfer destination. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination) \ + +{ + cystatus status = CYRET_BAD_PARAM; + reg16 *convert; + + #if(CY_PSOC5) + + /* 0x1FFF8000-0x1FFFFFFF needs to use alias at 0x20008000-0x2000FFFF */ + if(source == 0x1FFFu) + { + source = 0x2000u; + } + + if(destination == 0x1FFFu) + { + destination = 0x2000u; + } + + #endif /* (CY_PSOC5) */ + + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /* Set source address */ + convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[0]; + CY_SET_REG16(convert, source); + + /* Set destination address */ + convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[2u]; + CY_SET_REG16(convert, destination); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetInitialTd +******************************************************************************** +* +* Summary: +* Sets the initial TD to be executed for the channel when the CyDmaChEnable() +* function is called. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). +* +* uint8 startTd: +* The index of TD to set as the first TD associated with the channel. Zero is +* a valid TD index. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1u] = startTd; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetRequest +******************************************************************************** +* +* Summary: +* Allows the caller to terminate a chain of TDs, terminate one TD, or create a +* direct request to start the DMA channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 request: +* One of the following constants. Each of the constants is a three-bit value. +* +* CPU_REQ - Create a direct request to start the DMA channel +* CPU_TERM_TD - Terminate one TD +* CPU_TERM_CHAIN - Terminate a chain of TDs +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] |= (request & (CPU_REQ | CPU_TERM_TD | CPU_TERM_CHAIN)); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChGetRequest +******************************************************************************** +* +* Summary: +* This function allows the caller of CyDmaChSetRequest() to determine if the +* request was completed. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* Returns a three-bit field, corresponding to the three bits of the request, +* which describes the state of the previously posted request. If the value is +* zero, the request was completed. CY_DMA_INVALID_CHANNEL if the handle is +* invalid. +* +*******************************************************************************/ +cystatus CyDmaChGetRequest(uint8 chHandle) +{ + cystatus status = CY_DMA_INVALID_CHANNEL; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + status = (cystatus) ((uint32)CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] & + (uint32)(CY_DMA_CPU_REQ | CY_DMA_CPU_TERM_TD | CY_DMA_CPU_TERM_CHAIN)); + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChStatus +******************************************************************************** +* +* Summary: +* Determines the status of the DMA channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 * currentTd: +* The address to store the index of the current TD. Can be NULL if the value +* is not needed. +* +* uint8 * state: +* The address to store the state of the channel. Can be NULL if the value is +* not needed. +* +* STATUS_TD_ACTIVE +* 0: Channel is not currently being serviced by DMAC +* 1: Channel is currently being serviced by DMAC +* +* STATUS_CHAIN_ACTIVE +* 0: TD chain is inactive; either no DMA requests have triggered a new chain +* or the previous chain has completed. +* 1: TD chain has been triggered by a DMA request +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +* Theory: +* The caller can check on the activity of the Current TD and the Chain. +* +*******************************************************************************/ +cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if(NULL != currentTd) + { + *currentTd = CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1] & 0x7Fu; + } + + if(NULL != state) + { + *state= CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[0]; + } + + status = CYRET_SUCCESS; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetConfiguration +******************************************************************************** +* +* Summary: +* Sets configuration information of the channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). +* +* uint8 burstCount: +* Specifies the size of bursts (1 to 127) the data transfer should be divided +* into. If this value is zero then the whole transfer is done in one burst. +* +* uint8 requestPerBurst: +* The whole of the data can be split into multiple bursts, if this is +* required to complete the transaction: +* 0: All subsequent bursts after the first burst will be automatically +* requested and carried out +* 1: All subsequent bursts after the first burst must also be individually +* requested. +* +* uint8 tdDone0: +* Selects one of the TERMOUT0 interrupt lines to signal completion. The line +* connected to the nrq terminal will determine the TERMOUT0_SEL definition and +* should be used as supplied by cyfitter.h +* +* uint8 tdDone1: +* Selects one of the TERMOUT1 interrupt lines to signal completion. The line +* connected to the nrq terminal will determine the TERMOUT1_SEL definition and +* should be used as supplied by cyfitter.h +* +* uint8 tdStop: +* Selects one of the TERMIN interrupt lines to signal to the DMAC that the TD +* should terminate. The signal connected to the trq terminal will determine +* which TERMIN (termination request) is used. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, + uint8 tdDone0, uint8 tdDone1, uint8 tdStop) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBurst & 0x1u) << 7u)); + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[1] = ((uint8)((tdDone1 & 0xFu) << 4u)) | (tdDone0 & 0xFu); + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[2] = 0x0Fu & tdStop; + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[3] = 0u; /* burstcount_remain. */ + + status = CYRET_SUCCESS; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdAllocate +******************************************************************************** +* +* Summary: +* Allocates a TD for use with an allocated DMA channel. +* +* Parameters: +* None +* +* Return: +* Zero-based index of the TD to be used by the caller. Since there are 128 TDs +* minus the reserved TDs (0 to 23), the value returned would range from 24 to +* 127 not 24 to 128. DMA_INVALID_TD is returned if there are no free TDs +* available. +* +*******************************************************************************/ +uint8 CyDmaTdAllocate(void) +{ + uint8 interruptState; + uint8 element = CY_DMA_INVALID_TD; + + /* Enter critical section! */ + interruptState = CyEnterCriticalSection(); + + if(CyDmaTdCurrentNumber > NUMBEROF_CHANNELS) + { + /* Get pointer to the Next available. */ + element = CyDmaTdFreeIndex; + + /* Decrement the count. */ + CyDmaTdCurrentNumber--; + + /* Update the next available pointer. */ + CyDmaTdFreeIndex = CY_DMA_TDMEM_STRUCT_PTR[element].TD0[0]; + } + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + + return(element); +} + + +/******************************************************************************* +* Function Name: CyDmaTdFree +******************************************************************************** +* +* Summary: +* Returns a TD to the free list. +* +* Parameters: +* uint8 tdHandle: +* The TD handle returned by the CyDmaTdAllocate(). +* +* Return: +* None +* +*******************************************************************************/ +void CyDmaTdFree(uint8 tdHandle) +{ + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* Enter critical section! */ + uint8 interruptState = CyEnterCriticalSection(); + + /* Get pointer to the Next available. */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u] = CyDmaTdFreeIndex; + + /* Set new Next Available. */ + CyDmaTdFreeIndex = tdHandle; + + /* Keep track of how many left. */ + CyDmaTdCurrentNumber++; + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + } +} + + +/******************************************************************************* +* Function Name: CyDmaTdFreeCount +******************************************************************************** +* +* Summary: +* Returns the number of free TDs available to be allocated. +* +* Parameters: +* None +* +* Return: +* The number of free TDs. +* +*******************************************************************************/ +uint8 CyDmaTdFreeCount(void) +{ + return(CyDmaTdCurrentNumber - CY_DMA_NUMBEROF_CHANNELS); +} + + +/******************************************************************************* +* Function Name: CyDmaTdSetConfiguration +******************************************************************************** +* +* Summary: +* Configures the TD. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 transferCount: +* The size of the data transfer (in bytes) for this TD. A size of zero will +* cause the transfer to continue indefinitely. This parameter is limited to +* 4095 bytes; the TD is not initialized at all when a higher value is passed. +* +* uint8 nextTd: +* Zero based index of the next Transfer Descriptor in the TD chain. Zero is a +* valid pointer to the next TD; DMA_END_CHAIN_TD is the end of the chain. +* DMA_DISABLE_TD indicates an end to the chain and the DMA is disabled. No +* further TDs are fetched. DMA_DISABLE_TD is only supported on PSoC3 and +* PSoC 5LP silicons. +* +* uint8 configuration: +* Stores the Bit field of configuration bits. +* +* CY_DMA_TD_SWAP_EN - Perform endian swap +* +* CY_DMA_TD_SWAP_SIZE4 - Swap size = 4 bytes +* +* CY_DMA_TD_AUTO_EXEC_NEXT - The next TD in the chain will trigger +* automatically when the current TD completes. +* +* CY_DMA_TD_TERMIN_EN - Terminate this TD if a positive edge on the trq +* input line occurs. The positive edge must occur +* during a burst. That is the only time the DMAC +* will listen for it. +* +* DMA__TD_TERMOUT_EN - When this TD completes, the TERMOUT signal will +* generate a pulse. Note that this option is +* instance specific with the instance name followed +* by two underscores. In this example, the instance +* name is DMA. +* +* CY_DMA_TD_INC_DST_ADR - Increment DST_ADR according to the size of each +* data transaction in the burst. +* +* CY_DMA_TD_INC_SRC_ADR - Increment SRC_ADR according to the size of each +* data transaction in the burst. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle or transferCount is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configuration) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + if((tdHandle < CY_DMA_NUMBEROF_TDS) && (0u == (0xF000u & transferCount))) + { + /* Set 12 bits transfer count. */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u]; + CY_SET_REG16(convert, transferCount); + + /* Set Next TD pointer. */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u] = nextTd; + + /* Configure the TD */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u] = configuration; + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdGetConfiguration +******************************************************************************** +* +* Summary: +* Retrieves the configuration of the TD. If a NULL pointer is passed as a +* parameter, that parameter is skipped. You may request only the values you are +* interested in. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 * transferCount: +* The address to store the size of the data transfer (in bytes) for this TD. +* A size of zero could indicate that the TD has completed its transfer, or +* that the TD is doing an indefinite transfer. +* +* uint8 * nextTd: +* The address to store the index of the next TD in the TD chain. +* +* uint8 * configuration: +* The address to store the Bit field of configuration bits. +* See CyDmaTdSetConfiguration() function description. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +* Side Effects: +* If a TD has a transfer count of N and is executed, the transfer count becomes +* 0. If it is reexecuted, the Transfer count of zero will be interpreted as a +* request for indefinite transfer. Be careful when requesting a TD with a +* transfer count of zero. +* +*******************************************************************************/ +cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * configuration) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* If we have a pointer */ + if(NULL != transferCount) + { + /* Get the 12 bits of the transfer count */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0]; + *transferCount = 0x0FFFu & CY_GET_REG16(convert); + } + + /* If we have a pointer */ + if(NULL != nextTd) + { + /* Get the Next TD pointer */ + *nextTd = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u]; + } + + /* If we have a pointer */ + if(NULL != configuration) + { + /* Get the configuration the TD */ + *configuration = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u]; + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdSetAddress +******************************************************************************** +* +* Summary: +* Sets the lower 16 bits of the source and destination addresses for this TD +* only. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 source: +* The lower 16 address bits of the source of the data transfer. +* +* uint16 destination: +* The lower 16 address bits of the destination of the data transfer. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) +{ + cystatus status = CYRET_BAD_PARAM; + reg16 *convert; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* Set source address */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0u]; + CY_SET_REG16(convert, source); + + /* Set destination address */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2u]; + CY_SET_REG16(convert, destination); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdGetAddress +******************************************************************************** +* +* Summary: +* Retrieves the lower 16 bits of the source and/or destination addresses for +* this TD only. If NULL is passed for a pointer parameter, that value is +* skipped. You may request only the values of interest. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 * source: +* The address to store the lower 16 address bits of the source of the data +* transfer. +* +* uint16 * destination: +* The address to store the lower 16 address bits of the destination of the +* data transfer. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) +{ + cystatus status = CYRET_BAD_PARAM; + reg16 *convert; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* If we have a pointer. */ + if(NULL != source) + { + /* Get source address */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0u]; + *source = CY_GET_REG16(convert); + } + + /* If we have a pointer. */ + if(NULL != destination) + { + /* Get Destination address. */ + convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2u]; + *destination = CY_GET_REG16(convert); + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChRoundRobin +******************************************************************************** +* +* Summary: +* Either enables or disables the Round-Robin scheduling enforcement algorithm. +* Within a priority level a Round-Robin fairness algorithm is enforced. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or Dma_DmaInitialize(). +* +* uint8 enableRR: +* 0: Disable Round-Robin fairness algorithm +* 1: Enable Round-Robin fairness algorithm +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if (0u != enableRR) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] |= (uint8)CY_DMA_ROUND_ROBIN_ENABLE; + } + else + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] &= (uint8)(~CY_DMA_ROUND_ROBIN_ENABLE); + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h new file mode 100644 index 0000000..6a3ee85 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h @@ -0,0 +1,218 @@ +/******************************************************************************* +* File Name: CyDmac.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the DMA Controller. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYDMAC_H) +#define CY_BOOT_CYDMAC_H + + +#include "cytypes.h" +#include "cyfitter.h" +#include "cydevice_trm.h" +#include "CyLib.h" + + +/*************************************** +* Function Prototypes +***************************************/ + +/* DMA Controller functions. */ +void CyDmacConfigure(void) ; +uint8 CyDmacError(void) ; +void CyDmacClearError(uint8 error) ; +uint32 CyDmacErrorAddress(void) ; + +/* Channel specific functions. */ +uint8 CyDmaChAlloc(void) ; +cystatus CyDmaChFree(uint8 chHandle) ; +cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) ; +cystatus CyDmaChDisable(uint8 chHandle) ; +cystatus CyDmaClearPendingDrq(uint8 chHandle) ; +cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) ; +cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination)\ +; +cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) ; +cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) ; +cystatus CyDmaChGetRequest(uint8 chHandle) ; +cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) ; +cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, uint8 tdDone0, + uint8 tdDone1, uint8 tdStop) ; +cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) ; + +/* Transfer Descriptor functions. */ +uint8 CyDmaTdAllocate(void) ; +void CyDmaTdFree(uint8 tdHandle) ; +uint8 CyDmaTdFreeCount(void) ; +cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configuration)\ +; +cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * configuration)\ +; +cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) ; +cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) ; + + +/*************************************** +* Data Struct Definitions +***************************************/ + +typedef struct dmac_ch_struct +{ + volatile uint8 basic_cfg[4]; + volatile uint8 action[4]; + volatile uint8 basic_status[4]; + volatile uint8 reserved[4]; + +} dmac_ch; + + +typedef struct dmac_cfgmem_struct +{ + volatile uint8 CFG0[4]; + volatile uint8 CFG1[4]; + +} dmac_cfgmem; + + +typedef struct dmac_tdmem_struct +{ + volatile uint8 TD0[4]; + volatile uint8 TD1[4]; + +} dmac_tdmem; + + +typedef struct dmac_tdmem2_struct +{ + volatile uint16 xfercnt; + volatile uint8 next_td_ptr; + volatile uint8 flags; + volatile uint16 src_adr; + volatile uint16 dst_adr; +} dmac_tdmem2; + + +/*************************************** +* API Constants +***************************************/ + +#define CY_DMA_INVALID_CHANNEL 0xFFu /* Invalid Channel ID */ +#define CY_DMA_INVALID_TD 0xFFu /* Invalid TD */ +#define CY_DMA_END_CHAIN_TD 0xFFu /* End of chain TD */ +#define CY_DMA_DISABLE_TD 0xFEu + +#define CY_DMA_TD_SIZE 0x08u + +/* The "u" was removed as workaround for Keil compiler bug */ +#define CY_DMA_TD_SWAP_EN 0x80 +#define CY_DMA_TD_SWAP_SIZE4 0x40 +#define CY_DMA_TD_AUTO_EXEC_NEXT 0x20 +#define CY_DMA_TD_TERMIN_EN 0x10 +#define CY_DMA_TD_TERMOUT1_EN 0x08 +#define CY_DMA_TD_TERMOUT0_EN 0x04 +#define CY_DMA_TD_INC_DST_ADR 0x02 +#define CY_DMA_TD_INC_SRC_ADR 0x01 + +#define CY_DMA_NUMBEROF_TDS 128u +#define CY_DMA_NUMBEROF_CHANNELS ((uint8)(CYDEV_DMA_CHANNELS_AVAILABLE)) + +/* Action register bits */ +#define CY_DMA_CPU_REQ ((uint8)(1u << 0u)) +#define CY_DMA_CPU_TERM_TD ((uint8)(1u << 1u)) +#define CY_DMA_CPU_TERM_CHAIN ((uint8)(1u << 2u)) + +/* Basic Status register bits */ +#define CY_DMA_STATUS_CHAIN_ACTIVE ((uint8)(1u << 0u)) +#define CY_DMA_STATUS_TD_ACTIVE ((uint8)(1u << 1u)) + +/* DMA controller register error bits */ +#define CY_DMA_BUS_TIMEOUT (1u << 1u) +#define CY_DMA_UNPOP_ACC (1u << 2u) +#define CY_DMA_PERIPH_ERR (1u << 3u) + +/* Round robin bits */ +#define CY_DMA_ROUND_ROBIN_ENABLE ((uint8)(1u << 4u)) + + +/******************************************************************************* +* CyDmaChEnable() / CyDmaChDisable() API constants +*******************************************************************************/ +#define CY_DMA_CH_BASIC_CFG_EN (0x01u) +#define CY_DMA_CH_BASIC_CFG_WORK_SEP (0x20u) + + +/*************************************** +* Registers +***************************************/ + +#define CY_DMA_CFG_REG (*(reg32 *) CYREG_PHUB_CFG) +#define CY_DMA_CFG_PTR ( (reg32 *) CYREG_PHUB_CFG) + +#define CY_DMA_ERR_REG (*(reg32 *) CYREG_PHUB_ERR) +#define CY_DMA_ERR_PTR ( (reg32 *) CYREG_PHUB_ERR) + +#define CY_DMA_ERR_ADR_REG (*(reg32 *) CYREG_PHUB_ERR_ADR) +#define CY_DMA_ERR_ADR_PTR ( (reg32 *) CYREG_PHUB_ERR_ADR) + +#define CY_DMA_CH_STRUCT_REG (*(dmac_ch CYXDATA *) CYDEV_PHUB_CH0_BASE) +#define CY_DMA_CH_STRUCT_PTR ( (dmac_ch CYXDATA *) CYDEV_PHUB_CH0_BASE) + +#define CY_DMA_CFGMEM_STRUCT_REG (*(dmac_cfgmem CYXDATA *) CYDEV_PHUB_CFGMEM0_BASE) +#define CY_DMA_CFGMEM_STRUCT_PTR ( (dmac_cfgmem CYXDATA *) CYDEV_PHUB_CFGMEM0_BASE) + +#define CY_DMA_TDMEM_STRUCT_REG (*(dmac_tdmem CYXDATA *) CYDEV_PHUB_TDMEM0_BASE) +#define CY_DMA_TDMEM_STRUCT_PTR ( (dmac_tdmem CYXDATA *) CYDEV_PHUB_TDMEM0_BASE) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define DMA_INVALID_CHANNEL (CY_DMA_INVALID_CHANNEL) +#define DMA_INVALID_TD (CY_DMA_INVALID_TD) +#define DMA_END_CHAIN_TD (CY_DMA_END_CHAIN_TD) +#define DMAC_TD_SIZE (CY_DMA_TD_SIZE) +#define TD_SWAP_EN (CY_DMA_TD_SWAP_EN) +#define TD_SWAP_SIZE4 (CY_DMA_TD_SWAP_SIZE4) +#define TD_AUTO_EXEC_NEXT (CY_DMA_TD_AUTO_EXEC_NEXT) +#define TD_TERMIN_EN (CY_DMA_TD_TERMIN_EN) +#define TD_TERMOUT1_EN (CY_DMA_TD_TERMOUT1_EN) +#define TD_TERMOUT0_EN (CY_DMA_TD_TERMOUT0_EN) +#define TD_INC_DST_ADR (CY_DMA_TD_INC_DST_ADR) +#define TD_INC_SRC_ADR (CY_DMA_TD_INC_SRC_ADR) +#define NUMBEROF_TDS (CY_DMA_NUMBEROF_TDS) +#define NUMBEROF_CHANNELS (CY_DMA_NUMBEROF_CHANNELS) +#define CPU_REQ (CY_DMA_CPU_REQ) +#define CPU_TERM_TD (CY_DMA_CPU_TERM_TD) +#define CPU_TERM_CHAIN (CY_DMA_CPU_TERM_CHAIN) +#define STATUS_CHAIN_ACTIVE (CY_DMA_STATUS_CHAIN_ACTIVE) +#define STATUS_TD_ACTIVE (CY_DMA_STATUS_TD_ACTIVE) +#define DMAC_BUS_TIMEOUT (CY_DMA_BUS_TIMEOUT) +#define DMAC_UNPOP_ACC (CY_DMA_UNPOP_ACC) +#define DMAC_PERIPH_ERR (CY_DMA_PERIPH_ERR) +#define ROUND_ROBIN_ENABLE (CY_DMA_ROUND_ROBIN_ENABLE) +#define DMA_DISABLE_TD (CY_DMA_DISABLE_TD) + +#define DMAC_CFG (CY_DMA_CFG_PTR) +#define DMAC_ERR (CY_DMA_ERR_PTR) +#define DMAC_ERR_ADR (CY_DMA_ERR_ADR_PTR) +#define DMAC_CH (CY_DMA_CH_STRUCT_PTR) +#define DMAC_CFGMEM (CY_DMA_CFGMEM_STRUCT_PTR) +#define DMAC_TDMEM (CY_DMA_TDMEM_STRUCT_PTR) + +#endif /* (CY_BOOT_CYDMAC_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.c new file mode 100644 index 0000000..e692e66 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.c @@ -0,0 +1,694 @@ +/******************************************************************************* +* File Name: CyFlash.c +* Version 4.0 +* +* Description: +* Provides an API for the FLASH/EEPROM. +* +* Note: +* This code is endian agnostic. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyFlash.h" + + +/******************************************************************************* +* Holds die temperature, updated by CySetTemp(). Used for flash writting. +* The first byte is the sign of the temperature (0 = negative, 1 = positive). +* The second byte is the magnitude. +*******************************************************************************/ +uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + +#if(CYDEV_ECC_ENABLE == 0) + static uint8 * rowBuffer = 0; +#endif /* (CYDEV_ECC_ENABLE == 0) */ + + +static cystatus CySetTempInt(void); + + +/******************************************************************************* +* Function Name: CyFlash_Start +******************************************************************************** +* +* Summary: +* Enable the Flash. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyFlash_Start(void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + + CyDelayUs(CY_FLASH_EE_STARTUP_DELAY); +} + + +/******************************************************************************* +* Function Name: CyFlash_Stop +******************************************************************************** +* +* Summary: +* Disable the Flash. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* This setting is ignored as long as the CPU is currently running. This will +* only take effect when the CPU is later disabled. +* +*******************************************************************************/ +void CyFlash_Stop(void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); +} + + +/******************************************************************************* +* Function Name: CySetTempInt +******************************************************************************** +* +* Summary: +* Sends a command to the SPC to read the die temperature. Sets a global value +* used by the Write functions. This function must be called once before +* executing a series of Flash writing functions. +* +* Parameters: +* None +* +* Return: +* status: +* CYRET_SUCCESS - if successful +* CYRET_LOCKED - if Flash writing already in use +* CYRET_UNKNOWN - if there was an SPC error +* +*******************************************************************************/ +static cystatus CySetTempInt(void) +{ + cystatus status; + + /* Make sure SPC is powered */ + CySpcStart(); + + /* Plan for failure. */ + status = CYRET_UNKNOWN; + + if(CySpcLock() == CYRET_SUCCESS) + { + /* Write the command. */ + if(CYRET_STARTED == CySpcGetTemp(CY_TEMP_NUMBER_OF_SAMPLES)) + { + do + { + if(CySpcReadData(dieTemperature, CY_FLASH_DIE_TEMP_DATA_SIZE) == CY_FLASH_DIE_TEMP_DATA_SIZE) + { + status = CYRET_SUCCESS; + + while(CY_SPC_BUSY) + { + /* Spin until idle. */ + CyDelayUs(1u); + } + break; + } + + } while(CY_SPC_BUSY); + } + + CySpcUnlock(); + } + else + { + status = CYRET_LOCKED; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CySetTemp +******************************************************************************** +* +* Summary: +* This is a wraparound for CySetTempInt(). It is used to return second +* successful read of temperature value. +* +* Parameters: +* None +* +* Return: +* status: +* CYRET_SUCCESS if successful. +* CYRET_LOCKED if Flash writing already in use +* CYRET_UNKNOWN if there was an SPC error. +* +* uint8 dieTemperature[2]: +* Holds die temperature for the flash writting algorithm. The first byte is +* the sign of the temperature (0 = negative, 1 = positive). The second byte is +* the magnitude. +* +*******************************************************************************/ +cystatus CySetTemp(void) +{ + cystatus status = CySetTempInt(); + + if(status == CYRET_SUCCESS) + { + status = CySetTempInt(); + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CySetFlashEEBuffer +******************************************************************************** +* +* Summary: +* Sets the user supplied temporary buffer to store SPC data while performing +* flash and EEPROM commands. This buffer is only necessary when Flash ECC is +* disabled. +* +* Parameters: +* buffer: +* Address of block of memory to store temporary memory. The size of the block +* of memory is CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE. +* +* Return: +* status: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if the buffer is NULL +* +*******************************************************************************/ +cystatus CySetFlashEEBuffer(uint8 * buffer) +{ + cystatus status = CYRET_SUCCESS; + + CySpcStart(); + + #if(CYDEV_ECC_ENABLE == 0) + + if(NULL == buffer) + { + status = CYRET_BAD_PARAM; + } + else if(CySpcLock() != CYRET_SUCCESS) + { + status = CYRET_LOCKED; + } + else + { + rowBuffer = buffer; + CySpcUnlock(); + } + + #else + + /* To supress the warning */ + buffer = buffer; + + #endif /* (CYDEV_ECC_ENABLE == 0u) */ + + return(status); +} + + +#if(CYDEV_ECC_ENABLE == 1) + + /******************************************************************************* + * Function Name: CyWriteRowData + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of data in + * Flash or EEPROM. + * + * Parameters: + * arrayID: ID of the array to write. + * The type of write, Flash or EEPROM, is determined from the array ID. + * The arrays in the part are sequential starting at the first ID for the + * specific memory type. The array ID for the Flash memory lasts from 0x00 to + * 0x3F and for the EEPROM memory it lasts from 0x40 to 0x7F. + * rowAddress: rowAddress of flash row to program. + * rowData: Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + { + uint16 rowSize; + cystatus status; + + rowSize = (arrayId > CY_SPC_LAST_FLASH_ARRAYID) ? CYDEV_EEPROM_ROW_SIZE : CYDEV_FLS_ROW_SIZE; + status = CyWriteRowFull(arrayId, rowAddress, rowData, rowSize); + + return(status); + } + +#else + + /******************************************************************************* + * Function Name: CyWriteRowData + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of data in + * Flash or EEPROM. + * + * Parameters: + * arrayID : ID of the array to write. + * The type of write, Flash or EEPROM, is determined from the array ID. + * The arrays in the part are sequential starting at the first ID for the + * specific memory type. The array ID for the Flash memory lasts from 0x00 to + * 0x3F and for the EEPROM memory it lasts from 0x40 to 0x7F. + * rowAddress : rowAddress of flash row to program. + * rowData : Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + { + uint8 i; + uint32 offset; + uint16 rowSize; + cystatus status; + + /* Check whether rowBuffer pointer has been initialized by CySetFlashEEBuffer() */ + if(NULL != rowBuffer) + { + if(arrayId > CY_SPC_LAST_FLASH_ARRAYID) + { + rowSize = CYDEV_EEPROM_ROW_SIZE; + } + else + { + rowSize = CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE; + + /* Save the ECC area. */ + offset = CYDEV_ECC_BASE + + ((uint32)arrayId * CYDEV_ECC_SECTOR_SIZE) + + ((uint32)rowAddress * CYDEV_ECC_ROW_SIZE); + + for(i = 0u; i < CYDEV_ECC_ROW_SIZE; i++) + { + *(rowBuffer + CYDEV_FLS_ROW_SIZE + i) = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + } + } + + /* Copy the rowdata to the temporary buffer. */ + #if(CY_PSOC3) + (void) memcpy((void *) rowBuffer, (void *)((uint32) rowData), (int16) CYDEV_FLS_ROW_SIZE); + #else + (void) memcpy((void *) rowBuffer, (const void *) rowData, CYDEV_FLS_ROW_SIZE); + #endif /* (CY_PSOC3) */ + + status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, rowSize); + } + else + { + status = CYRET_UNKNOWN; + } + + return(status); + } + +#endif /* (CYDEV_ECC_ENABLE == 0u) */ + + +#if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + + /******************************************************************************* + * Function Name: CyWriteRowConfig + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of config data in flash. + * This function is only valid for Flash array IDs (not for EEPROM). + * + * Parameters: + * arrayId: ID of the array to write + * The arrays in the part are sequential starting at the first ID for the + * specific memory type. The array ID for the Flash memory lasts + * from 0x00 to 0x3F. + * rowAddress: Address of the sector to erase. + * rowECC: Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC)\ + + { + uint32 offset; + uint16 i; + cystatus status; + + /* Check whether rowBuffer pointer has been initialized by CySetFlashEEBuffer() */ + if(NULL != rowBuffer) + { + /* Read the existing flash data. */ + offset = ((uint32)arrayId * CYDEV_FLS_SECTOR_SIZE) + + ((uint32)rowAddress * CYDEV_FLS_ROW_SIZE); + + #if (CYDEV_FLS_BASE != 0u) + offset += CYDEV_FLS_BASE; + #endif /* (CYDEV_FLS_BASE != 0u) */ + + for (i = 0u; i < CYDEV_FLS_ROW_SIZE; i++) + { + rowBuffer[i] = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + } + + #if(CY_PSOC3) + (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + (void *)(uint32)rowECC, + (int16)CYDEV_ECC_ROW_SIZE); + #else + (void) memcpy((void *)&rowBuffer[CYDEV_FLS_ROW_SIZE], + (const void *)rowECC, + CYDEV_ECC_ROW_SIZE); + #endif /* (CY_PSOC3) */ + + status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE); + } + else + { + status = CYRET_UNKNOWN; + } + + return (status); + } + +#endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + + + +/******************************************************************************* +* Function Name: CyWriteRowFull +******************************************************************************** +* Summary: +* Sends a command to the SPC to load and program a row of data in flash. +* rowData array is expected to contain Flash and ECC data if needed. +* +* Parameters: +* arrayId: FLASH or EEPROM array id. +* rowData: Pointer to a row of data to write. +* rowNumber: Zero based number of the row. +* rowSize: Size of the row. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_LOCKED if the SPC is already in use. +* CYRET_CANCELED if command not accepted +* CYRET_UNKNOWN if there was an SPC error. +* +*******************************************************************************/ +cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8* rowData, uint16 rowSize) \ + +{ + cystatus status; + + if(CySpcLock() == CYRET_SUCCESS) + { + /* Load row data into SPC internal latch */ + status = CySpcLoadRow(arrayId, rowData, rowSize); + + if(CYRET_STARTED == status) + { + while(CY_SPC_BUSY) + { + /* Wait for SPC to finish and get SPC status */ + CyDelayUs(1u); + } + + /* Hide SPC status */ + if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + { + status = CYRET_SUCCESS; + } + else + { + status = CYRET_UNKNOWN; + } + + if(CYRET_SUCCESS == status) + { + /* Erase and program flash with the data from SPC interval latch */ + status = CySpcWriteRow(arrayId, rowNumber, dieTemperature[0u], dieTemperature[1u]); + + if(CYRET_STARTED == status) + { + while(CY_SPC_BUSY) + { + /* Wait for SPC to finish and get SPC status */ + CyDelayUs(1u); + } + + /* Hide SPC status */ + if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + { + status = CYRET_SUCCESS; + } + else + { + status = CYRET_UNKNOWN; + } + } + } + + } + + CySpcUnlock(); + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyFlash_SetWaitCycles +******************************************************************************** +* +* Summary: +* Sets the number of clock cycles the cache will wait before it samples data +* coming back from Flash. This function must be called before increasing CPU +* clock frequency. It can optionally be called after lowering CPU clock +* frequency in order to improve CPU performance. +* +* Parameters: +* uint8 freq: +* Frequency of operation in Megahertz. +* +* Return: +* None +* +*******************************************************************************/ +void CyFlash_SetWaitCycles(uint8 freq) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /*************************************************************************** + * The number of clock cycles the cache will wait before it samples data + * coming back from Flash must be equal or greater to to the CPU frequency + * outlined in clock cycles. + ***************************************************************************/ + + #if (CY_PSOC3) + + if (freq <= 22u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_22MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 44u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC3) */ + + + #if (CY_PSOC5) + + if (freq <= 16u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_16MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 33u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_33MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 50u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_50MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_51MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC5) */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyEEPROM_Start +******************************************************************************** +* +* Summary: +* Enable the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_Start(void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; +} + + +/******************************************************************************* +* Function Name: CyEEPROM_Stop +******************************************************************************** +* +* Summary: +* Disable the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_Stop (void) +{ + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); +} + + +/******************************************************************************* +* Function Name: CyEEPROM_ReadReserve +******************************************************************************** +* +* Summary: +* Request access to the EEPROM for reading and wait until access is available. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_ReadReserve(void) +{ + /* Make a request for PHUB to have access */ + *CY_FLASH_EE_SCR_PTR |= CY_FLASH_EE_SCR_AHB_EE_REQ; + + while (0u == (*CY_FLASH_EE_SCR_PTR & CY_FLASH_EE_SCR_AHB_EE_ACK)) + { + /* Wait for acknowledgement from PHUB */ + } +} + + +/******************************************************************************* +* Function Name: CyEEPROM_ReadRelease +******************************************************************************** +* +* Summary: +* Release the read reservation of the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_ReadRelease(void) +{ + *CY_FLASH_EE_SCR_PTR |= 0x00u; +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h new file mode 100644 index 0000000..69f8c88 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h @@ -0,0 +1,239 @@ +/******************************************************************************* +* File Name: CyFlash.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the FLASH/EEPROM. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYFLASH_H) +#define CY_BOOT_CYFLASH_H + +#include "cydevice_trm.h" +#include "cytypes.h" +#include "CyLib.h" +#include "CySpc.h" + +#define CY_FLASH_DIE_TEMP_DATA_SIZE (2u) /* Die temperature data size */ + +extern uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + + +/*************************************** +* API Constants +***************************************/ + +#define CY_FLASH_BASE (CYDEV_FLASH_BASE) +#define CY_FLASH_SIZE (CYDEV_FLS_SIZE) +#define CY_FLASH_SIZEOF_ARRAY (CYDEV_FLS_SECTOR_SIZE) +#define CY_FLASH_SIZEOF_ROW (CYDEV_FLS_ROW_SIZE) +#define CY_FLASH_SIZEOF_ECC_ROW (CYDEV_ECC_ROW_SIZE) +#define CY_FLASH_NUMBER_ROWS (CYDEV_FLS_SIZE / CYDEV_FLS_ROW_SIZE) +#define CY_FLASH_NUMBER_ARRAYS (CYDEV_FLS_SIZE / CYDEV_FLS_SECTOR_SIZE) + +#define CY_EEPROM_BASE (CYDEV_EE_BASE) +#define CY_EEPROM_SIZE (CYDEV_EE_SIZE) +#define CY_EEPROM_SIZEOF_ARRAY (CYDEV_EEPROM_SECTOR_SIZE) +#define CY_EEPROM_SIZEOF_ROW (CYDEV_EEPROM_ROW_SIZE) +#define CY_EEPROM_NUMBER_ROWS (EEPROM_SIZE / CYDEV_EEPROM_ROW_SIZE) +#define CY_EEPROM_NUMBER_ARRAYS (CYDEV_EE_SIZE / CY_EEPROM_SIZEOF_ARRAY) + + +#if !defined(CYDEV_FLS_BASE) + #define CYDEV_FLS_BASE CYDEV_FLASH_BASE +#endif /* !defined(CYDEV_FLS_BASE) */ + + +/*************************************** +* Function Prototypes +***************************************/ + +/* Flash Functions */ +void CyFlash_Start(void); +void CyFlash_Stop(void); +cystatus CySetTemp(void); +cystatus CySetFlashEEBuffer(uint8 * buffer); +cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8 * rowData, uint16 rowSize) \ + ; +cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData); + +#if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC) \ + ; +#endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + +void CyFlash_SetWaitCycles(uint8 freq) ; + +/* EEPROM Functions */ +void CyEEPROM_Start(void) ; +void CyEEPROM_Stop(void) ; + +void CyEEPROM_ReadReserve(void) ; +void CyEEPROM_ReadRelease(void) ; + + +/*************************************** +* Registers +***************************************/ +/* Active Power Mode Configuration Register 12 */ +#define CY_FLASH_PM_ACT_EEFLASH_REG (* (reg8 *) CYREG_PM_ACT_CFG12) +#define CY_FLASH_PM_ACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_ACT_CFG12) + +/* Alternate Active Power Mode Configuration Register 12 */ +#define CY_FLASH_PM_ALTACT_EEFLASH_REG (* (reg8 *) CYREG_PM_STBY_CFG12) +#define CY_FLASH_PM_ALTACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_STBY_CFG12) + + +/* Cache Control Register */ +#if (CY_PSOC3) + + #define CY_FLASH_CONTROL_REG (* (reg8 *) CYREG_CACHE_CR ) + #define CY_FLASH_CONTROL_PTR ( (reg8 *) CYREG_CACHE_CR ) + +#else + + #define CY_FLASH_CONTROL_REG (* (reg8 *) CYREG_CACHE_CC_CTL ) + #define CY_FLASH_CONTROL_PTR ( (reg8 *) CYREG_CACHE_CC_CTL ) + +#endif /* (CY_PSOC3) */ + + +/* EEPROM Status & Control Register */ +#define CY_FLASH_EE_SCR_REG (* (reg8 *) CYREG_SPC_EE_SCR) +#define CY_FLASH_EE_SCR_PTR ( (reg8 *) CYREG_SPC_EE_SCR) + + + +/*************************************** +* Register Constants +***************************************/ + +/* Power Mode Masks */ +#define CY_FLASH_PM_EE_MASK (0x10u) +#define CY_FLASH_PM_FLASH_MASK (0x01u) + +/* Frequency Constants */ +#if (CY_PSOC3) + + #define CY_FLASH_LESSER_OR_EQUAL_22MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_44MHz (0x02u) + #define CY_FLASH_GREATER_44MHz (0x03u) + +#endif /* (CY_PSOC3) */ + +#if (CY_PSOC5) + + #define CY_FLASH_LESSER_OR_EQUAL_16MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_33MHz (0x02u) + #define CY_FLASH_LESSER_OR_EQUAL_50MHz (0x03u) + #define CY_FLASH_GREATER_51MHz (0x00u) + +#endif /* (CY_PSOC5) */ + +#define CY_FLASH_CYCLES_MASK_SHIFT (0x06u) +#define CY_FLASH_CYCLES_MASK ((uint8)(0x03u << (CY_FLASH_CYCLES_MASK_SHIFT))) +#define CY_FLASH_EE_STARTUP_DELAY (5u) + +#define CY_FLASH_EE_SCR_AHB_EE_REQ (0x01u) +#define CY_FLASH_EE_SCR_AHB_EE_ACK (0x02u) + + + +/* Default values for getting temperature. */ + +#define CY_TEMP_NUMBER_OF_SAMPLES (0x1u) +#define CY_TEMP_TIMER_PERIOD (0xFFFu) +#define CY_TEMP_CLK_DIV_SELECT (0x4u) +#define CY_TEMP_NUM_SAMPLES (1 << (CY_TEMP_NUMBER_OF_SAMPLES)) +#define CY_SPC_CLK_PERIOD (120u) /* nS */ +#define CY_SYS_ns_PER_TICK (1000u) +#define CY_FRM_EXEC_TIME (1000u) /* nS */ + +#define CY_GET_TEMP_TIME ((1 << (CY_TEMP_NUM_SAMPLES + 1)) * \ + (CY_SPC_CLK_PERIOD * CY_TEMP_CLK_DIV_SELECT) * \ + CY_TEMP_TIMER_PERIOD + CY_FRM_EXEC_TIME) + +#define CY_TEMP_MAX_WAIT ((CY_GET_TEMP_TIME) / CY_SYS_ns_PER_TICK) /* In system ticks. */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define FLASH_SIZE (CY_FLASH_SIZE) +#define FLASH_SIZEOF_SECTOR (CY_FLASH_SIZEOF_ARRAY) +#define FLASH_NUMBER_ROWS (CY_FLASH_NUMBER_ROWS) +#define FLASH_NUMBER_SECTORS (CY_FLASH_NUMBER_ARRAYS) +#define EEPROM_SIZE (CY_EEPROM_SIZE) +#define EEPROM_SIZEOF_SECTOR (CY_EEPROM_SIZEOF_ARRAY) +#define EEPROM_NUMBER_ROWS (CY_EEPROM_NUMBER_ROWS) +#define EEPROM_NUMBER_SECTORS (CY_EEPROM_NUMBER_ARRAYS) +#define CY_EEPROM_NUMBER_SECTORS (CY_EEPROM_NUMBER_ARRAYS) +#define CY_EEPROM_SIZEOF_SECTOR (CY_EEPROM_SIZEOF_ARRAY) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define FLASH_CYCLES_PTR (CY_FLASH_CONTROL_PTR) + +#define TEMP_NUMBER_OF_SAMPLES (CY_TEMP_NUMBER_OF_SAMPLES) +#define TEMP_TIMER_PERIOD (CY_TEMP_TIMER_PERIOD) +#define TEMP_CLK_DIV_SELECT (CY_TEMP_CLK_DIV_SELECT) +#define NUM_SAMPLES (CY_TEMP_NUM_SAMPLES) +#define SPC_CLK_PERIOD (CY_SPC_CLK_PERIOD) +#define FRM_EXEC_TIME (CY_FRM_EXEC_TIME) +#define GET_TEMP_TIME (CY_GET_TEMP_TIME) +#define TEMP_MAX_WAIT (CY_TEMP_MAX_WAIT) + +#define ECC_ADDR (0x80u) + + +#define PM_ACT_EE_PTR (CY_FLASH_PM_ACT_EEFLASH_PTR) +#define PM_ACT_FLASH_PTR (CY_FLASH_PM_ACT_EEFLASH_PTR) + +#define PM_STBY_EE_PTR (CY_FLASH_PM_ALTACT_EEFLASH_PTR) +#define PM_STBY_FLASH_PTR (CY_FLASH_PM_ALTACT_EEFLASH_PTR) + +#define PM_EE_MASK (CY_FLASH_PM_EE_MASK) +#define PM_FLASH_MASK (CY_FLASH_PM_FLASH_MASK) + +#define FLASH_CYCLES_MASK_SHIFT (CY_FLASH_CYCLES_MASK_SHIFT) +#define FLASH_CYCLES_MASK (CY_FLASH_CYCLES_MASK) + + +#if (CY_PSOC3) + + #define LESSER_OR_EQUAL_22MHz (CY_FLASH_LESSER_OR_EQUAL_22MHz) + #define LESSER_OR_EQUAL_44MHz (CY_FLASH_LESSER_OR_EQUAL_44MHz) + #define GREATER_44MHz (CY_FLASH_GREATER_44MHz) + +#endif /* (CY_PSOC3) */ + +#if (CY_PSOC5) + + #define LESSER_OR_EQUAL_16MHz (CY_FLASH_LESSER_OR_EQUAL_16MHz) + #define LESSER_OR_EQUAL_33MHz (CY_FLASH_LESSER_OR_EQUAL_33MHz) + #define LESSER_OR_EQUAL_50MHz (CY_FLASH_LESSER_OR_EQUAL_50MHz) + #define LESSER_OR_EQUAL_67MHz (CY_FLASH_LESSER_OR_EQUAL_67MHz) + #define GREATER_67MHz (CY_FLASH_GREATER_67MHz) + #define GREATER_51MHz (CY_FLASH_GREATER_51MHz) + +#endif /* (CY_PSOC5) */ + +#define AHUB_EE_REQ_ACK_PTR (CY_FLASH_EE_SCR_PTR) + + +#endif /* (CY_BOOT_CYFLASH_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.c new file mode 100644 index 0000000..206c6cb --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.c @@ -0,0 +1,2710 @@ +/******************************************************************************* +* File Name: CyLib.c +* Version 4.0 +* +* Description: +* Provides system API for the clocking, interrupts and watchdog timer. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyLib.h" + + +/******************************************************************************* +* The CyResetStatus variable is used to obtain value of RESET_SR0 register after +* a device reset. It is set from initialize_psoc() at the early initialization +* stage. In case of IAR EW IDE, initialize_psoc() is executed before the data +* sections are initialized. To avoid zeroing, CyResetStatus should be placed +* to the .noinit section. +*******************************************************************************/ +CY_NOINIT uint8 CYXDATA CyResetStatus; + + +/* Variable Vdda */ +#if(CYDEV_VARIABLE_VDDA == 1) + + uint8 CyScPumpEnabled = (uint8)(CYDEV_VDDA_MV < 2700); + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* Do not use these definitions directly in your application */ +uint32 cydelay_freq_hz = BCLK__BUS_CLK__HZ; +uint32 cydelay_freq_khz = (BCLK__BUS_CLK__HZ + 999u) / 1000u; +uint8 cydelay_freq_mhz = (uint8)((BCLK__BUS_CLK__HZ + 999999u) / 1000000u); +uint32 cydelay_32k_ms = 32768u * ((BCLK__BUS_CLK__HZ + 999u) / 1000u); + + +/* Function Prototypes */ +static uint8 CyUSB_PowerOnCheck(void) ; +static void CyIMO_SetTrimValue(uint8 freq) ; +static void CyBusClk_Internal_SetDivider(uint16 divider); + + +/******************************************************************************* +* Function Name: CyPLL_OUT_Start +******************************************************************************** +* +* Summary: +* Enables the PLL. Optionally waits for it to become stable. +* Waits at least 250 us or until it is detected that the PLL is stable. +* +* Parameters: +* wait: +* 0: Return immediately after configuration +* 1: Wait for PLL lock or timeout. +* +* Return: +* Status +* CYRET_SUCCESS - Completed successfully +* CYRET_TIMEOUT - Timeout occurred without detecting a stable clock. +* If the input source of the clock is jittery, then the lock indication +* may not occur. However, after the timeout has expired the generated PLL +* clock can still be used. +* +* Side Effects: +* If wait is enabled: This function wses the Fast Time Wheel to time the wait. +* Any other use of the Fast Time Wheel will be stopped during the period of +* this function and then restored. This function also uses the 100 KHz ILO. +* If not enabled, this function will enable the 100 KHz ILO for the period of +* this function. +* +* No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or +* Once Per Second interrupt may be made by interrupt routines during the period +* of this function execution. The current operation of the ILO, Central Time +* Wheel and Once Per Second interrupt are maintained during the operation of +* this function provided the reading of the Power Manager Interrupt Status +* Register is only done using the CyPmReadStatus() function. +* +*******************************************************************************/ +cystatus CyPLL_OUT_Start(uint8 wait) +{ + cystatus status = CYRET_SUCCESS; + + uint8 iloEnableState; + uint8 pmTwCfg0State; + uint8 pmTwCfg2State; + + + /* Enables the PLL circuit */ + CY_CLK_PLL_CFG0_REG |= CY_CLK_PLL_ENABLE; + + if(wait != 0u) + { + /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + pmTwCfg0State = CY_LIB_PM_TW_CFG0_REG; + pmTwCfg2State = CY_LIB_PM_TW_CFG2_REG; + + CyPmFtwSetInterval(CY_CLK_PLL_FTW_INTERVAL); + + status = CYRET_TIMEOUT; + + while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the interrupt status */ + if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + { + if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + { + status = CYRET_SUCCESS; + break; + } + } + } + + /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + if(0u == iloEnableState) + { + CyILO_Stop100K(); + } + + CY_LIB_PM_TW_CFG0_REG = pmTwCfg0State; + CY_LIB_PM_TW_CFG2_REG = pmTwCfg2State; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_Stop +******************************************************************************** +* +* Summary: +* Disables the PLL. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPLL_OUT_Stop(void) +{ + CY_CLK_PLL_CFG0_REG &= ((uint8)(~CY_CLK_PLL_ENABLE)); +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_SetPQ +******************************************************************************** +* +* Summary: +* Sets the P and Q dividers and the charge pump current. +* The Frequency Out will be P/Q * Frequency In. +* The PLL must be disabled before calling this function. +* +* Parameters: +* uint8 pDiv: +* Valid range [8 - 255]. +* +* uint8 qDiv: +* Valid range [1 - 16]. Input Frequency / Q must be in range of 1 to 3 MHz. + +* uint8 current: +* Valid range [1 - 7]. Charge pump current in uA. Refer to the device TRM and +* datasheet for more information. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) +{ + /* Halt CPU in debug mode if PLL is enabled */ + CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + + if((pDiv >= CY_CLK_PLL_MIN_P_VALUE ) && + (qDiv <= CY_CLK_PLL_MAX_Q_VALUE ) && (qDiv >= CY_CLK_PLL_MIN_Q_VALUE ) && + (current >= CY_CLK_PLL_MIN_CUR_VALUE) && (current <= CY_CLK_PLL_MAX_CUR_VALUE)) + { + /* Set new values */ + CY_CLK_PLL_P_REG = pDiv; + CY_CLK_PLL_Q_REG = ((uint8)(qDiv - 1u)); + CY_CLK_PLL_CFG1_REG = (CY_CLK_PLL_CFG1_REG & CY_CLK_PLL_CURRENT_MASK) | + ((uint8)(((uint8)(current - 1u)) << CY_CLK_PLL_CURRENT_POSITION)); + } + else + { + /*********************************************************************** + * Halt CPU in debug mode if: + * - P divider is less than required + * - Q divider is out of range + * - pump current is out of range + ***********************************************************************/ + CYASSERT(0u != 0u); + } + +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_SetSource +******************************************************************************** +* +* Summary: +* Sets the input clock source to the PLL. The PLL must be disabled before +* calling this function. +* +* Parameters: +* source: One of the three available PLL clock sources +* CY_PLL_SOURCE_IMO : IMO +* CY_PLL_SOURCE_XTAL : MHz Crystal +* CY_PLL_SOURCE_DSI : DSI +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyPLL_OUT_SetSource(uint8 source) +{ + /* Halt CPU in debug mode if PLL is enabled */ + CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + + switch(source) + { + case CY_PLL_SOURCE_IMO: + case CY_PLL_SOURCE_XTAL: + case CY_PLL_SOURCE_DSI: + CY_LIB_CLKDIST_CR_REG = ((CY_LIB_CLKDIST_CR_REG & CY_LIB_CLKDIST_CR_PLL_SCR_MASK) | source); + break; + + default: + CYASSERT(0u != 0u); + break; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_Start +******************************************************************************** +* +* Summary: +* Enables the IMO. Optionally waits at least 6 us for it to settle. +* +* Parameters: +* uint8 wait: +* 0: Return immediately after configuration +* 1: Wait for at least 6 us for the IMO to settle. +* +* Return: +* None +* +* Side Effects: +* If wait is enabled: This function wses the Fast Time Wheel to time the wait. +* Any other use of the Fast Time Wheel will be stopped during the period of +* this function and then restored. This function also uses the 100 KHz ILO. +* If not enabled, this function will enable the 100 KHz ILO for the period of +* this function. +* +* No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or +* Once Per Second interrupt may be made by interrupt routines during the period +* of this function execution. The current operation of the ILO, Central Time +* Wheel and Once Per Second interrupt are maintained during the operation of +* this function provided the reading of the Power Manager Interrupt Status +* Register is only done using the CyPmReadStatus() function. +* +*******************************************************************************/ +void CyIMO_Start(uint8 wait) +{ + uint8 pmFtwCfg2Reg; + uint8 pmFtwCfg0Reg; + uint8 ilo100KhzEnable; + + + CY_LIB_PM_ACT_CFG0_REG |= CY_LIB_PM_ACT_CFG0_IMO_EN; + CY_LIB_PM_STBY_CFG0_REG |= CY_LIB_PM_STBY_CFG0_IMO_EN; + + if(0u != wait) + { + /* Need to turn on the 100KHz ILO if it happens to not already be running.*/ + ilo100KhzEnable = CY_LIB_SLOWCLK_ILO_CR0_REG & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; + pmFtwCfg0Reg = CY_LIB_PM_TW_CFG0_REG; + pmFtwCfg2Reg = CY_LIB_PM_TW_CFG2_REG; + + CyPmFtwSetInterval(CY_LIB_CLK_IMO_FTW_TIMEOUT); + + while (0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the interrupt status */ + } + + if(0u == ilo100KhzEnable) + { + CyILO_Stop100K(); + } + + CY_LIB_PM_TW_CFG0_REG = pmFtwCfg0Reg; + CY_LIB_PM_TW_CFG2_REG = pmFtwCfg2Reg; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_Stop +******************************************************************************** +* +* Summary: +* Disables the IMO. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_Stop(void) +{ + CY_LIB_PM_ACT_CFG0_REG &= ((uint8) (~CY_LIB_PM_ACT_CFG0_IMO_EN)); + CY_LIB_PM_STBY_CFG0_REG &= ((uint8) (~CY_LIB_PM_STBY_CFG0_IMO_EN)); +} + + +/******************************************************************************* +* Function Name: CyUSB_PowerOnCheck +******************************************************************************** +* +* Summary: +* Returns the USB power status value. A private function to cy_boot. +* +* Parameters: +* None +* +* Return: +* uint8: one if the USB is enabled, 0 if not enabled. +* +*******************************************************************************/ +static uint8 CyUSB_PowerOnCheck(void) +{ + uint8 poweredOn = 0u; + + /* Check whether device is in Active or AltActiv and if USB is powered on */ + if((((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ACTIVE ) && + (0u != (CY_LIB_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + (((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ALT_ACT) && + (0u != (CY_LIB_PM_STBY_CFG5_REG & CY_ALT_ACT_USB_ENABLED)))) + { + poweredOn = 1u; + } + + return (poweredOn); +} + + +/******************************************************************************* +* Function Name: CyIMO_SetTrimValue +******************************************************************************** +* +* Summary: +* Sets the IMO factory trim values. +* +* Parameters: +* uint8 freq - frequency for which trims must be set +* +* Return: +* None +* +*******************************************************************************/ +static void CyIMO_SetTrimValue(uint8 freq) +{ + uint8 usbPowerOn = CyUSB_PowerOnCheck(); + + /* If USB is powered */ + if(usbPowerOn == 1u) + { + /* Unlock USB write */ + CY_LIB_USB_CR1_REG &= ((uint8)(~CY_LIB_USB_CLK_EN)); + } + switch(freq) + { + case CY_IMO_FREQ_3MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_3MHZ_PTR); + break; + + case CY_IMO_FREQ_6MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_6MHZ_PTR); + break; + + case CY_IMO_FREQ_12MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_12MHZ_PTR); + break; + + case CY_IMO_FREQ_24MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_24MHZ_PTR); + break; + + case CY_IMO_FREQ_48MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_TR1_PTR); + break; + + case CY_IMO_FREQ_62MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_67MHZ_PTR); + break; + +#if(CY_PSOC5) + case CY_IMO_FREQ_74MHZ: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_80MHZ_PTR); + break; +#endif /* (CY_PSOC5) */ + + case CY_IMO_FREQ_USB: + CY_LIB_IMO_TR1_REG = CY_GET_XTND_REG8(CY_LIB_TRIM_IMO_USB_PTR); + + /* If USB is powered */ + if(usbPowerOn == 1u) + { + /* Lock the USB Oscillator */ + CY_LIB_USB_CR1_REG |= CY_LIB_USB_CLK_EN; + } + break; + + default: + CYASSERT(0u != 0u); + break; + } + +} + + +/******************************************************************************* +* Function Name: CyIMO_SetFreq +******************************************************************************** +* +* Summary: +* Sets the frequency of the IMO. Changes may be made while the IMO is running. +* +* Parameters: +* freq: Frequency of IMO operation +* CY_IMO_FREQ_3MHZ to set 3 MHz +* CY_IMO_FREQ_6MHZ to set 6 MHz +* CY_IMO_FREQ_12MHZ to set 12 MHz +* CY_IMO_FREQ_24MHZ to set 24 MHz +* CY_IMO_FREQ_48MHZ to set 48 MHz +* CY_IMO_FREQ_62MHZ to set 62.6 MHz +* CY_IMO_FREQ_74MHZ to set 74.7 MHz (not applicable for PSoC 3) +* CY_IMO_FREQ_USB to set 24 MHz (Trimmed for USB operation) +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +* When the USB setting is chosen, the USB clock locking circuit is enabled. +* Otherwise this circuit is disabled. The USB block must be powered before +* selecting the USB setting. +* +*******************************************************************************/ +void CyIMO_SetFreq(uint8 freq) +{ + uint8 currentFreq; + uint8 nextFreq; + + /*************************************************************************** + * When changing the IMO frequency the Trim values must also be set + * accordingly.This requires reading the current frequency. If the new + * frequency is faster, then set the new trim and then change the frequency, + * otherwise change the frequency and then set the new trim values. + ***************************************************************************/ + + currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + + /* Check if the requested frequency is USB. */ + nextFreq = (freq == CY_IMO_FREQ_USB) ? CY_IMO_FREQ_24MHZ : freq; + + switch (currentFreq) + { + case 0u: + currentFreq = CY_IMO_FREQ_12MHZ; + break; + + case 1u: + currentFreq = CY_IMO_FREQ_6MHZ; + break; + + case 2u: + currentFreq = CY_IMO_FREQ_24MHZ; + break; + + case 3u: + currentFreq = CY_IMO_FREQ_3MHZ; + break; + + case 4u: + currentFreq = CY_IMO_FREQ_48MHZ; + break; + + case 5u: + currentFreq = CY_IMO_FREQ_62MHZ; + break; + +#if(CY_PSOC5) + case 6u: + currentFreq = CY_IMO_FREQ_74MHZ; + break; +#endif /* (CY_PSOC5) */ + + default: + CYASSERT(0u != 0u); + break; + } + + if (nextFreq >= currentFreq) + { + /* Set the new trim first */ + CyIMO_SetTrimValue(freq); + } + + /* Set the usbclk_on bit when using CY_IMO_FREQ_USB, if not clear it */ + switch(freq) + { + case CY_IMO_FREQ_3MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_3MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_6MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_6MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_12MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_12MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_24MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_24MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_48MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_48MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_62MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_62MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; + +#if(CY_PSOC5) + case CY_IMO_FREQ_74MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_74MHZ_VALUE) & ((uint8)(~CY_LIB_IMO_USBCLK_ON_SET)); + break; +#endif /* (CY_PSOC5) */ + + case CY_IMO_FREQ_USB: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CY_LIB_IMO_24MHZ_VALUE) | CY_LIB_IMO_USBCLK_ON_SET; + break; + + default: + CYASSERT(0u != 0u); + break; + } + + /* Turn on the IMO Doubler, if switching to CY_IMO_FREQ_USB */ + if (freq == CY_IMO_FREQ_USB) + { + CyIMO_EnableDoubler(); + } + else + { + CyIMO_DisableDoubler(); + } + + if (nextFreq < currentFreq) + { + /* Set the new trim after setting the frequency */ + CyIMO_SetTrimValue(freq); + } +} + + +/******************************************************************************* +* Function Name: CyIMO_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the clock output from the IMO block. +* +* The output from the IMO is by default the IMO itself. Optionally the MHz +* Crystal or a DSI input can be the source of the IMO output instead. +* +* Parameters: +* source: CY_IMO_SOURCE_DSI to set the DSI as source. +* CY_IMO_SOURCE_XTAL to set the MHz as source. +* CY_IMO_SOURCE_IMO to set the IMO itself. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyIMO_SetSource(uint8 source) +{ + switch(source) + { + case CY_IMO_SOURCE_DSI: + CY_LIB_CLKDIST_CR_REG &= ((uint8)(~CY_LIB_CLKDIST_CR_IMO2X)); + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + break; + + case CY_IMO_SOURCE_XTAL: + CY_LIB_CLKDIST_CR_REG |= CY_LIB_CLKDIST_CR_IMO2X; + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + break; + + case CY_IMO_SOURCE_IMO: + CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_IMO)); + break; + + default: + /* Incorrect source value */ + CYASSERT(0u != 0u); + break; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_EnableDoubler +******************************************************************************** +* +* Summary: +* Enables the IMO doubler. The 2x frequency clock is used to convert a 24 MHz +* input to a 48 MHz output for use by the USB block. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_EnableDoubler(void) +{ + /* Set the FASTCLK_IMO_CR_PTR regigster's 4th bit */ + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_DOUBLER; +} + + +/******************************************************************************* +* Function Name: CyIMO_DisableDoubler +******************************************************************************** +* +* Summary: +* Disables the IMO doubler. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_DisableDoubler(void) +{ + CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_DOUBLER)); +} + + +/******************************************************************************* +* Function Name: CyMasterClk_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the master clock. +* +* Parameters: +* source: One of the four available Master clock sources. +* CY_MASTER_SOURCE_IMO +* CY_MASTER_SOURCE_PLL +* CY_MASTER_SOURCE_XTAL +* CY_MASTER_SOURCE_DSI +* +* Return: +* None +* +* Side Effects: +* The current source and the new source must both be running and stable before +* calling this function. +* +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyMasterClk_SetSource(uint8 source) +{ + CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & MASTER_CLK_SRC_CLEAR) | + (source & ((uint8)(~MASTER_CLK_SRC_CLEAR))); +} + + +/******************************************************************************* +* Function Name: CyMasterClk_SetDivider +******************************************************************************** +* +* Summary: +* Sets the divider value used to generate Master Clock. +* +* Parameters: +* uint8 divider: +* Valid range [0-255]. The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +* When changing the Master or Bus clock divider value from div-by-n to div-by-1 +* the first clock cycle output after the div-by-1 can be up to 4 ns shorter +* than the final/expected div-by-1 period. +* +*******************************************************************************/ +void CyMasterClk_SetDivider(uint8 divider) +{ + CY_LIB_CLKDIST_MSTR0_REG = divider; +} + + +/******************************************************************************* +* Function Name: CyBusClk_Internal_SetDivider +******************************************************************************** +* +* Summary: +* Function used by CyBusClk_SetDivider(). For internal use only. +* +* Parameters: +* divider: Valid range [0-65535]. +* The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +*******************************************************************************/ +static void CyBusClk_Internal_SetDivider(uint16 divider) +{ + /* Mask bits to enable shadow loads */ + CY_LIB_CLKDIST_AMASK_REG &= CY_LIB_CLKDIST_AMASK_MASK; + CY_LIB_CLKDIST_DMASK_REG = CY_LIB_CLKDIST_DMASK_MASK; + + /* Enable mask bits to enable shadow loads */ + CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_MASK; + + /* Update Shadow Divider Value Register with the new divider */ + CY_LIB_CLKDIST_WRK_LSB_REG = LO8(divider); + CY_LIB_CLKDIST_WRK_MSB_REG = HI8(divider); + + + /*************************************************************************** + * Copy shadow value defined in Shadow Divider Value Register + * (CY_LIB_CLKDIST_WRK_LSB_REG and CY_LIB_CLKDIST_WRK_MSB_REG) to all + * dividers selected in Analog and Digital Clock Mask Registers + * (CY_LIB_CLKDIST_AMASK_REG and CY_LIB_CLKDIST_DMASK_REG). + ***************************************************************************/ + CY_LIB_CLKDIST_LD_REG |= CY_LIB_CLKDIST_LD_LOAD; +} + + +/******************************************************************************* +* Function Name: CyBusClk_SetDivider +******************************************************************************** +* +* Summary: +* Sets the divider value used to generate Bus Clock. +* +* Parameters: +* divider: Valid range [0-65535]. The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyBusClk_SetDivider(uint16 divider) +{ + uint8 masterClkDiv; + uint16 busClkDiv; + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + /* Work around to set the bus clock divider value */ + busClkDiv = (uint16)((uint16)CY_LIB_CLKDIST_BCFG_MSB_REG << 8u); + busClkDiv |= CY_LIB_CLKDIST_BCFG_LSB_REG; + + if ((divider == 0u) || (busClkDiv == 0u)) + { + /* Save away the master clock divider value */ + masterClkDiv = CY_LIB_CLKDIST_MSTR0_REG; + + if (masterClkDiv < CY_LIB_CLKDIST_MASTERCLK_DIV) + { + /* Set master clock divider to 7 */ + CyMasterClk_SetDivider(CY_LIB_CLKDIST_MASTERCLK_DIV); + } + + if (divider == 0u) + { + /* Set the SSS bit and the divider register desired value */ + CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_SSS; + CyBusClk_Internal_SetDivider(divider); + } + else + { + CyBusClk_Internal_SetDivider(divider); + CY_LIB_CLKDIST_BCFG2_REG &= ((uint8)(~CY_LIB_CLKDIST_BCFG2_SSS)); + } + + /* Restore the master clock */ + CyMasterClk_SetDivider(masterClkDiv); + } + else + { + CyBusClk_Internal_SetDivider(divider); + } + + CyExitCriticalSection(interruptState); +} + + +#if(CY_PSOC3) + + /******************************************************************************* + * Function Name: CyCpuClk_SetDivider + ******************************************************************************** + * + * Summary: + * Sets the divider value used to generate the CPU Clock. Only applicable for + * PSoC 3 parts. + * + * Parameters: + * divider: Valid range [0-15]. The clock will be divided by this value + 1. + * For example to divide by 2 this parameter should be set to 1. + * + * Return: + * None + * + * Side Effects: + * If as result of this function execution the CPU clock frequency is increased + * then the number of clock cycles the cache will wait before it samples data + * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + * with appropriate parameter. It can be optionally called if CPU clock + * frequency is lowered in order to improve CPU performance. + * See CyFlash_SetWaitCycles() description for more information. + * + *******************************************************************************/ + void CyCpuClk_SetDivider(uint8 divider) + { + CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & CY_LIB_CLKDIST_MSTR1_DIV_MASK) | + ((uint8)(divider << CY_LIB_CLKDIST_DIV_POSITION)); + } + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: CyUsbClk_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the USB clock. +* +* Parameters: +* source: One of the four available USB clock sources +* CY_LIB_USB_CLK_IMO2X - IMO 2x +* CY_LIB_USB_CLK_IMO - IMO +* CY_LIB_USB_CLK_PLL - PLL +* CY_LIB_USB_CLK_DSI - DSI +* +* Return: +* None +* +*******************************************************************************/ +void CyUsbClk_SetSource(uint8 source) +{ + CY_LIB_CLKDIST_UCFG_REG = (CY_LIB_CLKDIST_UCFG_REG & ((uint8)(~CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK))) | + (CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK & source); +} + + +/******************************************************************************* +* Function Name: CyILO_Start1K +******************************************************************************** +* +* Summary: +* Enables the ILO 1 KHz oscillator. +* +* Note The ILO 1 KHz oscillator is always enabled by default, regardless of the +* selection in the Clock Editor. Therefore, this API is only needed if the +* oscillator was turned off manually. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Start1K(void) +{ + /* Set the bit 1 of ILO RS */ + CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ; +} + + +/******************************************************************************* +* Function Name: CyILO_Stop1K +******************************************************************************** +* +* Summary: +* Disables the ILO 1 KHz oscillator. +* +* Note The ILO 1 KHz oscillator must be enabled if Sleep or Hibernate low power +* mode APIs are expected to be used. For more information, refer to the Power +* Management section of this document. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* PSoC5: Stopping the ILO 1 kHz could break the active WDT functionality. +* +*******************************************************************************/ +void CyILO_Stop1K(void) +{ + /* Clear the bit 1 of ILO RS */ + CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ)); +} + + +/******************************************************************************* +* Function Name: CyILO_Start100K +******************************************************************************** +* +* Summary: +* Enables the ILO 100 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Start100K(void) +{ + CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ; +} + + +/******************************************************************************* +* Function Name: CyILO_Stop100K +******************************************************************************** +* +* Summary: +* Disables the ILO 100 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Stop100K(void) +{ + CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ)); +} + + +/******************************************************************************* +* Function Name: CyILO_Enable33K +******************************************************************************** +* +* Summary: +* Enables the ILO 33 KHz divider. +* +* Note that the 33 KHz clock is generated from the 100 KHz oscillator, +* so it must also be running in order to generate the 33 KHz output. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Enable33K(void) +{ + /* Set the bit 5 of ILO RS */ + CY_LIB_SLOWCLK_ILO_CR0_REG |= CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ; +} + + +/******************************************************************************* +* Function Name: CyILO_Disable33K +******************************************************************************** +* +* Summary: +* Disables the ILO 33 KHz divider. +* +* Note that the 33 KHz clock is generated from the 100 KHz oscillator, but this +* API does not disable the 100 KHz clock. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Disable33K(void) +{ + CY_LIB_SLOWCLK_ILO_CR0_REG &= ((uint8)(~CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ)); +} + + +/******************************************************************************* +* Function Name: CyILO_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the clock output from the ILO block. +* +* Parameters: +* source: One of the three available ILO output sources +* Value Define Source +* 0 CY_ILO_SOURCE_100K ILO 100 KHz +* 1 CY_ILO_SOURCE_33K ILO 33 KHz +* 2 CY_ILO_SOURCE_1K ILO 1 KHz +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_SetSource(uint8 source) +{ + CY_LIB_CLKDIST_CR_REG = (CY_LIB_CLKDIST_CR_REG & CY_ILO_SOURCE_BITS_CLEAR) | + (((uint8) (source << 2u)) & ((uint8)(~CY_ILO_SOURCE_BITS_CLEAR))); +} + + +/******************************************************************************* +* Function Name: CyILO_SetPowerMode +******************************************************************************** +* +* Summary: +* Sets the power mode used by the ILO during power down. Allows for lower power +* down power usage resulting in a slower startup time. +* +* Parameters: +* uint8 mode +* CY_ILO_FAST_START - Faster start-up, internal bias left on when powered down +* CY_ILO_SLOW_START - Slower start-up, internal bias off when powered down +* +* Return: +* Prevous power mode state. +* +*******************************************************************************/ +uint8 CyILO_SetPowerMode(uint8 mode) +{ + uint8 state; + + /* Get current state. */ + state = CY_LIB_SLOWCLK_ILO_CR0_REG; + + /* Set the the oscillator power mode. */ + if(mode != CY_ILO_FAST_START) + { + CY_LIB_SLOWCLK_ILO_CR0_REG = (state | CY_ILO_CONTROL_PD_MODE); + } + else + { + CY_LIB_SLOWCLK_ILO_CR0_REG = (state & ((uint8)(~CY_ILO_CONTROL_PD_MODE))); + } + + /* Return the old mode. */ + return ((state & CY_ILO_CONTROL_PD_MODE) >> CY_ILO_CONTROL_PD_POSITION); +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_Start +******************************************************************************** +* +* Summary: +* Enables the 32 KHz Crystal Oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_32KHZ_Start(void) +{ + volatile uint16 i; + + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + + #if(CY_PSOC3) + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_PDBEN; + #endif /* (CY_PSOC3) */ + + /* Enable operation of the 32K Crystal Oscillator */ + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_EN; + + for (i = 1000u; i > 0u; i--) + { + if(0u != (CyXTAL_32KHZ_ReadStatus() & CY_XTAL32K_ANA_STAT)) + { + /* Ready - switch to the hign power mode */ + (void) CyXTAL_32KHZ_SetPowerMode(0u); + + break; + } + CyDelayUs(1u); + } +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_Stop +******************************************************************************** +* +* Summary: +* Disables the 32KHz Crystal Oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_32KHZ_Stop(void) +{ + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_POWERDOWN; + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + CY_CLK_XTAL32_CR_REG &= ((uint8)(~(CY_CLK_XTAL32_CR_EN | CY_CLK_XTAL32_CR_LPM))); + + #if(CY_PSOC3) + CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_PDBEN)); + #endif /* (CY_PSOC3) */ +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_ReadStatus +******************************************************************************** +* +* Summary: +* Returns status of the 32 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* Value Define Source +* 20 CY_XTAL32K_ANA_STAT Analog measurement +* 1: Stable +* 0: Not stable +* +*******************************************************************************/ +uint8 CyXTAL_32KHZ_ReadStatus(void) +{ + return(CY_CLK_XTAL32_CR_REG & CY_XTAL32K_ANA_STAT); +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_SetPowerMode +******************************************************************************** +* +* Summary: +* Sets the power mode for the 32 KHz oscillator used during sleep mode. +* Allows for lower power during sleep when there are fewer sources of noise. +* During active mode the oscillator is always run in high power mode. +* +* Parameters: +* uint8 mode +* 0: High power mode +* 1: Low power mode during sleep +* +* Return: +* Previous power mode. +* +*******************************************************************************/ +uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) +{ + uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; + + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + + if(1u == mode) + { + /* Low power mode during Sleep */ + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_LOW_POWER; + CyDelayUs(10u); + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_LOWPOWER; + CyDelayUs(20u); + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_LPM; + } + else + { + /* High power mode */ + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_HIGH_POWER; + CyDelayUs(10u); + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_LPM)); + } + + return(state); +} + + +/******************************************************************************* +* Function Name: CyXTAL_Start +******************************************************************************** +* +* Summary: +* Enables the megahertz crystal. +* +* PSoC 3: +* Waits until the XERR bit is low (no error) for a millisecond or until the +* number of milliseconds specified by the wait parameter has expired. +* +* Parameters: +* wait: Valid range [0-255]. +* This is the timeout value in milliseconds. +* The appropriate value is crystal specific. +* +* Return: +* CYRET_SUCCESS - Completed successfully +* CYRET_TIMEOUT - Timeout occurred without detecting a low value on XERR. +* +* Side Effects and Restrictions: +* If wait is enabled (non-zero wait). Uses the Fast Timewheel to time the wait. +* Any other use of the Fast Timewheel (FTW) will be stopped during the period +* of this function and then restored. +* +* Uses the 100KHz ILO. If not enabled, this function will enable the 100KHz +* ILO for the period of this function. No changes to the setup of the ILO, +* Fast Timewheel, Central Timewheel or Once Per Second interrupt may be made +* by interrupt routines during the period of this function. +* +* The current operation of the ILO, Central Timewheel and Once Per Second +* interrupt are maintained during the operation of this function provided the +* reading of the Power Manager Interrupt Status Register is only done using the +* CyPmReadStatus() function. +* +*******************************************************************************/ +cystatus CyXTAL_Start(uint8 wait) +{ + cystatus status = CYRET_SUCCESS; + volatile uint8 timeout = wait; + volatile uint8 count; + uint8 iloEnableState; + uint8 pmTwCfg0Tmp; + uint8 pmTwCfg2Tmp; + + + /* Enables the MHz crystal oscillator circuit */ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_ENABLE; + + + if(wait > 0u) + { + /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + iloEnableState = CY_LIB_SLOWCLK_ILO_CR0_REG; + pmTwCfg0Tmp = CY_LIB_PM_TW_CFG0_REG; + pmTwCfg2Tmp = CY_LIB_PM_TW_CFG2_REG; + + /* Set 250 us interval */ + CyPmFtwSetInterval(CY_CLK_XMHZ_FTW_INTERVAL); + status = CYRET_TIMEOUT; + + + for( ; timeout > 0u; timeout--) + { + /* Read XERR bit to clear it */ + (void) CY_CLK_XMHZ_CSR_REG; + + /* Wait for a millisecond - 4 x 250 us */ + for(count = 4u; count > 0u; count--) + { + while(0u == (CY_PM_FTW_INT & CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the FTW interrupt event */ + } + } + + + /******************************************************************* + * High output indicates oscillator failure. + * Only can be used after start-up interval (1 ms) is completed. + *******************************************************************/ + if(0u == (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) + { + status = CYRET_SUCCESS; + break; + } + } + + + /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + if(0u == (iloEnableState & CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ)) + { + CyILO_Stop100K(); + } + CY_LIB_PM_TW_CFG0_REG = pmTwCfg0Tmp; + CY_LIB_PM_TW_CFG2_REG = pmTwCfg2Tmp; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyXTAL_Stop +******************************************************************************** +* +* Summary: +* Disables the megahertz crystal oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_Stop(void) +{ + /* Disable the the oscillator. */ + FASTCLK_XMHZ_CSR &= ((uint8)(~XMHZ_CONTROL_ENABLE)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_EnableErrStatus +******************************************************************************** +* +* Summary: +* Enables the generation of the XERR status bit for the megahertz crystal. +* This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_EnableErrStatus(void) +{ + /* If oscillator has insufficient amplitude, XERR bit will be high. */ + CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XFB)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_DisableErrStatus +******************************************************************************** +* +* Summary: +* Disables the generation of the XERR status bit for the megahertz crystal. +* This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_DisableErrStatus(void) +{ + /* If oscillator has insufficient amplitude, XERR bit will be high. */ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XFB; +} + + +/******************************************************************************* +* Function Name: CyXTAL_ReadStatus +******************************************************************************** +* +* Summary: +* Reads the XERR status bit for the megahertz crystal. This status bit is a +* sticky clear on read value. This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* Status +* 0: No error +* 1: Error +* +*******************************************************************************/ +uint8 CyXTAL_ReadStatus(void) +{ + /*************************************************************************** + * High output indicates oscillator failure. Only use this after start-up + * interval is completed. This can be used for status and failure recovery. + ***************************************************************************/ + return((0u != (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) ? 1u : 0u); +} + + +/******************************************************************************* +* Function Name: CyXTAL_EnableFaultRecovery +******************************************************************************** +* +* Summary: +* Enables the fault recovery circuit which will switch to the IMO in the case +* of a fault in the megahertz crystal circuit. The crystal must be up and +* running with the XERR bit at 0, before calling this function to prevent +* immediate fault switchover. This function is not available for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_EnableFaultRecovery(void) +{ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XPROT; +} + + +/******************************************************************************* +* Function Name: CyXTAL_DisableFaultRecovery +******************************************************************************** +* +* Summary: +* Disables the fault recovery circuit which will switch to the IMO in the case +* of a fault in the megahertz crystal circuit. This function is not available +* for PSoC5. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_DisableFaultRecovery(void) +{ + CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XPROT)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_SetStartup +******************************************************************************** +* +* Summary: +* Sets the startup settings for the crystal. Logic model outputs a frequency +* (setting + 4) MHz when enabled. +* +* This is artificial as the actual frequency is determined by an attached +* external crystal. +* +* Parameters: +* setting: Valid range [0-31]. +* Value is dependent on the frequency and quality of the crystal being used. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetStartup(uint8 setting) +{ + CY_CLK_XMHZ_CFG0_REG = (CY_CLK_XMHZ_CFG0_REG & ((uint8)(~CY_CLK_XMHZ_CFG0_XCFG_MASK))) | + (setting & CY_CLK_XMHZ_CFG0_XCFG_MASK); +} + + + +/******************************************************************************* +* Function Name: CyXTAL_SetFbVoltage +******************************************************************************** +* +* Summary: +* Sets the feedback reference voltage to use for the crystal circuit. +* This function is only available for PSoC3 and PSoC 5LP. +* +* Parameters: +* setting: Valid range [0-15]. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetFbVoltage(uint8 setting) +{ + CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_FB_MASK))) | + (setting & CY_CLK_XMHZ_CFG1_VREF_FB_MASK)); +} + + +/******************************************************************************* +* Function Name: CyXTAL_SetWdVoltage +******************************************************************************** +* +* Summary: +* Sets the reference voltage used by the watchdog to detect a failure in the +* crystal circuit. This function is only available for PSoC3 and PSoC 5LP. +* +* Parameters: +* setting: Valid range [0-7]. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetWdVoltage(uint8 setting) +{ + CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_WD_MASK))) | + (((uint8)(setting << 4u)) & CY_CLK_XMHZ_CFG1_VREF_WD_MASK)); +} + + +/******************************************************************************* +* Function Name: CyHalt +******************************************************************************** +* +* Summary: +* Halts the CPU. +* +* Parameters: +* uint8 reason: Value to be used during debugging. +* +* Return: +* None +* +*******************************************************************************/ +void CyHalt(uint8 reason) CYREENTRANT +{ + if(0u != reason) + { + /* To remove unreferenced local variable warning */ + } + + #if defined (__ARMCC_VERSION) + __breakpoint(0x0); + #elif defined(__GNUC__) || defined (__ICCARM__) + __asm(" bkpt 1"); + #elif defined(__C51__) + CYDEV_HALT_CPU; + #endif /* (__ARMCC_VERSION) */ +} + + +/******************************************************************************* +* Function Name: CySoftwareReset +******************************************************************************** +* +* Summary: +* Forces a software reset of the device. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySoftwareReset(void) +{ + CY_LIB_RESET_CR2_REG |= CY_LIB_RESET_CR2_RESET; +} + + +/******************************************************************************* +* Function Name: CyDelay +******************************************************************************** +* +* Summary: +* Blocks for milliseconds. +* +* Note: +* CyDelay has been implemented with the instruction cache assumed enabled. When +* instruction cache is disabled on PSoC5, CyDelay will be two times larger. For +* example, with instruction cache disabled CyDelay(100) would result in about +* 200 ms delay instead of 100 ms. +* +* Parameters: +* milliseconds: number of milliseconds to delay. +* +* Return: +* None +* +*******************************************************************************/ +void CyDelay(uint32 milliseconds) CYREENTRANT +{ + while (milliseconds > 32768u) + { + /*********************************************************************** + * This loop prevents overflow.At 100MHz, milliseconds * delay_freq_khz + * overflows at about 42 seconds. + ***********************************************************************/ + CyDelayCycles(cydelay_32k_ms); + milliseconds = ((uint32)(milliseconds - 32768u)); + } + + CyDelayCycles(milliseconds * cydelay_freq_khz); +} + + +#if(!CY_PSOC3) + + /* For PSoC3 devices function is defined in CyBootAsmKeil.a51 file */ + + /******************************************************************************* + * Function Name: CyDelayUs + ******************************************************************************** + * + * Summary: + * Blocks for microseconds. + * + * Note: + * CyDelay has been implemented with the instruction cache assumed enabled. + * When instruction cache is disabled on PSoC5, CyDelayUs will be two times + * larger. Ex: With instruction cache disabled CyDelayUs(100) would result + * in about 200us delay instead of 100us. + * + * Parameters: + * uint16 microseconds: number of microseconds to delay. + * + * Return: + * None + * + * Side Effects: + * CyDelayUS has been implemented with the instruction cache assumed enabled. + * When instruction cache is disabled on PSoC 5, CyDelayUs will be two times + * larger. For example, with instruction cache disabled CyDelayUs(100) would + * result in about 200 us delay instead of 100 us. + * + * If the bus clock frequency is a small non-integer number, the actual delay + * can be up to twice as long as the nominal value. The actual delay cannot be + * shorter than the nominal one. + *******************************************************************************/ + void CyDelayUs(uint16 microseconds) CYREENTRANT + { + CyDelayCycles((uint32)microseconds * cydelay_freq_mhz); + } + +#endif /* (!CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: CyDelayFreq +******************************************************************************** +* +* Summary: +* Sets clock frequency for CyDelay. +* +* Parameters: +* freq: Frequency of bus clock in Hertz. +* +* Return: +* None +* +*******************************************************************************/ +void CyDelayFreq(uint32 freq) CYREENTRANT +{ + if (freq != 0u) + { + cydelay_freq_hz = freq; + } + else + { + cydelay_freq_hz = BCLK__BUS_CLK__HZ; + } + + cydelay_freq_mhz = (uint8)((cydelay_freq_hz + 999999u) / 1000000u); + cydelay_freq_khz = (cydelay_freq_hz + 999u) / 1000u; + cydelay_32k_ms = 32768u * cydelay_freq_khz; +} + + +/******************************************************************************* +* Function Name: CyWdtStart +******************************************************************************** +* +* Summary: +* Enables the watchdog timer. +* +* The timer is configured for the specified count interval, the central +* timewheel is cleared, the setting for low power mode is configured and the +* watchdog timer is enabled. +* +* Once enabled the watchdog cannot be disabled. The watchdog counts each time +* the Central Time Wheel (CTW) reaches the period specified. The watchdog must +* be cleared using the CyWdtClear() function before three ticks of the watchdog +* timer occur. The CTW is free running, so this will occur after between 2 and +* 3 timer periods elapse. +* +* PSoC5: The watchdog timer should not be used during sleep modes. Since the +* WDT cannot be disabled after it is enabled, the WDT timeout period can be +* set to be greater than the sleep wakeup period, then feed the dog on each +* wakeup from Sleep. +* +* Parameters: +* ticks: One of the four available timer periods. Once WDT enabled, the + interval cannot be changed. +* CYWDT_2_TICKS - 4 - 6 ms +* CYWDT_16_TICKS - 32 - 48 ms +* CYWDT_128_TICKS - 256 - 384 ms +* CYWDT_1024_TICKS - 2.048 - 3.072 s +* +* lpMode: Low power mode configuration. This parameter is ignored for PSoC 5. +* The WDT always acts as if CYWDT_LPMODE_NOCHANGE is passed. +* +* CYWDT_LPMODE_NOCHANGE - No Change +* CYWDT_LPMODE_MAXINTER - Switch to longest timer mode during low power +* mode +* CYWDT_LPMODE_DISABLED - Disable WDT during low power mode +* +* Return: +* None +* +* Side Effects: +* PSoC5: The ILO 1 KHz must be enabled for proper WDT operation. Stopping the +* ILO 1 kHz could break the active WDT functionality. +* +*******************************************************************************/ +void CyWdtStart(uint8 ticks, uint8 lpMode) +{ + /* Set WDT interval */ + CY_WDT_CFG_REG = (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_INTERVAL_MASK))) | (ticks & CY_WDT_CFG_INTERVAL_MASK); + + /* Reset CTW to ensure that first watchdog period is full */ + CY_WDT_CFG_REG |= CY_WDT_CFG_CTW_RESET; + CY_WDT_CFG_REG &= ((uint8)(~CY_WDT_CFG_CTW_RESET)); + + /* Setting the low power mode */ + CY_WDT_CFG_REG = (((uint8)(lpMode << CY_WDT_CFG_LPMODE_SHIFT)) & CY_WDT_CFG_LPMODE_MASK) | + (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_LPMODE_MASK))); + + /* Enables the watchdog reset */ + CY_WDT_CFG_REG |= CY_WDT_CFG_WDR_EN; +} + + +/******************************************************************************* +* Function Name: CyWdtClear +******************************************************************************** +* +* Summary: +* Clears (feeds) the watchdog timer. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyWdtClear(void) +{ + CY_WDT_CR_REG = CY_WDT_CR_FEED; +} + + + +/******************************************************************************* +* Function Name: CyVdLvDigitEnable +******************************************************************************** +* +* Summary: +* Enables the digital low voltage monitors to generate interrupt on Vddd +* archives specified threshold and optionally resets device. +* +* Parameters: +* reset: Option to reset device at a specified Vddd threshold: +* 0 - Device is not reset. +* 1 - Device is reset. +* +* threshold: Sets the trip level for the voltage monitor. +* Values from 1.70 V to 5.45 V are accepted with the approximately 250 mV +* interval. +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvDigitEnable(uint8 reset, uint8 threshold) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + + CY_VD_LVI_TRIP_REG = (threshold & CY_VD_LVI_TRIP_LVID_MASK) | + (CY_VD_LVI_TRIP_REG & ((uint8)(~CY_VD_LVI_TRIP_LVID_MASK))); + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVID_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void)CY_VD_PERSISTENT_STATUS_REG; + + if(0u != reset) + { + CY_VD_PRES_CONTROL_REG |= CY_VD_PRESD_EN; + } + else + { + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + } + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdLvAnalogEnable +******************************************************************************** +* +* Summary: +* Enables the analog low voltage monitors to generate interrupt on Vdda +* archives specified threshold and optionally resets device. +* +* Parameters: +* reset: Option to reset device at a specified Vdda threshold: +* 0 - Device is not reset. +* 1 - Device is reset. +* +* threshold: Sets the trip level for the voltage monitor. +* Values from 1.70 V to 5.45 V are accepted with the approximately 250 mV +* interval. +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + + CY_VD_LVI_TRIP_REG = ((uint8)(threshold << 4u)) | (CY_VD_LVI_TRIP_REG & 0x0Fu); + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVIA_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void)CY_VD_PERSISTENT_STATUS_REG; + + if(0u != reset) + { + CY_VD_PRES_CONTROL_REG |= CY_VD_PRESA_EN; + } + else + { + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + } + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdLvDigitDisable +******************************************************************************** +* +* Summary: +* Disables the digital low voltage monitor (interrupt and device reset are +* disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvDigitDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVID_EN)); + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + + while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + { + + } +} + + +/******************************************************************************* +* Function Name: CyVdLvAnalogDisable +******************************************************************************** +* +* Summary: +* Disables the analog low voltage monitor (interrupt and device reset are +* disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvAnalogDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVIA_EN)); + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + + while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + { + + } +} + + +/******************************************************************************* +* Function Name: CyVdHvAnalogEnable +******************************************************************************** +* +* Summary: +* Enables the analog high voltage monitors to generate interrupt on +* Vdda archives 5.75 V threshold and optionally resets device. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdHvAnalogEnable(void) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_HVIA_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void) CY_VD_PERSISTENT_STATUS_REG; + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdHvAnalogDisable +******************************************************************************** +* +* Summary: +* Disables the analog low voltage monitor +* (interrupt and device reset are disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdHvAnalogDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_HVIA_EN)); +} + + +/******************************************************************************* +* Function Name: CyVdStickyStatus +******************************************************************************** +* +* Summary: +* Manages the Reset and Voltage Detection Status Register 0. +* This register has the interrupt status for the HVIA, LVID and LVIA. +* This hardware register clears on read. +* +* Parameters: +* mask: Bits in the shadow register to clear. +* Define Definition +* CY_VD_LVID Persistent status of digital LVI. +* CY_VD_LVIA Persistent status of analog LVI. +* CY_VD_HVIA Persistent status of analog HVI. +* +* Return: +* Status. Same enumerated bit values as used for the mask parameter. +* +*******************************************************************************/ +uint8 CyVdStickyStatus(uint8 mask) +{ + uint8 status; + + status = CY_VD_PERSISTENT_STATUS_REG; + CY_VD_PERSISTENT_STATUS_REG &= ((uint8)(~mask)); + + return(status); +} + + +/******************************************************************************* +* Function Name: CyVdRealTimeStatus +******************************************************************************** +* +* Summary: +* Returns the real time voltage detection status. +* +* Parameters: +* None +* +* Return: +* Status: +* Define Definition +* CY_VD_LVID Persistent status of digital LVI. +* CY_VD_LVIA Persistent status of analog LVI. +* CY_VD_HVIA Persistent status of analog HVI. +* +*******************************************************************************/ +uint8 CyVdRealTimeStatus(void) +{ + uint8 interruptState; + uint8 vdFlagsState; + + interruptState = CyEnterCriticalSection(); + vdFlagsState = CY_VD_RT_STATUS_REG; + CyExitCriticalSection(interruptState); + + return(vdFlagsState); +} + + +/******************************************************************************* +* Function Name: CyDisableInts +******************************************************************************** +* +* Summary: +* Disables the interrupt enable for each interrupt. +* +* Parameters: +* None +* +* Return: +* 32 bit mask of previously enabled interrupts. +* +*******************************************************************************/ +uint32 CyDisableInts(void) +{ + uint32 intState; + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3) + + /* Get the current interrupt state. */ + intState = ((uint32) CY_GET_REG8(CY_INT_CLR_EN0_PTR)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN1_PTR)) << 8u)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN2_PTR)) << 16u)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN3_PTR)) << 24u)); + + + /* Disable all of the interrupts. */ + CY_SET_REG8(CY_INT_CLR_EN0_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN1_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN2_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN3_PTR, 0xFFu); + + #else + + /* Get the current interrupt state. */ + intState = CY_GET_REG32(CY_INT_CLEAR_PTR); + + /* Disable all of the interrupts. */ + CY_SET_REG32(CY_INT_CLEAR_PTR, 0xFFFFFFFFu); + + #endif /* (CY_PSOC3) */ + + CyExitCriticalSection(interruptState); + + return (intState); +} + + +/******************************************************************************* +* Function Name: CyEnableInts +******************************************************************************** +* +* Summary: +* Enables interrupts to a given state. +* +* Parameters: +* uint32 mask: 32 bit mask of interrupts to enable. +* +* Return: +* None +* +*******************************************************************************/ +void CyEnableInts(uint32 mask) +{ + + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3) + + /* Set interrupts as enabled. */ + CY_SET_REG8(CY_INT_SET_EN3_PTR, ((uint8) (mask >> 24u))); + CY_SET_REG8(CY_INT_SET_EN2_PTR, ((uint8) (mask >> 16u))); + CY_SET_REG8(CY_INT_SET_EN1_PTR, ((uint8) (mask >> 8u ))); + CY_SET_REG8(CY_INT_SET_EN0_PTR, ((uint8) (mask ))); + + #else + + CY_SET_REG32(CY_INT_ENABLE_PTR, mask); + + #endif /* (CY_PSOC3) */ + + CyExitCriticalSection(interruptState); + +} + +#if(CY_PSOC5) + + /******************************************************************************* + * Function Name: CyFlushCache + ******************************************************************************** + * Summary: + * Flushes the PSoC 5/5LP cache by invalidating all entries. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyFlushCache(void) + { + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /* Fill instruction prefectch unit to insure data integrity */ + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + + /* All entries in the cache are invalidated on the next clock cycle. */ + CY_CACHE_CONTROL_REG |= CY_CACHE_CONTROL_FLUSH; + + + /*********************************************************************** + * The prefetch unit could/would be filled with the instructions that + * succeed the flush. Since a flush is desired then theoretically those + * instructions might be considered stale/invalid. + ***********************************************************************/ + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + } + + + /******************************************************************************* + * Function Name: CyIntSetSysVector + ******************************************************************************** + * Summary: + * Sets the interrupt vector of the specified system interrupt number. System + * interrupts are present only for the ARM platform. These interrupts are for + * SysTick, PendSV and others. + * + * Parameters: + * number: Interrupt number, valid range [0-15]. + address: Pointer to an interrupt service routine. + * + * Return: + * The old ISR vector at this location. + * + *******************************************************************************/ + cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + + CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + + /* Set new Interrupt service routine. */ + ramVectorTable[number & CY_INT_SYS_NUMBER_MASK] = address; + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetSysVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified system interrupt number. System + * interrupts are present only for the ARM platform. These interrupts are for + * SysTick, PendSV and others. + * + * Parameters: + * number: The interrupt number, valid range [0-15]. + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetSysVector(uint8 number) + { + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); + + return ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + } + + + /******************************************************************************* + * Function Name: CyIntSetVector + ******************************************************************************** + * + * Summary: + * Sets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * address: Pointer to an interrupt service routine + * + * Return: + * Previous interrupt vector value. + * + *******************************************************************************/ + cyisraddress CyIntSetVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]; + + /* Set new Interrupt service routine. */ + ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)] = address; + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetVector(uint8 number) + { + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + CYASSERT(number <= CY_INT_NUMBER_MAX); + + return (ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]); + } + + + /******************************************************************************* + * Function Name: CyIntSetPriority + ******************************************************************************** + * + * Summary: + * Sets the Priority of the Interrupt. + * + * Parameters: + * priority: Priority of the interrupt. 0 - 7, 0 being the highest. + * number: The number of the interrupt, 0 - 31. + * + * Return: + * None + * + *******************************************************************************/ + void CyIntSetPriority(uint8 number, uint8 priority) + { + CYASSERT(priority <= CY_INT_PRIORITY_MAX); + CYASSERT(number <= CY_INT_NUMBER_MAX); + CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = (priority & CY_INT_PRIORITY_MASK)<< 5; + } + + + /******************************************************************************* + * Function Name: CyIntGetPriority + ******************************************************************************** + * + * Summary: + * Gets the Priority of the Interrupt. + * + * Parameters: + * number: The number of the interrupt, 0 - 31. + * + * Return: + * Priority of the interrupt. 0 - 7, 0 being the highest. + * + *******************************************************************************/ + uint8 CyIntGetPriority(uint8 number) + { + uint8 priority; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + + return (priority); + } + + + /******************************************************************************* + * Function Name: CyIntGetState + ******************************************************************************** + * + * Summary: + * Gets the enable state of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * Enable status: 1 if enabled, 0 if disabled + * + *******************************************************************************/ + uint8 CyIntGetState(uint8 number) + { + reg32 * stateReg; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Get a pointer to the Interrupt enable register. */ + stateReg = CY_INT_ENABLE_PTR; + + /* Get the state of the interrupt. */ + return (0u != (*stateReg & (((uint32) 1u) << (0x1Fu & number)))) ? ((uint8)(1u)) : ((uint8)(0u)); + } + + +#else /* PSoC3 */ + + + /******************************************************************************* + * Function Name: CyIntSetVector + ******************************************************************************** + * + * Summary: + * Sets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * address: Pointer to an interrupt service routine + * + * Return: + * Previous interrupt vector value. + * + *******************************************************************************/ + cyisraddress CyIntSetVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = (cyisraddress) \ + CY_GET_REG16(&CY_INT_VECT_TABLE[number & CY_INT_NUMBER_MASK]); + + /* Set new Interrupt service routine. */ + CY_SET_REG16(&CY_INT_VECT_TABLE[number], (uint16) address); + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetVector(uint8 number) + { + CYASSERT(number <= CY_INT_NUMBER_MAX); + + return ((cyisraddress) \ + CY_GET_REG16(&CY_INT_VECT_TABLE[number & CY_INT_NUMBER_MASK])); + } + + + /******************************************************************************* + * Function Name: CyIntSetPriority + ******************************************************************************** + * + * Summary: + * Sets the Priority of the Interrupt. + * + * Parameters: + * priority: Priority of the interrupt. 0 - 7, 0 being the highest. + * number: The number of the interrupt, 0 - 31. + * + * Return: + * None + * + *******************************************************************************/ + void CyIntSetPriority(uint8 number, uint8 priority) + { + CYASSERT(priority <= CY_INT_PRIORITY_MAX); + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = + (priority & CY_INT_PRIORITY_MASK) << 5; + } + + + /******************************************************************************* + * Function Name: CyIntGetPriority + ******************************************************************************** + * + * Summary: + * Gets the Priority of the Interrupt. + * + * Parameters: + * number: The number of the interrupt, 0 - 31. + * + * Return: + * Priority of the interrupt. 0 - 7, 0 being the highest. + * + *******************************************************************************/ + uint8 CyIntGetPriority(uint8 number) + { + uint8 priority; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + + return (priority); + } + + + /******************************************************************************* + * Function Name: CyIntGetState + ******************************************************************************** + * + * Summary: + * Gets the enable state of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * Enable status: 1 if enabled, 0 if disabled + * + *******************************************************************************/ + uint8 CyIntGetState(uint8 number) + { + reg8 * stateReg; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Get a pointer to the Interrupt enable register. */ + stateReg = CY_INT_ENABLE_PTR + ((number & CY_INT_NUMBER_MASK) >> 3u); + + /* Get the state of the interrupt. */ + return ((0u != (*stateReg & ((uint8)(1u << (0x07u & number))))) ? ((uint8)(1u)) : ((uint8)(0u))); + } + + +#endif /* (CY_PSOC5) */ + + +#if(CYDEV_VARIABLE_VDDA == 1) + + /******************************************************************************* + * Function Name: CySetScPumps + ******************************************************************************** + * + * Summary: + * If 1 is passed as a parameter: + * - if any of the SC blocks are used - enable pumps for the SC blocks and + * start boost clock. + * - For the each enabled SC block set boost clock index and enable boost + * clock. + * + * If non-1 value is passed as a parameter: + * - If all SC blocks are not used - disable pumps for the SC blocks and + * stop boost clock. + * - For the each enabled SC block clear boost clock index and disable boost + * clock. + * + * The global variable CyScPumpEnabled is updated to be equal to passed + * parameter. + * + * Parameters: + * uint8 enable: Enable/disable SC pumps and boost clock for enabled SC block. + * 1 - Enable + * 0 - Disable + * + * Return: + * None + * + *******************************************************************************/ + void CySetScPumps(uint8 enable) + { + if(1u == enable) + { + /* The SC pumps should be enabled */ + CyScPumpEnabled = 1u; + /* Enable pumps if any of SC blocks are used */ + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAPS_MASK)) + { + CY_LIB_SC_MISC_REG |= CY_LIB_SC_MISC_PUMP_FORCE; + CyScBoostClk_Start(); + } + /* Set positive pump for each enabled SC block: set clock index and enable it */ + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP0_EN)) + { + CY_LIB_SC0_BST_REG = (CY_LIB_SC0_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC0_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP1_EN)) + { + CY_LIB_SC1_BST_REG = (CY_LIB_SC1_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC1_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP2_EN)) + { + CY_LIB_SC2_BST_REG = (CY_LIB_SC2_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC2_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP3_EN)) + { + CY_LIB_SC3_BST_REG = (CY_LIB_SC3_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC3_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + } + else + { + /* The SC pumps should be disabled */ + CyScPumpEnabled = 0u; + /* Disable pumps for all SC blocks and stop boost clock */ + CY_LIB_SC_MISC_REG &= ((uint8)(~CY_LIB_SC_MISC_PUMP_FORCE)); + CyScBoostClk_Stop(); + /* Disable boost clock and clear clock index for each SC block */ + CY_LIB_SC0_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC0_BST_REG = CY_LIB_SC0_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + CY_LIB_SC1_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC1_BST_REG = CY_LIB_SC1_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + CY_LIB_SC2_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC2_BST_REG = CY_LIB_SC2_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + CY_LIB_SC3_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC3_BST_REG = CY_LIB_SC3_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + } + } + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h new file mode 100644 index 0000000..8a69921 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h @@ -0,0 +1,1281 @@ +/******************************************************************************* +* File Name: CyLib.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the system, clocking, interrupts and +* watchdog timer API. +* +* Note: +* Documentation of the API's in this file is located in the System Reference +* Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYLIB_H) +#define CY_BOOT_CYLIB_H + +#include +#include +#include + +#include "cytypes.h" +#include "cyfitter.h" +#include "cydevice_trm.h" +#include "cyPm.h" + +#if(CY_PSOC3) + #include +#endif /* (CY_PSOC3) */ + + +#if(CYDEV_VARIABLE_VDDA == 1) + + #include "CyScBoostClk.h" + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* Global variable with preserved reset status */ +extern uint8 CYXDATA CyResetStatus; + + +/* Variable Vdda */ +#if(CYDEV_VARIABLE_VDDA == 1) + + extern uint8 CyScPumpEnabled; + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/* Do not use these definitions directly in your application */ +extern uint32 cydelay_freq_hz; +extern uint32 cydelay_freq_khz; +extern uint8 cydelay_freq_mhz; +extern uint32 cydelay_32k_ms; + + +/*************************************** +* Function Prototypes +***************************************/ +cystatus CyPLL_OUT_Start(uint8 wait) ; +void CyPLL_OUT_Stop(void) ; +void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) ; +void CyPLL_OUT_SetSource(uint8 source) ; + +void CyIMO_Start(uint8 wait) ; +void CyIMO_Stop(void) ; +void CyIMO_SetFreq(uint8 freq) ; +void CyIMO_SetSource(uint8 source) ; +void CyIMO_EnableDoubler(void) ; +void CyIMO_DisableDoubler(void) ; + +void CyMasterClk_SetSource(uint8 source) ; +void CyMasterClk_SetDivider(uint8 divider) ; +void CyBusClk_SetDivider(uint16 divider) ; + +#if(CY_PSOC3) + void CyCpuClk_SetDivider(uint8 divider) ; +#endif /* (CY_PSOC3) */ + +void CyUsbClk_SetSource(uint8 source) ; + +void CyILO_Start1K(void) ; +void CyILO_Stop1K(void) ; +void CyILO_Start100K(void) ; +void CyILO_Stop100K(void) ; +void CyILO_Enable33K(void) ; +void CyILO_Disable33K(void) ; +void CyILO_SetSource(uint8 source) ; +uint8 CyILO_SetPowerMode(uint8 mode) ; + +uint8 CyXTAL_32KHZ_ReadStatus(void) ; +uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) ; +void CyXTAL_32KHZ_Start(void) ; +void CyXTAL_32KHZ_Stop(void) ; + +cystatus CyXTAL_Start(uint8 wait) ; +void CyXTAL_Stop(void) ; +void CyXTAL_SetStartup(uint8 setting) ; + +void CyXTAL_EnableErrStatus(void) ; +void CyXTAL_DisableErrStatus(void) ; +uint8 CyXTAL_ReadStatus(void) ; +void CyXTAL_EnableFaultRecovery(void) ; +void CyXTAL_DisableFaultRecovery(void) ; + +void CyXTAL_SetFbVoltage(uint8 setting) ; +void CyXTAL_SetWdVoltage(uint8 setting) ; + +void CyWdtStart(uint8 ticks, uint8 lpMode) ; +void CyWdtClear(void) ; + +/* System Function Prototypes */ +void CyDelay(uint32 milliseconds) CYREENTRANT; +void CyDelayUs(uint16 microseconds); +void CyDelayFreq(uint32 freq) CYREENTRANT; +void CyDelayCycles(uint32 cycles); + +void CySoftwareReset(void) ; + +uint8 CyEnterCriticalSection(void); +void CyExitCriticalSection(uint8 savedIntrStatus); +void CyHalt(uint8 reason) CYREENTRANT; + + +/* Interrupt Function Prototypes */ +#if(CY_PSOC5) + cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) ; + cyisraddress CyIntGetSysVector(uint8 number) ; +#endif /* (CY_PSOC5) */ + +cyisraddress CyIntSetVector(uint8 number, cyisraddress address) ; +cyisraddress CyIntGetVector(uint8 number) ; + +void CyIntSetPriority(uint8 number, uint8 priority) ; +uint8 CyIntGetPriority(uint8 number) ; + +uint8 CyIntGetState(uint8 number) ; + +uint32 CyDisableInts(void) ; +void CyEnableInts(uint32 mask) ; + + +#if(CY_PSOC5) + void CyFlushCache(void); +#endif /* (CY_PSOC5) */ + + +/* Voltage Detection Function Prototypes */ +void CyVdLvDigitEnable(uint8 reset, uint8 threshold) ; +void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) ; +void CyVdLvDigitDisable(void) ; +void CyVdLvAnalogDisable(void) ; +void CyVdHvAnalogEnable(void) ; +void CyVdHvAnalogDisable(void) ; +uint8 CyVdStickyStatus(uint8 mask) ; +uint8 CyVdRealTimeStatus(void) ; + +void CySetScPumps(uint8 enable) ; + + +/*************************************** +* API Constants +***************************************/ + + +/******************************************************************************* +* PLL API Constants +*******************************************************************************/ +#define CY_CLK_PLL_ENABLE (0x01u) +#define CY_CLK_PLL_LOCK_STATUS (0x01u) + +#define CY_CLK_PLL_FTW_INTERVAL (24u) + +#define CY_CLK_PLL_MAX_Q_VALUE (16u) +#define CY_CLK_PLL_MIN_Q_VALUE (1u) +#define CY_CLK_PLL_MIN_P_VALUE (8u) +#define CY_CLK_PLL_MIN_CUR_VALUE (1u) +#define CY_CLK_PLL_MAX_CUR_VALUE (7u) + +#define CY_CLK_PLL_CURRENT_POSITION (4u) +#define CY_CLK_PLL_CURRENT_MASK (0x8Fu) + + +/******************************************************************************* +* External 32kHz Crystal Oscillator API Constants +*******************************************************************************/ +#define CY_XTAL32K_ANA_STAT (0x20u) + +#define CY_CLK_XTAL32_CR_LPM (0x02u) +#define CY_CLK_XTAL32_CR_EN (0x01u) +#if(CY_PSOC3) + #define CY_CLK_XTAL32_CR_PDBEN (0x04u) +#endif /* (CY_PSOC3) */ + +#define CY_CLK_XTAL32_TR_MASK (0x07u) +#define CY_CLK_XTAL32_TR_STARTUP (0x03u) +#define CY_CLK_XTAL32_TR_HIGH_POWER (0x06u) +#define CY_CLK_XTAL32_TR_LOW_POWER (0x01u) +#define CY_CLK_XTAL32_TR_POWERDOWN (0x00u) + +#define CY_CLK_XTAL32_TST_DEFAULT (0xF3u) + +#define CY_CLK_XTAL32_CFG_LP_DEFAULT (0x04u) +#define CY_CLK_XTAL32_CFG_LP_LOWPOWER (0x08u) +#define CY_CLK_XTAL32_CFG_LP_MASK (0x0Cu) + +#define CY_CLK_XTAL32_CFG_LP_ALLOW (0x80u) + + +/******************************************************************************* +* External MHz Crystal Oscillator API Constants +*******************************************************************************/ +#define CY_CLK_XMHZ_FTW_INTERVAL (24u) +#define CY_CLK_XMHZ_MIN_TIMEOUT (130u) + +#define CY_CLK_XMHZ_CSR_ENABLE (0x01u) +#define CY_CLK_XMHZ_CSR_XERR (0x80u) +#define CY_CLK_XMHZ_CSR_XFB (0x04u) +#define CY_CLK_XMHZ_CSR_XPROT (0x40u) + +#define CY_CLK_XMHZ_CFG0_XCFG_MASK (0x1Fu) +#define CY_CLK_XMHZ_CFG1_VREF_FB_MASK (0x0Fu) +#define CY_CLK_XMHZ_CFG1_VREF_WD_MASK (0x70u) + + +/******************************************************************************* +* Watchdog Timer API Constants +*******************************************************************************/ +#define CYWDT_2_TICKS (0x0u) /* 4 - 6 ms */ +#define CYWDT_16_TICKS (0x1u) /* 32 - 48 ms */ +#define CYWDT_128_TICKS (0x2u) /* 256 - 384 ms */ +#define CYWDT_1024_TICKS (0x3u) /* 2048 - 3072 ms */ + +#define CYWDT_LPMODE_NOCHANGE (0x00u) +#define CYWDT_LPMODE_MAXINTER (0x01u) +#define CYWDT_LPMODE_DISABLED (0x03u) + +#define CY_WDT_CFG_INTERVAL_MASK (0x03u) +#define CY_WDT_CFG_CTW_RESET (0x80u) +#define CY_WDT_CFG_LPMODE_SHIFT (5u) +#define CY_WDT_CFG_LPMODE_MASK (0x60u) +#define CY_WDT_CFG_WDR_EN (0x10u) +#define CY_WDT_CFG_CLEAR_ALL (0x00u) +#define CY_WDT_CR_FEED (0x01u) + + +/******************************************************************************* +* Voltage Detection API Constants +*******************************************************************************/ + +#define CY_VD_LVID_EN (0x01u) +#define CY_VD_LVIA_EN (0x02u) +#define CY_VD_HVIA_EN (0x04u) + +#define CY_VD_PRESD_EN (0x40u) +#define CY_VD_PRESA_EN (0x80u) + +#define CY_VD_LVID (0x01u) +#define CY_VD_LVIA (0x02u) +#define CY_VD_HVIA (0x04u) + +#define CY_VD_LVI_TRIP_LVID_MASK (0x0Fu) + + +/******************************************************************************* +* Variable VDDA API Constants +*******************************************************************************/ +#if(CYDEV_VARIABLE_VDDA == 1) + + /* Active Power Mode Configuration Register 9 */ + #define CY_LIB_ACT_CFG9_SWCAP0_EN (0x01u) + #define CY_LIB_ACT_CFG9_SWCAP1_EN (0x02u) + #define CY_LIB_ACT_CFG9_SWCAP2_EN (0x04u) + #define CY_LIB_ACT_CFG9_SWCAP3_EN (0x08u) + #define CY_LIB_ACT_CFG9_SWCAPS_MASK (0x0Fu) + + /* Switched Cap Miscellaneous Control Register */ + #define CY_LIB_SC_MISC_PUMP_FORCE (0x20u) + + /* Switched Capacitor 0 Boost Clock Selection Register */ + #define CY_LIB_SC_BST_CLK_EN (0x08u) + #define CY_LIB_SC_BST_CLK_INDEX_MASK (0xF8u) + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/******************************************************************************* +* Clock Distribution API Constants +*******************************************************************************/ +#define CY_LIB_CLKDIST_AMASK_MASK (0xF0u) +#define CY_LIB_CLKDIST_DMASK_MASK (0x00u) +#define CY_LIB_CLKDIST_LD_LOAD (0x01u) +#define CY_LIB_CLKDIST_BCFG2_MASK (0x80u) +#define CY_LIB_CLKDIST_MASTERCLK_DIV (7u) +#define CY_LIB_CLKDIST_BCFG2_SSS (0x40u) +#define CY_LIB_CLKDIST_MSTR1_SRC_MASK (0xFCu) +#define CY_LIB_FASTCLK_IMO_DOUBLER (0x10u) +#define CY_LIB_FASTCLK_IMO_IMO (0x20u) +#define CY_LIB_CLKDIST_CR_IMO2X (0x40u) +#define CY_LIB_FASTCLK_IMO_CR_RANGE_MASK (0xF8u) + +#define CY_LIB_CLKDIST_CR_PLL_SCR_MASK (0xFCu) + + +/* CyILO_SetPowerMode() */ +#define CY_ILO_CONTROL_PD_MODE (0x10u) +#define CY_ILO_CONTROL_PD_POSITION (4u) + +#define CY_ILO_SOURCE_100K (0u) +#define CY_ILO_SOURCE_33K (1u) +#define CY_ILO_SOURCE_1K (2u) + +#define CY_ILO_FAST_START (0u) +#define CY_ILO_SLOW_START (1u) + +#define CY_ILO_SOURCE_BITS_CLEAR (0xF3u) +#define CY_ILO_SOURCE_1K_SET (0x08u) +#define CY_ILO_SOURCE_33K_SET (0x04u) +#define CY_ILO_SOURCE_100K_SET (0x00u) + +#define CY_MASTER_SOURCE_IMO (0u) +#define CY_MASTER_SOURCE_PLL (1u) +#define CY_MASTER_SOURCE_XTAL (2u) +#define CY_MASTER_SOURCE_DSI (3u) + +#define CY_IMO_SOURCE_IMO (0u) +#define CY_IMO_SOURCE_XTAL (1u) +#define CY_IMO_SOURCE_DSI (2u) + + +/* CyIMO_Start() */ +#define CY_LIB_PM_ACT_CFG0_IMO_EN (0x10u) +#define CY_LIB_PM_STBY_CFG0_IMO_EN (0x10u) +#define CY_LIB_CLK_IMO_FTW_TIMEOUT (0x00u) + +#define CY_LIB_IMO_3MHZ_VALUE (0x03u) +#define CY_LIB_IMO_6MHZ_VALUE (0x01u) +#define CY_LIB_IMO_12MHZ_VALUE (0x00u) +#define CY_LIB_IMO_24MHZ_VALUE (0x02u) +#define CY_LIB_IMO_48MHZ_VALUE (0x04u) +#define CY_LIB_IMO_62MHZ_VALUE (0x05u) +#define CY_LIB_IMO_74MHZ_VALUE (0x06u) + + +/* CyIMO_SetFreq() */ +#define CY_IMO_FREQ_3MHZ (0u) +#define CY_IMO_FREQ_6MHZ (1u) +#define CY_IMO_FREQ_12MHZ (2u) +#define CY_IMO_FREQ_24MHZ (3u) +#define CY_IMO_FREQ_48MHZ (4u) +#define CY_IMO_FREQ_62MHZ (5u) +#if(CY_PSOC5) + #define CY_IMO_FREQ_74MHZ (6u) +#endif /* (CY_PSOC5) */ +#define CY_IMO_FREQ_USB (8u) + +#define CY_LIB_IMO_USBCLK_ON_SET (0x40u) + + +/* CyCpuClk_SetDivider() */ +#define CY_LIB_CLKDIST_DIV_POSITION (4u) +#define CY_LIB_CLKDIST_MSTR1_DIV_MASK (0x0Fu) + + +/* CyIMO_SetTrimValue() */ +#define CY_LIB_USB_CLK_EN (0x02u) + + +/* CyPLL_OUT_SetSource() - parameters */ +#define CY_PLL_SOURCE_IMO (0u) +#define CY_PLL_SOURCE_XTAL (1u) +#define CY_PLL_SOURCE_DSI (2u) + + +/* CyILO_[Start|Stop][1|100K](), CyILO_[Enable|Disable]33K() */ +#define CY_LIB_SLOWCLK_ILO_CR0_EN_1KHZ (0x02u) +#define CY_LIB_SLOWCLK_ILO_CR0_EN_33KHZ (0x20u) +#define CY_LIB_SLOWCLK_ILO_CR0_EN_100KHZ (0x04u) + + +/* CyUsbClk_SetSource() */ +#define CY_LIB_CLKDIST_UCFG_SRC_SEL_MASK (0x03u) + + +/* CyUsbClk_SetSource() - parameters */ +#define CY_LIB_USB_CLK_IMO2X (0x00u) +#define CY_LIB_USB_CLK_IMO (0x01u) +#define CY_LIB_USB_CLK_PLL (0x02u) +#define CY_LIB_USB_CLK_DSI (0x03u) + + +/* CyUSB_PowerOnCheck() */ +#define CY_ACT_USB_ENABLED (0x01u) +#define CY_ALT_ACT_USB_ENABLED (0x01u) + + +/*************************************** +* Registers +***************************************/ + + +/******************************************************************************* +* System Registers +*******************************************************************************/ + +/* Software Reset Control Register */ +#define CY_LIB_RESET_CR2_REG (* (reg8 *) CYREG_RESET_CR2) +#define CY_LIB_RESET_CR2_PTR ( (reg8 *) CYREG_RESET_CR2) + +/* Timewheel Configuration Register 0 */ +#define CY_LIB_PM_TW_CFG0_REG (*(reg8 *) CYREG_PM_TW_CFG0) +#define CY_LIB_PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0) + +/* Timewheel Configuration Register 2 */ +#define CY_LIB_PM_TW_CFG2_REG (*(reg8 *) CYREG_PM_TW_CFG2) +#define CY_LIB_PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2) + +/* USB Configuration Register */ +#define CY_LIB_CLKDIST_UCFG_REG (*(reg8 *) CYREG_CLKDIST_UCFG) +#define CY_LIB_CLKDIST_UCFG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) + +/* Internal Main Oscillator Trim Register 1 */ +#define CY_LIB_IMO_TR1_REG (*(reg8 *) CYREG_IMO_TR1) +#define CY_LIB_IMO_TR1_PTR ( (reg8 *) CYREG_IMO_TR1) + +/* USB control 1 Register */ +#define CY_LIB_USB_CR1_REG (*(reg8 *) CYREG_USB_CR1 ) +#define CY_LIB_USB_CR1_PTR ( (reg8 *) CYREG_USB_CR1 ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_LIB_PM_ACT_CFG0_REG (*(reg8 *) CYREG_PM_ACT_CFG0) +#define CY_LIB_PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0) + +/* Standby Power Mode Configuration Register 0 */ +#define CY_LIB_PM_STBY_CFG0_REG (*(reg8 *) CYREG_PM_STBY_CFG0) +#define CY_LIB_PM_STBY_CFG0_PTR ( (reg8 *) CYREG_PM_STBY_CFG0) + +/* Active Power Mode Configuration Register 5 */ +#define CY_LIB_PM_ACT_CFG5_REG (* (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_LIB_PM_ACT_CFG5_PTR ( (reg8 *) CYREG_PM_ACT_CFG5 ) + +/* Standby Power Mode Configuration Register 5 */ +#define CY_LIB_PM_STBY_CFG5_REG (* (reg8 *) CYREG_PM_STBY_CFG5 ) +#define CY_LIB_PM_STBY_CFG5_PTR ( (reg8 *) CYREG_PM_STBY_CFG5 ) + +/* CyIMO_SetTrimValue() */ +#if(CY_PSOC3) + #define CY_LIB_TRIM_IMO_3MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define CY_LIB_TRIM_IMO_6MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define CY_LIB_TRIM_IMO_12MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define CY_LIB_TRIM_IMO_24MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define CY_LIB_TRIM_IMO_67MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define CY_LIB_TRIM_IMO_80MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define CY_LIB_TRIM_IMO_USB_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define CY_LIB_TRIM_IMO_TR1_PTR ((void far *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) + #else + #define CY_LIB_TRIM_IMO_3MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define CY_LIB_TRIM_IMO_6MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define CY_LIB_TRIM_IMO_12MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define CY_LIB_TRIM_IMO_24MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define CY_LIB_TRIM_IMO_67MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define CY_LIB_TRIM_IMO_80MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define CY_LIB_TRIM_IMO_USB_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define CY_LIB_TRIM_IMO_TR1_PTR ((reg8 *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* PLL Registers +*******************************************************************************/ + +/* PLL Configuration Register 0 */ +#define CY_CLK_PLL_CFG0_REG (*(reg8 *) CYREG_FASTCLK_PLL_CFG0) +#define CY_CLK_PLL_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG0) + +/* PLL Configuration Register 1 */ +#define CY_CLK_PLL_CFG1_REG (*(reg8 *) CYREG_FASTCLK_PLL_CFG1) +#define CY_CLK_PLL_CFG1_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG1) + +/* PLL Status Register */ +#define CY_CLK_PLL_SR_REG (*(reg8 *) CYREG_FASTCLK_PLL_SR) +#define CY_CLK_PLL_SR_PTR ( (reg8 *) CYREG_FASTCLK_PLL_SR) + +/* PLL Q-Counter Configuration Register */ +#define CY_CLK_PLL_Q_REG (*(reg8 *) CYREG_FASTCLK_PLL_Q) +#define CY_CLK_PLL_Q_PTR ( (reg8 *) CYREG_FASTCLK_PLL_Q) + +/* PLL P-Counter Configuration Register */ +#define CY_CLK_PLL_P_REG (*(reg8 *) CYREG_FASTCLK_PLL_P) +#define CY_CLK_PLL_P_PTR ( (reg8 *) CYREG_FASTCLK_PLL_P) + + +/******************************************************************************* +* External MHz Crystal Oscillator Registers +*******************************************************************************/ + +/* External MHz Crystal Oscillator Status and Control Register */ +#define CY_CLK_XMHZ_CSR_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CSR) +#define CY_CLK_XMHZ_CSR_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CSR) + +/* External MHz Crystal Oscillator Configuration Register 0 */ +#define CY_CLK_XMHZ_CFG0_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CFG0) +#define CY_CLK_XMHZ_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CFG0) + +/* External MHz Crystal Oscillator Configuration Register 1 */ +#define CY_CLK_XMHZ_CFG1_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CFG1) +#define CY_CLK_XMHZ_CFG1_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CFG1) + + +/******************************************************************************* +* External 32kHz Crystal Oscillator Registers +*******************************************************************************/ + +/* 32 kHz Watch Crystal Oscillator Trim Register */ +#define CY_CLK_XTAL32_TR_REG (*(reg8 *) CYREG_X32_TR) +#define CY_CLK_XTAL32_TR_PTR ( (reg8 *) CYREG_X32_TR) + +/* External 32kHz Crystal Oscillator Test Register */ +#define CY_CLK_XTAL32_TST_REG (*(reg8 *) CYREG_SLOWCLK_X32_TST) +#define CY_CLK_XTAL32_TST_PTR ( (reg8 *) CYREG_SLOWCLK_X32_TST) + +/* External 32kHz Crystal Oscillator Control Register */ +#define CY_CLK_XTAL32_CR_REG (*(reg8 *) CYREG_SLOWCLK_X32_CR) +#define CY_CLK_XTAL32_CR_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CR) + +/* External 32kHz Crystal Oscillator Configuration Register */ +#define CY_CLK_XTAL32_CFG_REG (*(reg8 *) CYREG_SLOWCLK_X32_CFG) +#define CY_CLK_XTAL32_CFG_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CFG) + + +/******************************************************************************* +* Watchdog Timer Registers +*******************************************************************************/ + +/* Watchdog Timer Configuration Register */ +#define CY_WDT_CFG_REG (*(reg8 *) CYREG_PM_WDT_CFG) +#define CY_WDT_CFG_PTR ( (reg8 *) CYREG_PM_WDT_CFG) + +/* Watchdog Timer Control Register */ +#define CY_WDT_CR_REG (*(reg8 *) CYREG_PM_WDT_CR) +#define CY_WDT_CR_PTR ( (reg8 *) CYREG_PM_WDT_CR) + + +/******************************************************************************* +* LVI/HVI Registers +*******************************************************************************/ + +#define CY_VD_LVI_TRIP_REG (* (reg8 *) CYREG_RESET_CR0) +#define CY_VD_LVI_TRIP_PTR ( (reg8 *) CYREG_RESET_CR0) + +#define CY_VD_LVI_HVI_CONTROL_REG (* (reg8 *) CYREG_RESET_CR1) +#define CY_VD_LVI_HVI_CONTROL_PTR ( (reg8 *) CYREG_RESET_CR1) + +#define CY_VD_PRES_CONTROL_REG (* (reg8 *) CYREG_RESET_CR3) +#define CY_VD_PRES_CONTROL_PTR ( (reg8 *) CYREG_RESET_CR3) + +#define CY_VD_PERSISTENT_STATUS_REG (* (reg8 *) CYREG_RESET_SR0) +#define CY_VD_PERSISTENT_STATUS_PTR ( (reg8 *) CYREG_RESET_SR0) + +#define CY_VD_RT_STATUS_REG (* (reg8 *) CYREG_RESET_SR2) +#define CY_VD_RT_STATUS_PTR ( (reg8 *) CYREG_RESET_SR2) + + +/******************************************************************************* +* Variable VDDA +*******************************************************************************/ +#if(CYDEV_VARIABLE_VDDA == 1) + + /* Active Power Mode Configuration Register 9 */ + #define CY_LIB_ACT_CFG9_REG (* (reg8 *) CYREG_PM_ACT_CFG9 ) + #define CY_LIB_ACT_CFG9_PTR ( (reg8 *) CYREG_PM_ACT_CFG9 ) + + /* Switched Capacitor 0 Boost Clock Selection Register */ + #define CY_LIB_SC0_BST_REG (* (reg8 *) CYREG_SC0_BST ) + #define CY_LIB_SC0_BST_PTR ( (reg8 *) CYREG_SC0_BST ) + + /* Switched Capacitor 1 Boost Clock Selection Register */ + #define CY_LIB_SC1_BST_REG (* (reg8 *) CYREG_SC1_BST ) + #define CY_LIB_SC1_BST_PTR ( (reg8 *) CYREG_SC1_BST ) + + /* Switched Capacitor 2 Boost Clock Selection Register */ + #define CY_LIB_SC2_BST_REG (* (reg8 *) CYREG_SC2_BST ) + #define CY_LIB_SC2_BST_PTR ( (reg8 *) CYREG_SC2_BST ) + + /* Switched Capacitor 3 Boost Clock Selection Register */ + #define CY_LIB_SC3_BST_REG (* (reg8 *) CYREG_SC3_BST ) + #define CY_LIB_SC3_BST_PTR ( (reg8 *) CYREG_SC3_BST ) + + /* Switched Cap Miscellaneous Control Register */ + #define CY_LIB_SC_MISC_REG (* (reg8 *) CYREG_SC_MISC ) + #define CY_LIB_SC_MISC_PTR ( (reg8 *) CYREG_SC_MISC ) + +#endif /* (CYDEV_VARIABLE_VDDA == 1) */ + + +/******************************************************************************* +* Clock Distribution Registers +*******************************************************************************/ + +/* Analog Clock Mask Register */ +#define CY_LIB_CLKDIST_AMASK_REG (* (reg8 *) CYREG_CLKDIST_AMASK ) +#define CY_LIB_CLKDIST_AMASK_PTR ( (reg8 *) CYREG_CLKDIST_AMASK ) + +/* Digital Clock Mask Register */ +#define CY_LIB_CLKDIST_DMASK_REG (*(reg8 *) CYREG_CLKDIST_DMASK) +#define CY_LIB_CLKDIST_DMASK_PTR ( (reg8 *) CYREG_CLKDIST_DMASK) + +/* CLK_BUS Configuration Register */ +#define CY_LIB_CLKDIST_BCFG2_REG (*(reg8 *) CYREG_CLKDIST_BCFG2) +#define CY_LIB_CLKDIST_BCFG2_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2) + +/* LSB Shadow Divider Value Register */ +#define CY_LIB_CLKDIST_WRK_LSB_REG (*(reg8 *) CYREG_CLKDIST_WRK0) +#define CY_LIB_CLKDIST_WRK_LSB_PTR ( (reg8 *) CYREG_CLKDIST_WRK0) + +/* MSB Shadow Divider Value Register */ +#define CY_LIB_CLKDIST_WRK_MSB_REG (*(reg8 *) CYREG_CLKDIST_WRK1) +#define CY_LIB_CLKDIST_WRK_MSB_PTR ( (reg8 *) CYREG_CLKDIST_WRK1) + +/* LOAD Register */ +#define CY_LIB_CLKDIST_LD_REG (*(reg8 *) CYREG_CLKDIST_LD) +#define CY_LIB_CLKDIST_LD_PTR ( (reg8 *) CYREG_CLKDIST_LD) + +/* CLK_BUS LSB Divider Value Register */ +#define CY_LIB_CLKDIST_BCFG_LSB_REG (*(reg8 *) CYREG_CLKDIST_BCFG0) +#define CY_LIB_CLKDIST_BCFG_LSB_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0) + +/* CLK_BUS MSB Divider Value Register */ +#define CY_LIB_CLKDIST_BCFG_MSB_REG (*(reg8 *) CYREG_CLKDIST_BCFG1) +#define CY_LIB_CLKDIST_BCFG_MSB_PTR ( (reg8 *) CYREG_CLKDIST_BCFG1) + +/* Master clock (clk_sync_d) Divider Value Register */ +#define CY_LIB_CLKDIST_MSTR0_REG (*(reg8 *) CYREG_CLKDIST_MSTR0) +#define CY_LIB_CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0) + +/* Master (clk_sync_d) Configuration Register/CPU Divider Value */ +#define CY_LIB_CLKDIST_MSTR1_REG (*(reg8 *) CYREG_CLKDIST_MSTR1) +#define CY_LIB_CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1) + +/* Internal Main Oscillator Control Register */ +#define CY_LIB_FASTCLK_IMO_CR_REG (*(reg8 *) CYREG_FASTCLK_IMO_CR) +#define CY_LIB_FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR) + +/* Configuration Register CR */ +#define CY_LIB_CLKDIST_CR_REG (*(reg8 *) CYREG_CLKDIST_CR) +#define CY_LIB_CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR) + +/* Internal Low-speed Oscillator Control Register 0 */ +#define CY_LIB_SLOWCLK_ILO_CR0_REG (*(reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define CY_LIB_SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0) + + +/******************************************************************************* +* Interrupt Registers +*******************************************************************************/ + +#if(CY_PSOC5) + + /* Interrupt Vector Table Offset */ + #define CY_INT_VECT_TABLE ((cyisraddress **) CYREG_NVIC_VECT_OFFSET) + + /* Interrupt Priority 0-31 */ + #define CY_INT_PRIORITY_REG (* (reg8 *) CYREG_NVIC_PRI_0) + #define CY_INT_PRIORITY_PTR ( (reg8 *) CYREG_NVIC_PRI_0) + + /* Interrupt Enable Set 0-31 */ + #define CY_INT_ENABLE_REG (* (reg32 *) CYREG_NVIC_SETENA0) + #define CY_INT_ENABLE_PTR ( (reg32 *) CYREG_NVIC_SETENA0) + + /* Interrupt Enable Clear 0-31 */ + #define CY_INT_CLEAR_REG (* (reg32 *) CYREG_NVIC_CLRENA0) + #define CY_INT_CLEAR_PTR ( (reg32 *) CYREG_NVIC_CLRENA0) + + /* Interrupt Pending Set 0-31 */ + #define CY_INT_SET_PEND_REG (* (reg32 *) CYREG_NVIC_SETPEND0) + #define CY_INT_SET_PEND_PTR ( (reg32 *) CYREG_NVIC_SETPEND0) + + /* Interrupt Pending Clear 0-31 */ + #define CY_INT_CLR_PEND_REG (* (reg32 *) CYREG_NVIC_CLRPEND0) + #define CY_INT_CLR_PEND_PTR ( (reg32 *) CYREG_NVIC_CLRPEND0) + + /* Cache Control Register */ + #define CY_CACHE_CONTROL_REG (* (reg16 *) CYREG_CACHE_CC_CTL ) + #define CY_CACHE_CONTROL_PTR ( (reg16 *) CYREG_CACHE_CC_CTL ) + +#elif (CY_PSOC3) + + /* Interrupt Address Vector registers */ + #define CY_INT_VECT_TABLE ((cyisraddress CYXDATA *) CYREG_INTC_VECT_MBASE) + + /* Interrrupt Controller Priority Registers */ + #define CY_INT_PRIORITY_REG (* (reg8 *) CYREG_INTC_PRIOR0) + #define CY_INT_PRIORITY_PTR ( (reg8 *) CYREG_INTC_PRIOR0) + + /* Interrrupt Controller Set Enable Registers */ + #define CY_INT_ENABLE_REG (* (reg8 *) CYREG_INTC_SET_EN0) + #define CY_INT_ENABLE_PTR ( (reg8 *) CYREG_INTC_SET_EN0) + + #define CY_INT_SET_EN0_REG (* (reg8 *) CYREG_INTC_SET_EN0) + #define CY_INT_SET_EN0_PTR ( (reg8 *) CYREG_INTC_SET_EN0) + + #define CY_INT_SET_EN1_REG (* (reg8 *) CYREG_INTC_SET_EN1) + #define CY_INT_SET_EN1_PTR ( (reg8 *) CYREG_INTC_SET_EN1) + + #define CY_INT_SET_EN2_REG (* (reg8 *) CYREG_INTC_SET_EN2) + #define CY_INT_SET_EN2_PTR ( (reg8 *) CYREG_INTC_SET_EN2) + + #define CY_INT_SET_EN3_REG (* (reg8 *) CYREG_INTC_SET_EN3) + #define CY_INT_SET_EN3_PTR ( (reg8 *) CYREG_INTC_SET_EN3) + + /* Interrrupt Controller Clear Enable Registers */ + #define CY_INT_CLEAR_REG (* (reg8 *) CYREG_INTC_CLR_EN0) + #define CY_INT_CLEAR_PTR ( (reg8 *) CYREG_INTC_CLR_EN0) + + #define CY_INT_CLR_EN0_REG (* (reg8 *) CYREG_INTC_CLR_EN0) + #define CY_INT_CLR_EN0_PTR ( (reg8 *) CYREG_INTC_CLR_EN0) + + #define CY_INT_CLR_EN1_REG (* (reg8 *) CYREG_INTC_CLR_EN1) + #define CY_INT_CLR_EN1_PTR ( (reg8 *) CYREG_INTC_CLR_EN1) + + #define CY_INT_CLR_EN2_REG (* (reg8 *) CYREG_INTC_CLR_EN2) + #define CY_INT_CLR_EN2_PTR ( (reg8 *) CYREG_INTC_CLR_EN2) + + #define CY_INT_CLR_EN3_REG (* (reg8 *) CYREG_INTC_CLR_EN3) + #define CY_INT_CLR_EN3_PTR ( (reg8 *) CYREG_INTC_CLR_EN3) + + + /* Interrrupt Controller Set Pend Registers */ + #define CY_INT_SET_PEND_REG (* (reg8 *) CYREG_INTC_SET_PD0) + #define CY_INT_SET_PEND_PTR ( (reg8 *) CYREG_INTC_SET_PD0) + + /* Interrrupt Controller Clear Pend Registers */ + #define CY_INT_CLR_PEND_REG (* (reg8 *) CYREG_INTC_CLR_PD0) + #define CY_INT_CLR_PEND_PTR ( (reg8 *) CYREG_INTC_CLR_PD0) + + + /* Access Interrupt Controller Registers based on interrupt number */ + #define CY_INT_SET_EN_INDX_PTR(number) ((reg8 *) (CYREG_INTC_SET_EN0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_CLR_EN_INDX_PTR(number) ((reg8 *) (CYREG_INTC_CLR_EN0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_CLR_PEND_INDX_PTR(number) ((reg8 *) (CYREG_INTC_CLR_PD0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_SET_PEND_INDX_PTR(number) ((reg8 *) (CYREG_INTC_SET_PD0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Macro Name: CyAssert +******************************************************************************** +* Summary: +* Macro that evaluates the expression and if it is false (evaluates to 0) then +* the processor is halted. +* +* This macro is evaluated unless NDEBUG is defined. +* +* If NDEBUG is defined, then no code is generated for this macro. NDEBUG is +* defined by default for a Release build setting and not defined for a Debug +* build setting. +* +* Parameters: +* expr: Logical expression. Asserts if false. +* +* Return: +* None +* +*******************************************************************************/ +#if !defined(NDEBUG) + #define CYASSERT(x) { \ + if(!(x)) \ + { \ + CyHalt((uint8) 0u); \ + } \ + } +#else + #define CYASSERT(x) +#endif /* !defined(NDEBUG) */ + + +/* Reset register fields of RESET_SR0 (CyResetStatus) */ +#define CY_RESET_LVID (0x01u) +#define CY_RESET_LVIA (0x02u) +#define CY_RESET_HVIA (0x04u) +#define CY_RESET_WD (0x08u) +#define CY_RESET_SW (0x20u) +#define CY_RESET_GPIO0 (0x40u) +#define CY_RESET_GPIO1 (0x80u) + + +/* Interrrupt Controller Configuration and Status Register */ +#if(CY_PSOC3) + #define INTERRUPT_CSR ((reg8 *) CYREG_INTC_CSR_EN) + #define DISABLE_IRQ_SET ((uint8)(0x01u << 1u)) /* INTC_CSR_EN */ + #define INTERRUPT_DISABLE_IRQ {*INTERRUPT_CSR |= DISABLE_IRQ_SET;} + #define INTERRUPT_ENABLE_IRQ {*INTERRUPT_CSR = (uint8)(~DISABLE_IRQ_SET);} +#endif /* (CY_PSOC3) */ + + +#if defined(__ARMCC_VERSION) + #define CyGlobalIntEnable {__enable_irq();} + #define CyGlobalIntDisable {__disable_irq();} +#elif defined(__GNUC__) || defined (__ICCARM__) + #define CyGlobalIntEnable {__asm("CPSIE i");} + #define CyGlobalIntDisable {__asm("CPSID i");} +#elif defined(__C51__) + #define CyGlobalIntEnable {\ + EA = 1u; \ + INTERRUPT_ENABLE_IRQ\ + } + + #define CyGlobalIntDisable {\ + INTERRUPT_DISABLE_IRQ; \ + CY_NOP; \ + EA = 0u;\ + } +#else + #error No compiler toolchain defined + #define CyGlobalIntEnable + #define CyGlobalIntDisable +#endif /* (__ARMCC_VERSION) */ + + +#ifdef CYREG_MLOGIC_CPU_SCR_CPU_SCR + #define CYDEV_HALT_CPU CY_SET_REG8(CYREG_MLOGIC_CPU_SCR_CPU_SCR, 0x01u) +#else + #define CYDEV_HALT_CPU CY_SET_REG8(CYREG_MLOGIC_CPU_SCR, 0x01u) +#endif /* (CYREG_MLOGIC_CPU_SCR_CPU_SCR) */ + + +#ifdef CYREG_MLOGIC_REV_ID_REV_ID + #define CYDEV_CHIP_REV_ACTUAL (CY_GET_REG8(CYREG_MLOGIC_REV_ID_REV_ID)) +#else + #define CYDEV_CHIP_REV_ACTUAL (CY_GET_REG8(CYREG_MLOGIC_REV_ID)) +#endif /* (CYREG_MLOGIC_REV_ID_REV_ID) */ + + +/******************************************************************************* +* System API constants +*******************************************************************************/ +#define CY_CACHE_CONTROL_FLUSH (0x0004u) +#define CY_LIB_RESET_CR2_RESET (0x01u) + + +/******************************************************************************* +* Interrupt API constants +*******************************************************************************/ +#if(CY_PSOC5) + + #define CY_INT_IRQ_BASE (16u) + +#elif (CY_PSOC3) + + #define CY_INT_IRQ_BASE (0u) + +#endif /* (CY_PSOC5) */ + +/* Valid range of interrupt 0-31 */ +#define CY_INT_NUMBER_MAX (31u) + +/* Valid range of system interrupt 0-15 */ +#define CY_INT_SYS_NUMBER_MAX (15u) + +/* Valid range of system priority 0-7 */ +#define CY_INT_PRIORITY_MAX (7u) + +/* Mask to get valid range of interrupt 0-31 */ +#define CY_INT_NUMBER_MASK (0x1Fu) + +/* Mask to get valid range of system priority 0-7 */ +#define CY_INT_PRIORITY_MASK (0x7u) + +/* Mask to get valid range of system interrupt 0-15 */ +#define CY_INT_SYS_NUMBER_MASK (0xFu) + + +/******************************************************************************* +* Interrupt Macros +*******************************************************************************/ + +#if(CY_PSOC5) + + /******************************************************************************* + * Macro Name: CyIntEnable + ******************************************************************************** + * + * Summary: + * Enables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntEnable(number) CY_SET_REG32(CY_INT_ENABLE_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + /******************************************************************************* + * Macro Name: CyIntDisable + ******************************************************************************** + * + * Summary: + * Disables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntDisable(number) CY_SET_REG32(CY_INT_CLEAR_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntSetPending + ******************************************************************************** + * + * Summary: + * Forces the specified interrupt number to be pending. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntSetPending(number) CY_SET_REG32(CY_INT_SET_PEND_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntClearPending + ******************************************************************************** + * + * Summary: + * Clears any pending interrupt for the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntClearPending(number) CY_SET_REG32(CY_INT_CLR_PEND_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + +#else /* PSoC3 */ + + + /******************************************************************************* + * Macro Name: CyIntEnable + ******************************************************************************** + * + * Summary: + * Enables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntEnable(number) CY_SET_REG8(CY_INT_SET_EN_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntDisable + ******************************************************************************** + * + * Summary: + * Disables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntDisable(number) CY_SET_REG8(CY_INT_CLR_EN_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntSetPending + ******************************************************************************** + * + * Summary: + * Forces the specified interrupt number to be pending. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntSetPending(number) CY_SET_REG8(CY_INT_SET_PEND_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntClearPending + ******************************************************************************** + * Summary: + * Clears any pending interrupt for the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntClearPending(number) CY_SET_REG8(CY_INT_CLR_PEND_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used. +*******************************************************************************/ +#define CYGlobalIntEnable CyGlobalIntEnable +#define CYGlobalIntDisable CyGlobalIntDisable + +#define cymemset(s,c,n) memset((s),(c),(n)) +#define cymemcpy(d,s,n) memcpy((d),(s),(n)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define MFGCFG_X32_TR_PTR (CY_CLK_XTAL32_TR_PTR) +#define MFGCFG_X32_TR (CY_CLK_XTAL32_TR_REG) +#define SLOWCLK_X32_TST_PTR (CY_CLK_XTAL32_TST_PTR) +#define SLOWCLK_X32_TST (CY_CLK_XTAL32_TST_REG) +#define SLOWCLK_X32_CR_PTR (CY_CLK_XTAL32_CR_PTR) +#define SLOWCLK_X32_CR (CY_CLK_XTAL32_CR_REG) +#define SLOWCLK_X32_CFG_PTR (CY_CLK_XTAL32_CFG_PTR) +#define SLOWCLK_X32_CFG (CY_CLK_XTAL32_CFG_REG) + +#define X32_CONTROL_ANA_STAT (CY_CLK_XTAL32_CR_ANA_STAT) +#define X32_CONTROL_DIG_STAT (0x10u) +#define X32_CONTROL_LPM (CY_CLK_XTAL32_CR_LPM) +#define X32_CONTROL_LPM_POSITION (1u) +#define X32_CONTROL_X32EN (CY_CLK_XTAL32_CR_EN) +#define X32_CONTROL_PDBEN (CY_CLK_XTAL32_CR_PDBEN) +#define X32_TR_DPMODE (CY_CLK_XTAL32_TR_STARTUP) +#define X32_TR_CLEAR (CY_CLK_XTAL32_TR_POWERDOWN) +#define X32_TR_HPMODE (CY_CLK_XTAL32_TR_HIGH_POWER) +#define X32_TR_LPMODE (CY_CLK_XTAL32_TR_LOW_POWER) +#define X32_TST_SETALL (CY_CLK_XTAL32_TST_DEFAULT) +#define X32_CFG_LP_BITS_MASK (CY_CLK_XTAL32_CFG_LP_MASK) +#define X32_CFG_LP_DEFAULT (CY_CLK_XTAL32_CFG_LP_DEFAULT) +#define X32_CFG_LOWPOWERMODE (0x80u) +#define X32_CFG_LP_LOWPOWER (0x8u) +#define CY_X32_HIGHPOWER_MODE (0u) +#define CY_X32_LOWPOWER_MODE (1u) +#define CY_XTAL32K_DIG_STAT (0x10u) +#define CY_XTAL32K_STAT_FIELDS (0x30u) +#define CY_XTAL32K_DIG_STAT_UNSTABLE (0u) +#define CY_XTAL32K_ANA_STAT_UNSTABLE (0x0u) +#define CY_XTAL32K_STATUS (0x20u) + +#define FASTCLK_XMHZ_CSR_PTR (CY_CLK_XMHZ_CSR_PTR) +#define FASTCLK_XMHZ_CSR (CY_CLK_XMHZ_CSR_REG) +#define FASTCLK_XMHZ_CFG0_PTR (CY_CLK_XMHZ_CFG0_PTR) +#define FASTCLK_XMHZ_CFG0 (CY_CLK_XMHZ_CFG0_REG) +#define FASTCLK_XMHZ_CFG1_PTR (CY_CLK_XMHZ_CFG1_PTR) +#define FASTCLK_XMHZ_CFG1 (CY_CLK_XMHZ_CFG1_REG) +#define FASTCLK_XMHZ_GAINMASK (CY_CLK_XMHZ_CFG0_XCFG_MASK) +#define FASTCLK_XMHZ_VREFMASK (CY_CLK_XMHZ_CFG1_VREF_FB_MASK) +#define FASTCLK_XMHZ_VREF_WD_MASK (CY_CLK_XMHZ_CFG1_VREF_WD_MASK) +#define XMHZ_CONTROL_ENABLE (CY_CLK_XMHZ_CSR_ENABLE) +#define X32_CONTROL_XERR_MASK (CY_CLK_XMHZ_CSR_XERR) +#define X32_CONTROL_XERR_DIS (CY_CLK_XMHZ_CSR_XFB) +#define X32_CONTROL_XERR_POSITION (7u) +#define X32_CONTROL_FAULT_RECOVER (CY_CLK_XMHZ_CSR_XPROT) + +#define CYWDT_CFG (CY_WDT_CFG_PTR) +#define CYWDT_CR (CY_WDT_CR_PTR) + +#define CYWDT_TICKS_MASK (CY_WDT_CFG_INTERVAL_MASK) +#define CYWDT_RESET (CY_WDT_CFG_CTW_RESET) +#define CYWDT_LPMODE_SHIFT (CY_WDT_CFG_LPMODE_SHIFT) +#define CYWDT_LPMODE_MASK (CY_WDT_CFG_LPMODE_MASK) +#define CYWDT_ENABLE_BIT (CY_WDT_CFG_WDR_EN) + +#define FASTCLK_PLL_CFG0_PTR (CY_CLK_PLL_CFG0_PTR) +#define FASTCLK_PLL_CFG0 (CY_CLK_PLL_CFG0_REG) +#define FASTCLK_PLL_SR_PTR (CY_CLK_PLL_SR_PTR) +#define FASTCLK_PLL_SR (CY_CLK_PLL_SR_REG) + +#define MAX_FASTCLK_PLL_Q_VALUE (CY_CLK_PLL_MAX_Q_VALUE) +#define MIN_FASTCLK_PLL_Q_VALUE (CY_CLK_PLL_MIN_Q_VALUE) +#define MIN_FASTCLK_PLL_P_VALUE (CY_CLK_PLL_MIN_P_VALUE) +#define MIN_FASTCLK_PLL_CUR_VALUE (CY_CLK_PLL_MIN_CUR_VALUE) +#define MAX_FASTCLK_PLL_CUR_VALUE (CY_CLK_PLL_MAX_CUR_VALUE) + +#define PLL_CONTROL_ENABLE (CY_CLK_PLL_ENABLE) +#define PLL_STATUS_LOCK (CY_CLK_PLL_LOCK_STATUS) +#define PLL_STATUS_ENABLED (CY_CLK_PLL_ENABLE) +#define PLL_CURRENT_POSITION (CY_CLK_PLL_CURRENT_POSITION) +#define PLL_VCO_GAIN_2 (2u) + +#define FASTCLK_PLL_Q_PTR (CY_CLK_PLL_Q_PTR) +#define FASTCLK_PLL_Q (CY_CLK_PLL_Q_REG) +#define FASTCLK_PLL_P_PTR (CY_CLK_PLL_P_PTR) +#define FASTCLK_PLL_P (CY_CLK_PLL_P_REG) +#define FASTCLK_PLL_CFG1_PTR (CY_CLK_PLL_CFG1_REG) +#define FASTCLK_PLL_CFG1 (CY_CLK_PLL_CFG1_REG) + +#define CY_VD_PRESISTENT_STATUS_REG (CY_VD_PERSISTENT_STATUS_REG) +#define CY_VD_PRESISTENT_STATUS_PTR (CY_VD_PERSISTENT_STATUS_PTR) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.20 +*******************************************************************************/ + +#if(CY_PSOC5) + + #define CYINT_IRQ_BASE (CY_INT_IRQ_BASE) + + #define CYINT_VECT_TABLE (CY_INT_VECT_TABLE) + #define CYINT_PRIORITY (CY_INT_PRIORITY_PTR) + #define CYINT_ENABLE (CY_INT_ENABLE_PTR) + #define CYINT_CLEAR (CY_INT_CLEAR_PTR) + #define CYINT_SET_PEND (CY_INT_SET_PEND_PTR) + #define CYINT_CLR_PEND (CY_INT_CLR_PEND_PTR) + #define CACHE_CC_CTL (CY_CACHE_CONTROL_PTR) + +#elif (CY_PSOC3) + + #define CYINT_IRQ_BASE (CY_INT_IRQ_BASE) + + #define CYINT_VECT_TABLE (CY_INT_VECT_TABLE) + #define CYINT_PRIORITY (CY_INT_PRIORITY_PTR) + #define CYINT_ENABLE (CY_INT_ENABLE_PTR) + #define CYINT_CLEAR (CY_INT_CLEAR_PTR) + #define CYINT_SET_PEND (CY_INT_SET_PEND_PTR) + #define CYINT_CLR_PEND (CY_INT_CLR_PEND_PTR) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define BUS_AMASK_CLEAR (0xF0u) +#define BUS_DMASK_CLEAR (0x00u) +#define CLKDIST_LD_LOAD_SET (0x01u) +#define CLKDIST_WRK0_MASK_SET (0x80u) /* Enable shadow loads */ +#define MASTERCLK_DIVIDER_VALUE (7u) +#define CLKDIST_BCFG2_SSS_SET (0x40u) /* Sync source is same frequency */ +#define MASTER_CLK_SRC_CLEAR (0xFCu) +#define IMO_DOUBLER_ENABLE (0x10u) +#define CLOCK_IMO_IMO (0x20u) +#define CLOCK_IMO2X_XTAL (0x40u) +#define CLOCK_IMO_RANGE_CLEAR (0xF8u) +#define CLOCK_CONTROL_DIST_MASK (0xFCu) + + +#define CLKDIST_AMASK (*(reg8 *) CYREG_CLKDIST_AMASK) +#define CLKDIST_AMASK_PTR ( (reg8 *) CYREG_CLKDIST_AMASK) +#define CLKDIST_DMASK_PTR ( (reg8 *) CYREG_CLKDIST_DMASK) +#define CLKDIST_DMASK (*(reg8 *) CYREG_CLKDIST_DMASK) +#define CLKDIST_BCFG2_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2) +#define CLKDIST_BCFG2 (*(reg8 *) CYREG_CLKDIST_BCFG2) +#define CLKDIST_WRK0_PTR ( (reg8 *) CYREG_CLKDIST_WRK0) +#define CLKDIST_WRK0 (*(reg8 *) CYREG_CLKDIST_WRK0) +#define CLKDIST_LD_PTR ( (reg8 *) CYREG_CLKDIST_LD) +#define CLKDIST_LD (*(reg8 *) CYREG_CLKDIST_LD) +#define CLKDIST_BCFG0_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0) +#define CLKDIST_BCFG0 (*(reg8 *) CYREG_CLKDIST_BCFG0) +#define CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0) +#define CLKDIST_MSTR0 (*(reg8 *) CYREG_CLKDIST_MSTR0) +#define FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR) +#define FASTCLK_IMO_CR (*(reg8 *) CYREG_FASTCLK_IMO_CR) +#define CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR) +#define CLKDIST_CR (*(reg8 *) CYREG_CLKDIST_CR) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.50 +*******************************************************************************/ +#define IMO_PM_ENABLE (0x10u) +#define PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0) +#define PM_ACT_CFG0 (*(reg8 *) CYREG_PM_ACT_CFG0) +#define SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define SLOWCLK_ILO_CR0 (*(reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define ILO_CONTROL_PD_MODE (0x10u) +#define ILO_CONTROL_PD_POSITION (4u) +#define ILO_CONTROL_1KHZ_ON (0x02u) +#define ILO_CONTROL_100KHZ_ON (0x04u) +#define ILO_CONTROL_33KHZ_ON (0x20u) +#define PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0) +#define PM_TW_CFG0 (*(reg8 *) CYREG_PM_TW_CFG0) +#define PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2) +#define PM_TW_CFG2 (*(reg8 *) CYREG_PM_TW_CFG2) +#define RESET_CR2 ((reg8 *) CYREG_RESET_CR2) +#define FASTCLK_IMO_USBCLK_ON_SET (0x40u) +#define CLOCK_IMO_3MHZ_VALUE (0x03u) +#define CLOCK_IMO_6MHZ_VALUE (0x01u) +#define CLOCK_IMO_12MHZ_VALUE (0x00u) +#define CLOCK_IMO_24MHZ_VALUE (0x02u) +#define CLOCK_IMO_48MHZ_VALUE (0x04u) +#define CLOCK_IMO_62MHZ_VALUE (0x05u) +#define CLOCK_IMO_74MHZ_VALUE (0x06u) +#define CLKDIST_DIV_POSITION (4u) +#define CLKDIST_MSTR1_DIV_CLEAR (0x0Fu) +#define SFR_USER_CPUCLK_DIV_MASK (0x0Fu) +#define CLOCK_USB_ENABLE (0x02u) +#define CLOCK_IMO_OUT_X2 (0x10u) +#define CLOCK_IMO_OUT_X1 ((uint8)(~CLOCK_IMO_OUT_X2)) +#define CLOCK_IMO2X_ECO ((uint8)(~CLOCK_IMO2X_DSI)) +#define USB_CLKDIST_CONFIG_MASK (0x03u) +#define USB_CLK_IMO2X (0x00u) +#define USB_CLK_IMO (0x01u) +#define USB_CLK_PLL (0x02u) +#define USB_CLK_DSI (0x03u) +#define USB_CLK_DIV2_ON (0x04u) +#define USB_CLK_STOP_FLAG (0x00u) +#define USB_CLK_START_FLAG (0x01u) +#define FTW_CLEAR_ALL_BITS (0x00u) +#define FTW_CLEAR_FTW_BITS (0xFCu) +#define FTW_ENABLE (0x01u) +#define PM_STBY_CFG0_PTR ( (reg8 *) CYREG_PM_STBY_CFG0) +#define PM_STBY_CFG0 (*(reg8 *) CYREG_PM_STBY_CFG0) +#define PM_AVAIL_CR2_PTR ( (reg8 *) CYREG_PM_AVAIL_CR2) +#define PM_AVAIL_CR2 (*(reg8 *) CYREG_PM_AVAIL_CR2) +#define CLKDIST_UCFG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) +#define CLKDIST_UCFG (*(reg8 *) CYREG_CLKDIST_UCFG) +#define CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1) +#define CLKDIST_MSTR1 (*(reg8 *) CYREG_CLKDIST_MSTR1) +#define SFR_USER_CPUCLK_DIV_PTR ((void far *) CYREG_SFR_USER_CPUCLK_DIV) +#define IMO_TR1_PTR ( (reg8 *) CYREG_IMO_TR1) +#define IMO_TR1 (*(reg8 *) CYREG_IMO_TR1) +#define CLOCK_CONTROL ( (reg8 *) CYREG_CLKDIST_CR) +#define CY_USB_CR1_PTR ( (reg8 *) CYREG_USB_CR1 ) +#define CY_USB_CR1 (*(reg8 *) CYREG_USB_CR1 ) +#define USB_CLKDIST_CONFIG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) +#define USB_CLKDIST_CONFIG (*(reg8 *) CYREG_CLKDIST_UCFG) +#define CY_PM_ACT_CFG5_REG (* (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_PM_ACT_CFG5_PTR ( (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_PM_STBY_CFG5_REG (* (reg8 *) CYREG_PM_STBY_CFG5 ) +#define CY_PM_STBY_CFG5_PTR ( (reg8 *) CYREG_PM_STBY_CFG5 ) +#if(CY_PSOC3) + #define FLSHID_CUST_TABLES_IMO_3MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define FLSHID_CUST_TABLES_IMO_6MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define FLSHID_CUST_TABLES_IMO_12MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define FLSHID_CUST_TABLES_IMO_24MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define FLSHID_CUST_TABLES_IMO_67MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define FLSHID_CUST_TABLES_IMO_80MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define FLSHID_CUST_TABLES_IMO_USB_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define FLSHID_MFG_CFG_IMO_TR1_PTR ((void far *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) + #else + #define FLSHID_CUST_TABLES_IMO_3MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define FLSHID_CUST_TABLES_IMO_6MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define FLSHID_CUST_TABLES_IMO_12MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define FLSHID_CUST_TABLES_IMO_24MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define FLSHID_CUST_TABLES_IMO_67MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define FLSHID_CUST_TABLES_IMO_80MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define FLSHID_CUST_TABLES_IMO_USB_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #define FLSHID_MFG_CFG_IMO_TR1_PTR ((reg8 *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) +#endif /* (CY_PSOC3) */ + + +#endif /* (CY_BOOT_CYLIB_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.c new file mode 100644 index 0000000..0d2b930 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.c @@ -0,0 +1,554 @@ +/******************************************************************************* +* File Name: CySpc.c +* Version 4.0 +* +* Description: +* Provides an API for the System Performance Component. +* The SPC functions are not meant to be called directly by the user +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CySpc.h" + +#define CY_SPC_KEY_ONE (0xB6u) +#define CY_SPC_KEY_TWO(x) ((uint8) (((uint16) 0xD3u) + ((uint16) (x)))) + +/* Command Codes */ +#define CY_SPC_CMD_LD_BYTE (0x00u) +#define CY_SPC_CMD_LD_MULTI_BYTE (0x01u) +#define CY_SPC_CMD_LD_ROW (0x02u) +#define CY_SPC_CMD_RD_BYTE (0x03u) +#define CY_SPC_CMD_RD_MULTI_BYTE (0x04u) +#define CY_SPC_CMD_WR_ROW (0x05u) +#define CY_SPC_CMD_WR_USER_NVL (0x06u) +#define CY_SPC_CMD_PRG_ROW (0x07u) +#define CY_SPC_CMD_ER_SECTOR (0x08u) +#define CY_SPC_CMD_ER_ALL (0x09u) +#define CY_SPC_CMD_RD_HIDDEN (0x0Au) +#define CY_SPC_CMD_PRG_PROTECT (0x0Bu) +#define CY_SPC_CMD_CHECKSUM (0x0Cu) +#define CY_SPC_CMD_DWNLD_ALGORITHM (0x0Du) +#define CY_SPC_CMD_GET_TEMP (0x0Eu) +#define CY_SPC_CMD_GET_ADC (0x0Fu) +#define CY_SPC_CMD_RD_NVL_VOLATILE (0x10u) +#define CY_SPC_CMD_SETUP_TS (0x11u) +#define CY_SPC_CMD_DISABLE_TS (0x12u) +#define CY_SPC_CMD_ER_ROW (0x13u) + +/* Enable bit in Active and Alternate Active mode templates */ +#define PM_SPC_PM_EN (0x08u) + +/* Gate calls to the SPC. */ +uint8 SpcLockState = CY_SPC_UNLOCKED; + + +#if(CY_PSOC5) + + /*************************************************************************** + * The wait-state pipeline must be enabled prior to accessing the SPC + * register interface regardless of CPU frequency. The CySpcLock() saves + * current wait-state pipeline state and enables it. The CySpcUnlock() + * function, which must be called after SPC transaction, restores original + * state. + ***************************************************************************/ + static uint32 spcWaitPipeBypass = 0u; + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Function Name: CySpcStart +******************************************************************************** +* Summary: +* Starts the SPC. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcStart(void) +{ + /* Save current global interrupt enable and disable it */ + uint8 interruptState = CyEnterCriticalSection(); + + CY_SPC_PM_ACT_REG |= PM_SPC_PM_EN; + CY_SPC_PM_STBY_REG |= PM_SPC_PM_EN; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CySpcStop +******************************************************************************** +* Summary: +* Stops the SPC. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcStop(void) +{ + /* Save current global interrupt enable and disable it */ + uint8 interruptState = CyEnterCriticalSection(); + + CY_SPC_PM_ACT_REG &= ((uint8)(~PM_SPC_PM_EN)); + CY_SPC_PM_STBY_REG &= ((uint8)(~PM_SPC_PM_EN)); + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CySpcReadData +******************************************************************************** +* Summary: +* Reads data from the SPC. +* +* Parameters: +* uint8 buffer: +* Address to store data read. +* +* uint8 size: +* Number of bytes to read from the SPC. +* +* Return: +* uint8: +* The number of bytes read from the SPC. +* +*******************************************************************************/ +uint8 CySpcReadData(uint8 buffer[], uint8 size) +{ + uint8 i; + + for(i = 0u; i < size; i++) + { + while(!CY_SPC_DATA_READY) + { + CyDelayUs(1u); + } + buffer[i] = CY_SPC_CPU_DATA_REG; + } + + return(i); +} + + +/******************************************************************************* +* Function Name: CySpcLoadMultiByte +******************************************************************************** +* Summary: +* Loads 1 to 32 bytes of data into the row latch of a Flash/EEPROM array. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint16 address: +* Flash/eeprom addrress +* +* uint8* buffer: +* Data to load to the row latch +* +* uint16 number: +* Number bytes to load. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* CYRET_BAD_PARAM +* +*******************************************************************************/ +cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size)\ + +{ + cystatus status = CYRET_STARTED; + uint8 i; + + /*************************************************************************** + * Check if number is correct for array. Number must be less than + * 32 for Flash or less than 16 for EEPROM. + ***************************************************************************/ + if(((array < CY_SPC_LAST_FLASH_ARRAYID) && (size < 32u)) || + ((array > CY_SPC_LAST_FLASH_ARRAYID) && (size < 16u))) + { + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_MULTI_BYTE); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_MULTI_BYTE; + + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = 1u & HI8(address); + CY_SPC_CPU_DATA_REG = LO8(address); + CY_SPC_CPU_DATA_REG = ((uint8)(size - 1u)); + + for(i = 0u; i < size; i++) + { + CY_SPC_CPU_DATA_REG = buffer[i]; + } + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + } + else + { + status = CYRET_BAD_PARAM; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcLoadRow +******************************************************************************** +* Summary: +* Loads a row of data into the row latch of a Flash/EEPROM array. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint8* buffer: +* Data to be loaded to the row latch +* +* uint8 size: +* The number of data bytes that the SPC expects to be written. Depends on the +* type of the array and, if the array is Flash, whether ECC is being enabled +* or not. There are following values: flash row latch size with ECC enabled, +* flash row latch size with ECC disabled and EEPROM row latch size. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size) +{ + cystatus status = CYRET_STARTED; + uint16 i; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_ROW); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_ROW; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + + for(i = 0u; i < size; i++) + { + CY_SPC_CPU_DATA_REG = buffer[i]; + } + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcWriteRow +******************************************************************************** +* Summary: +* Erases then programs a row in Flash/EEPROM with data in row latch. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint16 address: +* flash/eeprom addrress +* +* uint8 tempPolarity: +* temperature polarity. +* 1: the Temp Magnitude is interpreted as a positive value +* 0: the Temp Magnitude is interpreted as a negative value +* +* uint8 tempMagnitude: +* temperature magnitude. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude)\ + +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_WR_ROW); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_WR_ROW; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = HI8(address); + CY_SPC_CPU_DATA_REG = LO8(address); + CY_SPC_CPU_DATA_REG = tempPolarity; + CY_SPC_CPU_DATA_REG = tempMagnitude; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcEraseSector +******************************************************************************** +* Summary: +* Erases all data in the addressed sector (block of 64 rows). +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint8 sectorNumber: +* Zero based sector number within Flash/EEPROM array +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber) +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_ER_SECTOR); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_ER_SECTOR; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = sectorNumber; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcGetTemp +******************************************************************************** +* Summary: +* Returns the internal die temperature +* +* Parameters: +* uint8 numSamples: +* Number of samples. Valid values are 1-5, resulting in 2 - 32 samples +* respectively. +* +* uint16 timerPeriod: +* Number of ADC ACLK cycles. A valid 14 bit value is accepted, higher 2 bits +* of 16 bit values are ignored. +* +* uint8 clkDivSelect: +* ADC ACLK clock divide value. Valid values are 2 - 225. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcGetTemp(uint8 numSamples) +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_GET_TEMP); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_GET_TEMP; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = numSamples; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcLock +******************************************************************************** +* Summary: +* Locks the SPC so it can not be used by someone else: +* - Saves wait-pipeline enable state and enable pipeline (PSoC5) +* +* Parameters: +* Note +* +* Return: +* CYRET_SUCCESS - if the resource was free. +* CYRET_LOCKED - if the SPC is in use. +* +*******************************************************************************/ +cystatus CySpcLock(void) +{ + cystatus status = CYRET_LOCKED; + uint8 interruptState; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + if(CY_SPC_UNLOCKED == SpcLockState) + { + SpcLockState = CY_SPC_LOCKED; + status = CYRET_SUCCESS; + + #if(CY_PSOC5) + + if(0u != (CY_SPC_CPU_WAITPIPE_REG & CY_SPC_CPU_WAITPIPE_BYPASS)) + { + /* Enable pipeline registers */ + CY_SPC_CPU_WAITPIPE_REG &= ((uint32)(~CY_SPC_CPU_WAITPIPE_BYPASS)); + + /* At least 2 NOP instructions are recommended */ + CY_NOP; + CY_NOP; + CY_NOP; + + spcWaitPipeBypass = CY_SPC_CPU_WAITPIPE_BYPASS; + } + + #endif /* (CY_PSOC5) */ + } + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcUnlock +******************************************************************************** +* Summary: +* Unlocks the SPC so it can be used by someone else: +* - Restores wait-pipeline enable state (PSoC5) +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcUnlock(void) +{ + uint8 interruptState; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Release the SPC object */ + SpcLockState = CY_SPC_UNLOCKED; + + #if(CY_PSOC5) + + if(CY_SPC_CPU_WAITPIPE_BYPASS == spcWaitPipeBypass) + { + /* Force to bypass pipeline registers */ + CY_SPC_CPU_WAITPIPE_REG |= CY_SPC_CPU_WAITPIPE_BYPASS; + + /* At least 2 NOP instructions are recommended */ + CY_NOP; + CY_NOP; + CY_NOP; + + spcWaitPipeBypass = 0u; + } + + #endif /* (CY_PSOC5) */ + + /* Exit critical section */ + CyExitCriticalSection(interruptState); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h new file mode 100644 index 0000000..6a5828c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h @@ -0,0 +1,154 @@ +/******************************************************************************* +* File Name: CySpc.c +* Version 4.0 +* +* Description: +* Provides definitions for the System Performance Component API. +* The SPC functions are not meant to be called directly by the user +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYSPC_H) +#define CY_BOOT_CYSPC_H + +#include "cytypes.h" +#include "CyLib.h" +#include "cydevice_trm.h" + + +/*************************************** +* Global Variables +***************************************/ +extern uint8 SpcLockState; + + +/*************************************** +* Function Prototypes +***************************************/ +void CySpcStart(void); +void CySpcStop(void); +uint8 CySpcReadData(uint8 buffer[], uint8 size); +cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size)\ +; +cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size); +cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude)\ +; +cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber); +cystatus CySpcGetTemp(uint8 numSamples); +cystatus CySpcLock(void); +void CySpcUnlock(void); + + +/*************************************** +* API Constants +***************************************/ + +#define CY_SPC_LOCKED (0x01u) +#define CY_SPC_UNLOCKED (0x00u) + +/******************************************************************************* +* The Array ID indicates the unique ID of the SONOS array being accessed: +* - 0x00-0x3E : Flash Arrays +* - 0x3F : Selects all Flash arrays simultaneously +* - 0x40-0x7F : Embedded EEPROM Arrays +*******************************************************************************/ +#define CY_SPC_FIRST_FLASH_ARRAYID (0x00u) +#define CY_SPC_LAST_FLASH_ARRAYID (0x3Fu) +#define CY_SPC_FIRST_EE_ARRAYID (0x40u) +#define CY_SPC_LAST_EE_ARRAYID (0x7Fu) + + +#define CY_SPC_STATUS_DATA_READY_MASK (0x01u) +#define CY_SPC_STATUS_IDLE_MASK (0x02u) +#define CY_SPC_STATUS_CODE_MASK (0xFCu) +#define CY_SPC_STATUS_CODE_SHIFT (0x02u) + +/* Status codes for the SPC. */ +#define CY_SPC_STATUS_SUCCESS (0x00u) /* Operation Successful */ +#define CY_SPC_STATUS_INVALID_ARRAY_ID (0x01u) /* Invalid Array ID for given command */ +#define CY_SPC_STATUS_INVALID_2BYTEKEY (0x02u) /* Invalid 2-byte key */ +#define CY_SPC_STATUS_ARRAY_ASLEEP (0x03u) /* Addressed Array is Asleep */ +#define CY_SPC_STATUS_EXTERN_ACCESS (0x04u) /* External Access Failure (SPC is not in external access mode) */ +#define CY_SPC_STATUS_INVALID_NUMBER (0x05u) /* Invalid 'N' Value for given command */ +#define CY_SPC_STATUS_TEST_MODE (0x06u) /* Test Mode Failure (SPC is not in test mode) */ +#define CY_SPC_STATUS_ALG_CSUM (0x07u) /* Smart Write Algorithm Checksum Failure */ +#define CY_SPC_STATUS_PARAM_CSUM (0x08u) /* Smart Write Parameter Checksum Failure */ +#define CY_SPC_STATUS_PROTECTION (0x09u) /* Protection Check Failure */ +#define CY_SPC_STATUS_ADDRESS_PARAM (0x0Au) /* Invalid Address parameter for the given command */ +#define CY_SPC_STATUS_COMMAND_CODE (0x0Bu) /* Invalid Command Code */ +#define CY_SPC_STATUS_ROW_ID (0x0Cu) /* Invalid Row ID parameter for given command */ +#define CY_SPC_STATUS_TADC_INPUT (0x0Du) /* Invalid input value for Get Temp & Get ADC commands */ +#define CY_SPC_STATUS_BUSY (0xFFu) /* SPC is busy */ + +#if(CY_PSOC5) + + /* Wait-state pipeline */ + #define CY_SPC_CPU_WAITPIPE_BYPASS ((uint32)0x01u) + +#endif /* (CY_PSOC5) */ + + +/*************************************** +* Registers +***************************************/ + +/* SPC CPU Data Register */ +#define CY_SPC_CPU_DATA_REG (* (reg8 *) CYREG_SPC_CPU_DATA ) +#define CY_SPC_CPU_DATA_PTR ( (reg8 *) CYREG_SPC_CPU_DATA ) + +/* SPC Status Register */ +#define CY_SPC_STATUS_REG (* (reg8 *) CYREG_SPC_SR ) +#define CY_SPC_STATUS_PTR ( (reg8 *) CYREG_SPC_SR ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_SPC_PM_ACT_REG (* (reg8 *) CYREG_PM_ACT_CFG0 ) +#define CY_SPC_PM_ACT_PTR ( (reg8 *) CYREG_PM_ACT_CFG0 ) + +/* Standby Power Mode Configuration Register 0 */ +#define CY_SPC_PM_STBY_REG (* (reg8 *) CYREG_PM_STBY_CFG0 ) +#define CY_SPC_PM_STBY_PTR ( (reg8 *) CYREG_PM_STBY_CFG0 ) + +#if(CY_PSOC5) + + /* Wait State Pipeline */ + #define CY_SPC_CPU_WAITPIPE_REG (* (reg32 *) CYREG_PANTHER_WAITPIPE ) + #define CY_SPC_CPU_WAITPIPE_PTR ( (reg32 *) CYREG_PANTHER_WAITPIPE ) + +#endif /* (CY_PSOC5) */ + + +/*************************************** +* Macros +***************************************/ +#define CY_SPC_IDLE (0u != (CY_SPC_STATUS_REG & CY_SPC_STATUS_IDLE_MASK)) +#define CY_SPC_BUSY (0u == (CY_SPC_STATUS_REG & CY_SPC_STATUS_IDLE_MASK)) +#define CY_SPC_DATA_READY (0u != (CY_SPC_STATUS_REG & CY_SPC_STATUS_DATA_READY_MASK)) + +/* SPC must be in idle state in order to obtain correct status */ +#define CY_SPC_READ_STATUS (CY_SPC_IDLE ? \ + ((uint8)(CY_SPC_STATUS_REG >> CY_SPC_STATUS_CODE_SHIFT)) : \ + ((uint8) CY_SPC_STATUS_BUSY)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define FIRST_FLASH_ARRAYID (CY_SPC_FIRST_FLASH_ARRAYID) +#define LAST_FLASH_ARRAYID (CY_SPC_LAST_FLASH_ARRAYID) +#define FIRST_EE_ARRAYID (CY_SPC_FIRST_EE_ARRAYID) +#define LAST_EE_ARRAYID (CY_SPC_LAST_EE_ARRAYID) +#define SIZEOF_ECC_ROW (CYDEV_ECC_ROW_SIZE) +#define SIZEOF_FLASH_ROW (CYDEV_FLS_ROW_SIZE) +#define SIZEOF_EEPROM_ROW (CYDEV_EEPROM_ROW_SIZE) + + +#endif /* (CY_BOOT_CYSPC_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h new file mode 100644 index 0000000..740ea09 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h @@ -0,0 +1,48 @@ +/******************************************************************************* +* File Name: SCSI_Out_DBx.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_DBx_ALIASES_H) /* Pins SCSI_Out_DBx_ALIASES_H */ +#define CY_PINS_SCSI_Out_DBx_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_Out_DBx_0 SCSI_Out_DBx__0__PC +#define SCSI_Out_DBx_1 SCSI_Out_DBx__1__PC +#define SCSI_Out_DBx_2 SCSI_Out_DBx__2__PC +#define SCSI_Out_DBx_3 SCSI_Out_DBx__3__PC +#define SCSI_Out_DBx_4 SCSI_Out_DBx__4__PC +#define SCSI_Out_DBx_5 SCSI_Out_DBx__5__PC +#define SCSI_Out_DBx_6 SCSI_Out_DBx__6__PC +#define SCSI_Out_DBx_7 SCSI_Out_DBx__7__PC + +#define SCSI_Out_DBx_DB0 SCSI_Out_DBx__DB0__PC +#define SCSI_Out_DBx_DB1 SCSI_Out_DBx__DB1__PC +#define SCSI_Out_DBx_DB2 SCSI_Out_DBx__DB2__PC +#define SCSI_Out_DBx_DB3 SCSI_Out_DBx__DB3__PC +#define SCSI_Out_DBx_DB4 SCSI_Out_DBx__DB4__PC +#define SCSI_Out_DBx_DB5 SCSI_Out_DBx__DB5__PC +#define SCSI_Out_DBx_DB6 SCSI_Out_DBx__DB6__PC +#define SCSI_Out_DBx_DB7 SCSI_Out_DBx__DB7__PC + +#endif /* End Pins SCSI_Out_DBx_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h new file mode 100644 index 0000000..e8aa91f --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h @@ -0,0 +1,52 @@ +/******************************************************************************* +* File Name: SCSI_Out.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_ALIASES_H) /* Pins SCSI_Out_ALIASES_H */ +#define CY_PINS_SCSI_Out_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_Out_0 SCSI_Out__0__PC +#define SCSI_Out_1 SCSI_Out__1__PC +#define SCSI_Out_2 SCSI_Out__2__PC +#define SCSI_Out_3 SCSI_Out__3__PC +#define SCSI_Out_4 SCSI_Out__4__PC +#define SCSI_Out_5 SCSI_Out__5__PC +#define SCSI_Out_6 SCSI_Out__6__PC +#define SCSI_Out_7 SCSI_Out__7__PC +#define SCSI_Out_8 SCSI_Out__8__PC +#define SCSI_Out_9 SCSI_Out__9__PC + +#define SCSI_Out_DBP_raw SCSI_Out__DBP_raw__PC +#define SCSI_Out_ATN SCSI_Out__ATN__PC +#define SCSI_Out_BSY SCSI_Out__BSY__PC +#define SCSI_Out_ACK SCSI_Out__ACK__PC +#define SCSI_Out_RST SCSI_Out__RST__PC +#define SCSI_Out_MSG SCSI_Out__MSG__PC +#define SCSI_Out_SEL SCSI_Out__SEL__PC +#define SCSI_Out_CD SCSI_Out__CD__PC +#define SCSI_Out_REQ SCSI_Out__REQ__PC +#define SCSI_Out_IO_raw SCSI_Out__IO_raw__PC + +#endif /* End Pins SCSI_Out_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.c new file mode 100644 index 0000000..081e687 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.c @@ -0,0 +1,1335 @@ +/******************************************************************************* +* File Name: USBFS.c +* Version 2.60 +* +* Description: +* API for USBFS Component. +* +* Note: +* Many of the functions use endpoint number. RAM arrays are sized with 9 +* elements so they are indexed directly by epNumber. The SIE and ARB +* registers are indexed by variations of epNumber - 1. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "USBFS.h" +#include "USBFS_pvt.h" +#include "USBFS_hid.h" +#if(USBFS_DMA1_REMOVE == 0u) + #include "USBFS_ep1_dma.h" +#endif /* End USBFS_DMA1_REMOVE */ +#if(USBFS_DMA2_REMOVE == 0u) + #include "USBFS_ep2_dma.h" +#endif /* End USBFS_DMA2_REMOVE */ +#if(USBFS_DMA3_REMOVE == 0u) + #include "USBFS_ep3_dma.h" +#endif /* End USBFS_DMA3_REMOVE */ +#if(USBFS_DMA4_REMOVE == 0u) + #include "USBFS_ep4_dma.h" +#endif /* End USBFS_DMA4_REMOVE */ +#if(USBFS_DMA5_REMOVE == 0u) + #include "USBFS_ep5_dma.h" +#endif /* End USBFS_DMA5_REMOVE */ +#if(USBFS_DMA6_REMOVE == 0u) + #include "USBFS_ep6_dma.h" +#endif /* End USBFS_DMA6_REMOVE */ +#if(USBFS_DMA7_REMOVE == 0u) + #include "USBFS_ep7_dma.h" +#endif /* End USBFS_DMA7_REMOVE */ +#if(USBFS_DMA8_REMOVE == 0u) + #include "USBFS_ep8_dma.h" +#endif /* End USBFS_DMA8_REMOVE */ + + +/*************************************** +* Global data allocation +***************************************/ + +uint8 USBFS_initVar = 0u; +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + uint8 USBFS_DmaChan[USBFS_MAX_EP]; + uint8 USBFS_DmaTd[USBFS_MAX_EP]; +#endif /* End USBFS_EP_MM */ + + +/******************************************************************************* +* Function Name: USBFS_Start +******************************************************************************** +* +* Summary: +* This function initialize the USB SIE, arbiter and the +* endpoint APIs, including setting the D+ Pullup +* +* Parameters: +* device: Contains the device number of the desired device descriptor. +* The device number can be found in the Device Descriptor Tab of +* "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* mode: The operating voltage. This determines whether the voltage regulator +* is enabled for 5V operation or if pass through mode is used for 3.3V +* operation. Symbolic names and their associated values are given in the +* following table. +* USBFS_3V_OPERATION - Disable voltage regulator and pass-thru +* Vcc for pull-up +* USBFS_5V_OPERATION - Enable voltage regulator and use +* regulator for pull-up +* USBFS_DWR_VDDD_OPERATION - Enable or Disable voltage +* regulator depend on Vddd Voltage configuration in DWR. +* +* Return: +* None. +* +* Global variables: +* The USBFS_intiVar variable is used to indicate initial +* configuration of this component. The variable is initialized to zero (0u) +* and set to one (1u) the first time USBFS_Start() is called. +* This allows for component Re-Start without unnecessary re-initialization +* in all subsequent calls to the USBFS_Start() routine. +* If re-initialization of the component is required the variable should be set +* to zero before call of UART_Start() routine, or the user may call +* USBFS_Init() and USBFS_InitComponent() as done +* in the USBFS_Start() routine. +* +* Side Effects: +* This function will reset all communication states to default. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Start(uint8 device, uint8 mode) +{ + /* If not Initialized then initialize all required hardware and software */ + if(USBFS_initVar == 0u) + { + USBFS_Init(); + USBFS_initVar = 1u; + } + USBFS_InitComponent(device, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_Init +******************************************************************************** +* +* Summary: +* Initialize component's hardware. Usually called in USBFS_Start(). +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Init(void) +{ + uint8 enableInterrupts; + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + uint16 i; + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + enableInterrupts = CyEnterCriticalSection(); + + /* Enable USB block */ + USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + /* Enable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + + /* Enable core clock */ + USBFS_USB_CLK_EN_REG = USBFS_USB_CLK_ENABLE; + + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + + /* ENABLING USBIO PADS IN USB MODE FROM I/O MODE */ + /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + USBFS_USBIO_CR0_REG &= ((uint8)(~USBFS_USBIO_CR0_TEN)); + CyDelayUs(0u); /*~50ns delay */ + /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) + * high. This will have been set low by the power manger out of reset. + * Also confirm USBIO pull-up disabled + */ + USBFS_PM_USB_CR0_REG &= ((uint8)(~(USBFS_PM_USB_CR0_PD_N | + USBFS_PM_USB_CR0_PD_PULLUP_N))); + + /* Select iomode to USB mode*/ + USBFS_USBIO_CR1_REG &= ((uint8)(~USBFS_USBIO_CR1_IOMODE)); + + /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + /* The reference will be available 1 us after the regulator is enabled */ + CyDelayUs(1u); + /* OR 40us after power restored */ + CyDelayUs(40u); + /* Ensure the single ended disable bits are low (PRT15.INP_DIS[7:6])(input receiver enabled). */ + USBFS_DM_INP_DIS_REG &= ((uint8)(~USBFS_DM_MASK)); + USBFS_DP_INP_DIS_REG &= ((uint8)(~USBFS_DP_MASK)); + + /* Enable USBIO */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + CyDelayUs(2u); + /* Set the USBIO pull-up enable */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + + /* Write WAx */ + CY_SET_REG8(USBFS_ARB_RW1_WA_PTR, 0u); + CY_SET_REG8(USBFS_ARB_RW1_WA_MSB_PTR, 0u); + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + /* Init transfer descriptor. This will be used to detect the DMA state - initialized or not. */ + for (i = 0u; i < USBFS_MAX_EP; i++) + { + USBFS_DmaTd[i] = DMA_INVALID_TD; + } + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + CyExitCriticalSection(enableInterrupts); + + + /* Set the bus reset Interrupt. */ + (void) CyIntSetVector(USBFS_BUS_RESET_VECT_NUM, &USBFS_BUS_RESET_ISR); + CyIntSetPriority(USBFS_BUS_RESET_VECT_NUM, USBFS_BUS_RESET_PRIOR); + + /* Set the SOF Interrupt. */ + #if(USBFS_SOF_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_SOF_VECT_NUM, &USBFS_SOF_ISR); + CyIntSetPriority(USBFS_SOF_VECT_NUM, USBFS_SOF_PRIOR); + #endif /* End USBFS_SOF_ISR_REMOVE */ + + /* Set the Control Endpoint Interrupt. */ + (void) CyIntSetVector(USBFS_EP_0_VECT_NUM, &USBFS_EP_0_ISR); + CyIntSetPriority(USBFS_EP_0_VECT_NUM, USBFS_EP_0_PRIOR); + + /* Set the Data Endpoint 1 Interrupt. */ + #if(USBFS_EP1_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_1_VECT_NUM, &USBFS_EP_1_ISR); + CyIntSetPriority(USBFS_EP_1_VECT_NUM, USBFS_EP_1_PRIOR); + #endif /* End USBFS_EP1_ISR_REMOVE */ + + /* Set the Data Endpoint 2 Interrupt. */ + #if(USBFS_EP2_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_2_VECT_NUM, &USBFS_EP_2_ISR); + CyIntSetPriority(USBFS_EP_2_VECT_NUM, USBFS_EP_2_PRIOR); + #endif /* End USBFS_EP2_ISR_REMOVE */ + + /* Set the Data Endpoint 3 Interrupt. */ + #if(USBFS_EP3_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_3_VECT_NUM, &USBFS_EP_3_ISR); + CyIntSetPriority(USBFS_EP_3_VECT_NUM, USBFS_EP_3_PRIOR); + #endif /* End USBFS_EP3_ISR_REMOVE */ + + /* Set the Data Endpoint 4 Interrupt. */ + #if(USBFS_EP4_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_4_VECT_NUM, &USBFS_EP_4_ISR); + CyIntSetPriority(USBFS_EP_4_VECT_NUM, USBFS_EP_4_PRIOR); + #endif /* End USBFS_EP4_ISR_REMOVE */ + + /* Set the Data Endpoint 5 Interrupt. */ + #if(USBFS_EP5_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_5_VECT_NUM, &USBFS_EP_5_ISR); + CyIntSetPriority(USBFS_EP_5_VECT_NUM, USBFS_EP_5_PRIOR); + #endif /* End USBFS_EP5_ISR_REMOVE */ + + /* Set the Data Endpoint 6 Interrupt. */ + #if(USBFS_EP6_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_6_VECT_NUM, &USBFS_EP_6_ISR); + CyIntSetPriority(USBFS_EP_6_VECT_NUM, USBFS_EP_6_PRIOR); + #endif /* End USBFS_EP6_ISR_REMOVE */ + + /* Set the Data Endpoint 7 Interrupt. */ + #if(USBFS_EP7_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_7_VECT_NUM, &USBFS_EP_7_ISR); + CyIntSetPriority(USBFS_EP_7_VECT_NUM, USBFS_EP_7_PRIOR); + #endif /* End USBFS_EP7_ISR_REMOVE */ + + /* Set the Data Endpoint 8 Interrupt. */ + #if(USBFS_EP8_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_EP_8_VECT_NUM, &USBFS_EP_8_ISR); + CyIntSetPriority(USBFS_EP_8_VECT_NUM, USBFS_EP_8_PRIOR); + #endif /* End USBFS_EP8_ISR_REMOVE */ + + #if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + /* Set the ARB Interrupt. */ + (void) CyIntSetVector(USBFS_ARB_VECT_NUM, &USBFS_ARB_ISR); + CyIntSetPriority(USBFS_ARB_VECT_NUM, USBFS_ARB_PRIOR); + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + +} + + +/******************************************************************************* +* Function Name: USBFS_InitComponent +******************************************************************************** +* +* Summary: +* Initialize the component, except for the HW which is done one time in +* the Start function. This function pulls up D+. +* +* Parameters: +* device: Contains the device number of the desired device descriptor. +* The device number can be found in the Device Descriptor Tab of +* "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* mode: The operating voltage. This determines whether the voltage regulator +* is enabled for 5V operation or if pass through mode is used for 3.3V +* operation. Symbolic names and their associated values are given in the +* following table. +* USBFS_3V_OPERATION - Disable voltage regulator and pass-thru +* Vcc for pull-up +* USBFS_5V_OPERATION - Enable voltage regulator and use +* regulator for pull-up +* USBFS_DWR_VDDD_OPERATION - Enable or Disable voltage +* regulator depend on Vddd Voltage configuration in DWR. +* +* Return: +* None. +* +* Global variables: +* USBFS_device: Contains the device number of the desired device +* descriptor. The device number can be found in the Device Descriptor Tab +* of "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* USBFS_transferState: This variable used by the communication +* functions to handle current transfer state. Initialized to +* TRANS_STATE_IDLE in this API. +* USBFS_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_lastPacketSize initialized to 0; +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_InitComponent(uint8 device, uint8 mode) +{ + /* Initialize _hidProtocol variable to comply with + * HID 7.2.6 Set_Protocol Request: + * "When initialized, all devices default to report protocol." + */ + #if defined(USBFS_ENABLE_HID_CLASS) + uint8 i; + + for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + { + USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + } + #endif /* USBFS_ENABLE_HID_CLASS */ + + /* Enable Interrupts. */ + CyIntEnable(USBFS_BUS_RESET_VECT_NUM); + CyIntEnable(USBFS_EP_0_VECT_NUM); + #if(USBFS_EP1_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_1_VECT_NUM); + #endif /* End USBFS_EP1_ISR_REMOVE */ + #if(USBFS_EP2_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_2_VECT_NUM); + #endif /* End USBFS_EP2_ISR_REMOVE */ + #if(USBFS_EP3_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_3_VECT_NUM); + #endif /* End USBFS_EP3_ISR_REMOVE */ + #if(USBFS_EP4_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_4_VECT_NUM); + #endif /* End USBFS_EP4_ISR_REMOVE */ + #if(USBFS_EP5_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_5_VECT_NUM); + #endif /* End USBFS_EP5_ISR_REMOVE */ + #if(USBFS_EP6_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_6_VECT_NUM); + #endif /* End USBFS_EP6_ISR_REMOVE */ + #if(USBFS_EP7_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_7_VECT_NUM); + #endif /* End USBFS_EP7_ISR_REMOVE */ + #if(USBFS_EP8_ISR_REMOVE == 0u) + CyIntEnable(USBFS_EP_8_VECT_NUM); + #endif /* End USBFS_EP8_ISR_REMOVE */ + #if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + /* usb arb interrupt enable */ + USBFS_ARB_INT_EN_REG = USBFS_ARB_INT_MASK; + CyIntEnable(USBFS_ARB_VECT_NUM); + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* Arbiter configuration for DMA transfers */ + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_MANUAL_DMA; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /*Set cfg cmplt this rises DMA request when the full configuration is done */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + + /* USB Locking: Enabled, VRegulator: depend on mode or DWR Voltage configuration*/ + switch(mode) + { + case USBFS_3V_OPERATION: + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + break; + case USBFS_5V_OPERATION: + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + break; + default: /*USBFS_DWR_VDDD_OPERATION */ + #if(USBFS_VDDD_MV < USBFS_3500MV) + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK; + #else + USBFS_CR1_REG = USBFS_CR1_ENABLE_LOCK | USBFS_CR1_REG_ENABLE; + #endif /* End USBFS_VDDD_MV < USBFS_3500MV */ + break; + } + + /* Record the descriptor selection */ + USBFS_device = device; + + /* Clear all of the component data */ + USBFS_configuration = 0u; + USBFS_interfaceNumber = 0u; + USBFS_configurationChanged = 0u; + USBFS_deviceAddress = 0u; + USBFS_deviceStatus = 0u; + + USBFS_lastPacketSize = 0u; + + /* ACK Setup, Stall IN/OUT */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + + /* Enable the SIE with an address 0 */ + CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + + /* Workaround for PSOC5LP */ + CyDelayCycles(1u); + + /* Finally, Enable d+ pullup and select iomode to USB mode*/ + CY_SET_REG8(USBFS_USBIO_CR1_PTR, USBFS_USBIO_CR1_USBPUEN); +} + + +/******************************************************************************* +* Function Name: USBFS_ReInitComponent +******************************************************************************** +* +* Summary: +* This function reinitialize the component configuration and is +* intend to be called from the Reset interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_device: Contains the device number of the desired device +* descriptor. The device number can be found in the Device Descriptor Tab +* of "Configure" dialog, under the settings of desired Device Descriptor, +* in the "Device Number" field. +* USBFS_transferState: This variable used by the communication +* functions to handle current transfer state. Initialized to +* TRANS_STATE_IDLE in this API. +* USBFS_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_lastPacketSize initialized to 0; +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ReInitComponent(void) +{ + /* Initialize _hidProtocol variable to comply with HID 7.2.6 Set_Protocol + * Request: "When initialized, all devices default to report protocol." + */ + #if defined(USBFS_ENABLE_HID_CLASS) + uint8 i; + + for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + { + USBFS_hidProtocol[i] = USBFS_PROTOCOL_REPORT; + } + #endif /* USBFS_ENABLE_HID_CLASS */ + + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + + /* Clear all of the component data */ + USBFS_configuration = 0u; + USBFS_interfaceNumber = 0u; + USBFS_configurationChanged = 0u; + USBFS_deviceAddress = 0u; + USBFS_deviceStatus = 0u; + + USBFS_lastPacketSize = 0u; + + + /* ACK Setup, Stall IN/OUT */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + + /* Enable the SIE with an address 0 */ + CY_SET_REG8(USBFS_CR0_PTR, USBFS_CR0_ENABLE); + +} + + +/******************************************************************************* +* Function Name: USBFS_Stop +******************************************************************************** +* +* Summary: +* This function shuts down the USB function including to release +* the D+ Pullup and disabling the SIE. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_configuration: Contains current configuration number +* which is set by the Host using SET_CONFIGURATION request. +* Initialized to zero in this API. +* USBFS_deviceAddress: Contains current device address. This +* variable is initialized to zero in this API. Host starts to communicate +* to device with address 0 and then set it to whatever value using +* SET_ADDRESS request. +* USBFS_deviceStatus: initialized to 0. +* This is two bit variable which contain power status in first bit +* (DEVICE_STATUS_BUS_POWERED or DEVICE_STATUS_SELF_POWERED) and remote +* wakeup status (DEVICE_STATUS_REMOTE_WAKEUP) in second bit. +* USBFS_configurationChanged: This variable is set to one after +* SET_CONFIGURATION request and cleared in this function. +* USBFS_intiVar variable is set to zero +* +*******************************************************************************/ +void USBFS_Stop(void) +{ + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + USBFS_Stop_DMA(USBFS_MAX_EP); /* Stop all DMAs */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* Disable the SIE */ + USBFS_CR0_REG &= (uint8)(~USBFS_CR0_ENABLE); + /* Disable the d+ pullup */ + USBFS_USBIO_CR1_REG &= (uint8)(~USBFS_USBIO_CR1_USBPUEN); + /* Disable USB in ACT PM */ + USBFS_PM_ACT_CFG_REG &= (uint8)(~USBFS_PM_ACT_EN_FSUSB); + /* Disable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG &= (uint8)(~USBFS_PM_STBY_EN_FSUSB); + + /* Disable the reset and EP interrupts */ + CyIntDisable(USBFS_BUS_RESET_VECT_NUM); + CyIntDisable(USBFS_EP_0_VECT_NUM); + #if(USBFS_EP1_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_1_VECT_NUM); + #endif /* End USBFS_EP1_ISR_REMOVE */ + #if(USBFS_EP2_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_2_VECT_NUM); + #endif /* End USBFS_EP2_ISR_REMOVE */ + #if(USBFS_EP3_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_3_VECT_NUM); + #endif /* End USBFS_EP3_ISR_REMOVE */ + #if(USBFS_EP4_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_4_VECT_NUM); + #endif /* End USBFS_EP4_ISR_REMOVE */ + #if(USBFS_EP5_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_5_VECT_NUM); + #endif /* End USBFS_EP5_ISR_REMOVE */ + #if(USBFS_EP6_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_6_VECT_NUM); + #endif /* End USBFS_EP6_ISR_REMOVE */ + #if(USBFS_EP7_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_7_VECT_NUM); + #endif /* End USBFS_EP7_ISR_REMOVE */ + #if(USBFS_EP8_ISR_REMOVE == 0u) + CyIntDisable(USBFS_EP_8_VECT_NUM); + #endif /* End USBFS_EP8_ISR_REMOVE */ + + /* Clear all of the component data */ + USBFS_configuration = 0u; + USBFS_interfaceNumber = 0u; + USBFS_configurationChanged = 0u; + USBFS_deviceAddress = 0u; + USBFS_deviceStatus = 0u; + USBFS_initVar = 0u; + +} + + +/******************************************************************************* +* Function Name: USBFS_CheckActivity +******************************************************************************** +* +* Summary: +* Returns the activity status of the bus. Clears the status hardware to +* provide fresh activity status on the next call of this routine. +* +* Parameters: +* None. +* +* Return: +* 1 - If bus activity was detected since the last call to this function +* 0 - If bus activity not was detected since the last call to this function +* +*******************************************************************************/ +uint8 USBFS_CheckActivity(void) +{ + uint8 r; + + r = CY_GET_REG8(USBFS_CR1_PTR); + CY_SET_REG8(USBFS_CR1_PTR, (r & ((uint8)(~USBFS_CR1_BUS_ACTIVITY)))); + + return((r & USBFS_CR1_BUS_ACTIVITY) >> USBFS_CR1_BUS_ACTIVITY_SHIFT); +} + + +/******************************************************************************* +* Function Name: USBFS_GetConfiguration +******************************************************************************** +* +* Summary: +* Returns the current configuration setting +* +* Parameters: +* None. +* +* Return: +* configuration. +* +*******************************************************************************/ +uint8 USBFS_GetConfiguration(void) +{ + return(USBFS_configuration); +} + + +/******************************************************************************* +* Function Name: USBFS_IsConfigurationChanged +******************************************************************************** +* +* Summary: +* Returns the clear on read configuration state. It is usefull when PC send +* double SET_CONFIGURATION request with same configuration number. +* +* Parameters: +* None. +* +* Return: +* Not zero value when new configuration has been changed, otherwise zero is +* returned. +* +* Global variables: +* USBFS_configurationChanged: This variable is set to one after +* SET_CONFIGURATION request and cleared in this function. +* +*******************************************************************************/ +uint8 USBFS_IsConfigurationChanged(void) +{ + uint8 res = 0u; + + if(USBFS_configurationChanged != 0u) + { + res = USBFS_configurationChanged; + USBFS_configurationChanged = 0u; + } + + return(res); +} + + +/******************************************************************************* +* Function Name: USBFS_GetInterfaceSetting +******************************************************************************** +* +* Summary: +* Returns the alternate setting from current interface +* +* Parameters: +* uint8 interfaceNumber, interface number +* +* Return: +* Alternate setting. +* +*******************************************************************************/ +uint8 USBFS_GetInterfaceSetting(uint8 interfaceNumber) + +{ + return(USBFS_interfaceSetting[interfaceNumber]); +} + + +/******************************************************************************* +* Function Name: USBFS_GetEPState +******************************************************************************** +* +* Summary: +* Returned the state of the requested endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* +* Return: +* State of the requested endpoint. +* +*******************************************************************************/ +uint8 USBFS_GetEPState(uint8 epNumber) +{ + return(USBFS_EP[epNumber].apiEpState); +} + + +/******************************************************************************* +* Function Name: USBFS_GetEPCount +******************************************************************************** +* +* Summary: +* This function supports Data Endpoints only(EP1-EP8). +* Returns the transfer count for the requested endpoint. The value from +* the count registers includes 2 counts for the two byte checksum of the +* packet. This function subtracts the two counts. +* +* Parameters: +* epNumber: Data Endpoint Number. +* Valid values are between 1 and 8. +* +* Return: +* Returns the current byte count from the specified endpoint or 0 for an +* invalid endpoint. +* +*******************************************************************************/ +uint16 USBFS_GetEPCount(uint8 epNumber) +{ + uint8 ri; + uint16 result = 0u; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + result = (uint8)(CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri)) & + USBFS_EPX_CNT0_MASK); + result = (result << 8u) | CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri)); + result -= USBFS_EPX_CNTX_CRC_COUNT; + } + return(result); +} + + +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + + + /******************************************************************************* + * Function Name: USBFS_InitEP_DMA + ******************************************************************************** + * + * Summary: + * This function allocates and initializes a DMA channel to be used by the + * USBFS_LoadInEP() or USBFS_ReadOutEP() APIs for data + * transfer. + * + * Parameters: + * epNumber: Contains the data endpoint number. + * Valid values are between 1 and 8. + * *pData: Pointer to a data array that is related to the EP transfers. + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_InitEP_DMA(uint8 epNumber, const uint8 *pData) + + { + uint16 src; + uint16 dst; + #if (CY_PSOC3) /* PSoC 3 */ + src = HI16(CYDEV_SRAM_BASE); + dst = HI16(CYDEV_PERIPH_BASE); + pData = pData; + #else /* PSoC 5 */ + if((USBFS_EP[epNumber].addr & USBFS_DIR_IN) != 0u ) + { /* for the IN EP source is the SRAM memory buffer */ + src = HI16(pData); + dst = HI16(CYDEV_PERIPH_BASE); + } + else + { /* for the OUT EP source is the SIE register */ + src = HI16(CYDEV_PERIPH_BASE); + dst = HI16(pData); + } + #endif /* End C51 */ + switch(epNumber) + { + case USBFS_EP1: + #if(USBFS_DMA1_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep1_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA1_REMOVE */ + break; + case USBFS_EP2: + #if(USBFS_DMA2_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep2_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA2_REMOVE */ + break; + case USBFS_EP3: + #if(USBFS_DMA3_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep3_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA3_REMOVE */ + break; + case USBFS_EP4: + #if(USBFS_DMA4_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep4_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA4_REMOVE */ + break; + case USBFS_EP5: + #if(USBFS_DMA5_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep5_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA5_REMOVE */ + break; + case USBFS_EP6: + #if(USBFS_DMA6_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep6_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA6_REMOVE */ + break; + case USBFS_EP7: + #if(USBFS_DMA7_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep7_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA7_REMOVE */ + break; + case USBFS_EP8: + #if(USBFS_DMA8_REMOVE == 0u) + USBFS_DmaChan[epNumber] = USBFS_ep8_DmaInitialize( + USBFS_DMA_BYTES_PER_BURST, USBFS_DMA_REQUEST_PER_BURST, src, dst); + #endif /* End USBFS_DMA8_REMOVE */ + break; + default: + /* Do not support EP0 DMA transfers */ + break; + } + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + USBFS_DmaTd[epNumber] = CyDmaTdAllocate(); + } + } + + + /******************************************************************************* + * Function Name: USBFS_Stop_DMA + ******************************************************************************** + * + * Summary: Stops and free DMA + * + * Parameters: + * epNumber: Contains the data endpoint number or + * USBFS_MAX_EP to stop all DMAs + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_Stop_DMA(uint8 epNumber) + { + uint8 i; + i = (epNumber < USBFS_MAX_EP) ? epNumber : USBFS_EP1; + do + { + if(USBFS_DmaTd[i] != DMA_INVALID_TD) + { + (void) CyDmaChDisable(USBFS_DmaChan[i]); + CyDmaTdFree(USBFS_DmaTd[i]); + USBFS_DmaTd[i] = DMA_INVALID_TD; + } + i++; + }while((i < USBFS_MAX_EP) && (epNumber == USBFS_MAX_EP)); + } + +#endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + +/******************************************************************************* +* Function Name: USBFS_LoadInEP +******************************************************************************** +* +* Summary: +* Loads and enables the specified USB data endpoint for an IN interrupt or bulk +* transfer. +* +* Parameters: +* epNumber: Contains the data endpoint number. +* Valid values are between 1 and 8. +* *pData: A pointer to a data array from which the data for the endpoint space +* is loaded. +* length: The number of bytes to transfer from the array and then send as a +* result of an IN request. Valid values are between 0 and 512. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + +{ + uint8 ri; + reg8 *p; + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + uint16 i; + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + /* Limits length to available buffer space, auto MM could send packets up to 1024 bytes */ + if(length > (USBFS_EPX_DATA_BUF_MAX - USBFS_EP[epNumber].buffOffset)) + { + length = USBFS_EPX_DATA_BUF_MAX - USBFS_EP[epNumber].buffOffset; + } + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + /* Set the count and data toggle */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + (length >> 8u) | (USBFS_EP[epNumber].epToggle)); + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), length & 0xFFu); + + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + if(pData != NULL) + { + /* Copy the data using the arbiter data register */ + for (i = 0u; i < length; i++) + { + CY_SET_REG8(p, pData[i]); + } + } + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + #else + /* Init DMA if it was not initialized */ + if(USBFS_DmaTd[epNumber] == DMA_INVALID_TD) + { + USBFS_InitEP_DMA(epNumber, pData); + } + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + if((pData != NULL) && (length > 0u)) + { + /* Enable DMA in mode2 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + TD_TERMIN_EN | TD_INC_SRC_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32)p)); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + /* Generate DMA request */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_DMA_REQ; + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_ARB_EPX_CFG_DMA_REQ)); + /* Mode register will be written in arb ISR after DMA transfer complete */ + } + else + { + /* When zero-length packet - write the Mode register directly */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + } + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + if(pData != NULL) + { + /* Enable DMA in mode3 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, + USBFS_DmaTd[epNumber], TD_TERMIN_EN | TD_INC_SRC_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)pData), LO16((uint32)p)); + /* Clear Any potential pending DMA requests before starting the DMA channel to transfer data */ + (void) CyDmaClearPendingDrq(USBFS_DmaChan[epNumber]); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + } + else + { + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + if(length > 0u) + { + /* Set Data ready status, This will generate DMA request */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_IN_DATA_RDY; + /* Mode register will be written in arb ISR(In Buffer Full) after first DMA transfer complete */ + } + else + { + /* When zero-length packet - write the Mode register directly */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + } + } + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + } +} + + +/******************************************************************************* +* Function Name: USBFS_ReadOutEP +******************************************************************************** +* +* Summary: +* Read data from an endpoint. The application must call +* USBFS_GetEPState to see if an event is pending. +* +* Parameters: +* epNumber: Contains the data endpoint number. +* Valid values are between 1 and 8. +* pData: A pointer to a data array from which the data for the endpoint space +* is loaded. +* length: The number of bytes to transfer from the USB Out endpoint and loads +* it into data array. Valid values are between 0 and 1023. The function +* moves fewer than the requested number of bytes if the host sends +* fewer bytes than requested. +* +* Returns: +* Number of bytes received, 0 for an invalid endpoint. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint16 USBFS_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) + +{ + uint8 ri; + reg8 *p; + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + uint16 i; + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + uint16 xferCount; + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP) && (pData != NULL)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + p = (reg8 *)(USBFS_ARB_RW1_DR_IND + ri); + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + /* Determine which is smaller the requested data or the available data */ + xferCount = USBFS_GetEPCount(epNumber); + if (length > xferCount) + { + length = xferCount; + } + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + /* Copy the data using the arbiter data register */ + for (i = 0u; i < length; i++) + { + pData[i] = CY_GET_REG8(p); + } + + /* (re)arming of OUT endpoint */ + USBFS_EnableOutEP(epNumber); + #else + /*Init DMA if it was not initialized */ + if(USBFS_DmaTd[epNumber] == DMA_INVALID_TD) + { + USBFS_InitEP_DMA(epNumber, pData); + } + #endif /* End USBFS_EP_MM == USBFS__EP_MANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + /* Enable DMA in mode2 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, CY_DMA_DISABLE_TD, + TD_TERMIN_EN | TD_INC_DST_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + + /* Generate DMA request */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) |= USBFS_ARB_EPX_CFG_DMA_REQ; + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= ((uint8)(~USBFS_ARB_EPX_CFG_DMA_REQ)); + /* Out EP will be (re)armed in arb ISR after transfer complete */ + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* Enable DMA in mode3 for transferring data */ + (void) CyDmaChDisable(USBFS_DmaChan[epNumber]); + (void) CyDmaTdSetConfiguration(USBFS_DmaTd[epNumber], length, USBFS_DmaTd[epNumber], + TD_TERMIN_EN | TD_INC_DST_ADR); + (void) CyDmaTdSetAddress(USBFS_DmaTd[epNumber], LO16((uint32)p), LO16((uint32)pData)); + + /* Clear Any potential pending DMA requests before starting the DMA channel to transfer data */ + (void) CyDmaClearPendingDrq(USBFS_DmaChan[epNumber]); + /* Enable the DMA */ + (void) CyDmaChSetInitialTd(USBFS_DmaChan[epNumber], USBFS_DmaTd[epNumber]); + (void) CyDmaChEnable(USBFS_DmaChan[epNumber], 1u); + /* Out EP will be (re)armed in arb ISR after transfer complete */ + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + } + else + { + length = 0u; + } + + return(length); +} + + +/******************************************************************************* +* Function Name: USBFS_EnableOutEP +******************************************************************************** +* +* Summary: +* This function enables an OUT endpoint. It should not be +* called for an IN endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Return: +* None. +* +* Global variables: +* USBFS_EP[epNumber].apiEpState - set to NO_EVENT_PENDING +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_EnableOutEP(uint8 epNumber) +{ + uint8 ri; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + USBFS_EP[epNumber].apiEpState = USBFS_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_EP[epNumber].epMode); + } +} + + +/******************************************************************************* +* Function Name: USBFS_DisableOutEP +******************************************************************************** +* +* Summary: +* This function disables an OUT endpoint. It should not be +* called for an IN endpoint. +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_DisableOutEP(uint8 epNumber) +{ + uint8 ri ; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + } +} + + +/******************************************************************************* +* Function Name: USBFS_Force +******************************************************************************** +* +* Summary: +* Forces the bus state +* +* Parameters: +* bState +* USBFS_FORCE_J +* USBFS_FORCE_K +* USBFS_FORCE_SE0 +* USBFS_FORCE_NONE +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_Force(uint8 bState) +{ + CY_SET_REG8(USBFS_USBIO_CR0_PTR, bState); +} + + +/******************************************************************************* +* Function Name: USBFS_GetEPAckState +******************************************************************************** +* +* Summary: +* Returns the ACK of the CR0 Register (ACKD) +* +* Parameters: +* epNumber: Endpoint Number +* Valid values are between 1 and 8. +* +* Returns +* 0 if nothing has been ACKD, non-=zero something has been ACKD +* +*******************************************************************************/ +uint8 USBFS_GetEPAckState(uint8 epNumber) +{ + uint8 ri; + uint8 cr = 0u; + + if((epNumber > USBFS_EP0) && (epNumber < USBFS_MAX_EP)) + { + ri = ((epNumber - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + cr = CY_GET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri)) & USBFS_MODE_ACKD; + } + + return(cr); +} + + +/******************************************************************************* +* Function Name: USBFS_SetPowerStatus +******************************************************************************** +* +* Summary: +* Sets the device power status for reporting in the Get Device Status +* request +* +* Parameters: +* powerStatus: USBFS_DEVICE_STATUS_BUS_POWERED(0) - Bus Powered, +* USBFS_DEVICE_STATUS_SELF_POWERED(1) - Self Powered +* +* Return: +* None. +* +* Global variables: +* USBFS_deviceStatus - set power status +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_SetPowerStatus(uint8 powerStatus) +{ + if (powerStatus != USBFS_DEVICE_STATUS_BUS_POWERED) + { + USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + } + else + { + USBFS_deviceStatus &= ((uint8)(~USBFS_DEVICE_STATUS_SELF_POWERED)); + } +} + + +#if (USBFS_MON_VBUS == 1u) + + /******************************************************************************* + * Function Name: USBFS_VBusPresent + ******************************************************************************** + * + * Summary: + * Determines VBUS presence for Self Powered Devices. + * + * Parameters: + * None. + * + * Return: + * 1 if VBUS is present, otherwise 0. + * + *******************************************************************************/ + uint8 USBFS_VBusPresent(void) + { + return((0u != (CY_GET_REG8(USBFS_VBUS_PS_PTR) & USBFS_VBUS_MASK)) ? 1u : 0u); + } + +#endif /* USBFS_MON_VBUS */ + + +/******************************************************************************* +* Function Name: USBFS_RWUEnabled +******************************************************************************** +* +* Summary: +* Returns TRUE if Remote Wake Up is enabled, otherwise FALSE +* +* Parameters: +* None. +* +* Return: +* TRUE - Remote Wake Up Enabled +* FALSE - Remote Wake Up Disabled +* +* Global variables: +* USBFS_deviceStatus - checked to determine remote status +* +*******************************************************************************/ +uint8 USBFS_RWUEnabled(void) +{ + uint8 result = USBFS_FALSE; + if((USBFS_deviceStatus & USBFS_DEVICE_STATUS_REMOTE_WAKEUP) != 0u) + { + result = USBFS_TRUE; + } + + return(result); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h new file mode 100644 index 0000000..e7fd899 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h @@ -0,0 +1,1189 @@ +/******************************************************************************* +* File Name: USBFS.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_H) +#define CY_USBFS_USBFS_H + +#include "cytypes.h" +#include "cydevice_trm.h" +#include "cyfitter.h" +#include "CyLib.h" + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component USBFS_v2_60 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Memory Type Definitions +***************************************/ + +/* Renamed Type Definitions for backward compatibility. +* Should not be used in new designs. +*/ +#define USBFS_CODE CYCODE +#define USBFS_FAR CYFAR +#if defined(__C51__) || defined(__CX51__) + #define USBFS_DATA data + #define USBFS_XDATA xdata +#else + #define USBFS_DATA + #define USBFS_XDATA +#endif /* End __C51__ */ +#define USBFS_NULL NULL + + +/*************************************** +* Enumerated Types and Parameters +***************************************/ + +#define USBFS__EP_MANUAL 0 +#define USBFS__EP_DMAMANUAL 1 +#define USBFS__EP_DMAAUTO 2 + +#define USBFS__MA_STATIC 0 +#define USBFS__MA_DYNAMIC 1 + + + +/*************************************** +* Initial Parameter Constants +***************************************/ + +#define USBFS_NUM_DEVICES (1u) +#define USBFS_ENABLE_DESCRIPTOR_STRINGS +#define USBFS_ENABLE_SN_STRING +#define USBFS_ENABLE_STRINGS +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE (65u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_NUM_IN_RPTS (1u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE (65u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_NUM_OUT_RPTS (1u) +#define USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_COUNT (1u) +#define USBFS_ENABLE_HID_CLASS +#define USBFS_HID_RPT_1_SIZE_LSB (0x24u) +#define USBFS_HID_RPT_1_SIZE_MSB (0x00u) +#define USBFS_MAX_REPORTID_NUMBER (0u) + +#define USBFS_MON_VBUS (0u) +#define USBFS_EXTERN_VBUS (0u) +#define USBFS_EXTERN_VND (0u) +#define USBFS_EXTERN_CLS (0u) +#define USBFS_MAX_INTERFACES_NUMBER (1u) +#define USBFS_EP0_ISR_REMOVE (0u) +#define USBFS_EP1_ISR_REMOVE (0u) +#define USBFS_EP2_ISR_REMOVE (0u) +#define USBFS_EP3_ISR_REMOVE (1u) +#define USBFS_EP4_ISR_REMOVE (1u) +#define USBFS_EP5_ISR_REMOVE (1u) +#define USBFS_EP6_ISR_REMOVE (1u) +#define USBFS_EP7_ISR_REMOVE (1u) +#define USBFS_EP8_ISR_REMOVE (1u) +#define USBFS_EP_MM (0u) +#define USBFS_EP_MA (0u) +#define USBFS_DMA1_REMOVE (1u) +#define USBFS_DMA2_REMOVE (1u) +#define USBFS_DMA3_REMOVE (1u) +#define USBFS_DMA4_REMOVE (1u) +#define USBFS_DMA5_REMOVE (1u) +#define USBFS_DMA6_REMOVE (1u) +#define USBFS_DMA7_REMOVE (1u) +#define USBFS_DMA8_REMOVE (1u) +#define USBFS_SOF_ISR_REMOVE (0u) +#define USBFS_ARB_ISR_REMOVE (0u) +#define USBFS_DP_ISR_REMOVE (0u) +#define USBFS_ENABLE_CDC_CLASS_API (1u) +#define USBFS_ENABLE_MIDI_API (1u) +#define USBFS_MIDI_EXT_MODE (0u) + + +/*************************************** +* Data Struct Definition +***************************************/ + +typedef struct +{ + uint8 attrib; + uint8 apiEpState; + uint8 hwEpState; + uint8 epToggle; + uint8 addr; + uint8 epMode; + uint16 buffOffset; + uint16 bufferSize; + uint8 interface; +} T_USBFS_EP_CTL_BLOCK; + +typedef struct +{ + uint8 interface; + uint8 altSetting; + uint8 addr; + uint8 attributes; + uint16 bufferSize; + uint8 bMisc; +} T_USBFS_EP_SETTINGS_BLOCK; + +typedef struct +{ + uint8 status; + uint16 length; +} T_USBFS_XFER_STATUS_BLOCK; + +typedef struct +{ + uint16 count; + volatile uint8 *pData; + T_USBFS_XFER_STATUS_BLOCK *pStatusBlock; +} T_USBFS_TD; + + +typedef struct +{ + uint8 c; + const void *p_list; +} T_USBFS_LUT; + +/* Resume/Suspend API Support */ +typedef struct +{ + uint8 enableState; + uint8 mode; +} USBFS_BACKUP_STRUCT; + + +/* Renamed structure fields for backward compatibility. +* Should not be used in new designs. +*/ +#define wBuffOffset buffOffset +#define wBufferSize bufferSize +#define bStatus status +#define wLength length +#define wCount count + +/* Renamed global variable for backward compatibility. +* Should not be used in new designs. +*/ +#define CurrentTD USBFS_currentTD + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_Start(uint8 device, uint8 mode) ; +void USBFS_Init(void) ; +void USBFS_InitComponent(uint8 device, uint8 mode) ; +void USBFS_Stop(void) ; +uint8 USBFS_CheckActivity(void) ; +uint8 USBFS_GetConfiguration(void) ; +uint8 USBFS_IsConfigurationChanged(void) ; +uint8 USBFS_GetInterfaceSetting(uint8 interfaceNumber) + ; +uint8 USBFS_GetEPState(uint8 epNumber) ; +uint16 USBFS_GetEPCount(uint8 epNumber) ; +void USBFS_LoadInEP(uint8 epNumber, const uint8 pData[], uint16 length) + ; +uint16 USBFS_ReadOutEP(uint8 epNumber, uint8 pData[], uint16 length) + ; +void USBFS_EnableOutEP(uint8 epNumber) ; +void USBFS_DisableOutEP(uint8 epNumber) ; +void USBFS_Force(uint8 bState) ; +uint8 USBFS_GetEPAckState(uint8 epNumber) ; +void USBFS_SetPowerStatus(uint8 powerStatus) ; +uint8 USBFS_RWUEnabled(void) ; +void USBFS_TerminateEP(uint8 ep) ; + +void USBFS_Suspend(void) ; +void USBFS_Resume(void) ; + +#if defined(USBFS_ENABLE_FWSN_STRING) + void USBFS_SerialNumString(uint8 snString[]) ; +#endif /* USBFS_ENABLE_FWSN_STRING */ +#if (USBFS_MON_VBUS == 1u) + uint8 USBFS_VBusPresent(void) ; +#endif /* End USBFS_MON_VBUS */ + +#if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) || \ + (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + + void USBFS_CyBtldrCommStart(void) ; + void USBFS_CyBtldrCommStop(void) ; + void USBFS_CyBtldrCommReset(void) ; + cystatus USBFS_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + ; + cystatus USBFS_CyBtldrCommRead( uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + ; + + #define USBFS_BTLDR_SIZEOF_WRITE_BUFFER (64u) /* EP 1 OUT */ + #define USBFS_BTLDR_SIZEOF_READ_BUFFER (64u) /* EP 2 IN */ + #define USBFS_BTLDR_MAX_PACKET_SIZE USBFS_BTLDR_SIZEOF_WRITE_BUFFER + + /* These defines active if used USBFS interface as an + * IO Component for bootloading. When Custom_Interface selected + * in Bootloder configuration as the IO Component, user must + * provide these functions + */ + #if (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) + #define CyBtldrCommStart USBFS_CyBtldrCommStart + #define CyBtldrCommStop USBFS_CyBtldrCommStop + #define CyBtldrCommReset USBFS_CyBtldrCommReset + #define CyBtldrCommWrite USBFS_CyBtldrCommWrite + #define CyBtldrCommRead USBFS_CyBtldrCommRead + #endif /*End CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS */ + +#endif /* End CYDEV_BOOTLOADER_IO_COMP */ + +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + void USBFS_InitEP_DMA(uint8 epNumber, const uint8 *pData) + ; + void USBFS_Stop_DMA(uint8 epNumber) ; +#endif /* End USBFS_EP_MM != USBFS__EP_MANUAL) */ + +#if defined(USBFS_ENABLE_MIDI_STREAMING) && (USBFS_ENABLE_MIDI_API != 0u) + void USBFS_MIDI_EP_Init(void) ; + + #if (USBFS_MIDI_IN_BUFF_SIZE > 0) + void USBFS_MIDI_IN_Service(void) ; + uint8 USBFS_PutUsbMidiIn(uint8 ic, const uint8 midiMsg[], uint8 cable) + ; + #endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + + #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + void USBFS_MIDI_OUT_EP_Service(void) ; + #endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + +#endif /* End USBFS_ENABLE_MIDI_API != 0u */ + +/* Renamed Functions for backward compatibility. +* Should not be used in new designs. +*/ + +#define USBFS_bCheckActivity USBFS_CheckActivity +#define USBFS_bGetConfiguration USBFS_GetConfiguration +#define USBFS_bGetInterfaceSetting USBFS_GetInterfaceSetting +#define USBFS_bGetEPState USBFS_GetEPState +#define USBFS_wGetEPCount USBFS_GetEPCount +#define USBFS_bGetEPAckState USBFS_GetEPAckState +#define USBFS_bRWUEnabled USBFS_RWUEnabled +#define USBFS_bVBusPresent USBFS_VBusPresent + +#define USBFS_bConfiguration USBFS_configuration +#define USBFS_bInterfaceSetting USBFS_interfaceSetting +#define USBFS_bDeviceAddress USBFS_deviceAddress +#define USBFS_bDeviceStatus USBFS_deviceStatus +#define USBFS_bDevice USBFS_device +#define USBFS_bTransferState USBFS_transferState +#define USBFS_bLastPacketSize USBFS_lastPacketSize + +#define USBFS_LoadEP USBFS_LoadInEP +#define USBFS_LoadInISOCEP USBFS_LoadInEP +#define USBFS_EnableOutISOCEP USBFS_EnableOutEP + +#define USBFS_SetVector CyIntSetVector +#define USBFS_SetPriority CyIntSetPriority +#define USBFS_EnableInt CyIntEnable + + +/*************************************** +* API Constants +***************************************/ + +#define USBFS_EP0 (0u) +#define USBFS_EP1 (1u) +#define USBFS_EP2 (2u) +#define USBFS_EP3 (3u) +#define USBFS_EP4 (4u) +#define USBFS_EP5 (5u) +#define USBFS_EP6 (6u) +#define USBFS_EP7 (7u) +#define USBFS_EP8 (8u) +#define USBFS_MAX_EP (9u) + +#define USBFS_TRUE (1u) +#define USBFS_FALSE (0u) + +#define USBFS_NO_EVENT_ALLOWED (2u) +#define USBFS_EVENT_PENDING (1u) +#define USBFS_NO_EVENT_PENDING (0u) + +#define USBFS_IN_BUFFER_FULL USBFS_NO_EVENT_PENDING +#define USBFS_IN_BUFFER_EMPTY USBFS_EVENT_PENDING +#define USBFS_OUT_BUFFER_FULL USBFS_EVENT_PENDING +#define USBFS_OUT_BUFFER_EMPTY USBFS_NO_EVENT_PENDING + +#define USBFS_FORCE_J (0xA0u) +#define USBFS_FORCE_K (0x80u) +#define USBFS_FORCE_SE0 (0xC0u) +#define USBFS_FORCE_NONE (0x00u) + +#define USBFS_IDLE_TIMER_RUNNING (0x02u) +#define USBFS_IDLE_TIMER_EXPIRED (0x01u) +#define USBFS_IDLE_TIMER_INDEFINITE (0x00u) + +#define USBFS_DEVICE_STATUS_BUS_POWERED (0x00u) +#define USBFS_DEVICE_STATUS_SELF_POWERED (0x01u) + +#define USBFS_3V_OPERATION (0x00u) +#define USBFS_5V_OPERATION (0x01u) +#define USBFS_DWR_VDDD_OPERATION (0x02u) + +#define USBFS_MODE_DISABLE (0x00u) +#define USBFS_MODE_NAK_IN_OUT (0x01u) +#define USBFS_MODE_STATUS_OUT_ONLY (0x02u) +#define USBFS_MODE_STALL_IN_OUT (0x03u) +#define USBFS_MODE_RESERVED_0100 (0x04u) +#define USBFS_MODE_ISO_OUT (0x05u) +#define USBFS_MODE_STATUS_IN_ONLY (0x06u) +#define USBFS_MODE_ISO_IN (0x07u) +#define USBFS_MODE_NAK_OUT (0x08u) +#define USBFS_MODE_ACK_OUT (0x09u) +#define USBFS_MODE_RESERVED_1010 (0x0Au) +#define USBFS_MODE_ACK_OUT_STATUS_IN (0x0Bu) +#define USBFS_MODE_NAK_IN (0x0Cu) +#define USBFS_MODE_ACK_IN (0x0Du) +#define USBFS_MODE_RESERVED_1110 (0x0Eu) +#define USBFS_MODE_ACK_IN_STATUS_OUT (0x0Fu) +#define USBFS_MODE_MASK (0x0Fu) +#define USBFS_MODE_STALL_DATA_EP (0x80u) + +#define USBFS_MODE_ACKD (0x10u) +#define USBFS_MODE_OUT_RCVD (0x20u) +#define USBFS_MODE_IN_RCVD (0x40u) +#define USBFS_MODE_SETUP_RCVD (0x80u) + +#define USBFS_RQST_TYPE_MASK (0x60u) +#define USBFS_RQST_TYPE_STD (0x00u) +#define USBFS_RQST_TYPE_CLS (0x20u) +#define USBFS_RQST_TYPE_VND (0x40u) +#define USBFS_RQST_DIR_MASK (0x80u) +#define USBFS_RQST_DIR_D2H (0x80u) +#define USBFS_RQST_DIR_H2D (0x00u) +#define USBFS_RQST_RCPT_MASK (0x03u) +#define USBFS_RQST_RCPT_DEV (0x00u) +#define USBFS_RQST_RCPT_IFC (0x01u) +#define USBFS_RQST_RCPT_EP (0x02u) +#define USBFS_RQST_RCPT_OTHER (0x03u) + +/* USB Class Codes */ +#define USBFS_CLASS_DEVICE (0x00u) /* Use class code info from Interface Descriptors */ +#define USBFS_CLASS_AUDIO (0x01u) /* Audio device */ +#define USBFS_CLASS_CDC (0x02u) /* Communication device class */ +#define USBFS_CLASS_HID (0x03u) /* Human Interface Device */ +#define USBFS_CLASS_PDC (0x05u) /* Physical device class */ +#define USBFS_CLASS_IMAGE (0x06u) /* Still Imaging device */ +#define USBFS_CLASS_PRINTER (0x07u) /* Printer device */ +#define USBFS_CLASS_MSD (0x08u) /* Mass Storage device */ +#define USBFS_CLASS_HUB (0x09u) /* Full/Hi speed Hub */ +#define USBFS_CLASS_CDC_DATA (0x0Au) /* CDC data device */ +#define USBFS_CLASS_SMART_CARD (0x0Bu) /* Smart Card device */ +#define USBFS_CLASS_CSD (0x0Du) /* Content Security device */ +#define USBFS_CLASS_VIDEO (0x0Eu) /* Video device */ +#define USBFS_CLASS_PHD (0x0Fu) /* Personal Healthcare device */ +#define USBFS_CLASS_WIRELESSD (0xDCu) /* Wireless Controller */ +#define USBFS_CLASS_MIS (0xE0u) /* Miscellaneous */ +#define USBFS_CLASS_APP (0xEFu) /* Application Specific */ +#define USBFS_CLASS_VENDOR (0xFFu) /* Vendor specific */ + + +/* Standard Request Types (Table 9-4) */ +#define USBFS_GET_STATUS (0x00u) +#define USBFS_CLEAR_FEATURE (0x01u) +#define USBFS_SET_FEATURE (0x03u) +#define USBFS_SET_ADDRESS (0x05u) +#define USBFS_GET_DESCRIPTOR (0x06u) +#define USBFS_SET_DESCRIPTOR (0x07u) +#define USBFS_GET_CONFIGURATION (0x08u) +#define USBFS_SET_CONFIGURATION (0x09u) +#define USBFS_GET_INTERFACE (0x0Au) +#define USBFS_SET_INTERFACE (0x0Bu) +#define USBFS_SYNCH_FRAME (0x0Cu) + +/* Vendor Specific Request Types */ +/* Request for Microsoft OS String Descriptor */ +#define USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR (0x01u) + +/* Descriptor Types (Table 9-5) */ +#define USBFS_DESCR_DEVICE (1u) +#define USBFS_DESCR_CONFIG (2u) +#define USBFS_DESCR_STRING (3u) +#define USBFS_DESCR_INTERFACE (4u) +#define USBFS_DESCR_ENDPOINT (5u) +#define USBFS_DESCR_DEVICE_QUALIFIER (6u) +#define USBFS_DESCR_OTHER_SPEED (7u) +#define USBFS_DESCR_INTERFACE_POWER (8u) + +/* Device Descriptor Defines */ +#define USBFS_DEVICE_DESCR_LENGTH (18u) +#define USBFS_DEVICE_DESCR_SN_SHIFT (16u) + +/* Config Descriptor Shifts and Masks */ +#define USBFS_CONFIG_DESCR_LENGTH (0u) +#define USBFS_CONFIG_DESCR_TYPE (1u) +#define USBFS_CONFIG_DESCR_TOTAL_LENGTH_LOW (2u) +#define USBFS_CONFIG_DESCR_TOTAL_LENGTH_HI (3u) +#define USBFS_CONFIG_DESCR_NUM_INTERFACES (4u) +#define USBFS_CONFIG_DESCR_CONFIG_VALUE (5u) +#define USBFS_CONFIG_DESCR_CONFIGURATION (6u) +#define USBFS_CONFIG_DESCR_ATTRIB (7u) +#define USBFS_CONFIG_DESCR_ATTRIB_SELF_POWERED (0x40u) +#define USBFS_CONFIG_DESCR_ATTRIB_RWU_EN (0x20u) + +/* Feature Selectors (Table 9-6) */ +#define USBFS_DEVICE_REMOTE_WAKEUP (0x01u) +#define USBFS_ENDPOINT_HALT (0x00u) +#define USBFS_TEST_MODE (0x02u) + +/* USB Device Status (Figure 9-4) */ +#define USBFS_DEVICE_STATUS_BUS_POWERED (0x00u) +#define USBFS_DEVICE_STATUS_SELF_POWERED (0x01u) +#define USBFS_DEVICE_STATUS_REMOTE_WAKEUP (0x02u) + +/* USB Endpoint Status (Figure 9-4) */ +#define USBFS_ENDPOINT_STATUS_HALT (0x01u) + +/* USB Endpoint Directions */ +#define USBFS_DIR_IN (0x80u) +#define USBFS_DIR_OUT (0x00u) +#define USBFS_DIR_UNUSED (0x7Fu) + +/* USB Endpoint Attributes */ +#define USBFS_EP_TYPE_CTRL (0x00u) +#define USBFS_EP_TYPE_ISOC (0x01u) +#define USBFS_EP_TYPE_BULK (0x02u) +#define USBFS_EP_TYPE_INT (0x03u) +#define USBFS_EP_TYPE_MASK (0x03u) + +#define USBFS_EP_SYNC_TYPE_NO_SYNC (0x00u) +#define USBFS_EP_SYNC_TYPE_ASYNC (0x04u) +#define USBFS_EP_SYNC_TYPE_ADAPTIVE (0x08u) +#define USBFS_EP_SYNC_TYPE_SYNCHRONOUS (0x0Cu) +#define USBFS_EP_SYNC_TYPE_MASK (0x0Cu) + +#define USBFS_EP_USAGE_TYPE_DATA (0x00u) +#define USBFS_EP_USAGE_TYPE_FEEDBACK (0x10u) +#define USBFS_EP_USAGE_TYPE_IMPLICIT (0x20u) +#define USBFS_EP_USAGE_TYPE_RESERVED (0x30u) +#define USBFS_EP_USAGE_TYPE_MASK (0x30u) + +/* Endpoint Status defines */ +#define USBFS_EP_STATUS_LENGTH (0x02u) + +/* Endpoint Device defines */ +#define USBFS_DEVICE_STATUS_LENGTH (0x02u) + +#define USBFS_STATUS_LENGTH_MAX \ + ( (USBFS_EP_STATUS_LENGTH > USBFS_DEVICE_STATUS_LENGTH) ? \ + USBFS_EP_STATUS_LENGTH : USBFS_DEVICE_STATUS_LENGTH ) +/* Transfer Completion Notification */ +#define USBFS_XFER_IDLE (0x00u) +#define USBFS_XFER_STATUS_ACK (0x01u) +#define USBFS_XFER_PREMATURE (0x02u) +#define USBFS_XFER_ERROR (0x03u) + +/* Driver State defines */ +#define USBFS_TRANS_STATE_IDLE (0x00u) +#define USBFS_TRANS_STATE_CONTROL_READ (0x02u) +#define USBFS_TRANS_STATE_CONTROL_WRITE (0x04u) +#define USBFS_TRANS_STATE_NO_DATA_CONTROL (0x06u) + +/* String Descriptor defines */ +#define USBFS_STRING_MSOS (0xEEu) +#define USBFS_MSOS_DESCRIPTOR_LENGTH (18u) +#define USBFS_MSOS_CONF_DESCR_LENGTH (40u) + +#if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + /* DMA manual mode defines */ + #define USBFS_DMA_BYTES_PER_BURST (0u) + #define USBFS_DMA_REQUEST_PER_BURST (0u) +#endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ +#if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* DMA automatic mode defines */ + #define USBFS_DMA_BYTES_PER_BURST (32u) + /* BUF_SIZE-BYTES_PER_BURST examples: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11-2 bytes */ + #define USBFS_DMA_BUF_SIZE (0x55u) + #define USBFS_DMA_REQUEST_PER_BURST (1u) +#endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + +/* DIE ID string descriptor defines */ +#if defined(USBFS_ENABLE_IDSN_STRING) + #define USBFS_IDSN_DESCR_LENGTH (0x22u) +#endif /* USBFS_ENABLE_IDSN_STRING */ + + +/*************************************** +* External data references +***************************************/ + +extern uint8 USBFS_initVar; +extern volatile uint8 USBFS_device; +extern volatile uint8 USBFS_transferState; +extern volatile uint8 USBFS_configuration; +extern volatile uint8 USBFS_configurationChanged; +extern volatile uint8 USBFS_deviceStatus; + +/* HID Variables */ +#if defined(USBFS_ENABLE_HID_CLASS) + extern volatile uint8 USBFS_hidProtocol[USBFS_MAX_INTERFACES_NUMBER]; + extern volatile uint8 USBFS_hidIdleRate[USBFS_MAX_INTERFACES_NUMBER]; + extern volatile uint8 USBFS_hidIdleTimer[USBFS_MAX_INTERFACES_NUMBER]; +#endif /* USBFS_ENABLE_HID_CLASS */ + + +/*************************************** +* Registers +***************************************/ + +#define USBFS_ARB_CFG_PTR ( (reg8 *) USBFS_USB__ARB_CFG) +#define USBFS_ARB_CFG_REG (* (reg8 *) USBFS_USB__ARB_CFG) + +#define USBFS_ARB_EP1_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP1_CFG) +#define USBFS_ARB_EP1_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP1_CFG) +#define USBFS_ARB_EP1_CFG_IND USBFS_USB__ARB_EP1_CFG +#define USBFS_ARB_EP1_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP1_INT_EN) +#define USBFS_ARB_EP1_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP1_INT_EN) +#define USBFS_ARB_EP1_INT_EN_IND USBFS_USB__ARB_EP1_INT_EN +#define USBFS_ARB_EP1_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP1_SR) +#define USBFS_ARB_EP1_SR_REG (* (reg8 *) USBFS_USB__ARB_EP1_SR) +#define USBFS_ARB_EP1_SR_IND USBFS_USB__ARB_EP1_SR + +#define USBFS_ARB_EP2_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP2_CFG) +#define USBFS_ARB_EP2_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP2_CFG) +#define USBFS_ARB_EP2_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP2_INT_EN) +#define USBFS_ARB_EP2_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP2_INT_EN) +#define USBFS_ARB_EP2_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP2_SR) +#define USBFS_ARB_EP2_SR_REG (* (reg8 *) USBFS_USB__ARB_EP2_SR) + +#define USBFS_ARB_EP3_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP3_CFG) +#define USBFS_ARB_EP3_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP3_CFG) +#define USBFS_ARB_EP3_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP3_INT_EN) +#define USBFS_ARB_EP3_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP3_INT_EN) +#define USBFS_ARB_EP3_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP3_SR) +#define USBFS_ARB_EP3_SR_REG (* (reg8 *) USBFS_USB__ARB_EP3_SR) + +#define USBFS_ARB_EP4_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP4_CFG) +#define USBFS_ARB_EP4_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP4_CFG) +#define USBFS_ARB_EP4_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP4_INT_EN) +#define USBFS_ARB_EP4_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP4_INT_EN) +#define USBFS_ARB_EP4_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP4_SR) +#define USBFS_ARB_EP4_SR_REG (* (reg8 *) USBFS_USB__ARB_EP4_SR) + +#define USBFS_ARB_EP5_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP5_CFG) +#define USBFS_ARB_EP5_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP5_CFG) +#define USBFS_ARB_EP5_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP5_INT_EN) +#define USBFS_ARB_EP5_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP5_INT_EN) +#define USBFS_ARB_EP5_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP5_SR) +#define USBFS_ARB_EP5_SR_REG (* (reg8 *) USBFS_USB__ARB_EP5_SR) + +#define USBFS_ARB_EP6_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP6_CFG) +#define USBFS_ARB_EP6_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP6_CFG) +#define USBFS_ARB_EP6_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP6_INT_EN) +#define USBFS_ARB_EP6_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP6_INT_EN) +#define USBFS_ARB_EP6_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP6_SR) +#define USBFS_ARB_EP6_SR_REG (* (reg8 *) USBFS_USB__ARB_EP6_SR) + +#define USBFS_ARB_EP7_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP7_CFG) +#define USBFS_ARB_EP7_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP7_CFG) +#define USBFS_ARB_EP7_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP7_INT_EN) +#define USBFS_ARB_EP7_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP7_INT_EN) +#define USBFS_ARB_EP7_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP7_SR) +#define USBFS_ARB_EP7_SR_REG (* (reg8 *) USBFS_USB__ARB_EP7_SR) + +#define USBFS_ARB_EP8_CFG_PTR ( (reg8 *) USBFS_USB__ARB_EP8_CFG) +#define USBFS_ARB_EP8_CFG_REG (* (reg8 *) USBFS_USB__ARB_EP8_CFG) +#define USBFS_ARB_EP8_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_EP8_INT_EN) +#define USBFS_ARB_EP8_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_EP8_INT_EN) +#define USBFS_ARB_EP8_SR_PTR ( (reg8 *) USBFS_USB__ARB_EP8_SR) +#define USBFS_ARB_EP8_SR_REG (* (reg8 *) USBFS_USB__ARB_EP8_SR) + +#define USBFS_ARB_INT_EN_PTR ( (reg8 *) USBFS_USB__ARB_INT_EN) +#define USBFS_ARB_INT_EN_REG (* (reg8 *) USBFS_USB__ARB_INT_EN) +#define USBFS_ARB_INT_SR_PTR ( (reg8 *) USBFS_USB__ARB_INT_SR) +#define USBFS_ARB_INT_SR_REG (* (reg8 *) USBFS_USB__ARB_INT_SR) + +#define USBFS_ARB_RW1_DR_PTR ((reg8 *) USBFS_USB__ARB_RW1_DR) +#define USBFS_ARB_RW1_DR_IND USBFS_USB__ARB_RW1_DR +#define USBFS_ARB_RW1_RA_PTR ((reg8 *) USBFS_USB__ARB_RW1_RA) +#define USBFS_ARB_RW1_RA_IND USBFS_USB__ARB_RW1_RA +#define USBFS_ARB_RW1_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW1_RA_MSB) +#define USBFS_ARB_RW1_RA_MSB_IND USBFS_USB__ARB_RW1_RA_MSB +#define USBFS_ARB_RW1_WA_PTR ((reg8 *) USBFS_USB__ARB_RW1_WA) +#define USBFS_ARB_RW1_WA_IND USBFS_USB__ARB_RW1_WA +#define USBFS_ARB_RW1_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW1_WA_MSB) +#define USBFS_ARB_RW1_WA_MSB_IND USBFS_USB__ARB_RW1_WA_MSB + +#define USBFS_ARB_RW2_DR_PTR ((reg8 *) USBFS_USB__ARB_RW2_DR) +#define USBFS_ARB_RW2_RA_PTR ((reg8 *) USBFS_USB__ARB_RW2_RA) +#define USBFS_ARB_RW2_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW2_RA_MSB) +#define USBFS_ARB_RW2_WA_PTR ((reg8 *) USBFS_USB__ARB_RW2_WA) +#define USBFS_ARB_RW2_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW2_WA_MSB) + +#define USBFS_ARB_RW3_DR_PTR ((reg8 *) USBFS_USB__ARB_RW3_DR) +#define USBFS_ARB_RW3_RA_PTR ((reg8 *) USBFS_USB__ARB_RW3_RA) +#define USBFS_ARB_RW3_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW3_RA_MSB) +#define USBFS_ARB_RW3_WA_PTR ((reg8 *) USBFS_USB__ARB_RW3_WA) +#define USBFS_ARB_RW3_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW3_WA_MSB) + +#define USBFS_ARB_RW4_DR_PTR ((reg8 *) USBFS_USB__ARB_RW4_DR) +#define USBFS_ARB_RW4_RA_PTR ((reg8 *) USBFS_USB__ARB_RW4_RA) +#define USBFS_ARB_RW4_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW4_RA_MSB) +#define USBFS_ARB_RW4_WA_PTR ((reg8 *) USBFS_USB__ARB_RW4_WA) +#define USBFS_ARB_RW4_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW4_WA_MSB) + +#define USBFS_ARB_RW5_DR_PTR ((reg8 *) USBFS_USB__ARB_RW5_DR) +#define USBFS_ARB_RW5_RA_PTR ((reg8 *) USBFS_USB__ARB_RW5_RA) +#define USBFS_ARB_RW5_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW5_RA_MSB) +#define USBFS_ARB_RW5_WA_PTR ((reg8 *) USBFS_USB__ARB_RW5_WA) +#define USBFS_ARB_RW5_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW5_WA_MSB) + +#define USBFS_ARB_RW6_DR_PTR ((reg8 *) USBFS_USB__ARB_RW6_DR) +#define USBFS_ARB_RW6_RA_PTR ((reg8 *) USBFS_USB__ARB_RW6_RA) +#define USBFS_ARB_RW6_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW6_RA_MSB) +#define USBFS_ARB_RW6_WA_PTR ((reg8 *) USBFS_USB__ARB_RW6_WA) +#define USBFS_ARB_RW6_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW6_WA_MSB) + +#define USBFS_ARB_RW7_DR_PTR ((reg8 *) USBFS_USB__ARB_RW7_DR) +#define USBFS_ARB_RW7_RA_PTR ((reg8 *) USBFS_USB__ARB_RW7_RA) +#define USBFS_ARB_RW7_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW7_RA_MSB) +#define USBFS_ARB_RW7_WA_PTR ((reg8 *) USBFS_USB__ARB_RW7_WA) +#define USBFS_ARB_RW7_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW7_WA_MSB) + +#define USBFS_ARB_RW8_DR_PTR ((reg8 *) USBFS_USB__ARB_RW8_DR) +#define USBFS_ARB_RW8_RA_PTR ((reg8 *) USBFS_USB__ARB_RW8_RA) +#define USBFS_ARB_RW8_RA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW8_RA_MSB) +#define USBFS_ARB_RW8_WA_PTR ((reg8 *) USBFS_USB__ARB_RW8_WA) +#define USBFS_ARB_RW8_WA_MSB_PTR ((reg8 *) USBFS_USB__ARB_RW8_WA_MSB) + +#define USBFS_BUF_SIZE_PTR ( (reg8 *) USBFS_USB__BUF_SIZE) +#define USBFS_BUF_SIZE_REG (* (reg8 *) USBFS_USB__BUF_SIZE) +#define USBFS_BUS_RST_CNT_PTR ( (reg8 *) USBFS_USB__BUS_RST_CNT) +#define USBFS_BUS_RST_CNT_REG (* (reg8 *) USBFS_USB__BUS_RST_CNT) +#define USBFS_CWA_PTR ( (reg8 *) USBFS_USB__CWA) +#define USBFS_CWA_REG (* (reg8 *) USBFS_USB__CWA) +#define USBFS_CWA_MSB_PTR ( (reg8 *) USBFS_USB__CWA_MSB) +#define USBFS_CWA_MSB_REG (* (reg8 *) USBFS_USB__CWA_MSB) +#define USBFS_CR0_PTR ( (reg8 *) USBFS_USB__CR0) +#define USBFS_CR0_REG (* (reg8 *) USBFS_USB__CR0) +#define USBFS_CR1_PTR ( (reg8 *) USBFS_USB__CR1) +#define USBFS_CR1_REG (* (reg8 *) USBFS_USB__CR1) + +#define USBFS_DMA_THRES_PTR ( (reg8 *) USBFS_USB__DMA_THRES) +#define USBFS_DMA_THRES_REG (* (reg8 *) USBFS_USB__DMA_THRES) +#define USBFS_DMA_THRES_MSB_PTR ( (reg8 *) USBFS_USB__DMA_THRES_MSB) +#define USBFS_DMA_THRES_MSB_REG (* (reg8 *) USBFS_USB__DMA_THRES_MSB) + +#define USBFS_EP_ACTIVE_PTR ( (reg8 *) USBFS_USB__EP_ACTIVE) +#define USBFS_EP_ACTIVE_REG (* (reg8 *) USBFS_USB__EP_ACTIVE) +#define USBFS_EP_TYPE_PTR ( (reg8 *) USBFS_USB__EP_TYPE) +#define USBFS_EP_TYPE_REG (* (reg8 *) USBFS_USB__EP_TYPE) + +#define USBFS_EP0_CNT_PTR ( (reg8 *) USBFS_USB__EP0_CNT) +#define USBFS_EP0_CNT_REG (* (reg8 *) USBFS_USB__EP0_CNT) +#define USBFS_EP0_CR_PTR ( (reg8 *) USBFS_USB__EP0_CR) +#define USBFS_EP0_CR_REG (* (reg8 *) USBFS_USB__EP0_CR) +#define USBFS_EP0_DR0_PTR ( (reg8 *) USBFS_USB__EP0_DR0) +#define USBFS_EP0_DR0_REG (* (reg8 *) USBFS_USB__EP0_DR0) +#define USBFS_EP0_DR0_IND USBFS_USB__EP0_DR0 +#define USBFS_EP0_DR1_PTR ( (reg8 *) USBFS_USB__EP0_DR1) +#define USBFS_EP0_DR1_REG (* (reg8 *) USBFS_USB__EP0_DR1) +#define USBFS_EP0_DR2_PTR ( (reg8 *) USBFS_USB__EP0_DR2) +#define USBFS_EP0_DR2_REG (* (reg8 *) USBFS_USB__EP0_DR2) +#define USBFS_EP0_DR3_PTR ( (reg8 *) USBFS_USB__EP0_DR3) +#define USBFS_EP0_DR3_REG (* (reg8 *) USBFS_USB__EP0_DR3) +#define USBFS_EP0_DR4_PTR ( (reg8 *) USBFS_USB__EP0_DR4) +#define USBFS_EP0_DR4_REG (* (reg8 *) USBFS_USB__EP0_DR4) +#define USBFS_EP0_DR5_PTR ( (reg8 *) USBFS_USB__EP0_DR5) +#define USBFS_EP0_DR5_REG (* (reg8 *) USBFS_USB__EP0_DR5) +#define USBFS_EP0_DR6_PTR ( (reg8 *) USBFS_USB__EP0_DR6) +#define USBFS_EP0_DR6_REG (* (reg8 *) USBFS_USB__EP0_DR6) +#define USBFS_EP0_DR7_PTR ( (reg8 *) USBFS_USB__EP0_DR7) +#define USBFS_EP0_DR7_REG (* (reg8 *) USBFS_USB__EP0_DR7) + +#define USBFS_OSCLK_DR0_PTR ( (reg8 *) USBFS_USB__OSCLK_DR0) +#define USBFS_OSCLK_DR0_REG (* (reg8 *) USBFS_USB__OSCLK_DR0) +#define USBFS_OSCLK_DR1_PTR ( (reg8 *) USBFS_USB__OSCLK_DR1) +#define USBFS_OSCLK_DR1_REG (* (reg8 *) USBFS_USB__OSCLK_DR1) + +#define USBFS_PM_ACT_CFG_PTR ( (reg8 *) USBFS_USB__PM_ACT_CFG) +#define USBFS_PM_ACT_CFG_REG (* (reg8 *) USBFS_USB__PM_ACT_CFG) +#define USBFS_PM_STBY_CFG_PTR ( (reg8 *) USBFS_USB__PM_STBY_CFG) +#define USBFS_PM_STBY_CFG_REG (* (reg8 *) USBFS_USB__PM_STBY_CFG) + +#define USBFS_SIE_EP_INT_EN_PTR ( (reg8 *) USBFS_USB__SIE_EP_INT_EN) +#define USBFS_SIE_EP_INT_EN_REG (* (reg8 *) USBFS_USB__SIE_EP_INT_EN) +#define USBFS_SIE_EP_INT_SR_PTR ( (reg8 *) USBFS_USB__SIE_EP_INT_SR) +#define USBFS_SIE_EP_INT_SR_REG (* (reg8 *) USBFS_USB__SIE_EP_INT_SR) + +#define USBFS_SIE_EP1_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP1_CNT0) +#define USBFS_SIE_EP1_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP1_CNT0) +#define USBFS_SIE_EP1_CNT0_IND USBFS_USB__SIE_EP1_CNT0 +#define USBFS_SIE_EP1_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP1_CNT1) +#define USBFS_SIE_EP1_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP1_CNT1) +#define USBFS_SIE_EP1_CNT1_IND USBFS_USB__SIE_EP1_CNT1 +#define USBFS_SIE_EP1_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP1_CR0) +#define USBFS_SIE_EP1_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP1_CR0) +#define USBFS_SIE_EP1_CR0_IND USBFS_USB__SIE_EP1_CR0 + +#define USBFS_SIE_EP2_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP2_CNT0) +#define USBFS_SIE_EP2_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP2_CNT0) +#define USBFS_SIE_EP2_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP2_CNT1) +#define USBFS_SIE_EP2_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP2_CNT1) +#define USBFS_SIE_EP2_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP2_CR0) +#define USBFS_SIE_EP2_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP2_CR0) + +#define USBFS_SIE_EP3_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP3_CNT0) +#define USBFS_SIE_EP3_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP3_CNT0) +#define USBFS_SIE_EP3_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP3_CNT1) +#define USBFS_SIE_EP3_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP3_CNT1) +#define USBFS_SIE_EP3_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP3_CR0) +#define USBFS_SIE_EP3_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP3_CR0) + +#define USBFS_SIE_EP4_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP4_CNT0) +#define USBFS_SIE_EP4_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP4_CNT0) +#define USBFS_SIE_EP4_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP4_CNT1) +#define USBFS_SIE_EP4_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP4_CNT1) +#define USBFS_SIE_EP4_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP4_CR0) +#define USBFS_SIE_EP4_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP4_CR0) + +#define USBFS_SIE_EP5_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP5_CNT0) +#define USBFS_SIE_EP5_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP5_CNT0) +#define USBFS_SIE_EP5_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP5_CNT1) +#define USBFS_SIE_EP5_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP5_CNT1) +#define USBFS_SIE_EP5_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP5_CR0) +#define USBFS_SIE_EP5_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP5_CR0) + +#define USBFS_SIE_EP6_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP6_CNT0) +#define USBFS_SIE_EP6_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP6_CNT0) +#define USBFS_SIE_EP6_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP6_CNT1) +#define USBFS_SIE_EP6_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP6_CNT1) +#define USBFS_SIE_EP6_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP6_CR0) +#define USBFS_SIE_EP6_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP6_CR0) + +#define USBFS_SIE_EP7_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP7_CNT0) +#define USBFS_SIE_EP7_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP7_CNT0) +#define USBFS_SIE_EP7_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP7_CNT1) +#define USBFS_SIE_EP7_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP7_CNT1) +#define USBFS_SIE_EP7_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP7_CR0) +#define USBFS_SIE_EP7_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP7_CR0) + +#define USBFS_SIE_EP8_CNT0_PTR ( (reg8 *) USBFS_USB__SIE_EP8_CNT0) +#define USBFS_SIE_EP8_CNT0_REG (* (reg8 *) USBFS_USB__SIE_EP8_CNT0) +#define USBFS_SIE_EP8_CNT1_PTR ( (reg8 *) USBFS_USB__SIE_EP8_CNT1) +#define USBFS_SIE_EP8_CNT1_REG (* (reg8 *) USBFS_USB__SIE_EP8_CNT1) +#define USBFS_SIE_EP8_CR0_PTR ( (reg8 *) USBFS_USB__SIE_EP8_CR0) +#define USBFS_SIE_EP8_CR0_REG (* (reg8 *) USBFS_USB__SIE_EP8_CR0) + +#define USBFS_SOF0_PTR ( (reg8 *) USBFS_USB__SOF0) +#define USBFS_SOF0_REG (* (reg8 *) USBFS_USB__SOF0) +#define USBFS_SOF1_PTR ( (reg8 *) USBFS_USB__SOF1) +#define USBFS_SOF1_REG (* (reg8 *) USBFS_USB__SOF1) + +#define USBFS_USB_CLK_EN_PTR ( (reg8 *) USBFS_USB__USB_CLK_EN) +#define USBFS_USB_CLK_EN_REG (* (reg8 *) USBFS_USB__USB_CLK_EN) + +#define USBFS_USBIO_CR0_PTR ( (reg8 *) USBFS_USB__USBIO_CR0) +#define USBFS_USBIO_CR0_REG (* (reg8 *) USBFS_USB__USBIO_CR0) +#define USBFS_USBIO_CR1_PTR ( (reg8 *) USBFS_USB__USBIO_CR1) +#define USBFS_USBIO_CR1_REG (* (reg8 *) USBFS_USB__USBIO_CR1) +#if(!CY_PSOC5LP) + #define USBFS_USBIO_CR2_PTR ( (reg8 *) USBFS_USB__USBIO_CR2) + #define USBFS_USBIO_CR2_REG (* (reg8 *) USBFS_USB__USBIO_CR2) +#endif /* End CY_PSOC5LP */ + +#define USBFS_DIE_ID CYDEV_FLSHID_CUST_TABLES_BASE + +#define USBFS_PM_USB_CR0_PTR ( (reg8 *) CYREG_PM_USB_CR0) +#define USBFS_PM_USB_CR0_REG (* (reg8 *) CYREG_PM_USB_CR0) +#define USBFS_DYN_RECONFIG_PTR ( (reg8 *) USBFS_USB__DYN_RECONFIG) +#define USBFS_DYN_RECONFIG_REG (* (reg8 *) USBFS_USB__DYN_RECONFIG) + +#define USBFS_DM_INP_DIS_PTR ( (reg8 *) USBFS_Dm__INP_DIS) +#define USBFS_DM_INP_DIS_REG (* (reg8 *) USBFS_Dm__INP_DIS) +#define USBFS_DP_INP_DIS_PTR ( (reg8 *) USBFS_Dp__INP_DIS) +#define USBFS_DP_INP_DIS_REG (* (reg8 *) USBFS_Dp__INP_DIS) +#define USBFS_DP_INTSTAT_PTR ( (reg8 *) USBFS_Dp__INTSTAT) +#define USBFS_DP_INTSTAT_REG (* (reg8 *) USBFS_Dp__INTSTAT) + +#if (USBFS_MON_VBUS == 1u) + #if (USBFS_EXTERN_VBUS == 0u) + #define USBFS_VBUS_DR_PTR ( (reg8 *) USBFS_VBUS__DR) + #define USBFS_VBUS_DR_REG (* (reg8 *) USBFS_VBUS__DR) + #define USBFS_VBUS_PS_PTR ( (reg8 *) USBFS_VBUS__PS) + #define USBFS_VBUS_PS_REG (* (reg8 *) USBFS_VBUS__PS) + #define USBFS_VBUS_MASK USBFS_VBUS__MASK + #else + #define USBFS_VBUS_PS_PTR ( (reg8 *) USBFS_Vbus_ps_sts_sts_reg__STATUS_REG ) + #define USBFS_VBUS_MASK (0x01u) + #endif /* End USBFS_EXTERN_VBUS == 0u */ +#endif /* End USBFS_MON_VBUS */ + +/* Renamed Registers for backward compatibility. +* Should not be used in new designs. +*/ +#define USBFS_ARB_CFG USBFS_ARB_CFG_PTR + +#define USBFS_ARB_EP1_CFG USBFS_ARB_EP1_CFG_PTR +#define USBFS_ARB_EP1_INT_EN USBFS_ARB_EP1_INT_EN_PTR +#define USBFS_ARB_EP1_SR USBFS_ARB_EP1_SR_PTR + +#define USBFS_ARB_EP2_CFG USBFS_ARB_EP2_CFG_PTR +#define USBFS_ARB_EP2_INT_EN USBFS_ARB_EP2_INT_EN_PTR +#define USBFS_ARB_EP2_SR USBFS_ARB_EP2_SR_PTR + +#define USBFS_ARB_EP3_CFG USBFS_ARB_EP3_CFG_PTR +#define USBFS_ARB_EP3_INT_EN USBFS_ARB_EP3_INT_EN_PTR +#define USBFS_ARB_EP3_SR USBFS_ARB_EP3_SR_PTR + +#define USBFS_ARB_EP4_CFG USBFS_ARB_EP4_CFG_PTR +#define USBFS_ARB_EP4_INT_EN USBFS_ARB_EP4_INT_EN_PTR +#define USBFS_ARB_EP4_SR USBFS_ARB_EP4_SR_PTR + +#define USBFS_ARB_EP5_CFG USBFS_ARB_EP5_CFG_PTR +#define USBFS_ARB_EP5_INT_EN USBFS_ARB_EP5_INT_EN_PTR +#define USBFS_ARB_EP5_SR USBFS_ARB_EP5_SR_PTR + +#define USBFS_ARB_EP6_CFG USBFS_ARB_EP6_CFG_PTR +#define USBFS_ARB_EP6_INT_EN USBFS_ARB_EP6_INT_EN_PTR +#define USBFS_ARB_EP6_SR USBFS_ARB_EP6_SR_PTR + +#define USBFS_ARB_EP7_CFG USBFS_ARB_EP7_CFG_PTR +#define USBFS_ARB_EP7_INT_EN USBFS_ARB_EP7_INT_EN_PTR +#define USBFS_ARB_EP7_SR USBFS_ARB_EP7_SR_PTR + +#define USBFS_ARB_EP8_CFG USBFS_ARB_EP8_CFG_PTR +#define USBFS_ARB_EP8_INT_EN USBFS_ARB_EP8_INT_EN_PTR +#define USBFS_ARB_EP8_SR USBFS_ARB_EP8_SR_PTR + +#define USBFS_ARB_INT_EN USBFS_ARB_INT_EN_PTR +#define USBFS_ARB_INT_SR USBFS_ARB_INT_SR_PTR + +#define USBFS_ARB_RW1_DR USBFS_ARB_RW1_DR_PTR +#define USBFS_ARB_RW1_RA USBFS_ARB_RW1_RA_PTR +#define USBFS_ARB_RW1_RA_MSB USBFS_ARB_RW1_RA_MSB_PTR +#define USBFS_ARB_RW1_WA USBFS_ARB_RW1_WA_PTR +#define USBFS_ARB_RW1_WA_MSB USBFS_ARB_RW1_WA_MSB_PTR + +#define USBFS_ARB_RW2_DR USBFS_ARB_RW2_DR_PTR +#define USBFS_ARB_RW2_RA USBFS_ARB_RW2_RA_PTR +#define USBFS_ARB_RW2_RA_MSB USBFS_ARB_RW2_RA_MSB_PTR +#define USBFS_ARB_RW2_WA USBFS_ARB_RW2_WA_PTR +#define USBFS_ARB_RW2_WA_MSB USBFS_ARB_RW2_WA_MSB_PTR + +#define USBFS_ARB_RW3_DR USBFS_ARB_RW3_DR_PTR +#define USBFS_ARB_RW3_RA USBFS_ARB_RW3_RA_PTR +#define USBFS_ARB_RW3_RA_MSB USBFS_ARB_RW3_RA_MSB_PTR +#define USBFS_ARB_RW3_WA USBFS_ARB_RW3_WA_PTR +#define USBFS_ARB_RW3_WA_MSB USBFS_ARB_RW3_WA_MSB_PTR + +#define USBFS_ARB_RW4_DR USBFS_ARB_RW4_DR_PTR +#define USBFS_ARB_RW4_RA USBFS_ARB_RW4_RA_PTR +#define USBFS_ARB_RW4_RA_MSB USBFS_ARB_RW4_RA_MSB_PTR +#define USBFS_ARB_RW4_WA USBFS_ARB_RW4_WA_PTR +#define USBFS_ARB_RW4_WA_MSB USBFS_ARB_RW4_WA_MSB_PTR + +#define USBFS_ARB_RW5_DR USBFS_ARB_RW5_DR_PTR +#define USBFS_ARB_RW5_RA USBFS_ARB_RW5_RA_PTR +#define USBFS_ARB_RW5_RA_MSB USBFS_ARB_RW5_RA_MSB_PTR +#define USBFS_ARB_RW5_WA USBFS_ARB_RW5_WA_PTR +#define USBFS_ARB_RW5_WA_MSB USBFS_ARB_RW5_WA_MSB_PTR + +#define USBFS_ARB_RW6_DR USBFS_ARB_RW6_DR_PTR +#define USBFS_ARB_RW6_RA USBFS_ARB_RW6_RA_PTR +#define USBFS_ARB_RW6_RA_MSB USBFS_ARB_RW6_RA_MSB_PTR +#define USBFS_ARB_RW6_WA USBFS_ARB_RW6_WA_PTR +#define USBFS_ARB_RW6_WA_MSB USBFS_ARB_RW6_WA_MSB_PTR + +#define USBFS_ARB_RW7_DR USBFS_ARB_RW7_DR_PTR +#define USBFS_ARB_RW7_RA USBFS_ARB_RW7_RA_PTR +#define USBFS_ARB_RW7_RA_MSB USBFS_ARB_RW7_RA_MSB_PTR +#define USBFS_ARB_RW7_WA USBFS_ARB_RW7_WA_PTR +#define USBFS_ARB_RW7_WA_MSB USBFS_ARB_RW7_WA_MSB_PTR + +#define USBFS_ARB_RW8_DR USBFS_ARB_RW8_DR_PTR +#define USBFS_ARB_RW8_RA USBFS_ARB_RW8_RA_PTR +#define USBFS_ARB_RW8_RA_MSB USBFS_ARB_RW8_RA_MSB_PTR +#define USBFS_ARB_RW8_WA USBFS_ARB_RW8_WA_PTR +#define USBFS_ARB_RW8_WA_MSB USBFS_ARB_RW8_WA_MSB_PTR + +#define USBFS_BUF_SIZE USBFS_BUF_SIZE_PTR +#define USBFS_BUS_RST_CNT USBFS_BUS_RST_CNT_PTR +#define USBFS_CR0 USBFS_CR0_PTR +#define USBFS_CR1 USBFS_CR1_PTR +#define USBFS_CWA USBFS_CWA_PTR +#define USBFS_CWA_MSB USBFS_CWA_MSB_PTR + +#define USBFS_DMA_THRES USBFS_DMA_THRES_PTR +#define USBFS_DMA_THRES_MSB USBFS_DMA_THRES_MSB_PTR + +#define USBFS_EP_ACTIVE USBFS_EP_ACTIVE_PTR +#define USBFS_EP_TYPE USBFS_EP_TYPE_PTR + +#define USBFS_EP0_CNT USBFS_EP0_CNT_PTR +#define USBFS_EP0_CR USBFS_EP0_CR_PTR +#define USBFS_EP0_DR0 USBFS_EP0_DR0_PTR +#define USBFS_EP0_DR1 USBFS_EP0_DR1_PTR +#define USBFS_EP0_DR2 USBFS_EP0_DR2_PTR +#define USBFS_EP0_DR3 USBFS_EP0_DR3_PTR +#define USBFS_EP0_DR4 USBFS_EP0_DR4_PTR +#define USBFS_EP0_DR5 USBFS_EP0_DR5_PTR +#define USBFS_EP0_DR6 USBFS_EP0_DR6_PTR +#define USBFS_EP0_DR7 USBFS_EP0_DR7_PTR + +#define USBFS_OSCLK_DR0 USBFS_OSCLK_DR0_PTR +#define USBFS_OSCLK_DR1 USBFS_OSCLK_DR1_PTR + +#define USBFS_PM_ACT_CFG USBFS_PM_ACT_CFG_PTR +#define USBFS_PM_STBY_CFG USBFS_PM_STBY_CFG_PTR + +#define USBFS_SIE_EP_INT_EN USBFS_SIE_EP_INT_EN_PTR +#define USBFS_SIE_EP_INT_SR USBFS_SIE_EP_INT_SR_PTR + +#define USBFS_SIE_EP1_CNT0 USBFS_SIE_EP1_CNT0_PTR +#define USBFS_SIE_EP1_CNT1 USBFS_SIE_EP1_CNT1_PTR +#define USBFS_SIE_EP1_CR0 USBFS_SIE_EP1_CR0_PTR + +#define USBFS_SIE_EP2_CNT0 USBFS_SIE_EP2_CNT0_PTR +#define USBFS_SIE_EP2_CNT1 USBFS_SIE_EP2_CNT1_PTR +#define USBFS_SIE_EP2_CR0 USBFS_SIE_EP2_CR0_PTR + +#define USBFS_SIE_EP3_CNT0 USBFS_SIE_EP3_CNT0_PTR +#define USBFS_SIE_EP3_CNT1 USBFS_SIE_EP3_CNT1_PTR +#define USBFS_SIE_EP3_CR0 USBFS_SIE_EP3_CR0_PTR + +#define USBFS_SIE_EP4_CNT0 USBFS_SIE_EP4_CNT0_PTR +#define USBFS_SIE_EP4_CNT1 USBFS_SIE_EP4_CNT1_PTR +#define USBFS_SIE_EP4_CR0 USBFS_SIE_EP4_CR0_PTR + +#define USBFS_SIE_EP5_CNT0 USBFS_SIE_EP5_CNT0_PTR +#define USBFS_SIE_EP5_CNT1 USBFS_SIE_EP5_CNT1_PTR +#define USBFS_SIE_EP5_CR0 USBFS_SIE_EP5_CR0_PTR + +#define USBFS_SIE_EP6_CNT0 USBFS_SIE_EP6_CNT0_PTR +#define USBFS_SIE_EP6_CNT1 USBFS_SIE_EP6_CNT1_PTR +#define USBFS_SIE_EP6_CR0 USBFS_SIE_EP6_CR0_PTR + +#define USBFS_SIE_EP7_CNT0 USBFS_SIE_EP7_CNT0_PTR +#define USBFS_SIE_EP7_CNT1 USBFS_SIE_EP7_CNT1_PTR +#define USBFS_SIE_EP7_CR0 USBFS_SIE_EP7_CR0_PTR + +#define USBFS_SIE_EP8_CNT0 USBFS_SIE_EP8_CNT0_PTR +#define USBFS_SIE_EP8_CNT1 USBFS_SIE_EP8_CNT1_PTR +#define USBFS_SIE_EP8_CR0 USBFS_SIE_EP8_CR0_PTR + +#define USBFS_SOF0 USBFS_SOF0_PTR +#define USBFS_SOF1 USBFS_SOF1_PTR + +#define USBFS_USB_CLK_EN USBFS_USB_CLK_EN_PTR + +#define USBFS_USBIO_CR0 USBFS_USBIO_CR0_PTR +#define USBFS_USBIO_CR1 USBFS_USBIO_CR1_PTR +#define USBFS_USBIO_CR2 USBFS_USBIO_CR2_PTR + +#define USBFS_USB_MEM ((reg8 *) CYDEV_USB_MEM_BASE) + +#if(CYDEV_CHIP_DIE_EXPECT == CYDEV_CHIP_DIE_LEOPARD) + /* PSoC3 interrupt registers*/ + #define USBFS_USB_ISR_PRIOR ((reg8 *) CYDEV_INTC_PRIOR0) + #define USBFS_USB_ISR_SET_EN ((reg8 *) CYDEV_INTC_SET_EN0) + #define USBFS_USB_ISR_CLR_EN ((reg8 *) CYDEV_INTC_CLR_EN0) + #define USBFS_USB_ISR_VECT ((cyisraddress *) CYDEV_INTC_VECT_MBASE) +#elif(CYDEV_CHIP_DIE_EXPECT == CYDEV_CHIP_DIE_PANTHER) + /* PSoC5 interrupt registers*/ + #define USBFS_USB_ISR_PRIOR ((reg8 *) CYDEV_NVIC_PRI_0) + #define USBFS_USB_ISR_SET_EN ((reg8 *) CYDEV_NVIC_SETENA0) + #define USBFS_USB_ISR_CLR_EN ((reg8 *) CYDEV_NVIC_CLRENA0) + #define USBFS_USB_ISR_VECT ((cyisraddress *) CYDEV_NVIC_VECT_OFFSET) +#endif /* End CYDEV_CHIP_DIE_EXPECT */ + + +/*************************************** +* Interrupt vectors, masks and priorities +***************************************/ + +#define USBFS_BUS_RESET_PRIOR USBFS_bus_reset__INTC_PRIOR_NUM +#define USBFS_BUS_RESET_MASK USBFS_bus_reset__INTC_MASK +#define USBFS_BUS_RESET_VECT_NUM USBFS_bus_reset__INTC_NUMBER + +#define USBFS_SOF_PRIOR USBFS_sof_int__INTC_PRIOR_NUM +#define USBFS_SOF_MASK USBFS_sof_int__INTC_MASK +#define USBFS_SOF_VECT_NUM USBFS_sof_int__INTC_NUMBER + +#define USBFS_EP_0_PRIOR USBFS_ep_0__INTC_PRIOR_NUM +#define USBFS_EP_0_MASK USBFS_ep_0__INTC_MASK +#define USBFS_EP_0_VECT_NUM USBFS_ep_0__INTC_NUMBER + +#define USBFS_EP_1_PRIOR USBFS_ep_1__INTC_PRIOR_NUM +#define USBFS_EP_1_MASK USBFS_ep_1__INTC_MASK +#define USBFS_EP_1_VECT_NUM USBFS_ep_1__INTC_NUMBER + +#define USBFS_EP_2_PRIOR USBFS_ep_2__INTC_PRIOR_NUM +#define USBFS_EP_2_MASK USBFS_ep_2__INTC_MASK +#define USBFS_EP_2_VECT_NUM USBFS_ep_2__INTC_NUMBER + +#define USBFS_EP_3_PRIOR USBFS_ep_3__INTC_PRIOR_NUM +#define USBFS_EP_3_MASK USBFS_ep_3__INTC_MASK +#define USBFS_EP_3_VECT_NUM USBFS_ep_3__INTC_NUMBER + +#define USBFS_EP_4_PRIOR USBFS_ep_4__INTC_PRIOR_NUM +#define USBFS_EP_4_MASK USBFS_ep_4__INTC_MASK +#define USBFS_EP_4_VECT_NUM USBFS_ep_4__INTC_NUMBER + +#define USBFS_EP_5_PRIOR USBFS_ep_5__INTC_PRIOR_NUM +#define USBFS_EP_5_MASK USBFS_ep_5__INTC_MASK +#define USBFS_EP_5_VECT_NUM USBFS_ep_5__INTC_NUMBER + +#define USBFS_EP_6_PRIOR USBFS_ep_6__INTC_PRIOR_NUM +#define USBFS_EP_6_MASK USBFS_ep_6__INTC_MASK +#define USBFS_EP_6_VECT_NUM USBFS_ep_6__INTC_NUMBER + +#define USBFS_EP_7_PRIOR USBFS_ep_7__INTC_PRIOR_NUM +#define USBFS_EP_7_MASK USBFS_ep_7__INTC_MASK +#define USBFS_EP_7_VECT_NUM USBFS_ep_7__INTC_NUMBER + +#define USBFS_EP_8_PRIOR USBFS_ep_8__INTC_PRIOR_NUM +#define USBFS_EP_8_MASK USBFS_ep_8__INTC_MASK +#define USBFS_EP_8_VECT_NUM USBFS_ep_8__INTC_NUMBER + +#define USBFS_DP_INTC_PRIOR USBFS_dp_int__INTC_PRIOR_NUM +#define USBFS_DP_INTC_MASK USBFS_dp_int__INTC_MASK +#define USBFS_DP_INTC_VECT_NUM USBFS_dp_int__INTC_NUMBER + +/* ARB ISR should have higher priority from EP_X ISR, therefore it is defined to highest (0) */ +#define USBFS_ARB_PRIOR (0u) +#define USBFS_ARB_MASK USBFS_arb_int__INTC_MASK +#define USBFS_ARB_VECT_NUM USBFS_arb_int__INTC_NUMBER + +/*************************************** + * Endpoint 0 offsets (Table 9-2) + **************************************/ + +#define USBFS_bmRequestType USBFS_EP0_DR0_PTR +#define USBFS_bRequest USBFS_EP0_DR1_PTR +#define USBFS_wValue USBFS_EP0_DR2_PTR +#define USBFS_wValueHi USBFS_EP0_DR3_PTR +#define USBFS_wValueLo USBFS_EP0_DR2_PTR +#define USBFS_wIndex USBFS_EP0_DR4_PTR +#define USBFS_wIndexHi USBFS_EP0_DR5_PTR +#define USBFS_wIndexLo USBFS_EP0_DR4_PTR +#define USBFS_length USBFS_EP0_DR6_PTR +#define USBFS_lengthHi USBFS_EP0_DR7_PTR +#define USBFS_lengthLo USBFS_EP0_DR6_PTR + + +/*************************************** +* Register Constants +***************************************/ +#define USBFS_VDDD_MV CYDEV_VDDD_MV +#define USBFS_3500MV (3500u) + +#define USBFS_CR1_REG_ENABLE (0x01u) +#define USBFS_CR1_ENABLE_LOCK (0x02u) +#define USBFS_CR1_BUS_ACTIVITY_SHIFT (0x02u) +#define USBFS_CR1_BUS_ACTIVITY ((uint8)(0x01u << USBFS_CR1_BUS_ACTIVITY_SHIFT)) +#define USBFS_CR1_TRIM_MSB_EN (0x08u) + +#define USBFS_EP0_CNT_DATA_TOGGLE (0x80u) +#define USBFS_EPX_CNT_DATA_TOGGLE (0x80u) +#define USBFS_EPX_CNT0_MASK (0x0Fu) +#define USBFS_EPX_CNTX_MSB_MASK (0x07u) +#define USBFS_EPX_CNTX_ADDR_SHIFT (0x04u) +#define USBFS_EPX_CNTX_ADDR_OFFSET (0x10u) +#define USBFS_EPX_CNTX_CRC_COUNT (0x02u) +#define USBFS_EPX_DATA_BUF_MAX (512u) + +#define USBFS_CR0_ENABLE (0x80u) + +/* A 100 KHz clock is used for BUS reset count. Recommended is to count 10 pulses */ +#define USBFS_BUS_RST_COUNT (0x0au) + +#define USBFS_USBIO_CR1_IOMODE (0x20u) +#define USBFS_USBIO_CR1_USBPUEN (0x04u) +#define USBFS_USBIO_CR1_DP0 (0x02u) +#define USBFS_USBIO_CR1_DM0 (0x01u) + +#define USBFS_USBIO_CR0_TEN (0x80u) +#define USBFS_USBIO_CR0_TSE0 (0x40u) +#define USBFS_USBIO_CR0_TD (0x20u) +#define USBFS_USBIO_CR0_RD (0x01u) + +#define USBFS_FASTCLK_IMO_CR_USBCLK_ON (0x40u) +#define USBFS_FASTCLK_IMO_CR_XCLKEN (0x20u) +#define USBFS_FASTCLK_IMO_CR_FX2ON (0x10u) + +#define USBFS_ARB_EPX_CFG_RESET (0x08u) +#define USBFS_ARB_EPX_CFG_CRC_BYPASS (0x04u) +#define USBFS_ARB_EPX_CFG_DMA_REQ (0x02u) +#define USBFS_ARB_EPX_CFG_IN_DATA_RDY (0x01u) + +#define USBFS_ARB_EPX_SR_IN_BUF_FULL (0x01u) +#define USBFS_ARB_EPX_SR_DMA_GNT (0x02u) +#define USBFS_ARB_EPX_SR_BUF_OVER (0x04u) +#define USBFS_ARB_EPX_SR_BUF_UNDER (0x08u) + +#define USBFS_ARB_CFG_AUTO_MEM (0x10u) +#define USBFS_ARB_CFG_MANUAL_DMA (0x20u) +#define USBFS_ARB_CFG_AUTO_DMA (0x40u) +#define USBFS_ARB_CFG_CFG_CPM (0x80u) + +#if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + #define USBFS_ARB_EPX_INT_MASK (0x1Du) +#else + #define USBFS_ARB_EPX_INT_MASK (0x1Fu) +#endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ +#define USBFS_ARB_INT_MASK (uint8)((USBFS_DMA1_REMOVE ^ 1u) | \ + (uint8)((USBFS_DMA2_REMOVE ^ 1u) << 1u) | \ + (uint8)((USBFS_DMA3_REMOVE ^ 1u) << 2u) | \ + (uint8)((USBFS_DMA4_REMOVE ^ 1u) << 3u) | \ + (uint8)((USBFS_DMA5_REMOVE ^ 1u) << 4u) | \ + (uint8)((USBFS_DMA6_REMOVE ^ 1u) << 5u) | \ + (uint8)((USBFS_DMA7_REMOVE ^ 1u) << 6u) | \ + (uint8)((USBFS_DMA8_REMOVE ^ 1u) << 7u) ) + +#define USBFS_SIE_EP_INT_EP1_MASK (0x01u) +#define USBFS_SIE_EP_INT_EP2_MASK (0x02u) +#define USBFS_SIE_EP_INT_EP3_MASK (0x04u) +#define USBFS_SIE_EP_INT_EP4_MASK (0x08u) +#define USBFS_SIE_EP_INT_EP5_MASK (0x10u) +#define USBFS_SIE_EP_INT_EP6_MASK (0x20u) +#define USBFS_SIE_EP_INT_EP7_MASK (0x40u) +#define USBFS_SIE_EP_INT_EP8_MASK (0x80u) + +#define USBFS_PM_ACT_EN_FSUSB USBFS_USB__PM_ACT_MSK +#define USBFS_PM_STBY_EN_FSUSB USBFS_USB__PM_STBY_MSK +#define USBFS_PM_AVAIL_EN_FSUSBIO (0x10u) + +#define USBFS_PM_USB_CR0_REF_EN (0x01u) +#define USBFS_PM_USB_CR0_PD_N (0x02u) +#define USBFS_PM_USB_CR0_PD_PULLUP_N (0x04u) + +#define USBFS_USB_CLK_ENABLE (0x01u) + +#define USBFS_DM_MASK USBFS_Dm__0__MASK +#define USBFS_DP_MASK USBFS_Dp__0__MASK + +#define USBFS_DYN_RECONFIG_ENABLE (0x01u) +#define USBFS_DYN_RECONFIG_EP_SHIFT (0x01u) +#define USBFS_DYN_RECONFIG_RDY_STS (0x10u) + + +#endif /* End CY_USBFS_USBFS_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.c new file mode 100644 index 0000000..afae8fa --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: USBFS_Dm.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "USBFS_Dm.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + USBFS_Dm__PORT == 15 && ((USBFS_Dm__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: USBFS_Dm_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dm_Write(uint8 value) +{ + uint8 staticBits = (USBFS_Dm_DR & (uint8)(~USBFS_Dm_MASK)); + USBFS_Dm_DR = staticBits | ((uint8)(value << USBFS_Dm_SHIFT) & USBFS_Dm_MASK); +} + + +/******************************************************************************* +* Function Name: USBFS_Dm_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dm_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(USBFS_Dm_0, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_Dm_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro USBFS_Dm_ReadPS calls this function. +* +*******************************************************************************/ +uint8 USBFS_Dm_Read(void) +{ + return (USBFS_Dm_PS & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; +} + + +/******************************************************************************* +* Function Name: USBFS_Dm_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 USBFS_Dm_ReadDataReg(void) +{ + return (USBFS_Dm_DR & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(USBFS_Dm_INTSTAT) + + /******************************************************************************* + * Function Name: USBFS_Dm_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 USBFS_Dm_ClearInterrupt(void) + { + return (USBFS_Dm_INTSTAT & USBFS_Dm_MASK) >> USBFS_Dm_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h new file mode 100644 index 0000000..c1aa9b9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: USBFS_Dm.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dm_H) /* Pins USBFS_Dm_H */ +#define CY_PINS_USBFS_Dm_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "USBFS_Dm_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + USBFS_Dm__PORT == 15 && ((USBFS_Dm__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_Dm_Write(uint8 value) ; +void USBFS_Dm_SetDriveMode(uint8 mode) ; +uint8 USBFS_Dm_ReadDataReg(void) ; +uint8 USBFS_Dm_Read(void) ; +uint8 USBFS_Dm_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define USBFS_Dm_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define USBFS_Dm_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define USBFS_Dm_DM_RES_UP PIN_DM_RES_UP +#define USBFS_Dm_DM_RES_DWN PIN_DM_RES_DWN +#define USBFS_Dm_DM_OD_LO PIN_DM_OD_LO +#define USBFS_Dm_DM_OD_HI PIN_DM_OD_HI +#define USBFS_Dm_DM_STRONG PIN_DM_STRONG +#define USBFS_Dm_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define USBFS_Dm_MASK USBFS_Dm__MASK +#define USBFS_Dm_SHIFT USBFS_Dm__SHIFT +#define USBFS_Dm_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define USBFS_Dm_PS (* (reg8 *) USBFS_Dm__PS) +/* Data Register */ +#define USBFS_Dm_DR (* (reg8 *) USBFS_Dm__DR) +/* Port Number */ +#define USBFS_Dm_PRT_NUM (* (reg8 *) USBFS_Dm__PRT) +/* Connect to Analog Globals */ +#define USBFS_Dm_AG (* (reg8 *) USBFS_Dm__AG) +/* Analog MUX bux enable */ +#define USBFS_Dm_AMUX (* (reg8 *) USBFS_Dm__AMUX) +/* Bidirectional Enable */ +#define USBFS_Dm_BIE (* (reg8 *) USBFS_Dm__BIE) +/* Bit-mask for Aliased Register Access */ +#define USBFS_Dm_BIT_MASK (* (reg8 *) USBFS_Dm__BIT_MASK) +/* Bypass Enable */ +#define USBFS_Dm_BYP (* (reg8 *) USBFS_Dm__BYP) +/* Port wide control signals */ +#define USBFS_Dm_CTL (* (reg8 *) USBFS_Dm__CTL) +/* Drive Modes */ +#define USBFS_Dm_DM0 (* (reg8 *) USBFS_Dm__DM0) +#define USBFS_Dm_DM1 (* (reg8 *) USBFS_Dm__DM1) +#define USBFS_Dm_DM2 (* (reg8 *) USBFS_Dm__DM2) +/* Input Buffer Disable Override */ +#define USBFS_Dm_INP_DIS (* (reg8 *) USBFS_Dm__INP_DIS) +/* LCD Common or Segment Drive */ +#define USBFS_Dm_LCD_COM_SEG (* (reg8 *) USBFS_Dm__LCD_COM_SEG) +/* Enable Segment LCD */ +#define USBFS_Dm_LCD_EN (* (reg8 *) USBFS_Dm__LCD_EN) +/* Slew Rate Control */ +#define USBFS_Dm_SLW (* (reg8 *) USBFS_Dm__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define USBFS_Dm_PRTDSI__CAPS_SEL (* (reg8 *) USBFS_Dm__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define USBFS_Dm_PRTDSI__DBL_SYNC_IN (* (reg8 *) USBFS_Dm__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define USBFS_Dm_PRTDSI__OE_SEL0 (* (reg8 *) USBFS_Dm__PRTDSI__OE_SEL0) +#define USBFS_Dm_PRTDSI__OE_SEL1 (* (reg8 *) USBFS_Dm__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define USBFS_Dm_PRTDSI__OUT_SEL0 (* (reg8 *) USBFS_Dm__PRTDSI__OUT_SEL0) +#define USBFS_Dm_PRTDSI__OUT_SEL1 (* (reg8 *) USBFS_Dm__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define USBFS_Dm_PRTDSI__SYNC_OUT (* (reg8 *) USBFS_Dm__PRTDSI__SYNC_OUT) + + +#if defined(USBFS_Dm__INTSTAT) /* Interrupt Registers */ + + #define USBFS_Dm_INTSTAT (* (reg8 *) USBFS_Dm__INTSTAT) + #define USBFS_Dm_SNAP (* (reg8 *) USBFS_Dm__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_USBFS_Dm_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h new file mode 100644 index 0000000..bc4f686 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: USBFS_Dm.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dm_ALIASES_H) /* Pins USBFS_Dm_ALIASES_H */ +#define CY_PINS_USBFS_Dm_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define USBFS_Dm_0 USBFS_Dm__0__PC + +#endif /* End Pins USBFS_Dm_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.c new file mode 100644 index 0000000..304d5d6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: USBFS_Dp.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "USBFS_Dp.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + USBFS_Dp__PORT == 15 && ((USBFS_Dp__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: USBFS_Dp_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dp_Write(uint8 value) +{ + uint8 staticBits = (USBFS_Dp_DR & (uint8)(~USBFS_Dp_MASK)); + USBFS_Dp_DR = staticBits | ((uint8)(value << USBFS_Dp_SHIFT) & USBFS_Dp_MASK); +} + + +/******************************************************************************* +* Function Name: USBFS_Dp_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void USBFS_Dp_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(USBFS_Dp_0, mode); +} + + +/******************************************************************************* +* Function Name: USBFS_Dp_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro USBFS_Dp_ReadPS calls this function. +* +*******************************************************************************/ +uint8 USBFS_Dp_Read(void) +{ + return (USBFS_Dp_PS & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; +} + + +/******************************************************************************* +* Function Name: USBFS_Dp_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 USBFS_Dp_ReadDataReg(void) +{ + return (USBFS_Dp_DR & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(USBFS_Dp_INTSTAT) + + /******************************************************************************* + * Function Name: USBFS_Dp_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 USBFS_Dp_ClearInterrupt(void) + { + return (USBFS_Dp_INTSTAT & USBFS_Dp_MASK) >> USBFS_Dp_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h new file mode 100644 index 0000000..2d03ad9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: USBFS_Dp.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dp_H) /* Pins USBFS_Dp_H */ +#define CY_PINS_USBFS_Dp_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "USBFS_Dp_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + USBFS_Dp__PORT == 15 && ((USBFS_Dp__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void USBFS_Dp_Write(uint8 value) ; +void USBFS_Dp_SetDriveMode(uint8 mode) ; +uint8 USBFS_Dp_ReadDataReg(void) ; +uint8 USBFS_Dp_Read(void) ; +uint8 USBFS_Dp_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define USBFS_Dp_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define USBFS_Dp_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define USBFS_Dp_DM_RES_UP PIN_DM_RES_UP +#define USBFS_Dp_DM_RES_DWN PIN_DM_RES_DWN +#define USBFS_Dp_DM_OD_LO PIN_DM_OD_LO +#define USBFS_Dp_DM_OD_HI PIN_DM_OD_HI +#define USBFS_Dp_DM_STRONG PIN_DM_STRONG +#define USBFS_Dp_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define USBFS_Dp_MASK USBFS_Dp__MASK +#define USBFS_Dp_SHIFT USBFS_Dp__SHIFT +#define USBFS_Dp_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define USBFS_Dp_PS (* (reg8 *) USBFS_Dp__PS) +/* Data Register */ +#define USBFS_Dp_DR (* (reg8 *) USBFS_Dp__DR) +/* Port Number */ +#define USBFS_Dp_PRT_NUM (* (reg8 *) USBFS_Dp__PRT) +/* Connect to Analog Globals */ +#define USBFS_Dp_AG (* (reg8 *) USBFS_Dp__AG) +/* Analog MUX bux enable */ +#define USBFS_Dp_AMUX (* (reg8 *) USBFS_Dp__AMUX) +/* Bidirectional Enable */ +#define USBFS_Dp_BIE (* (reg8 *) USBFS_Dp__BIE) +/* Bit-mask for Aliased Register Access */ +#define USBFS_Dp_BIT_MASK (* (reg8 *) USBFS_Dp__BIT_MASK) +/* Bypass Enable */ +#define USBFS_Dp_BYP (* (reg8 *) USBFS_Dp__BYP) +/* Port wide control signals */ +#define USBFS_Dp_CTL (* (reg8 *) USBFS_Dp__CTL) +/* Drive Modes */ +#define USBFS_Dp_DM0 (* (reg8 *) USBFS_Dp__DM0) +#define USBFS_Dp_DM1 (* (reg8 *) USBFS_Dp__DM1) +#define USBFS_Dp_DM2 (* (reg8 *) USBFS_Dp__DM2) +/* Input Buffer Disable Override */ +#define USBFS_Dp_INP_DIS (* (reg8 *) USBFS_Dp__INP_DIS) +/* LCD Common or Segment Drive */ +#define USBFS_Dp_LCD_COM_SEG (* (reg8 *) USBFS_Dp__LCD_COM_SEG) +/* Enable Segment LCD */ +#define USBFS_Dp_LCD_EN (* (reg8 *) USBFS_Dp__LCD_EN) +/* Slew Rate Control */ +#define USBFS_Dp_SLW (* (reg8 *) USBFS_Dp__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define USBFS_Dp_PRTDSI__CAPS_SEL (* (reg8 *) USBFS_Dp__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define USBFS_Dp_PRTDSI__DBL_SYNC_IN (* (reg8 *) USBFS_Dp__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define USBFS_Dp_PRTDSI__OE_SEL0 (* (reg8 *) USBFS_Dp__PRTDSI__OE_SEL0) +#define USBFS_Dp_PRTDSI__OE_SEL1 (* (reg8 *) USBFS_Dp__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define USBFS_Dp_PRTDSI__OUT_SEL0 (* (reg8 *) USBFS_Dp__PRTDSI__OUT_SEL0) +#define USBFS_Dp_PRTDSI__OUT_SEL1 (* (reg8 *) USBFS_Dp__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define USBFS_Dp_PRTDSI__SYNC_OUT (* (reg8 *) USBFS_Dp__PRTDSI__SYNC_OUT) + + +#if defined(USBFS_Dp__INTSTAT) /* Interrupt Registers */ + + #define USBFS_Dp_INTSTAT (* (reg8 *) USBFS_Dp__INTSTAT) + #define USBFS_Dp_SNAP (* (reg8 *) USBFS_Dp__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_USBFS_Dp_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h new file mode 100644 index 0000000..b77c3b9 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: USBFS_Dp.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_USBFS_Dp_ALIASES_H) /* Pins USBFS_Dp_ALIASES_H */ +#define CY_PINS_USBFS_Dp_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define USBFS_Dp_0 USBFS_Dp__0__PC + +#endif /* End Pins USBFS_Dp_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.c new file mode 100644 index 0000000..cec388b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.c @@ -0,0 +1,318 @@ +/******************************************************************************* +* File Name: USBFS_audio.c +* Version 2.60 +* +* Description: +* USB AUDIO Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_AUDIO_CLASS) + +#include "USBFS_audio.h" +#include "USBFS_pvt.h" +#if defined(USBFS_ENABLE_MIDI_STREAMING) + #include "USBFS_midi.h" +#endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +#if !defined(USER_SUPPLIED_AUDIO_HANDLER) + + +/*************************************** +* AUDIO Variables +***************************************/ + +#if defined(USBFS_ENABLE_AUDIO_STREAMING) + volatile uint8 USBFS_currentSampleFrequency[USBFS_MAX_EP][USBFS_SAMPLE_FREQ_LEN]; + volatile uint8 USBFS_frequencyChanged; + volatile uint8 USBFS_currentMute; + volatile uint8 USBFS_currentVolume[USBFS_VOLUME_LEN]; + volatile uint8 USBFS_minimumVolume[USBFS_VOLUME_LEN] = {USBFS_VOL_MIN_LSB, + USBFS_VOL_MIN_MSB}; + volatile uint8 USBFS_maximumVolume[USBFS_VOLUME_LEN] = {USBFS_VOL_MAX_LSB, + USBFS_VOL_MAX_MSB}; + volatile uint8 USBFS_resolutionVolume[USBFS_VOLUME_LEN] = {USBFS_VOL_RES_LSB, + USBFS_VOL_RES_MSB}; +#endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + +/******************************************************************************* +* Function Name: USBFS_DispatchAUDIOClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches class requests +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Global variables: +* USBFS_currentSampleFrequency: Contains the current audio Sample +* Frequency. It is set by the Host using SET_CUR request to the endpoint. +* USBFS_frequencyChanged: This variable is used as a flag for the +* user code, to be aware that Host has been sent request for changing +* Sample Frequency. Sample frequency will be sent on the next OUT +* transaction. It is contains endpoint address when set. The following +* code is recommended for detecting new Sample Frequency in main code: +* if((USBFS_frequencyChanged != 0) && +* (USBFS_transferState == USBFS_TRANS_STATE_IDLE)) +* { +* USBFS_frequencyChanged = 0; +* } +* USBFS_transferState variable is checked to be sure that +* transfer completes. +* USBFS_currentMute: Contains mute configuration set by Host. +* USBFS_currentVolume: Contains volume level set by Host. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchAUDIOClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + uint8 epNumber; + epNumber = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { + /* Control Read */ + if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_EP) + { + /* Endpoint */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_SAMPLING_FREQ_CONTROL) + { + /* Endpoint Control Selector is Sampling Frequency */ + USBFS_currentTD.wCount = USBFS_SAMPLE_FREQ_LEN; + USBFS_currentTD.pData = USBFS_currentSampleFrequency[epNumber]; + requestHandled = USBFS_InitControlRead(); + } + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_READ_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_IFC) + { + /* Interface or Entity ID */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_MUTE_CONTROL) + { + /* `#START MUTE_CONTROL_GET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is MUTE */ + USBFS_currentTD.wCount = 1u; + USBFS_currentTD.pData = &USBFS_currentMute; + requestHandled = USBFS_InitControlRead(); + } + else if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* `#START VOLUME_CONTROL_GET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = USBFS_currentVolume; + requestHandled = USBFS_InitControlRead(); + } + else + { + /* `#START OTHER_GET_CUR_REQUESTS` Place other request handler here */ + + /* `#END` */ + } + break; + case USBFS_GET_MIN: /* GET_MIN */ + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = &USBFS_minimumVolume[0]; + requestHandled = USBFS_InitControlRead(); + } + break; + case USBFS_GET_MAX: /* GET_MAX */ + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = &USBFS_maximumVolume[0]; + requestHandled = USBFS_InitControlRead(); + } + break; + case USBFS_GET_RES: /* GET_RES */ + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* Entity ID Control Selector is VOLUME, */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = &USBFS_resolutionVolume[0]; + requestHandled = USBFS_InitControlRead(); + } + break; + /* The contents of the status message is reserved for future use. + * For the time being, a null packet should be returned in the data stage of the + * control transfer, and the received null packet should be ACKed. + */ + case USBFS_GET_STAT: + USBFS_currentTD.wCount = 0u; + requestHandled = USBFS_InitControlWrite(); + + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_WRITE_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else + { /* USBFS_RQST_RCPT_OTHER */ + } + } + else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == \ + USBFS_RQST_DIR_H2D) + { + /* Control Write */ + if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_EP) + { + /* Endpoint */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_SET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_SAMPLING_FREQ_CONTROL) + { + /* Endpoint Control Selector is Sampling Frequency */ + USBFS_currentTD.wCount = USBFS_SAMPLE_FREQ_LEN; + USBFS_currentTD.pData = USBFS_currentSampleFrequency[epNumber]; + requestHandled = USBFS_InitControlWrite(); + USBFS_frequencyChanged = epNumber; + } + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_SAMPLING_FREQ_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else if((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) == \ + USBFS_RQST_RCPT_IFC) + { + /* Interface or Entity ID */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_SET_CUR: + #if defined(USBFS_ENABLE_AUDIO_STREAMING) + if(CY_GET_REG8(USBFS_wValueHi) == USBFS_MUTE_CONTROL) + { + /* `#START MUTE_SET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is MUTE */ + USBFS_currentTD.wCount = 1u; + USBFS_currentTD.pData = &USBFS_currentMute; + requestHandled = USBFS_InitControlWrite(); + } + else if(CY_GET_REG8(USBFS_wValueHi) == USBFS_VOLUME_CONTROL) + { + /* `#START VOLUME_CONTROL_SET_REQUEST` Place multi-channel handler here */ + + /* `#END` */ + + /* Entity ID Control Selector is VOLUME */ + USBFS_currentTD.wCount = USBFS_VOLUME_LEN; + USBFS_currentTD.pData = USBFS_currentVolume; + requestHandled = USBFS_InitControlWrite(); + } + else + { + /* `#START OTHER_SET_CUR_REQUESTS` Place other request handler here */ + + /* `#END` */ + } + #endif /* End USBFS_ENABLE_AUDIO_STREAMING */ + + /* `#START AUDIO_CONTROL_SEL_REQUESTS` Place other request handler here */ + + /* `#END` */ + break; + default: + break; + } + } + else + { /* USBFS_RQST_RCPT_OTHER */ + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +#endif /* USER_SUPPLIED_AUDIO_HANDLER */ + + +/******************************************************************************* +* Additional user functions supporting AUDIO Requests +********************************************************************************/ + +/* `#START AUDIO_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_ENABLE_AUDIO_CLASS*/ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h new file mode 100644 index 0000000..1e6186b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h @@ -0,0 +1,95 @@ +/******************************************************************************* +* File Name: USBFS_audio.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_audio_H) +#define CY_USBFS_USBFS_audio_H + +#include "cytypes.h" + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CUSTOM_CONSTANTS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Constants for USBFS_audio API. +***************************************/ + +/* Audio Class-Specific Request Codes (AUDIO Table A-9) */ +#define USBFS_REQUEST_CODE_UNDEFINED (0x00u) +#define USBFS_SET_CUR (0x01u) +#define USBFS_GET_CUR (0x81u) +#define USBFS_SET_MIN (0x02u) +#define USBFS_GET_MIN (0x82u) +#define USBFS_SET_MAX (0x03u) +#define USBFS_GET_MAX (0x83u) +#define USBFS_SET_RES (0x04u) +#define USBFS_GET_RES (0x84u) +#define USBFS_SET_MEM (0x05u) +#define USBFS_GET_MEM (0x85u) +#define USBFS_GET_STAT (0xFFu) + +/* Endpoint Control Selectors (AUDIO Table A-19) */ +#define USBFS_EP_CONTROL_UNDEFINED (0x00u) +#define USBFS_SAMPLING_FREQ_CONTROL (0x01u) +#define USBFS_PITCH_CONTROL (0x02u) + +/* Feature Unit Control Selectors (AUDIO Table A-11) */ +#define USBFS_FU_CONTROL_UNDEFINED (0x00u) +#define USBFS_MUTE_CONTROL (0x01u) +#define USBFS_VOLUME_CONTROL (0x02u) +#define USBFS_BASS_CONTROL (0x03u) +#define USBFS_MID_CONTROL (0x04u) +#define USBFS_TREBLE_CONTROL (0x05u) +#define USBFS_GRAPHIC_EQUALIZER_CONTROL (0x06u) +#define USBFS_AUTOMATIC_GAIN_CONTROL (0x07u) +#define USBFS_DELAY_CONTROL (0x08u) +#define USBFS_BASS_BOOST_CONTROL (0x09u) +#define USBFS_LOUDNESS_CONTROL (0x0Au) + +#define USBFS_SAMPLE_FREQ_LEN (3u) +#define USBFS_VOLUME_LEN (2u) + +#if !defined(USER_SUPPLIED_DEFAULT_VOLUME_VALUE) + #define USBFS_VOL_MIN_MSB (0x80u) + #define USBFS_VOL_MIN_LSB (0x01u) + #define USBFS_VOL_MAX_MSB (0x7Fu) + #define USBFS_VOL_MAX_LSB (0xFFu) + #define USBFS_VOL_RES_MSB (0x00u) + #define USBFS_VOL_RES_LSB (0x01u) +#endif /* USER_SUPPLIED_DEFAULT_VOLUME_VALUE */ + + +/*************************************** +* External data references +***************************************/ + +extern volatile uint8 USBFS_currentSampleFrequency[USBFS_MAX_EP] + [USBFS_SAMPLE_FREQ_LEN]; +extern volatile uint8 USBFS_frequencyChanged; +extern volatile uint8 USBFS_currentMute; +extern volatile uint8 USBFS_currentVolume[USBFS_VOLUME_LEN]; +extern volatile uint8 USBFS_minimumVolume[USBFS_VOLUME_LEN]; +extern volatile uint8 USBFS_maximumVolume[USBFS_VOLUME_LEN]; +extern volatile uint8 USBFS_resolutionVolume[USBFS_VOLUME_LEN]; + +#endif /* End CY_USBFS_USBFS_audio_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_boot.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_boot.c new file mode 100644 index 0000000..2843057 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_boot.c @@ -0,0 +1,262 @@ +/******************************************************************************* +* File Name: USBFS_boot.c +* Version 2.60 +* +* Description: +* Boot loader API for USBFS Component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(CYDEV_BOOTLOADER_IO_COMP) && ((CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS) || \ + (CYDEV_BOOTLOADER_IO_COMP == CyBtldr_Custom_Interface)) + + +/*************************************** +* Bootloader defines +***************************************/ + +#define USBFS_CyBtLdrStarttimer(X, T) {USBFS_universalTime = T * 10; X = 0u;} +#define USBFS_CyBtLdrChecktimer(X) ((X++ < USBFS_universalTime) ? 1u : 0u) + +#define USBFS_BTLDR_OUT_EP (0x01u) +#define USBFS_BTLDR_IN_EP (0x02u) + + +/*************************************** +* Bootloader Variables +***************************************/ + +static uint16 USBFS_universalTime; +static uint8 USBFS_started = 0u; + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommStart +******************************************************************************** +* +* Summary: +* Starts the component and enables the interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* This function starts the USB with 3V or 5V operation. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_CyBtldrCommStart(void) +{ + CyGlobalIntEnable; /* Enable Global Interrupts */ + + /*Start USBFS Operation/device 0 and with 5V or 3V operation depend on Voltage Configuration in DWR */ + USBFS_Start(0u, USBFS_DWR_VDDD_OPERATION); + + /* USB component started, the correct enumeration will be checked in first Read operation */ + USBFS_started = 1u; + +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommStop. +******************************************************************************** +* +* Summary: +* Disable the component and disable the interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_CyBtldrCommStop(void) +{ + USBFS_Stop(); +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommReset. +******************************************************************************** +* +* Summary: +* Resets the receive and transmit communication Buffers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_CyBtldrCommReset(void) +{ + USBFS_EnableOutEP(USBFS_BTLDR_OUT_EP); /* Enable the OUT endpoint */ +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommWrite. +******************************************************************************** +* +* Summary: +* Allows the caller to write data to the boot loader host. The function will +* handle polling to allow a block of data to be completely sent to the host +* device. +* +* Parameters: +* pData: A pointer to the block of data to send to the device +* size: The number of bytes to write. +* count: Pointer to an unsigned short variable to write the number of +* bytes actually written. +* timeOut: Number of units to wait before returning because of a timeout. +* +* Return: +* Returns the value that best describes the problem. +* +* Reentrant: +* No. +* +*******************************************************************************/ +cystatus USBFS_CyBtldrCommWrite(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + +{ + uint16 time; + cystatus status; + + /* Enable IN transfer */ + USBFS_LoadInEP(USBFS_BTLDR_IN_EP, pData, USBFS_BTLDR_SIZEOF_READ_BUFFER); + + /* Start a timer to wait on. */ + USBFS_CyBtLdrStarttimer(time, timeOut); + + /* Wait for the master to read it. */ + while((USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) && \ + USBFS_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + + if (USBFS_GetEPState(USBFS_BTLDR_IN_EP) == USBFS_IN_BUFFER_FULL) + { + status = CYRET_TIMEOUT; + } + else + { + *count = size; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: USBFS_CyBtldrCommRead. +******************************************************************************** +* +* Summary: +* Allows the caller to read data from the boot loader host. The function will +* handle polling to allow a block of data to be completely received from the +* host device. +* +* Parameters: +* pData: A pointer to the area to store the block of data received +* from the device. +* size: The number of bytes to read. +* count: Pointer to an unsigned short variable to write the number +* of bytes actually read. +* timeOut: Number of units to wait before returning because of a timeOut. +* Timeout is measured in 10s of ms. +* +* Return: +* Returns the value that best describes the problem. +* +* Reentrant: +* No. +* +*******************************************************************************/ +cystatus USBFS_CyBtldrCommRead(uint8 *pData, uint16 size, uint16 *count, uint8 timeOut) CYSMALL + +{ + cystatus status; + uint16 time; + + if(size > USBFS_BTLDR_SIZEOF_WRITE_BUFFER) + { + size = USBFS_BTLDR_SIZEOF_WRITE_BUFFER; + } + /* Start a timer to wait on. */ + USBFS_CyBtLdrStarttimer(time, timeOut); + + /* Wait on enumeration in first time */ + if(USBFS_started) + { + /* Wait for Device to enumerate */ + while(!USBFS_GetConfiguration() && USBFS_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + /* Enable first OUT, if enumeration complete */ + if(USBFS_GetConfiguration()) + { + USBFS_IsConfigurationChanged(); /* Clear configuration changes state status */ + USBFS_CyBtldrCommReset(); + USBFS_started = 0u; + } + } + else /* Check for configuration changes, has been done by Host */ + { + if(USBFS_IsConfigurationChanged() != 0u) /* Host could send double SET_INTERFACE request or RESET */ + { + if(USBFS_GetConfiguration() != 0u) /* Init OUT endpoints when device reconfigured */ + { + USBFS_CyBtldrCommReset(); + } + } + } + /* Wait on next packet */ + while((USBFS_GetEPState(USBFS_BTLDR_OUT_EP) != USBFS_OUT_BUFFER_FULL) && \ + USBFS_CyBtLdrChecktimer(time)) + { + CyDelay(1u); /* 1ms delay */ + } + + /* OUT EP has completed */ + if (USBFS_GetEPState(USBFS_BTLDR_OUT_EP) == USBFS_OUT_BUFFER_FULL) + { + *count = USBFS_ReadOutEP(USBFS_BTLDR_OUT_EP, pData, size); + status = CYRET_SUCCESS; + } + else + { + *count = 0u; + status = CYRET_TIMEOUT; + } + return(status); +} + +#endif /* End CYDEV_BOOTLOADER_IO_COMP == CyBtldr_USBFS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.c new file mode 100644 index 0000000..82951c8 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.c @@ -0,0 +1,706 @@ +/******************************************************************************* +* File Name: USBFS_cdc.c +* Version 2.60 +* +* Description: +* USB HID Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2012-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_CDC_CLASS) + +#include "USBFS_cdc.h" +#include "USBFS_pvt.h" + + +/*************************************** +* CDC Variables +***************************************/ + +volatile uint8 USBFS_lineCoding[USBFS_LINE_CODING_SIZE]; +volatile uint8 USBFS_lineChanged; +volatile uint16 USBFS_lineControlBitmap; +volatile uint8 USBFS_cdc_data_in_ep; +volatile uint8 USBFS_cdc_data_out_ep; + + +/*************************************** +* Static Function Prototypes +***************************************/ +static uint16 USBFS_StrLen(const char8 string[]) ; + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START CDC_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_DispatchCDCClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches CDC class requests. +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Global variables: +* USBFS_lineCoding: Contains the current line coding structure. +* It is set by the Host using SET_LINE_CODING request and returned to the +* user code by the USBFS_GetDTERate(), USBFS_GetCharFormat(), +* USBFS_GetParityType(), USBFS_GetDataBits() APIs. +* USBFS_lineControlBitmap: Contains the current control signal +* bitmap. It is set by the Host using SET_CONTROL_LINE request and returned +* to the user code by the USBFS_GetLineControl() API. +* USBFS_lineChanged: This variable is used as a flag for the +* USBFS_IsLineChanged() API, to be aware that Host has been sent request +* for changing Line Coding or Control Bitmap. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchCDCClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_CDC_GET_LINE_CODING: + USBFS_currentTD.count = USBFS_LINE_CODING_SIZE; + USBFS_currentTD.pData = USBFS_lineCoding; + requestHandled = USBFS_InitControlRead(); + break; + + /* `#START CDC_READ_REQUESTS` Place other request handler here */ + + /* `#END` */ + + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == \ + USBFS_RQST_DIR_H2D) + { /* Control Write */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_CDC_SET_LINE_CODING: + USBFS_currentTD.count = USBFS_LINE_CODING_SIZE; + USBFS_currentTD.pData = USBFS_lineCoding; + USBFS_lineChanged |= USBFS_LINE_CODING_CHANGED; + requestHandled = USBFS_InitControlWrite(); + break; + + case USBFS_CDC_SET_CONTROL_LINE_STATE: + USBFS_lineControlBitmap = CY_GET_REG8(USBFS_wValueLo); + USBFS_lineChanged |= USBFS_LINE_CONTROL_CHANGED; + requestHandled = USBFS_InitNoDataControlTransfer(); + break; + + /* `#START CDC_WRITE_REQUESTS` Place other request handler here */ + + /* `#END` */ + + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +/*************************************** +* Optional CDC APIs +***************************************/ +#if (USBFS_ENABLE_CDC_CLASS_API != 0u) + + + /******************************************************************************* + * Function Name: USBFS_CDC_Init + ******************************************************************************** + * + * Summary: + * This function initialize the CDC interface to be ready for the receive data + * from the PC. + * + * Parameters: + * None. + * + * Return: + * None. + * + * Global variables: + * USBFS_lineChanged: Initialized to zero. + * USBFS_cdc_data_out_ep: Used as an OUT endpoint number. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_CDC_Init(void) + { + USBFS_lineChanged = 0u; + USBFS_EnableOutEP(USBFS_cdc_data_out_ep); + } + + + /******************************************************************************* + * Function Name: USBFS_PutData + ******************************************************************************** + * + * Summary: + * Sends a specified number of bytes from the location specified by a + * pointer to the PC. + * + * Parameters: + * pData: pointer to the buffer containing data to be sent. + * length: Specifies the number of bytes to send from the pData + * buffer. Maximum length will be limited by the maximum packet + * size for the endpoint. + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_PutData(const uint8* pData, uint16 length) + { + /* Limits length to maximum packet size for the EP */ + if(length > USBFS_EP[USBFS_cdc_data_in_ep].bufferSize) + { + /* Caution: Data will be lost if length is greater than Max Packet Length */ + length = USBFS_EP[USBFS_cdc_data_in_ep].bufferSize; + /* Halt CPU in debug mode */ + CYASSERT(0u != 0u); + } + USBFS_LoadInEP(USBFS_cdc_data_in_ep, pData, length); + } + + + /******************************************************************************* + * Function Name: USBFS_StrLen + ******************************************************************************** + * + * Summary: + * Calculates length of a null terminated string. + * + * Parameters: + * string: pointer to the string. + * + * Return: + * Length of the string + * + *******************************************************************************/ + static uint16 USBFS_StrLen(const char8 string[]) + { + uint16 len = 0u; + + while (string[len] != (char8)0) + { + len++; + } + + return (len); + } + + + /******************************************************************************* + * Function Name: USBFS_PutString + ******************************************************************************** + * + * Summary: + * Sends a null terminated string to the PC. + * + * Parameters: + * string: pointer to the string to be sent to the PC + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + * Theory: + * This function will block if there is not enough memory to place the whole + * string, it will block until the entire string has been written to the + * transmit buffer. + * + *******************************************************************************/ + void USBFS_PutString(const char8 string[]) + { + uint16 str_length; + uint16 send_length; + uint16 buf_index = 0u; + + /* Get length of the null terminated string */ + str_length = USBFS_StrLen(string); + do + { + /* Limits length to maximum packet size for the EP */ + send_length = (str_length > USBFS_EP[USBFS_cdc_data_in_ep].bufferSize) ? + USBFS_EP[USBFS_cdc_data_in_ep].bufferSize : str_length; + /* Enable IN transfer */ + USBFS_LoadInEP(USBFS_cdc_data_in_ep, (const uint8 *)&string[buf_index], send_length); + str_length -= send_length; + + /* If more data are present to send */ + if(str_length > 0u) + { + buf_index += send_length; + /* Wait for the Host to read it. */ + while(USBFS_EP[USBFS_cdc_data_in_ep].apiEpState == + USBFS_IN_BUFFER_FULL) + { + ; + } + } + }while(str_length > 0u); + } + + + /******************************************************************************* + * Function Name: USBFS_PutChar + ******************************************************************************** + * + * Summary: + * Writes a single character to the PC. + * + * Parameters: + * txDataByte: Character to be sent to the PC. + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_PutChar(char8 txDataByte) + { + uint8 dataByte; + dataByte = (uint8)txDataByte; + + USBFS_LoadInEP(USBFS_cdc_data_in_ep, &dataByte, 1u); + } + + + /******************************************************************************* + * Function Name: USBFS_PutCRLF + ******************************************************************************** + * + * Summary: + * Sends a carriage return (0x0D) and line feed (0x0A) to the PC + * + * Parameters: + * None. + * + * Return: + * None. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used for sending + * data. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_PutCRLF(void) + { + const uint8 CYCODE txData[] = {0x0Du, 0x0Au}; + + USBFS_LoadInEP(USBFS_cdc_data_in_ep, (const uint8 *)txData, 2u); + } + + + /******************************************************************************* + * Function Name: USBFS_GetCount + ******************************************************************************** + * + * Summary: + * This function returns the number of bytes that were received from the PC. + * + * Parameters: + * None. + * + * Return: + * Returns the number of received bytes. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + *******************************************************************************/ + uint16 USBFS_GetCount(void) + { + uint16 bytesCount = 0u; + + if (USBFS_EP[USBFS_cdc_data_out_ep].apiEpState == USBFS_OUT_BUFFER_FULL) + { + bytesCount = USBFS_GetEPCount(USBFS_cdc_data_out_ep); + } + + return(bytesCount); + } + + + /******************************************************************************* + * Function Name: USBFS_DataIsReady + ******************************************************************************** + * + * Summary: + * Returns a nonzero value if the component received data or received + * zero-length packet. The GetAll() or GetData() API should be called to read + * data from the buffer and re-init OUT endpoint even when zero-length packet + * received. + * + * Parameters: + * None. + * + * Return: + * If the OUT packet received this function returns a nonzero value. + * Otherwise zero is returned. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + *******************************************************************************/ + uint8 USBFS_DataIsReady(void) + { + return(USBFS_EP[USBFS_cdc_data_out_ep].apiEpState); + } + + + /******************************************************************************* + * Function Name: USBFS_CDCIsReady + ******************************************************************************** + * + * Summary: + * Returns a nonzero value if the component is ready to send more data to the + * PC. Otherwise returns zero. Should be called before sending new data to + * ensure the previous data has finished sending.This function returns the + * number of bytes that were received from the PC. + * + * Parameters: + * None. + * + * Return: + * If the buffer can accept new data then this function returns a nonzero value. + * Otherwise zero is returned. + * + * Global variables: + * USBFS_cdc_data_in_ep: CDC IN endpoint number used. + * + *******************************************************************************/ + uint8 USBFS_CDCIsReady(void) + { + return(USBFS_EP[USBFS_cdc_data_in_ep].apiEpState); + } + + + /******************************************************************************* + * Function Name: USBFS_GetData + ******************************************************************************** + * + * Summary: + * Gets a specified number of bytes from the input buffer and places it in a + * data array specified by the passed pointer. + * USBFS_DataIsReady() API should be called before, to be sure + * that data is received from the Host. + * + * Parameters: + * pData: Pointer to the data array where data will be placed. + * Length: Number of bytes to read into the data array from the RX buffer. + * Maximum length is limited by the the number of received bytes. + * + * Return: + * Number of bytes received. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint16 USBFS_GetData(uint8* pData, uint16 length) + { + return(USBFS_ReadOutEP(USBFS_cdc_data_out_ep, pData, length)); + } + + + /******************************************************************************* + * Function Name: USBFS_GetAll + ******************************************************************************** + * + * Summary: + * Gets all bytes of received data from the input buffer and places it into a + * specified data array. USBFS_DataIsReady() API should be called + * before, to be sure that data is received from the Host. + * + * Parameters: + * pData: Pointer to the data array where data will be placed. + * + * Return: + * Number of bytes received. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * USBFS_EP[].bufferSize: EP max packet size is used as a length + * to read all data from the EP buffer. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint16 USBFS_GetAll(uint8* pData) + { + return (USBFS_ReadOutEP(USBFS_cdc_data_out_ep, pData, + USBFS_EP[USBFS_cdc_data_out_ep].bufferSize)); + } + + + /******************************************************************************* + * Function Name: USBFS_GetChar + ******************************************************************************** + * + * Summary: + * Reads one byte of received data from the buffer. + * + * Parameters: + * None. + * + * Return: + * Received one character. + * + * Global variables: + * USBFS_cdc_data_out_ep: CDC OUT endpoint number used. + * + * Reentrant: + * No. + * + *******************************************************************************/ + uint8 USBFS_GetChar(void) + { + uint8 rxData; + + (void) USBFS_ReadOutEP(USBFS_cdc_data_out_ep, &rxData, 1u); + + return(rxData); + } + + /******************************************************************************* + * Function Name: USBFS_IsLineChanged + ******************************************************************************** + * + * Summary: + * This function returns clear on read status of the line. + * + * Parameters: + * None. + * + * Return: + * If SET_LINE_CODING or CDC_SET_CONTROL_LINE_STATE request received then not + * zero value returned. Otherwise zero is returned. + * + * Global variables: + * USBFS_transferState - it is checked to be sure then OUT data + * phase has been complete, and data written to the lineCoding or Control + * Bitmap buffer. + * USBFS_lineChanged: used as a flag to be aware that Host has been + * sent request for changing Line Coding or Control Bitmap. + * + *******************************************************************************/ + uint8 USBFS_IsLineChanged(void) + { + uint8 state = 0u; + + /* transferState is checked to be sure then OUT data phase has been complete */ + if(USBFS_transferState == USBFS_TRANS_STATE_IDLE) + { + if(USBFS_lineChanged != 0u) + { + state = USBFS_lineChanged; + USBFS_lineChanged = 0u; + } + } + + return(state); + } + + + /******************************************************************************* + * Function Name: USBFS_GetDTERate + ******************************************************************************** + * + * Summary: + * Returns the data terminal rate set for this port in bits per second. + * + * Parameters: + * None. + * + * Return: + * Returns a uint32 value of the data rate in bits per second. + * + * Global variables: + * USBFS_lineCoding: First four bytes converted to uint32 + * depend on compiler, and returned as a data rate. + * + *******************************************************************************/ + uint32 USBFS_GetDTERate(void) + { + uint32 rate; + + rate = USBFS_lineCoding[USBFS_LINE_CODING_RATE + 3u]; + rate = (rate << 8u) | USBFS_lineCoding[USBFS_LINE_CODING_RATE + 2u]; + rate = (rate << 8u) | USBFS_lineCoding[USBFS_LINE_CODING_RATE + 1u]; + rate = (rate << 8u) | USBFS_lineCoding[USBFS_LINE_CODING_RATE]; + + return(rate); + } + + + /******************************************************************************* + * Function Name: USBFS_GetCharFormat + ******************************************************************************** + * + * Summary: + * Returns the number of stop bits. + * + * Parameters: + * None. + * + * Return: + * Returns the number of stop bits. + * + * Global variables: + * USBFS_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_GetCharFormat(void) + { + return(USBFS_lineCoding[USBFS_LINE_CODING_STOP_BITS]); + } + + + /******************************************************************************* + * Function Name: USBFS_GetParityType + ******************************************************************************** + * + * Summary: + * Returns the parity type for the CDC port. + * + * Parameters: + * None. + * + * Return: + * Returns the parity type. + * + * Global variables: + * USBFS_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_GetParityType(void) + { + return(USBFS_lineCoding[USBFS_LINE_CODING_PARITY]); + } + + + /******************************************************************************* + * Function Name: USBFS_GetDataBits + ******************************************************************************** + * + * Summary: + * Returns the number of data bits for the CDC port. + * + * Parameters: + * None. + * + * Return: + * Returns the number of data bits. + * The number of data bits can be 5, 6, 7, 8 or 16. + * + * Global variables: + * USBFS_lineCoding: used to get a parameter. + * + *******************************************************************************/ + uint8 USBFS_GetDataBits(void) + { + return(USBFS_lineCoding[USBFS_LINE_CODING_DATA_BITS]); + } + + + /******************************************************************************* + * Function Name: USBFS_GetLineControl + ******************************************************************************** + * + * Summary: + * Returns Line control bitmap. + * + * Parameters: + * None. + * + * Return: + * Returns Line control bitmap. + * + * Global variables: + * USBFS_lineControlBitmap: used to get a parameter. + * + *******************************************************************************/ + uint16 USBFS_GetLineControl(void) + { + return(USBFS_lineControlBitmap); + } + +#endif /* End USBFS_ENABLE_CDC_CLASS_API*/ + + +/******************************************************************************* +* Additional user functions supporting CDC Requests +********************************************************************************/ + +/* `#START CDC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_ENABLE_CDC_CLASS*/ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h new file mode 100644 index 0000000..334bc58 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h @@ -0,0 +1,92 @@ +/******************************************************************************* +* File Name: USBFS_cdc.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. +* Contains CDC class prototypes and constant values. +* +******************************************************************************** +* Copyright 2012-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_cdc_H) +#define CY_USBFS_USBFS_cdc_H + +#include "cytypes.h" + + +/*************************************** +* Prototypes of the USBFS_cdc API. +***************************************/ + +#if (USBFS_ENABLE_CDC_CLASS_API != 0u) + void USBFS_CDC_Init(void) ; + void USBFS_PutData(const uint8* pData, uint16 length) ; + void USBFS_PutString(const char8 string[]) ; + void USBFS_PutChar(char8 txDataByte) ; + void USBFS_PutCRLF(void) ; + uint16 USBFS_GetCount(void) ; + uint8 USBFS_CDCIsReady(void) ; + uint8 USBFS_DataIsReady(void) ; + uint16 USBFS_GetData(uint8* pData, uint16 length) ; + uint16 USBFS_GetAll(uint8* pData) ; + uint8 USBFS_GetChar(void) ; + uint8 USBFS_IsLineChanged(void) ; + uint32 USBFS_GetDTERate(void) ; + uint8 USBFS_GetCharFormat(void) ; + uint8 USBFS_GetParityType(void) ; + uint8 USBFS_GetDataBits(void) ; + uint16 USBFS_GetLineControl(void) ; +#endif /* End USBFS_ENABLE_CDC_CLASS_API*/ + + +/*************************************** +* Constants for USBFS_cdc API. +***************************************/ + +/* CDC Class-Specific Request Codes (CDC ver 1.2 Table 19) */ +#define USBFS_CDC_SET_LINE_CODING (0x20u) +#define USBFS_CDC_GET_LINE_CODING (0x21u) +#define USBFS_CDC_SET_CONTROL_LINE_STATE (0x22u) + +#define USBFS_LINE_CODING_CHANGED (0x01u) +#define USBFS_LINE_CONTROL_CHANGED (0x02u) + +#define USBFS_1_STOPBIT (0x00u) +#define USBFS_1_5_STOPBITS (0x01u) +#define USBFS_2_STOPBITS (0x02u) + +#define USBFS_PARITY_NONE (0x00u) +#define USBFS_PARITY_ODD (0x01u) +#define USBFS_PARITY_EVEN (0x02u) +#define USBFS_PARITY_MARK (0x03u) +#define USBFS_PARITY_SPACE (0x04u) + +#define USBFS_LINE_CODING_SIZE (0x07u) +#define USBFS_LINE_CODING_RATE (0x00u) +#define USBFS_LINE_CODING_STOP_BITS (0x04u) +#define USBFS_LINE_CODING_PARITY (0x05u) +#define USBFS_LINE_CODING_DATA_BITS (0x06u) + +#define USBFS_LINE_CONTROL_DTR (0x01u) +#define USBFS_LINE_CONTROL_RTS (0x02u) + + +/*************************************** +* External data references +***************************************/ + +extern volatile uint8 USBFS_lineCoding[USBFS_LINE_CODING_SIZE]; +extern volatile uint8 USBFS_lineChanged; +extern volatile uint16 USBFS_lineControlBitmap; +extern volatile uint8 USBFS_cdc_data_in_ep; +extern volatile uint8 USBFS_cdc_data_out_ep; + +#endif /* End CY_USBFS_USBFS_cdc_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.inf b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.inf new file mode 100644 index 0000000..c3477c2 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.inf @@ -0,0 +1,122 @@ +;****************************************************************************** +; File Name: USBFS_cdc.inf +; Version 2.60 +; +; Description: +; Windows USB CDC setup file for USBUART Device. +; +;****************************************************************************** +; Copyright 2007-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;****************************************************************************** + +[Version] +Signature="$Windows NT$" +Class=Ports +ClassGuid={4D36E978-E325-11CE-BFC1-08002BE10318} +Provider=%PROVIDER% +LayoutFile=layout.inf +DriverVer=03/05/2007,2.0.0000.0 + +[Manufacturer] +%MFGNAME%=DeviceList, NTx86, NTia64, NTamd64 + +[DestinationDirs] +DefaultDestDir=12 + +[SourceDisksFiles] + +[SourceDisksNames] + +[DeviceList.NTx86] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + +[DeviceList.NTia64] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + +[DeviceList.NTamd64] +%DESCRIPTION%=DriverInstall, USB\VID_04B4&PID_F232 + + +;------------------------------------------------------------------------------ +; 32 bit section for Windows 2000/2003/XP/Vista +;------------------------------------------------------------------------------ + +[DriverInstall.NTx86] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTx86.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTx86.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTx86.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; 64 bit section for Intel Itanium based systems +;------------------------------------------------------------------------------ + +[DriverInstall.NTia64] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTia64.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTia64.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTia64.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; 64 bit section for AMD64 and Intel EM64T based systems +;------------------------------------------------------------------------------ + +[DriverInstall.NTamd64] +include=mdmcpq.inf +CopyFiles=DriverCopyFiles +AddReg=DriverInstall.NTamd64.AddReg + +[DriverCopyFiles] +usbser.sys,,,0x20 + +[DriverInstall.NTamd64.AddReg] +HKR,,DevLoader,,*ntkern +HKR,,NTMPDriver,,usbser.sys +HKR,,EnumPropPages32,,"MsPorts.dll,SerialPortPropPageProvider" + +[DriverInstall.NTamd64.Services] +AddService=usbser, 0x00000002, DriverService + +;------------------------------------------------------------------------------ +; +;------------------------------------------------------------------------------ + +[DriverService] +DisplayName=%SERVICE% +ServiceType=1 +StartType=3 +ErrorControl=1 +ServiceBinary=%12%\usbser.sys + +;------------------------------------------------------------------------------ +; String Definitions +;------------------------------------------------------------------------------ + +[Strings] +PROVIDER="Cypress" +MFGNAME="Cypress Semiconductor Corporation" +DESCRIPTION="Cypress USB UART" +SERVICE="USB UART" diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cls.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cls.c new file mode 100644 index 0000000..7bbd8d1 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cls.c @@ -0,0 +1,107 @@ +/******************************************************************************* +* File Name: USBFS_cls.c +* Version 2.60 +* +* Description: +* USB Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if(USBFS_EXTERN_CLS == USBFS_FALSE) + +#include "USBFS_pvt.h" + + +/*************************************** +* User Implemented Class Driver Declarations. +***************************************/ +/* `#START USER_DEFINED_CLASS_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_DispatchClassRqst +******************************************************************************** +* Summary: +* This routine dispatches class specific requests depend on interface class. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + uint8 interfaceNumber = 0u; + + switch(CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + { + case USBFS_RQST_RCPT_IFC: /* Class-specific request directed to an interface */ + interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); /* wIndexLo contain Interface number */ + break; + case USBFS_RQST_RCPT_EP: /* Class-specific request directed to the endpoint */ + /* Find related interface to the endpoint, wIndexLo contain EP number */ + interfaceNumber = + USBFS_EP[CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].interface; + break; + default: /* RequestHandled is initialized as FALSE by default */ + break; + } + /* Handle Class request depend on interface type */ + switch(USBFS_interfaceClass[interfaceNumber]) + { + case USBFS_CLASS_HID: + #if defined(USBFS_ENABLE_HID_CLASS) + requestHandled = USBFS_DispatchHIDClassRqst(); + #endif /* USBFS_ENABLE_HID_CLASS */ + break; + case USBFS_CLASS_AUDIO: + #if defined(USBFS_ENABLE_AUDIO_CLASS) + requestHandled = USBFS_DispatchAUDIOClassRqst(); + #endif /* USBFS_ENABLE_HID_CLASS */ + break; + case USBFS_CLASS_CDC: + #if defined(USBFS_ENABLE_CDC_CLASS) + requestHandled = USBFS_DispatchCDCClassRqst(); + #endif /* USBFS_ENABLE_CDC_CLASS */ + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + + /* `#START USER_DEFINED_CLASS_CODE` Place your Class request here */ + + /* `#END` */ + + return(requestHandled); +} + + +/******************************************************************************* +* Additional user functions supporting Class Specific Requests +********************************************************************************/ + +/* `#START CLASS_SPECIFIC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* USBFS_EXTERN_CLS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_descr.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_descr.c new file mode 100644 index 0000000..da14446 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_descr.c @@ -0,0 +1,323 @@ +/******************************************************************************* +* File Name: USBFS_descr.c +* Version 2.60 +* +* Description: +* USB descriptors and storage. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" + + +/***************************************************************************** +* User supplied descriptors. If you want to specify your own descriptors, +* remove the comments around the define USER_SUPPLIED_DESCRIPTORS below and +* add your descriptors. +*****************************************************************************/ +/* `#START USER_DESCRIPTORS_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* USB Customizer Generated Descriptors +***************************************/ + +#if !defined(USER_SUPPLIED_DESCRIPTORS) +/********************************************************************* +* Device Descriptors +*********************************************************************/ +const uint8 CYCODE USBFS_DEVICE0_DESCR[18u] = { +/* Descriptor Length */ 0x12u, +/* DescriptorType: DEVICE */ 0x01u, +/* bcdUSB (ver 2.0) */ 0x00u, 0x02u, +/* bDeviceClass */ 0x00u, +/* bDeviceSubClass */ 0x00u, +/* bDeviceProtocol */ 0x00u, +/* bMaxPacketSize0 */ 0x08u, +/* idVendor */ 0xB4u, 0x04u, +/* idProduct */ 0x1Du, 0xB7u, +/* bcdDevice */ 0x01u, 0x30u, +/* iManufacturer */ 0x01u, +/* iProduct */ 0x02u, +/* iSerialNumber */ 0x80u, +/* bNumConfigurations */ 0x01u +}; +/********************************************************************* +* Config Descriptor +*********************************************************************/ +const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_DESCR[41u] = { +/* Config Descriptor Length */ 0x09u, +/* DescriptorType: CONFIG */ 0x02u, +/* wTotalLength */ 0x29u, 0x00u, +/* bNumInterfaces */ 0x01u, +/* bConfigurationValue */ 0x01u, +/* iConfiguration */ 0x00u, +/* bmAttributes */ 0x80u, +/* bMaxPower */ 0x00u, +/********************************************************************* +* Interface Descriptor +*********************************************************************/ +/* Interface Descriptor Length */ 0x09u, +/* DescriptorType: INTERFACE */ 0x04u, +/* bInterfaceNumber */ 0x00u, +/* bAlternateSetting */ 0x00u, +/* bNumEndpoints */ 0x02u, +/* bInterfaceClass */ 0x03u, +/* bInterfaceSubClass */ 0x00u, +/* bInterfaceProtocol */ 0x00u, +/* iInterface */ 0x02u, +/********************************************************************* +* HID Class Descriptor +*********************************************************************/ +/* HID Class Descriptor Length */ 0x09u, +/* DescriptorType: HID_CLASS */ 0x21u, +/* bcdHID */ 0x11u, 0x01u, +/* bCountryCode */ 0x00u, +/* bNumDescriptors */ 0x01u, +/* bDescriptorType */ 0x22u, +/* wDescriptorLength (LSB) */ USBFS_HID_RPT_1_SIZE_LSB, +/* wDescriptorLength (MSB) */ USBFS_HID_RPT_1_SIZE_MSB, +/********************************************************************* +* Endpoint Descriptor +*********************************************************************/ +/* Endpoint Descriptor Length */ 0x07u, +/* DescriptorType: ENDPOINT */ 0x05u, +/* bEndpointAddress */ 0x01u, +/* bmAttributes */ 0x03u, +/* wMaxPacketSize */ 0x40u, 0x00u, +/* bInterval */ 0x01u, +/********************************************************************* +* Endpoint Descriptor +*********************************************************************/ +/* Endpoint Descriptor Length */ 0x07u, +/* DescriptorType: ENDPOINT */ 0x05u, +/* bEndpointAddress */ 0x82u, +/* bmAttributes */ 0x03u, +/* wMaxPacketSize */ 0x40u, 0x00u, +/* bInterval */ 0x01u +}; + +/********************************************************************* +* String Descriptor Table +*********************************************************************/ +const uint8 CYCODE USBFS_STRING_DESCRIPTORS[83u] = { +/********************************************************************* +* Language ID Descriptor +*********************************************************************/ +/* Descriptor Length */ 0x04u, +/* DescriptorType: STRING */ 0x03u, +/* Language Id */ 0x09u, 0x04u, +/********************************************************************* +* String Descriptor: "Cypress Semiconductor" +*********************************************************************/ +/* Descriptor Length */ 0x2Cu, +/* DescriptorType: STRING */ 0x03u, + (uint8)'C', 0u,(uint8)'y', 0u,(uint8)'p', 0u,(uint8)'r', 0u,(uint8)'e', 0u, + (uint8)'s', 0u,(uint8)'s', 0u,(uint8)' ', 0u,(uint8)'S', 0u,(uint8)'e', 0u, + (uint8)'m', 0u,(uint8)'i', 0u,(uint8)'c', 0u,(uint8)'o', 0u,(uint8)'n', 0u, + (uint8)'d', 0u,(uint8)'u', 0u,(uint8)'c', 0u,(uint8)'t', 0u,(uint8)'o', 0u, + (uint8)'r', 0u, +/********************************************************************* +* String Descriptor: "PSoC3 Bootloader" +*********************************************************************/ +/* Descriptor Length */ 0x22u, +/* DescriptorType: STRING */ 0x03u, + (uint8)'P', 0u,(uint8)'S', 0u,(uint8)'o', 0u,(uint8)'C', 0u,(uint8)'3', 0u, + (uint8)' ', 0u,(uint8)'B', 0u,(uint8)'o', 0u,(uint8)'o', 0u,(uint8)'t', 0u, + (uint8)'l', 0u,(uint8)'o', 0u,(uint8)'a', 0u,(uint8)'d', 0u,(uint8)'e', 0u, + (uint8)'r', 0u, +/*********************************************************************/ +/* Marks the end of the list. */ 0x00u}; +/*********************************************************************/ + +/********************************************************************* +* Serial Number String Descriptor +*********************************************************************/ +const uint8 CYCODE USBFS_SN_STRING_DESCRIPTOR[10] = { +/* Descriptor Length */ 0x0Au, +/* DescriptorType: STRING */ 0x03u, +(uint8)'0', 0u,(uint8)'0', 0u,(uint8)'0', 0u,(uint8)'1', 0u +}; + +/********************************************************************* +* HID Report Descriptor: Generic HID +*********************************************************************/ +const uint8 CYCODE USBFS_HIDREPORT_DESCRIPTOR1[40u] = { +/* Descriptor Size (Not part of descriptor)*/ USBFS_HID_RPT_1_SIZE_LSB, +USBFS_HID_RPT_1_SIZE_MSB, +/* USAGE_PAGE */ 0x05u, 0x01u, +/* USAGE */ 0x09u, 0x00u, +/* COLLECTION */ 0xA1u, 0x00u, +/* USAGE */ 0x09u, 0x00u, +/* COLLECTION */ 0xA1u, 0x00u, +/* USAGE */ 0x09u, 0x00u, +/* LOGICAL_MINIMUM */ 0x15u, 0x00u, +/* LOGICAL_MAXIMUM */ 0x25u, 0xFFu, +/* REPORT_SIZE */ 0x75u, 0x08u, +/* REPORT_COUNT */ 0x95u, 0x40u, +/* OUTPUT */ 0x91u, 0x02u, +/* USAGE */ 0x09u, 0x00u, +/* LOGICAL_MINIMUM */ 0x15u, 0x00u, +/* LOGICAL_MAXIMUM */ 0x25u, 0xFFu, +/* REPORT_SIZE */ 0x75u, 0x08u, +/* REPORT_COUNT */ 0x95u, 0x40u, +/* INPUT */ 0x81u, 0x02u, +/* END_COLLECTION */ 0xC0u, +/* END_COLLECTION */ 0xC0u, +/*********************************************************************/ +/* End of the HID Report Descriptor */ 0x00u, 0x00u}; +/*********************************************************************/ + +#if !defined(USER_DEFINE_USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_HID_RPT_STORAGE) +/********************************************************************* +* HID Input Report Storage +*********************************************************************/ +T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB; +uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE]; + +/********************************************************************* +* HID Input Report TD Table +*********************************************************************/ +const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE[1u] = { + {USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE, + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF[0u], + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB}, +}; +/********************************************************************* +* HID Output Report Storage +*********************************************************************/ +T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB; +uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE]; + +/********************************************************************* +* HID Output Report TD Table +*********************************************************************/ +const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE[1u] = { + {USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE, + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF[0u], + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB}, +}; +/********************************************************************* +* HID Report Look Up Table This table has four entries: +* IN Report Table +* OUT Report Table +* Feature Report Table +* HID Report Descriptor +* HID Class Descriptor +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE[5u] = { + {0x00u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE}, + {0x00u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE}, + {0x00u, NULL}, + {0x01u, (const void *)&USBFS_HIDREPORT_DESCRIPTOR1[0]}, + {0x01u, (const void *)&USBFS_DEVICE0_CONFIGURATION0_DESCR[18]} +}; +#endif /* USER_DEFINE_USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_HID_RPT_STORAGE */ + +/********************************************************************* +* Interface Dispatch Table -- Points to the Class Dispatch Tables +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE[1u] = { + {USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_COUNT, + &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE} +}; +/********************************************************************* +* Endpoint Setting Table -- This table contain the endpoint setting +* for each endpoint in the configuration. It +* contains the necessary information to +* configure the endpoint hardware for each +* interface and alternate setting. +*********************************************************************/ +const T_USBFS_EP_SETTINGS_BLOCK CYCODE USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE[2u] = { +/* IFC ALT EPAddr bmAttr MaxPktSize Class ********************/ +{0x00u, 0x00u, 0x01u, 0x03u, 0x0040u, 0x03u}, +{0x00u, 0x00u, 0x82u, 0x03u, 0x0040u, 0x03u} +}; +const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS[1u] = { +0x03u +}; +/********************************************************************* +* Config Dispatch Table -- Points to the Config Descriptor and each of +* and endpoint setup table and to each +* interface table if it specifies a USB Class +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_TABLE[4u] = { + {0x01u, &USBFS_DEVICE0_CONFIGURATION0_DESCR}, + {0x02u, &USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE}, + {0x01u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE}, + {0x00u, &USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS} +}; +/********************************************************************* +* Device Dispatch Table -- Points to the Device Descriptor and each of +* and Configuration Tables for this Device +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_DEVICE0_TABLE[2u] = { + {0x01u, &USBFS_DEVICE0_DESCR}, + {0x01u, &USBFS_DEVICE0_CONFIGURATION0_TABLE} +}; +/********************************************************************* +* Device Table -- Indexed by the device number. +*********************************************************************/ +const T_USBFS_LUT CYCODE USBFS_TABLE[1u] = { + {0x01u, &USBFS_DEVICE0_TABLE} +}; + +#endif /* USER_SUPPLIED_DESCRIPTORS */ + +#if defined(USBFS_ENABLE_MSOS_STRING) + + /****************************************************************************** + * USB Microsoft OS String Descriptor + * "MSFT" identifies a Microsoft host + * "100" specifies version 1.00 + * USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR becomes the bRequest value + * in a host vendor device/class request + ******************************************************************************/ + + const uint8 CYCODE USBFS_MSOS_DESCRIPTOR[USBFS_MSOS_DESCRIPTOR_LENGTH] = { + /* Descriptor Length */ 0x12u, + /* DescriptorType: STRING */ 0x03u, + /* qwSignature - "MSFT100" */ (uint8)'M', 0u, (uint8)'S', 0u, (uint8)'F', 0u, (uint8)'T', 0u, + (uint8)'1', 0u, (uint8)'0', 0u, (uint8)'0', 0u, + /* bMS_VendorCode: */ USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR, + /* bPad */ 0x00u + }; + + /* Extended Configuration Descriptor */ + + const uint8 CYCODE USBFS_MSOS_CONFIGURATION_DESCR[USBFS_MSOS_CONF_DESCR_LENGTH] = { + /* Length of the descriptor 4 bytes */ 0x28u, 0x00u, 0x00u, 0x00u, + /* Version of the descriptor 2 bytes */ 0x00u, 0x01u, + /* wIndex - Fixed:INDEX_CONFIG_DESCRIPTOR */ 0x04u, 0x00u, + /* bCount - Count of device functions. */ 0x01u, + /* Reserved : 7 bytes */ 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + /* bFirstInterfaceNumber */ 0x00u, + /* Reserved */ 0x01u, + /* compatibleID - "CYUSB\0\0" */ (uint8)'C', (uint8)'Y', (uint8)'U', (uint8)'S', (uint8)'B', + 0x00u, 0x00u, 0x00u, + /* subcompatibleID - "00001\0\0" */ (uint8)'0', (uint8)'0', (uint8)'0', (uint8)'0', (uint8)'1', + 0x00u, 0x00u, 0x00u, + /* Reserved : 6 bytes */ 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u + }; + +#endif /* USBFS_ENABLE_MSOS_STRING */ + +/* DIE ID string descriptor for 8 bytes ID */ +#if defined(USBFS_ENABLE_IDSN_STRING) + uint8 USBFS_idSerialNumberStringDescriptor[USBFS_IDSN_DESCR_LENGTH]; +#endif /* USBFS_ENABLE_IDSN_STRING */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_drv.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_drv.c new file mode 100644 index 0000000..e78a41b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_drv.c @@ -0,0 +1,781 @@ +/******************************************************************************* +* File Name: USBFS_drv.c +* Version 2.60 +* +* Description: +* Endpoint 0 Driver for the USBFS Component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" + + +/*************************************** +* Global data allocation +***************************************/ + +volatile T_USBFS_EP_CTL_BLOCK USBFS_EP[USBFS_MAX_EP]; +volatile uint8 USBFS_configuration; +volatile uint8 USBFS_interfaceNumber; +volatile uint8 USBFS_configurationChanged; +volatile uint8 USBFS_deviceAddress; +volatile uint8 USBFS_deviceStatus; +volatile uint8 USBFS_interfaceSetting[USBFS_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_interfaceSetting_last[USBFS_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_interfaceStatus[USBFS_MAX_INTERFACES_NUMBER]; +volatile uint8 USBFS_device; +const uint8 CYCODE *USBFS_interfaceClass; + + +/*************************************** +* Local data allocation +***************************************/ + +volatile uint8 USBFS_ep0Toggle; +volatile uint8 USBFS_lastPacketSize; +volatile uint8 USBFS_transferState; +volatile T_USBFS_TD USBFS_currentTD; +volatile uint8 USBFS_ep0Mode; +volatile uint8 USBFS_ep0Count; +volatile uint16 USBFS_transferByteCount; + + +/******************************************************************************* +* Function Name: USBFS_ep_0_Interrupt +******************************************************************************** +* +* Summary: +* This Interrupt Service Routine handles Endpoint 0 (Control Pipe) traffic. +* It dispatches setup requests and handles the data and status stages. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_EP_0_ISR) +{ + uint8 bRegTemp; + uint8 modifyReg; + + + bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); + if ((bRegTemp & USBFS_MODE_ACKD) != 0u) + { + modifyReg = 1u; + if ((bRegTemp & USBFS_MODE_SETUP_RCVD) != 0u) + { + if((bRegTemp & USBFS_MODE_MASK) != USBFS_MODE_NAK_IN_OUT) + { + modifyReg = 0u; /* When mode not NAK_IN_OUT => invalid setup */ + } + else + { + USBFS_HandleSetup(); + if((USBFS_ep0Mode & USBFS_MODE_SETUP_RCVD) != 0u) + { + modifyReg = 0u; /* if SETUP bit set -> exit without modifying the mode */ + } + + } + } + else if ((bRegTemp & USBFS_MODE_IN_RCVD) != 0u) + { + USBFS_HandleIN(); + } + else if ((bRegTemp & USBFS_MODE_OUT_RCVD) != 0u) + { + USBFS_HandleOUT(); + } + else + { + modifyReg = 0u; + } + if(modifyReg != 0u) + { + bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + if((bRegTemp & USBFS_MODE_SETUP_RCVD) == 0u) /* Check if SETUP bit is not set, otherwise exit */ + { + /* Update the count register */ + bRegTemp = USBFS_ep0Toggle | USBFS_ep0Count; + CY_SET_REG8(USBFS_EP0_CNT_PTR, bRegTemp); + if(bRegTemp == CY_GET_REG8(USBFS_EP0_CNT_PTR)) /* continue if writing was successful */ + { + do + { + modifyReg = USBFS_ep0Mode; /* Init temporary variable */ + /* Unlock registers */ + bRegTemp = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_SETUP_RCVD; + if(bRegTemp == 0u) /* Check if SETUP bit is not set */ + { + /* Set the Mode Register */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_ep0Mode); + /* Writing check */ + modifyReg = CY_GET_REG8(USBFS_EP0_CR_PTR) & USBFS_MODE_MASK; + } + }while(modifyReg != USBFS_ep0Mode); /* Repeat if writing was not successful */ + } + } + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_HandleSetup +******************************************************************************** +* +* Summary: +* This Routine dispatches requests for the four USB request types +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_HandleSetup(void) +{ + uint8 requestHandled; + + requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* unlock registers */ + CY_SET_REG8(USBFS_EP0_CR_PTR, requestHandled); /* clear setup bit */ + requestHandled = CY_GET_REG8(USBFS_EP0_CR_PTR); /* reread register */ + if((requestHandled & USBFS_MODE_SETUP_RCVD) != 0u) + { + USBFS_ep0Mode = requestHandled; /* if SETUP bit set -> exit without modifying the mode */ + } + else + { + /* In case the previous transfer did not complete, close it out */ + USBFS_UpdateStatusBlock(USBFS_XFER_PREMATURE); + + switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_TYPE_MASK) + { + case USBFS_RQST_TYPE_STD: + requestHandled = USBFS_HandleStandardRqst(); + break; + case USBFS_RQST_TYPE_CLS: + requestHandled = USBFS_DispatchClassRqst(); + break; + case USBFS_RQST_TYPE_VND: + requestHandled = USBFS_HandleVendorRqst(); + break; + default: + requestHandled = USBFS_FALSE; + break; + } + if (requestHandled == USBFS_FALSE) + { + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_HandleIN +******************************************************************************** +* +* Summary: +* This routine handles EP0 IN transfers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_HandleIN(void) +{ + switch (USBFS_transferState) + { + case USBFS_TRANS_STATE_IDLE: + break; + case USBFS_TRANS_STATE_CONTROL_READ: + USBFS_ControlReadDataStage(); + break; + case USBFS_TRANS_STATE_CONTROL_WRITE: + USBFS_ControlWriteStatusStage(); + break; + case USBFS_TRANS_STATE_NO_DATA_CONTROL: + USBFS_NoDataControlStatusStage(); + break; + default: /* there are no more states */ + break; + } +} + + +/******************************************************************************* +* Function Name: USBFS_HandleOUT +******************************************************************************** +* +* Summary: +* This routine handles EP0 OUT transfers. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_HandleOUT(void) +{ + switch (USBFS_transferState) + { + case USBFS_TRANS_STATE_IDLE: + break; + case USBFS_TRANS_STATE_CONTROL_READ: + USBFS_ControlReadStatusStage(); + break; + case USBFS_TRANS_STATE_CONTROL_WRITE: + USBFS_ControlWriteDataStage(); + break; + case USBFS_TRANS_STATE_NO_DATA_CONTROL: + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_ERROR); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; + break; + default: /* There are no more states */ + break; + } +} + + +/******************************************************************************* +* Function Name: USBFS_LoadEP0 +******************************************************************************** +* +* Summary: +* This routine loads the EP0 data registers for OUT transfers. It uses the +* currentTD (previously initialized by the _InitControlWrite function and +* updated for each OUT transfer, and the bLastPacketSize) to determine how +* many uint8s to transfer on the current OUT. +* +* If the number of uint8s remaining is zero and the last transfer was full, +* we need to send a zero length packet. Otherwise we send the minimum +* of the control endpoint size (8) or remaining number of uint8s for the +* transaction. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferByteCount - Update the transfer byte count from the +* last transaction. +* USBFS_ep0Count - counts the data loaded to the SIE memory in +* current packet. +* USBFS_lastPacketSize - remembers the USBFS_ep0Count value for the +* next packet. +* USBFS_transferByteCount - sum of the previous bytes transferred +* on previous packets(sum of USBFS_lastPacketSize) +* USBFS_ep0Toggle - inverted +* USBFS_ep0Mode - prepare for mode register content. +* USBFS_transferState - set to TRANS_STATE_CONTROL_READ +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_LoadEP0(void) +{ + uint8 ep0Count = 0u; + + /* Update the transfer byte count from the last transaction */ + USBFS_transferByteCount += USBFS_lastPacketSize; + /* Now load the next transaction */ + while ((USBFS_currentTD.count > 0u) && (ep0Count < 8u)) + { + CY_SET_REG8((reg8 *)(USBFS_EP0_DR0_IND + ep0Count), *USBFS_currentTD.pData); + USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + ep0Count++; + USBFS_currentTD.count--; + } + /* Support zero-length packet*/ + if( (USBFS_lastPacketSize == 8u) || (ep0Count > 0u) ) + { + /* Update the data toggle */ + USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + /* Set the Mode Register */ + USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + /* Update the state (or stay the same) */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + } + else + { + /* Expect Status Stage Out */ + USBFS_ep0Mode = USBFS_MODE_STATUS_OUT_ONLY; + /* Update the state (or stay the same) */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + } + + /* Save the packet size for next time */ + USBFS_lastPacketSize = ep0Count; + USBFS_ep0Count = ep0Count; +} + + +/******************************************************************************* +* Function Name: USBFS_InitControlRead +******************************************************************************** +* +* Summary: +* Initialize a control read transaction, usable to send data to the host. +* The following global variables should be initialized before this function +* called. To send zero length packet use InitZeroLengthControlTransfer +* function. +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_currentTD.count - counts of data to be sent. +* USBFS_currentTD.pData - data pointer. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitControlRead(void) +{ + uint16 xferCount; + if(USBFS_currentTD.count == 0u) + { + (void) USBFS_InitZeroLengthControlTransfer(); + } + else + { + /* Set up the state machine */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + /* Set the toggle, it gets updated in LoadEP */ + USBFS_ep0Toggle = 0u; + /* Initialize the Status Block */ + USBFS_InitializeStatusBlock(); + xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + + if (USBFS_currentTD.count > xferCount) + { + USBFS_currentTD.count = xferCount; + } + USBFS_LoadEP0(); + } + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_InitZeroLengthControlTransfer +******************************************************************************** +* +* Summary: +* Initialize a zero length data IN transfer. +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_ep0Mode - prepare for mode register content. +* USBFS_transferState - set to TRANS_STATE_CONTROL_READ +* USBFS_ep0Count - cleared, means the zero-length packet. +* USBFS_lastPacketSize - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitZeroLengthControlTransfer(void) + +{ + /* Update the state */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_READ; + /* Set the data toggle */ + USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + /* Set the Mode Register */ + USBFS_ep0Mode = USBFS_MODE_ACK_IN_STATUS_OUT; + /* Save the packet size for next time */ + USBFS_lastPacketSize = 0u; + USBFS_ep0Count = 0u; + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_ControlReadDataStage +******************************************************************************** +* +* Summary: +* Handle the Data Stage of a control read transfer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlReadDataStage(void) + +{ + USBFS_LoadEP0(); +} + + +/******************************************************************************* +* Function Name: USBFS_ControlReadStatusStage +******************************************************************************** +* +* Summary: +* Handle the Status Stage of a control read transfer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_USBFS_transferByteCount - updated with last packet size. +* USBFS_transferState - set to TRANS_STATE_IDLE. +* USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlReadStatusStage(void) +{ + /* Update the transfer byte count */ + USBFS_transferByteCount += USBFS_lastPacketSize; + /* Go Idle */ + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_InitControlWrite +******************************************************************************** +* +* Summary: +* Initialize a control write transaction +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_USBFS_transferState - set to TRANS_STATE_CONTROL_WRITE +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_ep0Mode - set to MODE_ACK_OUT_STATUS_IN +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitControlWrite(void) +{ + uint16 xferCount; + + /* Set up the state machine */ + USBFS_transferState = USBFS_TRANS_STATE_CONTROL_WRITE; + /* This might not be necessary */ + USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + /* Initialize the Status Block */ + USBFS_InitializeStatusBlock(); + + xferCount = (((uint16)CY_GET_REG8(USBFS_lengthHi) << 8u) | (CY_GET_REG8(USBFS_lengthLo))); + + if (USBFS_currentTD.count > xferCount) + { + USBFS_currentTD.count = xferCount; + } + + /* Expect Data or Status Stage */ + USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_ControlWriteDataStage +******************************************************************************** +* +* Summary: +* Handle the Data Stage of a control write transfer +* 1. Get the data (We assume the destination was validated previously) +* 2. Update the count and data toggle +* 3. Update the mode register for the next transaction +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferByteCount - Update the transfer byte count from the +* last transaction. +* USBFS_ep0Count - counts the data loaded from the SIE memory +* in current packet. +* USBFS_transferByteCount - sum of the previous bytes transferred +* on previous packets(sum of USBFS_lastPacketSize) +* USBFS_ep0Toggle - inverted +* USBFS_ep0Mode - set to MODE_ACK_OUT_STATUS_IN. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlWriteDataStage(void) +{ + uint8 ep0Count; + uint8 regIndex = 0u; + + ep0Count = (CY_GET_REG8(USBFS_EP0_CNT_PTR) & USBFS_EPX_CNT0_MASK) - + USBFS_EPX_CNTX_CRC_COUNT; + + USBFS_transferByteCount += ep0Count; + + while ((USBFS_currentTD.count > 0u) && (ep0Count > 0u)) + { + *USBFS_currentTD.pData = CY_GET_REG8((reg8 *)(USBFS_EP0_DR0_IND + regIndex)); + USBFS_currentTD.pData = &USBFS_currentTD.pData[1u]; + regIndex++; + ep0Count--; + USBFS_currentTD.count--; + } + USBFS_ep0Count = ep0Count; + /* Update the data toggle */ + USBFS_ep0Toggle ^= USBFS_EP0_CNT_DATA_TOGGLE; + /* Expect Data or Status Stage */ + USBFS_ep0Mode = USBFS_MODE_ACK_OUT_STATUS_IN; +} + + +/******************************************************************************* +* Function Name: USBFS_ControlWriteStatusStage +******************************************************************************** +* +* Summary: +* Handle the Status Stage of a control write transfer +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferState - set to TRANS_STATE_IDLE. +* USBFS_USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ControlWriteStatusStage(void) +{ + /* Go Idle */ + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_InitNoDataControlTransfer +******************************************************************************** +* +* Summary: +* Initialize a no data control transfer +* +* Parameters: +* None. +* +* Return: +* requestHandled state. +* +* Global variables: +* USBFS_transferState - set to TRANS_STATE_NO_DATA_CONTROL. +* USBFS_ep0Mode - set to MODE_STATUS_IN_ONLY. +* USBFS_ep0Count - cleared. +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_InitNoDataControlTransfer(void) +{ + USBFS_transferState = USBFS_TRANS_STATE_NO_DATA_CONTROL; + USBFS_ep0Mode = USBFS_MODE_STATUS_IN_ONLY; + USBFS_ep0Toggle = USBFS_EP0_CNT_DATA_TOGGLE; + USBFS_ep0Count = 0u; + + return(USBFS_TRUE); +} + + +/******************************************************************************* +* Function Name: USBFS_NoDataControlStatusStage +******************************************************************************** +* Summary: +* Handle the Status Stage of a no data control transfer. +* +* SET_ADDRESS is special, since we need to receive the status stage with +* the old address. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_transferState - set to TRANS_STATE_IDLE. +* USBFS_ep0Mode - set to MODE_STALL_IN_OUT. +* USBFS_ep0Toggle - set to EP0_CNT_DATA_TOGGLE +* USBFS_deviceAddress - used to set new address and cleared +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_NoDataControlStatusStage(void) +{ + /* Change the USB address register if we got a SET_ADDRESS. */ + if (USBFS_deviceAddress != 0u) + { + CY_SET_REG8(USBFS_CR0_PTR, USBFS_deviceAddress | USBFS_CR0_ENABLE); + USBFS_deviceAddress = 0u; + } + /* Go Idle */ + USBFS_transferState = USBFS_TRANS_STATE_IDLE; + /* Update the completion block */ + USBFS_UpdateStatusBlock(USBFS_XFER_STATUS_ACK); + /* We expect no more data, so stall INs and OUTs */ + USBFS_ep0Mode = USBFS_MODE_STALL_IN_OUT; +} + + +/******************************************************************************* +* Function Name: USBFS_UpdateStatusBlock +******************************************************************************** +* +* Summary: +* Update the Completion Status Block for a Request. The block is updated +* with the completion code the USBFS_transferByteCount. The +* StatusBlock Pointer is set to NULL. +* +* Parameters: +* completionCode - status. +* +* Return: +* None. +* +* Global variables: +* USBFS_currentTD.pStatusBlock->status - updated by the +* completionCode parameter. +* USBFS_currentTD.pStatusBlock->length - updated. +* USBFS_currentTD.pStatusBlock - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_UpdateStatusBlock(uint8 completionCode) +{ + if (USBFS_currentTD.pStatusBlock != NULL) + { + USBFS_currentTD.pStatusBlock->status = completionCode; + USBFS_currentTD.pStatusBlock->length = USBFS_transferByteCount; + USBFS_currentTD.pStatusBlock = NULL; + } +} + + +/******************************************************************************* +* Function Name: USBFS_InitializeStatusBlock +******************************************************************************** +* +* Summary: +* Initialize the Completion Status Block for a Request. The completion +* code is set to USB_XFER_IDLE. +* +* Also, initializes USBFS_transferByteCount. Save some space, +* this is the only consumer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_currentTD.pStatusBlock->status - set to XFER_IDLE. +* USBFS_currentTD.pStatusBlock->length - cleared. +* USBFS_transferByteCount - cleared. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_InitializeStatusBlock(void) +{ + USBFS_transferByteCount = 0u; + if(USBFS_currentTD.pStatusBlock != NULL) + { + USBFS_currentTD.pStatusBlock->status = USBFS_XFER_IDLE; + USBFS_currentTD.pStatusBlock->length = 0u; + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_episr.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_episr.c new file mode 100644 index 0000000..cd88e92 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_episr.c @@ -0,0 +1,658 @@ +/******************************************************************************* +* File Name: USBFS_episr.c +* Version 2.60 +* +* Description: +* Data endpoint Interrupt Service Routines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" +#if defined(USBFS_ENABLE_MIDI_STREAMING) && (USBFS_ENABLE_MIDI_API != 0u) + #include "USBFS_midi.h" +#endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Custom Declarations +***************************************/ +/* `#START CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +#if(USBFS_EP1_ISR_REMOVE == 0u) + + + /****************************************************************************** + * Function Name: USBFS_EP_1_ISR + ******************************************************************************* + * + * Summary: + * Endpoint 1 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + ******************************************************************************/ + CY_ISR(USBFS_EP_1_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP1_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + CY_GET_REG8(USBFS_SIE_EP1_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP1].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP1].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP1].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) & + (uint8)~USBFS_SIE_EP_INT_EP1_MASK); + + #if( defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT ) + if(USBFS_midi_out_ep == USBFS_EP1) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP1_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 ) + EA = int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + } + +#endif /* End USBFS_EP1_ISR_REMOVE */ + + +#if(USBFS_EP2_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_2_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 2 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_2_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP2_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 ) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + CY_GET_REG8(USBFS_SIE_EP2_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP2].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP2].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP2].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP2_MASK); + + #if( defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT ) + if(USBFS_midi_out_ep == USBFS_EP2) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP2_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + } + +#endif /* End USBFS_EP2_ISR_REMOVE */ + + +#if(USBFS_EP3_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_3_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 3 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_3_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3 */ + + /* `#START EP3_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP3_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP3].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP3].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP3].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP3_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP3) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP3_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP3_ISR_REMOVE */ + + +#if(USBFS_EP4_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_4_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 4 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_4_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP4_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP4_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP4].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP4].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP4].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP4_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP4) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP4_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP4_ISR_REMOVE */ + + +#if(USBFS_EP5_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_5_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 5 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_5_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP5_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP5_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP5].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP5].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP5].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP5_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP5) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP5_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } +#endif /* End USBFS_EP5_ISR_REMOVE */ + + +#if(USBFS_EP6_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_6_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 6 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_6_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP6_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP6_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP6].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP6].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP6].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP6_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP6) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP6_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP6_ISR_REMOVE */ + + +#if(USBFS_EP7_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_7_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 7 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_7_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP7_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP7_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP7].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP7].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP7].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP7_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP7) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP7_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP7_ISR_REMOVE */ + + +#if(USBFS_EP8_ISR_REMOVE == 0u) + + /******************************************************************************* + * Function Name: USBFS_EP_8_ISR + ******************************************************************************** + * + * Summary: + * Endpoint 8 Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_EP_8_ISR) + { + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + uint8 int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP8_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + int_en = EA; + CyGlobalIntEnable; /* Make sure nested interrupt is enabled */ + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + + CY_GET_REG8(USBFS_SIE_EP8_CR0_PTR); /* Must read the mode reg */ + /* Do not toggle ISOC endpoint */ + if((USBFS_EP[USBFS_EP8].attrib & USBFS_EP_TYPE_MASK) != + USBFS_EP_TYPE_ISOC) + { + USBFS_EP[USBFS_EP8].epToggle ^= USBFS_EPX_CNT_DATA_TOGGLE; + } + USBFS_EP[USBFS_EP8].apiEpState = USBFS_EVENT_PENDING; + CY_SET_REG8(USBFS_SIE_EP_INT_SR_PTR, CY_GET_REG8(USBFS_SIE_EP_INT_SR_PTR) + & (uint8)~USBFS_SIE_EP_INT_EP8_MASK); + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT) + if(USBFS_midi_out_ep == USBFS_EP8) + { + USBFS_MIDI_OUT_EP_Service(); + } + #endif /* End USBFS_ISR_SERVICE_MIDI_OUT */ + + /* `#START EP8_END_USER_CODE` Place your code here */ + + /* `#END` */ + + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_OUT && CY_PSOC3) + EA = int_en; + #endif /* CY_PSOC3 & USBFS_ISR_SERVICE_MIDI_OUT */ + } + +#endif /* End USBFS_EP8_ISR_REMOVE */ + + +/******************************************************************************* +* Function Name: USBFS_SOF_ISR +******************************************************************************** +* +* Summary: +* Start of Frame Interrupt Service Routine +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_SOF_ISR) +{ + /* `#START SOF_USER_CODE` Place your code here */ + + /* `#END` */ +} + + +/******************************************************************************* +* Function Name: USBFS_BUS_RESET_ISR +******************************************************************************** +* +* Summary: +* USB Bus Reset Interrupt Service Routine. Calls _Start with the same +* parameters as the last USER call to _Start +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +CY_ISR(USBFS_BUS_RESET_ISR) +{ + /* `#START BUS_RESET_USER_CODE` Place your code here */ + + /* `#END` */ + + USBFS_ReInitComponent(); +} + + +#if((USBFS_EP_MM != USBFS__EP_MANUAL) && (USBFS_ARB_ISR_REMOVE == 0u)) + + + /******************************************************************************* + * Function Name: USBFS_ARB_ISR + ******************************************************************************** + * + * Summary: + * Arbiter Interrupt Service Routine + * + * Parameters: + * None. + * + * Return: + * None. + * + * Side effect: + * Search for EP8 int_status will be much slower than search for EP1 int_status. + * + *******************************************************************************/ + CY_ISR(USBFS_ARB_ISR) + { + uint8 int_status; + uint8 ep_status; + uint8 ep = USBFS_EP1; + uint8 ptr = 0u; + + /* `#START ARB_BEGIN_USER_CODE` Place your code here */ + + /* `#END` */ + + int_status = USBFS_ARB_INT_SR_REG; /* read Arbiter Status Register */ + USBFS_ARB_INT_SR_REG = int_status; /* Clear Serviced Interrupts */ + + while(int_status != 0u) + { + if((int_status & 1u) != 0u) /* If EpX interrupt present */ + { /* read Endpoint Status Register */ + ep_status = CY_GET_REG8((reg8 *)(USBFS_ARB_EP1_SR_IND + ptr)); + /* If In Buffer Full */ + if((ep_status & USBFS_ARB_EPX_SR_IN_BUF_FULL) != 0u) + { + if((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* Clear Data ready status */ + *(reg8 *)(USBFS_ARB_EP1_CFG_IND + ptr) &= + (uint8)~USBFS_ARB_EPX_CFG_IN_DATA_RDY; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ptr), USBFS_EP[ep].epMode); + #if (defined(USBFS_ENABLE_MIDI_STREAMING) && USBFS_ISR_SERVICE_MIDI_IN) + if(ep == USBFS_midi_in_ep) + { /* Clear MIDI input pointer */ + USBFS_midiInPointer = 0u; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + } + /* (re)arm Out EP only for mode2 */ + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + /* If DMA Grant */ + if((ep_status & USBFS_ARB_EPX_SR_DMA_GNT) != 0u) + { + if((USBFS_EP[ep].addr & USBFS_DIR_IN) == 0u) + { + USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_PENDING; + /* Write the Mode register */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ptr), + USBFS_EP[ep].epMode); + } + } + #endif /* End USBFS_EP_MM */ + + /* `#START ARB_USER_CODE` Place your code here for handle Buffer Underflow/Overflow */ + + /* `#END` */ + + CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_SR_IND + ptr), ep_status); /* Clear Serviced events */ + } + ptr += USBFS_EPX_CNTX_ADDR_OFFSET; /* prepare pointer for next EP */ + ep++; + int_status >>= 1u; + } + + /* `#START ARB_END_USER_CODE` Place your code here */ + + /* `#END` */ + } + +#endif /* End USBFS_EP_MM */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.c new file mode 100644 index 0000000..ba9fdf5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.c @@ -0,0 +1,422 @@ +/******************************************************************************* +* File Name: USBFS_hid.c +* Version 2.60 +* +* Description: +* USB HID Class request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_HID_CLASS) + +#include "USBFS_pvt.h" +#include "USBFS_hid.h" + + +/*************************************** +* HID Variables +***************************************/ + +volatile uint8 USBFS_hidProtocol[USBFS_MAX_INTERFACES_NUMBER]; /* HID device protocol status */ +volatile uint8 USBFS_hidIdleRate[USBFS_MAX_INTERFACES_NUMBER]; /* HID device idle reload value */ +volatile uint8 USBFS_hidIdleTimer[USBFS_MAX_INTERFACES_NUMBER]; /* HID device idle rate value */ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START HID_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_UpdateHIDTimer +******************************************************************************** +* +* Summary: +* Updates the HID report timer and reloads it if expired +* +* Parameters: +* interface: Interface Number. +* +* Return: +* status. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_UpdateHIDTimer(uint8 interface) +{ + uint8 stat = USBFS_IDLE_TIMER_INDEFINITE; + + if(USBFS_hidIdleRate[interface] != 0u) + { + if(USBFS_hidIdleTimer[interface] > 0u) + { + USBFS_hidIdleTimer[interface]--; + stat = USBFS_IDLE_TIMER_RUNNING; + } + else + { + USBFS_hidIdleTimer[interface] = USBFS_hidIdleRate[interface]; + stat = USBFS_IDLE_TIMER_EXPIRED; + } + } + + return(stat); +} + + +/******************************************************************************* +* Function Name: USBFS_GetProtocol +******************************************************************************** +* +* Summary: +* Returns the selected protocol value to the application +* +* Parameters: +* interface: Interface Number. +* +* Return: +* Interface protocol. +* +*******************************************************************************/ +uint8 USBFS_GetProtocol(uint8 interface) +{ + return(USBFS_hidProtocol[interface]); +} + + +/******************************************************************************* +* Function Name: USBFS_DispatchHIDClassRqst +******************************************************************************** +* +* Summary: +* This routine dispatches class requests +* +* Parameters: +* None. +* +* Return: +* requestHandled +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_DispatchHIDClassRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + uint8 interfaceNumber; + + interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_DESCRIPTOR: + if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_CLASS) + { + USBFS_FindHidClassDecriptor(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlRead(); + } + } + else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_HID_REPORT) + { + USBFS_FindReportDescriptor(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlRead(); + } + } + else + { /* requestHandled is initialezed as FALSE by default */ + } + break; + case USBFS_HID_GET_REPORT: + USBFS_FindReport(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlRead(); + } + break; + + case USBFS_HID_GET_IDLE: + /* This function does not support multiple reports per interface*/ + /* Validate interfaceNumber and Report ID (should be 0) */ + if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + { + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = &USBFS_hidIdleRate[interfaceNumber]; + requestHandled = USBFS_InitControlRead(); + } + break; + case USBFS_HID_GET_PROTOCOL: + /* Validate interfaceNumber */ + if( interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) + { + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = &USBFS_hidProtocol[interfaceNumber]; + requestHandled = USBFS_InitControlRead(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == + USBFS_RQST_DIR_H2D) + { /* Control Write */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_HID_SET_REPORT: + USBFS_FindReport(); + if (USBFS_currentTD.count != 0u) + { + requestHandled = USBFS_InitControlWrite(); + } + break; + case USBFS_HID_SET_IDLE: + /* This function does not support multiple reports per interface */ + /* Validate interfaceNumber and Report ID (should be 0) */ + if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_wValueLo) == 0u ) ) /* Do not support Idle per Report ID */ + { + USBFS_hidIdleRate[interfaceNumber] = CY_GET_REG8(USBFS_wValueHi); + /* With regards to HID spec: "7.2.4 Set_Idle Request" + * Latency. If the current period has gone past the + * newly proscribed time duration, then a report + * will be generated immediately. + */ + if(USBFS_hidIdleRate[interfaceNumber] < + USBFS_hidIdleTimer[interfaceNumber]) + { + /* Set the timer to zero and let the UpdateHIDTimer() API return IDLE_TIMER_EXPIRED status*/ + USBFS_hidIdleTimer[interfaceNumber] = 0u; + } + /* If the new request is received within 4 milliseconds + * (1 count) of the end of the current period, then the + * new request will have no effect until after the report. + */ + else if(USBFS_hidIdleTimer[interfaceNumber] <= 1u) + { + /* Do nothing. + * Let the UpdateHIDTimer() API continue to work and + * return IDLE_TIMER_EXPIRED status + */ + } + else + { /* Reload the timer*/ + USBFS_hidIdleTimer[interfaceNumber] = + USBFS_hidIdleRate[interfaceNumber]; + } + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + + case USBFS_HID_SET_PROTOCOL: + /* Validate interfaceNumber and protocol (must be 0 or 1) */ + if( (interfaceNumber < USBFS_MAX_INTERFACES_NUMBER) && + (CY_GET_REG8(USBFS_wValueLo) <= 1u) ) + { + USBFS_hidProtocol[interfaceNumber] = CY_GET_REG8(USBFS_wValueLo); + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else + { /* requestHandled is initialized as FALSE by default */ + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USB_FindHidClassDescriptor +******************************************************************************** +* +* Summary: +* This routine find Hid Class Descriptor pointer based on the Interface number +* and Alternate setting then loads the currentTD structure with the address of +* the buffer and the size. +* The HID Class Descriptor resides inside the config descriptor. +* +* Parameters: +* None. +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_FindHidClassDecriptor(void) +{ + const T_USBFS_LUT CYCODE *pTmp; + volatile uint8 *pDescr; + uint8 interfaceN; + + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + interfaceN = CY_GET_REG8(USBFS_wIndexLo); + /* Third entry in the LUT starts the Interface Table pointers */ + /* Now use the request interface number*/ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Fifth entry in the LUT points to Hid Class Descriptor in Configuration Descriptor */ + pTmp = &pTmp[4u]; + pDescr = (volatile uint8 *)pTmp->p_list; + /* The first byte contains the descriptor length */ + USBFS_currentTD.count = *pDescr; + USBFS_currentTD.pData = pDescr; +} + + +/******************************************************************************* +* Function Name: USB_FindReportDescriptor +******************************************************************************** +* +* Summary: +* This routine find Hid Report Descriptor pointer based on the Interface +* number, then loads the currentTD structure with the address of the buffer +* and the size. +* Hid Report Descriptor is located after IN/OUT/FEATURE reports. +* +* Parameters: +* void +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_FindReportDescriptor(void) +{ + const T_USBFS_LUT CYCODE *pTmp; + volatile uint8 *pDescr; + uint8 interfaceN; + + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + interfaceN = CY_GET_REG8(USBFS_wIndexLo); + /* Third entry in the LUT starts the Interface Table pointers */ + /* Now use the request interface number */ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Fourth entry in the LUT starts the Hid Report Descriptor */ + pTmp = &pTmp[3u]; + pDescr = (volatile uint8 *)pTmp->p_list; + /* The 1st and 2nd bytes of descriptor contain its length. LSB is 1st. */ + USBFS_currentTD.count = (((uint16)pDescr[1u] << 8u) | pDescr[0u]); + USBFS_currentTD.pData = &pDescr[2u]; +} + + +/******************************************************************************* +* Function Name: USBFS_FindReport +******************************************************************************** +* +* Summary: +* This routine sets up a transfer based on the Interface number, Report Type +* and Report ID, then loads the currentTD structure with the address of the +* buffer and the size. The caller has to decide if it is a control read or +* control write. +* +* Parameters: +* None. +* +* Return: +* currentTD +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_FindReport(void) +{ + const T_USBFS_LUT CYCODE *pTmp; + T_USBFS_TD *pTD; + uint8 interfaceN; + uint8 reportType; + + /* `#START HID_FINDREPORT` Place custom handling here */ + + /* `#END` */ + USBFS_currentTD.count = 0u; /* Init not supported condition */ + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + reportType = CY_GET_REG8(USBFS_wValueHi); + interfaceN = CY_GET_REG8(USBFS_wIndexLo); + /* Third entry in the LUT COnfiguration Table starts the Interface Table pointers */ + /* Now use the request interface number */ + pTmp = &pTmp[interfaceN + 2u]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_TABLE*/ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + if(interfaceN < USBFS_MAX_INTERFACES_NUMBER) + { + /* Now use Alternate setting number */ + pTmp = &pTmp[USBFS_interfaceSetting[interfaceN]]; + /* USB_DEVICEx_CONFIGURATIONy_INTERFACEz_ALTERNATEi_HID_TABLE */ + pTmp = (const T_USBFS_LUT CYCODE *) pTmp->p_list; + /* Validate reportType to comply with "7.2.1 Get_Report Request" */ + if((reportType >= USBFS_HID_GET_REPORT_INPUT) && + (reportType <= USBFS_HID_GET_REPORT_FEATURE)) + { + /* Get the entry proper TD (IN, OUT or Feature Report Table)*/ + pTmp = &pTmp[reportType - 1u]; + reportType = CY_GET_REG8(USBFS_wValueLo); /* Get reportID */ + /* Validate table support by the HID descriptor, compare table count with reportID */ + if(pTmp->c >= reportType) + { + pTD = (T_USBFS_TD *) pTmp->p_list; + pTD = &pTD[reportType]; /* select entry depend on report ID*/ + USBFS_currentTD.pData = pTD->pData; /* Buffer pointer */ + USBFS_currentTD.count = pTD->count; /* Buffer Size */ + USBFS_currentTD.pStatusBlock = pTD->pStatusBlock; + } + } + } +} + + +/******************************************************************************* +* Additional user functions supporting HID Requests +********************************************************************************/ + +/* `#START HID_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End USBFS_ENABLE_HID_CLASS */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h new file mode 100644 index 0000000..9a6201c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h @@ -0,0 +1,64 @@ +/******************************************************************************* +* File Name: USBFS_hid.h +* Version 2.60 +* +* Description: +* Header File for the USFS component. Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_hid_H) +#define CY_USBFS_USBFS_hid_H + +#include "cytypes.h" + + +/*************************************** +* Prototypes of the USBFS_hid API. +***************************************/ + +uint8 USBFS_UpdateHIDTimer(uint8 interface) ; +uint8 USBFS_GetProtocol(uint8 interface) ; + + +/*************************************** +*Renamed Functions for backward compatible +***************************************/ + +#define USBFS_bGetProtocol USBFS_GetProtocol + + +/*************************************** +* Constants for USBFS_hid API. +***************************************/ + +#define USBFS_PROTOCOL_BOOT (0x00u) +#define USBFS_PROTOCOL_REPORT (0x01u) + +/* Request Types (HID Chapter 7.2) */ +#define USBFS_HID_GET_REPORT (0x01u) +#define USBFS_HID_GET_IDLE (0x02u) +#define USBFS_HID_GET_PROTOCOL (0x03u) +#define USBFS_HID_SET_REPORT (0x09u) +#define USBFS_HID_SET_IDLE (0x0Au) +#define USBFS_HID_SET_PROTOCOL (0x0Bu) + +/* Descriptor Types (HID Chapter 7.1) */ +#define USBFS_DESCR_HID_CLASS (0x21u) +#define USBFS_DESCR_HID_REPORT (0x22u) +#define USBFS_DESCR_HID_PHYSICAL (0x23u) + +/* Report Request Types (HID Chapter 7.2.1) */ +#define USBFS_HID_GET_REPORT_INPUT (0x01u) +#define USBFS_HID_GET_REPORT_OUTPUT (0x02u) +#define USBFS_HID_GET_REPORT_FEATURE (0x03u) + +#endif /* End CY_USBFS_USBFS_hid_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.c new file mode 100644 index 0000000..1f0ce51 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.c @@ -0,0 +1,1341 @@ +/******************************************************************************* +* File Name: USBFS_midi.c +* Version 2.60 +* +* Description: +* MIDI Streaming request handler. +* This file contains routines for sending and receiving MIDI +* messages, and handles running status in both directions. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" + +#if defined(USBFS_ENABLE_MIDI_STREAMING) + +#include "USBFS_midi.h" +#include "USBFS_pvt.h" + + +/*************************************** +* MIDI Constants +***************************************/ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + /* The Size of the MIDI messages (MIDI Table 4-1) */ + static const uint8 CYCODE USBFS_MIDI_SIZE[] = { + /* Miscellaneous function codes(Reserved) */ 0x03u, + /* Cable events (Reserved) */ 0x03u, + /* Two-byte System Common messages */ 0x02u, + /* Three-byte System Common messages */ 0x03u, + /* SysEx starts or continues */ 0x03u, + /* Single-byte System Common Message or + SysEx ends with following single byte */ 0x01u, + /* SysEx ends with following two bytes */ 0x02u, + /* SysEx ends with following three bytes */ 0x03u, + /* Note-off */ 0x03u, + /* Note-on */ 0x03u, + /* Poly-KeyPress */ 0x03u, + /* Control Change */ 0x03u, + /* Program Change */ 0x02u, + /* Channel Pressure */ 0x02u, + /* PitchBend Change */ 0x03u, + /* Single Byte */ 0x01u + }; +#endif /* USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + + +/*************************************** +* Global variables +***************************************/ + +#if (USBFS_MIDI_IN_BUFF_SIZE > 0) + #if (USBFS_MIDI_IN_BUFF_SIZE >= 256) + volatile uint16 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #else + volatile uint8 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #endif /* End USBFS_MIDI_IN_BUFF_SIZE >=256 */ + volatile uint8 USBFS_midi_in_ep; /* Input endpoint number */ + uint8 USBFS_midiInBuffer[USBFS_MIDI_IN_BUFF_SIZE]; /* Input endpoint buffer */ +#endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + volatile uint8 USBFS_midi_out_ep; /* Output endpoint number */ + uint8 USBFS_midiOutBuffer[USBFS_MIDI_OUT_BUFF_SIZE]; /* Output endpoint buffer */ +#endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + static USBFS_MIDI_RX_STATUS USBFS_MIDI1_Event; /* MIDI RX status structure */ + static volatile uint8 USBFS_MIDI1_TxRunStat; /* MIDI Output running status */ + volatile uint8 USBFS_MIDI1_InqFlags; /* Device inquiry flag */ + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + static USBFS_MIDI_RX_STATUS USBFS_MIDI2_Event; /* MIDI RX status structure */ + static volatile uint8 USBFS_MIDI2_TxRunStat; /* MIDI Output running status */ + volatile uint8 USBFS_MIDI2_InqFlags; /* Device inquiry flag */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + +/*************************************** +* Custom Declarations +***************************************/ + +/* `#START MIDI_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Optional MIDI APIs +***************************************/ +#if (USBFS_ENABLE_MIDI_API != 0u) + + +/******************************************************************************* +* Function Name: USBFS_MIDI_EP_Init +******************************************************************************** +* +* Summary: +* This function initializes the MIDI interface and UART(s) to be ready to +* receive data from the PC and MIDI ports. +* +* Parameters: +* None +* +* Return: +* None +* +* Global variables: +* USBFS_midiInBuffer: This buffer is used for saving and combining +* the received data from UART(s) and(or) generated internally by +* PutUsbMidiIn() function messages. USBFS_MIDI_IN_EP_Service() +* function transfers the data from this buffer to the PC. +* USBFS_midiOutBuffer: This buffer is used by the +* USBFS_MIDI_OUT_EP_Service() function for saving the received +* from the PC data, then the data are parsed and transferred to UART(s) +* buffer and to the internal processing by the +* USBFS_callbackLocalMidiEvent function. +* USBFS_midi_out_ep: Used as an OUT endpoint number. +* USBFS_midi_in_ep: Used as an IN endpoint number. +* USBFS_midiInPointer: Initialized to zero. +* +* Reentrant: +* No +* +*******************************************************************************/ +void USBFS_MIDI_EP_Init(void) +{ + #if (USBFS_MIDI_IN_BUFF_SIZE > 0) + USBFS_midiInPointer = 0u; + #endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + #if (USBFS_MIDI_IN_BUFF_SIZE > 0) + /* Init DMA configurations for IN EP*/ + USBFS_LoadInEP(USBFS_midi_in_ep, USBFS_midiInBuffer, + USBFS_MIDI_IN_BUFF_SIZE); + + #endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + /* Init DMA configurations for OUT EP*/ + (void)USBFS_ReadOutEP(USBFS_midi_out_ep, USBFS_midiOutBuffer, + USBFS_MIDI_OUT_BUFF_SIZE); + #endif /*USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + #endif /* End USBFS__EP_DMAAUTO */ + + #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + USBFS_EnableOutEP(USBFS_midi_out_ep); + #endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + + /* Initialize the MIDI port(s) */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + USBFS_MIDI_Init(); + #endif /* USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ +} + +#if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + + + /******************************************************************************* + * Function Name: USBFS_MIDI_OUT_EP_Service + ******************************************************************************** + * + * Summary: + * Services the USB MIDI OUT endpoints. + * This function is called from OUT EP ISR. It transfers the received from PC + * data to the external MIDI port(UART TX buffer) and calls the + * USBFS_callbackLocalMidiEvent() function to internal process + * of the MIDI data. + * This function is blocked by UART, if not enough space is available in UART + * TX buffer. Therefore it is recommended to use large UART TX buffer size. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_midiOutBuffer: Used as temporary buffer between USB internal + * memory and UART TX buffer. + * USBFS_midi_out_ep: Used as an OUT endpoint number. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_MIDI_OUT_EP_Service(void) + { + #if USBFS_MIDI_OUT_BUFF_SIZE >= 256 + uint16 outLength; + uint16 outPointer; + #else + uint8 outLength; + uint8 outPointer; + #endif /* End USBFS_MIDI_OUT_BUFF_SIZE >=256 */ + + uint8 dmaState = 0u; + + /* Service the USB MIDI output endpoint */ + if (USBFS_GetEPState(USBFS_midi_out_ep) == USBFS_OUT_BUFFER_FULL) + { + #if USBFS_MIDI_OUT_BUFF_SIZE >= 256 + outLength = USBFS_GetEPCount(USBFS_midi_out_ep); + #else + outLength = (uint8)USBFS_GetEPCount(USBFS_midi_out_ep); + #endif /* End USBFS_MIDI_OUT_BUFF_SIZE >= 256 */ + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + #if USBFS_MIDI_OUT_BUFF_SIZE >= 256 + outLength = USBFS_ReadOutEP(USBFS_midi_out_ep, + USBFS_midiOutBuffer, outLength); + #else + outLength = (uint8)USBFS_ReadOutEP(USBFS_midi_out_ep, + USBFS_midiOutBuffer, (uint16)outLength); + #endif /* End USBFS_MIDI_OUT_BUFF_SIZE >= 256 */ + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + do /* wait for DMA transfer complete */ + { + (void)CyDmaChStatus(USBFS_DmaChan[USBFS_midi_out_ep], NULL, &dmaState); + }while((dmaState & (STATUS_TD_ACTIVE | STATUS_CHAIN_ACTIVE)) != 0u); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + if(dmaState != 0u) + { + /* Suppress compiler warning */ + } + if (outLength >= USBFS_EVENT_LENGTH) + { + outPointer = 0u; + while (outPointer < outLength) + { + /* In some OS OUT packet could be appended by nulls which could be skipped */ + if (USBFS_midiOutBuffer[outPointer] == 0u) + { + break; + } + /* Route USB MIDI to the External connection */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + if ((USBFS_midiOutBuffer[outPointer] & USBFS_CABLE_MASK) == + USBFS_MIDI_CABLE_00) + { + USBFS_MIDI1_ProcessUsbOut(&USBFS_midiOutBuffer[outPointer]); + } + else if ((USBFS_midiOutBuffer[outPointer] & USBFS_CABLE_MASK) == + USBFS_MIDI_CABLE_01) + { + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + USBFS_MIDI2_ProcessUsbOut(&USBFS_midiOutBuffer[outPointer]); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + } + else + { + /* `#START CUSTOM_MIDI_OUT_EP_SERV` Place your code here */ + + /* `#END` */ + } + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + /* Process any local MIDI output functions */ + USBFS_callbackLocalMidiEvent( + USBFS_midiOutBuffer[outPointer] & USBFS_CABLE_MASK, + &USBFS_midiOutBuffer[outPointer + USBFS_EVENT_BYTE1]); + outPointer += USBFS_EVENT_LENGTH; + } + } + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* Enable Out EP*/ + USBFS_EnableOutEP(USBFS_midi_out_ep); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + } + +#endif /* #if (USBFS_MIDI_OUT_BUFF_SIZE > 0) */ + +#if (USBFS_MIDI_IN_BUFF_SIZE > 0) + + + /******************************************************************************* + * Function Name: USBFS_MIDI_IN_EP_Service + ******************************************************************************** + * + * Summary: + * Services the USB MIDI IN endpoint. Non-blocking. + * Checks that previous packet was processed by HOST, otherwise service the + * input endpoint on the subsequent call. It is called from the + * USBFS_MIDI_IN_Service() and from the + * USBFS_PutUsbMidiIn() function. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_midi_in_ep: Used as an IN endpoint number. + * USBFS_midiInBuffer: Function loads the data from this buffer to + * the USB IN endpoint. + * USBFS_midiInPointer: Cleared to zero when data are sent. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_MIDI_IN_EP_Service(void) + { + /* Service the USB MIDI input endpoint */ + /* Check that previous packet was processed by HOST, otherwise service the USB later */ + if (USBFS_midiInPointer != 0u) + { + if(USBFS_GetEPState(USBFS_midi_in_ep) == USBFS_EVENT_PENDING) + { + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + USBFS_LoadInEP(USBFS_midi_in_ep, USBFS_midiInBuffer, + (uint16)USBFS_midiInPointer); + #else /* USBFS_EP_MM != USBFS__EP_DMAAUTO */ + /* rearm IN EP */ + USBFS_LoadInEP(USBFS_midi_in_ep, NULL, (uint16)USBFS_midiInPointer); + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO*/ + + /* Clear the midiInPointer. For DMA mode, clear this pointer in the ARB ISR when data are moved by DMA */ + #if(USBFS_EP_MM == USBFS__EP_MANUAL) + USBFS_midiInPointer = 0u; + #endif /* USBFS_EP_MM == USBFS__EP_MANUAL */ + } + } + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI_IN_Service + ******************************************************************************** + * + * Summary: + * Services the traffic from the MIDI input ports (RX UART) and prepare data + * in USB MIDI IN endpoint buffer. + * Calls the USBFS_MIDI_IN_EP_Service() function to sent the + * data from buffer to PC. Non-blocking. Should be called from main foreground + * task. + * This function is not protected from the reentrant calls. When it is required + * to use this function in UART RX ISR to guaranty low latency, care should be + * taken to protect from reentrant calls. + * + * Parameters: + * None + * + * Return: + * None + * + * Global variables: + * USBFS_midiInPointer: Cleared to zero when data are sent. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_MIDI_IN_Service(void) + { + /* Service the MIDI UART inputs until either both receivers have no more + * events or until the input endpoint buffer fills up. + */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + uint8 m1 = 0u; + uint8 m2 = 0u; + do + { + if (USBFS_midiInPointer <= (USBFS_MIDI_IN_BUFF_SIZE - USBFS_EVENT_LENGTH)) + { + /* Check MIDI1 input port for a complete event */ + m1 = USBFS_MIDI1_GetEvent(); + if (m1 != 0u) + { + USBFS_PrepareInBuffer(m1, (uint8 *)&USBFS_MIDI1_Event.msgBuff[0], + USBFS_MIDI1_Event.size, USBFS_MIDI_CABLE_00); + } + } + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + if (USBFS_midiInPointer <= (USBFS_MIDI_IN_BUFF_SIZE - USBFS_EVENT_LENGTH)) + { + /* Check MIDI2 input port for a complete event */ + m2 = USBFS_MIDI2_GetEvent(); + if (m2 != 0u) + { + USBFS_PrepareInBuffer(m2, (uint8 *)&USBFS_MIDI2_Event.msgBuff[0], + USBFS_MIDI2_Event.size, USBFS_MIDI_CABLE_01); + } + } + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + + }while( (USBFS_midiInPointer <= (USBFS_MIDI_IN_BUFF_SIZE - USBFS_EVENT_LENGTH)) + && ((m1 != 0u) || (m2 != 0u)) ); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + /* Service the USB MIDI input endpoint */ + USBFS_MIDI_IN_EP_Service(); + } + + + /******************************************************************************* + * Function Name: USBFS_PutUsbMidiIn + ******************************************************************************** + * + * Summary: + * Puts one MIDI messages into the USB MIDI In endpoint buffer. These are + * MIDI input messages to the host. This function is only used if the device + * has internal MIDI input functionality. USBMIDI_MIDI_IN_Service() function + * should additionally be called to send the message from local buffer to + * IN endpoint. + * + * Parameters: + * ic: 0 = No message (should never happen) + * 1 - 3 = Complete MIDI message in midiMsg + * 3 - IN EP LENGTH = Complete SySEx message(without EOSEX byte) in + * midiMsg. The length is limited by the max BULK EP size(64) + * MIDI_SYSEX = Start or continuation of SysEx message + * (put event bytes in midiMsg buffer) + * MIDI_EOSEX = End of SysEx message + * (put event bytes in midiMsg buffer) + * MIDI_TUNEREQ = Tune Request message (single byte system common msg) + * 0xf8 - 0xff = Single byte real-time message + * midiMsg: pointer to MIDI message. + * cable: cable number. + * + * Return: + * USBFS_TRUE if error. + * USBFS_FALSE if success. + * + * Global variables: + * USBFS_midi_in_ep: MIDI IN endpoint number used for sending data. + * USBFS_midiInPointer: Checked this variable to see if there is + * enough free space in the IN endpoint buffer. If buffer is full, initiate + * sending to PC. + * + * Reentrant: + * No + * + *******************************************************************************/ + uint8 USBFS_PutUsbMidiIn(uint8 ic, const uint8 midiMsg[], uint8 cable) + + { + uint8 retError = USBFS_FALSE; + uint8 msgIndex; + + /* Protect PrepareInBuffer() function from concurrent calls */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + MIDI1_UART_DisableRxInt(); + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + MIDI2_UART_DisableRxInt(); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + if (USBFS_midiInPointer > + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + USBFS_MIDI_IN_EP_Service(); + } + if (USBFS_midiInPointer <= + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + if((ic < USBFS_EVENT_LENGTH) || (ic >= USBFS_MIDI_STATUS_MASK)) + { + USBFS_PrepareInBuffer(ic, midiMsg, ic, cable); + } + else + { /* Only SysEx message is greater than 4 bytes */ + msgIndex = 0u; + do + { + USBFS_PrepareInBuffer(USBFS_MIDI_SYSEX, &midiMsg[msgIndex], + USBFS_EVENT_BYTE3, cable); + ic -= USBFS_EVENT_BYTE3; + msgIndex += USBFS_EVENT_BYTE3; + if (USBFS_midiInPointer > + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + USBFS_MIDI_IN_EP_Service(); + if (USBFS_midiInPointer > + (USBFS_EP[USBFS_midi_in_ep].bufferSize - USBFS_EVENT_LENGTH)) + { + /* Error condition. HOST is not ready to receive this packet. */ + retError = USBFS_TRUE; + break; + } + } + }while(ic > USBFS_EVENT_BYTE3); + + if(retError == USBFS_FALSE) + { + USBFS_PrepareInBuffer(USBFS_MIDI_EOSEX, midiMsg, ic, cable); + } + } + } + else + { + /* Error condition. HOST is not ready to receive this packet. */ + retError = USBFS_TRUE; + } + + #if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + MIDI1_UART_EnableRxInt(); + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + MIDI2_UART_EnableRxInt(); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + return (retError); + } + + + /******************************************************************************* + * Function Name: USBFS_PrepareInBuffer + ******************************************************************************** + * + * Summary: + * Builds a USB MIDI event in the input endpoint buffer at the current pointer. + * Puts one MIDI message into the USB MIDI In endpoint buffer. + * + * Parameters: + * ic: 0 = No message (should never happen) + * 1 - 3 = Complete MIDI message at pMdat[0] + * MIDI_SYSEX = Start or continuation of SysEx message + * (put eventLen bytes in buffer) + * MIDI_EOSEX = End of SysEx message + * (put eventLen bytes in buffer, + * and append MIDI_EOSEX) + * MIDI_TUNEREQ = Tune Request message (single byte system common msg) + * 0xf8 - 0xff = Single byte real-time message + * + * srcBuff: pointer to MIDI data + * eventLen: number of bytes in MIDI event + * cable: MIDI source port number + * + * Return: + * None + * + * Global variables: + * USBFS_midiInBuffer: This buffer is used for saving and combine the + * received from UART(s) and(or) generated internally by + * USBFS_PutUsbMidiIn() function messages. + * USBFS_midiInPointer: Used as an index for midiInBuffer to + * write data. + * + * Reentrant: + * No + * + *******************************************************************************/ + void USBFS_PrepareInBuffer(uint8 ic, const uint8 srcBuff[], uint8 eventLen, uint8 cable) + + { + uint8 srcBuffZero; + uint8 srcBuffOne; + + srcBuffZero = srcBuff[0u]; + srcBuffOne = srcBuff[1u]; + + if (ic >= (USBFS_MIDI_STATUS_MASK | USBFS_MIDI_SINGLE_BYTE_MASK)) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_SINGLE_BYTE | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = ic; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + } + else if((ic < USBFS_EVENT_LENGTH) || (ic == USBFS_MIDI_SYSEX)) + { + if(ic == USBFS_MIDI_SYSEX) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_SYSEX | cable; + USBFS_midiInPointer++; + } + else if (srcBuffZero < USBFS_MIDI_SYSEX) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = (srcBuffZero >> 4u) | cable; + USBFS_midiInPointer++; + } + else if (srcBuffZero == USBFS_MIDI_TUNEREQ) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_1BYTE_COMMON | cable; + USBFS_midiInPointer++; + } + else if ((srcBuffZero == USBFS_MIDI_QFM) || (srcBuffZero == USBFS_MIDI_SONGSEL)) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_2BYTE_COMMON | cable; + USBFS_midiInPointer++; + } + else if (srcBuffZero == USBFS_MIDI_SPP) + { + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_3BYTE_COMMON | cable; + USBFS_midiInPointer++; + } + else + { + } + + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffZero; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffOne; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuff[2u]; + USBFS_midiInPointer++; + } + else if (ic == USBFS_MIDI_EOSEX) + { + switch (eventLen) + { + case 0u: + USBFS_midiInBuffer[USBFS_midiInPointer] = + USBFS_SYSEX_ENDS_WITH1 | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_MIDI_EOSEX; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + break; + case 1u: + USBFS_midiInBuffer[USBFS_midiInPointer] = + USBFS_SYSEX_ENDS_WITH2 | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffZero; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_MIDI_EOSEX; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = 0u; + USBFS_midiInPointer++; + break; + case 2u: + USBFS_midiInBuffer[USBFS_midiInPointer] = + USBFS_SYSEX_ENDS_WITH3 | cable; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffZero; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = srcBuffOne; + USBFS_midiInPointer++; + USBFS_midiInBuffer[USBFS_midiInPointer] = USBFS_MIDI_EOSEX; + USBFS_midiInPointer++; + break; + default: + break; + } + } + else + { + } + } + +#endif /* #if (USBFS_MIDI_IN_BUFF_SIZE > 0) */ + + +/* The implementation for external serial input and output connections +* to route USB MIDI data to and from those connections. +*/ +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + + + /******************************************************************************* + * Function Name: USBFS_MIDI_Init + ******************************************************************************** + * + * Summary: + * Initializes MIDI variables and starts the UART(s) hardware block(s). + * + * Parameters: + * None + * + * Return: + * None + * + * Side Effects: + * Change the priority of the UART(s) TX interrupts to be higher than the + * default EP ISR priority. + * + * Global variables: + * USBFS_MIDI_Event: initialized to zero. + * USBFS_MIDI_TxRunStat: initialized to zero. + * + *******************************************************************************/ + void USBFS_MIDI_Init(void) + { + USBFS_MIDI1_Event.length = 0u; + USBFS_MIDI1_Event.count = 0u; + USBFS_MIDI1_Event.size = 0u; + USBFS_MIDI1_Event.runstat = 0u; + USBFS_MIDI1_TxRunStat = 0u; + USBFS_MIDI1_InqFlags = 0u; + /* Start UART block */ + MIDI1_UART_Start(); + /* Change the priority of the UART TX and RX interrupt */ + CyIntSetPriority(MIDI1_UART_TX_VECT_NUM, USBFS_CUSTOM_UART_TX_PRIOR_NUM); + CyIntSetPriority(MIDI1_UART_RX_VECT_NUM, USBFS_CUSTOM_UART_RX_PRIOR_NUM); + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + USBFS_MIDI2_Event.length = 0u; + USBFS_MIDI2_Event.count = 0u; + USBFS_MIDI2_Event.size = 0u; + USBFS_MIDI2_Event.runstat = 0u; + USBFS_MIDI2_TxRunStat = 0u; + USBFS_MIDI2_InqFlags = 0u; + /* Start second UART block */ + MIDI2_UART_Start(); + /* Change the priority of the UART TX interrupt */ + CyIntSetPriority(MIDI2_UART_TX_VECT_NUM, USBFS_CUSTOM_UART_TX_PRIOR_NUM); + CyIntSetPriority(MIDI2_UART_RX_VECT_NUM, USBFS_CUSTOM_UART_RX_PRIOR_NUM); + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF*/ + + /* `#START MIDI_INIT_CUSTOM` Init other extended UARTs here */ + + /* `#END` */ + + } + + + /******************************************************************************* + * Function Name: USBFS_ProcessMidiIn + ******************************************************************************** + * + * Summary: + * Processes one byte of incoming MIDI data. + * + * Parameters: + * mData = current MIDI input data byte + * *rxStat = pointer to a MIDI_RX_STATUS structure + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + *******************************************************************************/ + uint8 USBFS_ProcessMidiIn(uint8 mData, USBFS_MIDI_RX_STATUS *rxStat) + + { + uint8 midiReturn = 0u; + + /* Check for a MIDI status byte. All status bytes, except real time messages, + * which are a single byte, force the start of a new buffer cycle. + */ + if ((mData & USBFS_MIDI_STATUS_BYTE_MASK) != 0u) + { + if ((mData & USBFS_MIDI_STATUS_MASK) == USBFS_MIDI_STATUS_MASK) + { + if ((mData & USBFS_MIDI_SINGLE_BYTE_MASK) != 0u) /* System Real-Time Messages(single byte) */ + { + midiReturn = mData; + } + else /* System Common Messages */ + { + switch (mData) + { + case USBFS_MIDI_SYSEX: + rxStat->msgBuff[0u] = USBFS_MIDI_SYSEX; + rxStat->runstat = USBFS_MIDI_SYSEX; + rxStat->count = 1u; + rxStat->length = 3u; + break; + case USBFS_MIDI_EOSEX: + rxStat->runstat = 0u; + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = USBFS_MIDI_EOSEX; + break; + case USBFS_MIDI_SPP: + rxStat->msgBuff[0u] = USBFS_MIDI_SPP; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 3u; + break; + case USBFS_MIDI_SONGSEL: + rxStat->msgBuff[0u] = USBFS_MIDI_SONGSEL; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 2u; + break; + case USBFS_MIDI_QFM: + rxStat->msgBuff[0u] = USBFS_MIDI_QFM; + rxStat->runstat = 0u; + rxStat->count = 1u; + rxStat->length = 2u; + break; + case USBFS_MIDI_TUNEREQ: + rxStat->msgBuff[0u] = USBFS_MIDI_TUNEREQ; + rxStat->runstat = 0u; + rxStat->size = 1u; + rxStat->count = 0u; + midiReturn = rxStat->size; + break; + default: + break; + } + } + } + else /* Channel Messages */ + { + rxStat->msgBuff[0u] = mData; + rxStat->runstat = mData; + rxStat->count = 1u; + switch (mData & USBFS_MIDI_STATUS_MASK) + { + case USBFS_MIDI_NOTE_OFF: + case USBFS_MIDI_NOTE_ON: + case USBFS_MIDI_POLY_KEY_PRESSURE: + case USBFS_MIDI_CONTROL_CHANGE: + case USBFS_MIDI_PITCH_BEND_CHANGE: + rxStat->length = 3u; + break; + case USBFS_MIDI_PROGRAM_CHANGE: + case USBFS_MIDI_CHANNEL_PRESSURE: + rxStat->length = 2u; + break; + default: + rxStat->runstat = 0u; + rxStat->count = 0u; + break; + } + } + } + + /* Otherwise, it's a data byte */ + else + { + if (rxStat->runstat == USBFS_MIDI_SYSEX) + { + rxStat->msgBuff[rxStat->count] = mData; + rxStat->count++; + if (rxStat->count >= rxStat->length) + { + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = USBFS_MIDI_SYSEX; + } + } + else if (rxStat->count > 0u) + { + rxStat->msgBuff[rxStat->count] = mData; + rxStat->count++; + if (rxStat->count >= rxStat->length) + { + rxStat->size = rxStat->count; + rxStat->count = 0u; + midiReturn = rxStat->size; + } + } + else if (rxStat->runstat != 0u) + { + rxStat->msgBuff[0u] = rxStat->runstat; + rxStat->msgBuff[1u] = mData; + rxStat->count = 2u; + switch (rxStat->runstat & USBFS_MIDI_STATUS_MASK) + { + case USBFS_MIDI_NOTE_OFF: + case USBFS_MIDI_NOTE_ON: + case USBFS_MIDI_POLY_KEY_PRESSURE: + case USBFS_MIDI_CONTROL_CHANGE: + case USBFS_MIDI_PITCH_BEND_CHANGE: + rxStat->length = 3u; + break; + case USBFS_MIDI_PROGRAM_CHANGE: + case USBFS_MIDI_CHANNEL_PRESSURE: + rxStat->size =rxStat->count; + rxStat->count = 0u; + midiReturn = rxStat->size; + break; + default: + rxStat->count = 0u; + break; + } + } + else + { + } + } + return (midiReturn); + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI1_GetEvent + ******************************************************************************** + * + * Summary: + * Checks for incoming MIDI data, calls the MIDI event builder if so. + * Returns either empty or with a complete event. + * + * Parameters: + * None + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + * Global variables: + * USBFS_MIDI1_Event: RX status structure used to parse received + * data. + * + *******************************************************************************/ + uint8 USBFS_MIDI1_GetEvent(void) + { + uint8 msgRtn = 0u; + uint8 rxData; + #if (MIDI1_UART_RXBUFFERSIZE >= 256u) + uint16 rxBufferRead; + #if CY_PSOC3 /* This local variable is required only for PSOC3 and large buffer */ + uint16 rxBufferWrite; + #endif /* end CY_PSOC3 */ + #else + uint8 rxBufferRead; + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + uint8 rxBufferLoopDetect; + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI1_UART_rxBufferLoopDetect; + + if ( (MIDI1_UART_rxBufferRead != MIDI1_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u) ) + { + /* Protect variables that could change on interrupt by disabling Rx interrupt.*/ + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + rxBufferRead = MIDI1_UART_rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + rxBufferWrite = MIDI1_UART_rxBufferWrite; + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + + /* Stay here until either the buffer is empty or we have a complete message + * in the message buffer. Note that we must use a temporary buffer pointer + * since it takes two instructions to increment with a wrap, and we can't + * risk doing that with the real pointer and getting an interrupt in between + * instructions. + */ + + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + while ( ((rxBufferRead != rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #else + while ( ((rxBufferRead != MIDI1_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 && CY_PSOC3 */ + { + rxData = MIDI1_UART_rxBuffer[rxBufferRead]; + /* Increment pointer with a wrap */ + rxBufferRead++; + if(rxBufferRead >= MIDI1_UART_RXBUFFERSIZE) + { + rxBufferRead = 0u; + } + /* If loop condition was set - update real read buffer pointer + * to avoid overflow status + */ + if(rxBufferLoopDetect != 0u ) + { + MIDI1_UART_rxBufferLoopDetect = 0u; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + MIDI1_UART_rxBufferRead = rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + } + + msgRtn = USBFS_ProcessMidiIn(rxData, + (USBFS_MIDI_RX_STATUS *)&USBFS_MIDI1_Event); + + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI1_UART_rxBufferLoopDetect; + } + + /* Finally, update the real output pointer, then return with + * an indication as to whether there's a complete message in the buffer. + */ + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + MIDI1_UART_rxBufferRead = rxBufferRead; + #if ((MIDI1_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI1_UART_RX_VECT_NUM); + #endif /* End MIDI1_UART_RXBUFFERSIZE >= 256 */ + } + + return (msgRtn); + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI1_ProcessUsbOut + ******************************************************************************** + * + * Summary: + * Process a USB MIDI output event. + * Puts data into the MIDI TX output buffer. + * + * Parameters: + * *epBuf: pointer on MIDI event. + * + * Return: + * None + * + * Global variables: + * USBFS_MIDI1_TxRunStat: This variable used to save the MIDI + * status byte and skip to send the repeated status byte in subsequent event. + * USBFS_MIDI1_InqFlags: The following flags are set when SysEx + * message comes. + * USBFS_INQ_SYSEX_FLAG: Non-Real Time SySEx message received. + * USBFS_INQ_IDENTITY_REQ_FLAG: Identity Request received. + * This bit should be cleared by user when Identity Reply message generated. + * + *******************************************************************************/ + void USBFS_MIDI1_ProcessUsbOut(const uint8 epBuf[]) + + { + uint8 cmd; + uint8 len; + uint8 i; + + /* User code is required at the beginning of the procedure */ + /* `#START MIDI1_PROCESS_OUT_BEGIN` */ + + /* `#END` */ + + cmd = epBuf[USBFS_EVENT_BYTE0] & USBFS_CIN_MASK; + if((cmd != USBFS_RESERVED0) && (cmd != USBFS_RESERVED1)) + { + len = USBFS_MIDI_SIZE[cmd]; + i = USBFS_EVENT_BYTE1; + /* Universal System Exclusive message parsing */ + if(cmd == USBFS_SYSEX) + { + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_NON_REAL_TIME)) + { /* Non-Real Time SySEx starts */ + USBFS_MIDI1_InqFlags |= USBFS_INQ_SYSEX_FLAG; + } + else + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + } + else if(cmd == USBFS_SYSEX_ENDS_WITH1) + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH2) + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH3) + { + /* Identify Request support */ + if((USBFS_MIDI1_InqFlags & USBFS_INQ_SYSEX_FLAG) != 0u) + { + USBFS_MIDI1_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX_GEN_INFORMATION) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_IDENTITY_REQ)) + { /* Set the flag about received the Identity Request. + * The Identity Reply message may be send by user code. + */ + USBFS_MIDI1_InqFlags |= USBFS_INQ_IDENTITY_REQ_FLAG; + } + } + } + else /* Do nothing for other command */ + { + } + /* Running Status for Voice and Mode messages only. */ + if((cmd >= USBFS_NOTE_OFF) && ( cmd <= USBFS_PITCH_BEND_CHANGE)) + { + if(USBFS_MIDI1_TxRunStat == epBuf[USBFS_EVENT_BYTE1]) + { /* Skip the repeated Status byte */ + i++; + } + else + { /* Save Status byte for next event */ + USBFS_MIDI1_TxRunStat = epBuf[USBFS_EVENT_BYTE1]; + } + } + else + { /* Clear Running Status */ + USBFS_MIDI1_TxRunStat = 0u; + } + /* Puts data into the MIDI TX output buffer.*/ + do + { + MIDI1_UART_PutChar(epBuf[i]); + i++; + } while (i <= len); + } + + /* User code is required at the end of the procedure */ + /* `#START MIDI1_PROCESS_OUT_END` */ + + /* `#END` */ + } + +#if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + + + /******************************************************************************* + * Function Name: USBFS_MIDI2_GetEvent + ******************************************************************************** + * + * Summary: + * Checks for incoming MIDI data, calls the MIDI event builder if so. + * Returns either empty or with a complete event. + * + * Parameters: + * None + * + * Return: + * 0, if no complete message + * 1 - 4, if message complete + * MIDI_SYSEX, if start or continuation of system exclusive + * MIDI_EOSEX, if end of system exclusive + * 0xf8 - 0xff, if single byte real time message + * + * Global variables: + * USBFS_MIDI2_Event: RX status structure used to parse received + * data. + * + *******************************************************************************/ + uint8 USBFS_MIDI2_GetEvent(void) + { + uint8 msgRtn = 0u; + uint8 rxData; + #if (MIDI2_UART_RXBUFFERSIZE >= 256u) + uint16 rxBufferRead; + #if CY_PSOC3 /* This local variable required only for PSOC3 and large buffer */ + uint16 rxBufferWrite; + #endif /* end CY_PSOC3 */ + #else + uint8 rxBufferRead; + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + uint8 rxBufferLoopDetect; + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI2_UART_rxBufferLoopDetect; + + if ( (MIDI2_UART_rxBufferRead != MIDI2_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u) ) + { + /* Protect variables that could change on interrupt by disabling Rx interrupt.*/ + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + rxBufferRead = MIDI2_UART_rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + rxBufferWrite = MIDI2_UART_rxBufferWrite; + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + + /* Stay here until either the buffer is empty or we have a complete message + * in the message buffer. Note that we must use a temporary output pointer to + * since it takes two instructions to increment with a wrap, and we can't + * risk doing that with the real pointer and getting an interrupt in between + * instructions. + */ + + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + while ( ((rxBufferRead != rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #else + while ( ((rxBufferRead != MIDI2_UART_rxBufferWrite) || (rxBufferLoopDetect != 0u)) && (msgRtn == 0u) ) + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 && CY_PSOC3 */ + { + rxData = MIDI2_UART_rxBuffer[rxBufferRead]; + rxBufferRead++; + if(rxBufferRead >= MIDI2_UART_RXBUFFERSIZE) + { + rxBufferRead = 0u; + } + /* If loop condition was set - update real read buffer pointer + * to avoid overflow status + */ + if(rxBufferLoopDetect != 0u ) + { + MIDI2_UART_rxBufferLoopDetect = 0u; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + MIDI2_UART_rxBufferRead = rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + } + + msgRtn = USBFS_ProcessMidiIn(rxData, + (USBFS_MIDI_RX_STATUS *)&USBFS_MIDI2_Event); + + /* Read buffer loop condition to the local variable */ + rxBufferLoopDetect = MIDI2_UART_rxBufferLoopDetect; + } + + /* Finally, update the real output pointer, then return with + * an indication as to whether there's a complete message in the buffer. + */ + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntDisable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + MIDI2_UART_rxBufferRead = rxBufferRead; + #if ((MIDI2_UART_RXBUFFERSIZE >= 256u) && (CY_PSOC3)) + CyIntEnable(MIDI2_UART_RX_VECT_NUM); + #endif /* End MIDI2_UART_RXBUFFERSIZE >= 256 */ + } + + return (msgRtn); + } + + + /******************************************************************************* + * Function Name: USBFS_MIDI2_ProcessUsbOut + ******************************************************************************** + * + * Summary: + * Process a USB MIDI output event. + * Puts data into the MIDI TX output buffer. + * + * Parameters: + * *epBuf: pointer on MIDI event. + * + * Return: + * None + * + * Global variables: + * USBFS_MIDI2_TxRunStat: This variable used to save the MIDI + * status byte and skip to send the repeated status byte in subsequent event. + * USBFS_MIDI2_InqFlags: The following flags are set when SysEx + * message comes. + * USBFS_INQ_SYSEX_FLAG: Non-Real Time SySEx message received. + * USBFS_INQ_IDENTITY_REQ_FLAG: Identity Request received. + * This bit should be cleared by user when Identity Reply message generated. + * + *******************************************************************************/ + void USBFS_MIDI2_ProcessUsbOut(const uint8 epBuf[]) + + { + uint8 cmd; + uint8 len; + uint8 i; + + /* User code is required at the beginning of the procedure */ + /* `#START MIDI2_PROCESS_OUT_START` */ + + /* `#END` */ + + cmd = epBuf[USBFS_EVENT_BYTE0] & USBFS_CIN_MASK; + if((cmd != USBFS_RESERVED0) && (cmd != USBFS_RESERVED1)) + { + len = USBFS_MIDI_SIZE[cmd]; + i = USBFS_EVENT_BYTE1; + /* Universal System Exclusive message parsing */ + if(cmd == USBFS_SYSEX) + { + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_NON_REAL_TIME)) + { /* SySEx starts */ + USBFS_MIDI2_InqFlags |= USBFS_INQ_SYSEX_FLAG; + } + else + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + } + else if(cmd == USBFS_SYSEX_ENDS_WITH1) + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH2) + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + } + else if(cmd == USBFS_SYSEX_ENDS_WITH3) + { + /* Identify Request support */ + if((USBFS_MIDI2_InqFlags & USBFS_INQ_SYSEX_FLAG) != 0u) + { + USBFS_MIDI2_InqFlags &= (uint8)~USBFS_INQ_SYSEX_FLAG; + if((epBuf[USBFS_EVENT_BYTE1] == USBFS_MIDI_SYSEX_GEN_INFORMATION) && + (epBuf[USBFS_EVENT_BYTE2] == USBFS_MIDI_SYSEX_IDENTITY_REQ)) + { /* Set the flag about received the Identity Request. + * The Identity Reply message may be send by user code. + */ + USBFS_MIDI2_InqFlags |= USBFS_INQ_IDENTITY_REQ_FLAG; + } + } + } + else /* Do nothing for other command */ + { + } + /* Running Status for Voice and Mode messages only. */ + if((cmd >= USBFS_NOTE_OFF) && ( cmd <= USBFS_PITCH_BEND_CHANGE)) + { + if(USBFS_MIDI2_TxRunStat == epBuf[USBFS_EVENT_BYTE1]) + { /* Skip the repeated Status byte */ + i++; + } + else + { /* Save Status byte for next event */ + USBFS_MIDI2_TxRunStat = epBuf[USBFS_EVENT_BYTE1]; + } + } + else + { /* Clear Running Status */ + USBFS_MIDI2_TxRunStat = 0u; + } + /* Puts data into the MIDI TX output buffer.*/ + do + { + MIDI2_UART_PutChar(epBuf[i]); + i++; + } while (i <= len); + } + + /* User code is required at the end of the procedure */ + /* `#START MIDI2_PROCESS_OUT_END` */ + + /* `#END` */ + } +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + +#endif /* End (USBFS_ENABLE_MIDI_API != 0u) */ + + +/* `#START MIDI_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + +#endif /* End defined(USBFS_ENABLE_MIDI_STREAMING) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h new file mode 100644 index 0000000..5a72034 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h @@ -0,0 +1,200 @@ +/******************************************************************************* +* File Name: USBFS_midi.h +* Version 2.60 +* +* Description: +* Header File for the USBFS MIDI module. +* Contains prototypes and constant values. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_midi_H) +#define CY_USBFS_USBFS_midi_H + +#include "cytypes.h" +#include "USBFS.h" + + +/*************************************** +* Data Struct Definition +***************************************/ + +/* The following structure is used to hold status information for + building and parsing incoming MIDI messages. */ +typedef struct +{ + uint8 length; /* expected length */ + uint8 count; /* current byte count */ + uint8 size; /* complete size */ + uint8 runstat; /* running status */ + uint8 msgBuff[4]; /* message buffer */ +} USBFS_MIDI_RX_STATUS; + + +/*************************************** +* MIDI Constants. +***************************************/ + +#define USBFS_ONE_EXT_INTRF (0x01u) +#define USBFS_TWO_EXT_INTRF (0x02u) + +/* Flag definitions for use with MIDI device inquiry */ +#define USBFS_INQ_SYSEX_FLAG (0x01u) +#define USBFS_INQ_IDENTITY_REQ_FLAG (0x02u) + +/* USB-MIDI Code Index Number Classifications (MIDI Table 4-1) */ +#define USBFS_CIN_MASK (0x0Fu) +#define USBFS_RESERVED0 (0x00u) +#define USBFS_RESERVED1 (0x01u) +#define USBFS_2BYTE_COMMON (0x02u) +#define USBFS_3BYTE_COMMON (0x03u) +#define USBFS_SYSEX (0x04u) +#define USBFS_1BYTE_COMMON (0x05u) +#define USBFS_SYSEX_ENDS_WITH1 (0x05u) +#define USBFS_SYSEX_ENDS_WITH2 (0x06u) +#define USBFS_SYSEX_ENDS_WITH3 (0x07u) +#define USBFS_NOTE_OFF (0x08u) +#define USBFS_NOTE_ON (0x09u) +#define USBFS_POLY_KEY_PRESSURE (0x0Au) +#define USBFS_CONTROL_CHANGE (0x0Bu) +#define USBFS_PROGRAM_CHANGE (0x0Cu) +#define USBFS_CHANNEL_PRESSURE (0x0Du) +#define USBFS_PITCH_BEND_CHANGE (0x0Eu) +#define USBFS_SINGLE_BYTE (0x0Fu) + +#define USBFS_CABLE_MASK (0xF0u) +#define USBFS_MIDI_CABLE_00 (0x00u) +#define USBFS_MIDI_CABLE_01 (0x10u) + +#define USBFS_EVENT_BYTE0 (0x00u) +#define USBFS_EVENT_BYTE1 (0x01u) +#define USBFS_EVENT_BYTE2 (0x02u) +#define USBFS_EVENT_BYTE3 (0x03u) +#define USBFS_EVENT_LENGTH (0x04u) + +#define USBFS_MIDI_STATUS_BYTE_MASK (0x80u) +#define USBFS_MIDI_STATUS_MASK (0xF0u) +#define USBFS_MIDI_SINGLE_BYTE_MASK (0x08u) +#define USBFS_MIDI_NOTE_OFF (0x80u) +#define USBFS_MIDI_NOTE_ON (0x90u) +#define USBFS_MIDI_POLY_KEY_PRESSURE (0xA0u) +#define USBFS_MIDI_CONTROL_CHANGE (0xB0u) +#define USBFS_MIDI_PROGRAM_CHANGE (0xC0u) +#define USBFS_MIDI_CHANNEL_PRESSURE (0xD0u) +#define USBFS_MIDI_PITCH_BEND_CHANGE (0xE0u) +#define USBFS_MIDI_SYSEX (0xF0u) +#define USBFS_MIDI_EOSEX (0xF7u) +#define USBFS_MIDI_QFM (0xF1u) +#define USBFS_MIDI_SPP (0xF2u) +#define USBFS_MIDI_SONGSEL (0xF3u) +#define USBFS_MIDI_TUNEREQ (0xF6u) +#define USBFS_MIDI_ACTIVESENSE (0xFEu) + +/* MIDI Universal System Exclusive defines */ +#define USBFS_MIDI_SYSEX_NON_REAL_TIME (0x7Eu) +#define USBFS_MIDI_SYSEX_REALTIME (0x7Fu) +/* ID of target device */ +#define USBFS_MIDI_SYSEX_ID_ALL (0x7Fu) +/* Sub-ID#1*/ +#define USBFS_MIDI_SYSEX_GEN_INFORMATION (0x06u) +#define USBFS_MIDI_SYSEX_GEN_MESSAGE (0x09u) +/* Sub-ID#2*/ +#define USBFS_MIDI_SYSEX_IDENTITY_REQ (0x01u) +#define USBFS_MIDI_SYSEX_IDENTITY_REPLY (0x02u) +#define USBFS_MIDI_SYSEX_SYSTEM_ON (0x01u) +#define USBFS_MIDI_SYSEX_SYSTEM_OFF (0x02u) + +#define USBFS_CUSTOM_UART_TX_PRIOR_NUM (0x04u) +#define USBFS_CUSTOM_UART_RX_PRIOR_NUM (0x02u) + +#define USBFS_ISR_SERVICE_MIDI_OUT \ + ( (USBFS_ENABLE_MIDI_API != 0u) && \ + (USBFS_MIDI_OUT_BUFF_SIZE > 0) && (USBFS_EP_MM == USBFS__EP_DMAAUTO) ) +#define USBFS_ISR_SERVICE_MIDI_IN \ + ( (USBFS_ENABLE_MIDI_API != 0u) && (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + +/*************************************** +* External function references +***************************************/ + +void USBFS_callbackLocalMidiEvent(uint8 cable, uint8 *midiMsg) + ; + + +/*************************************** +* External references +***************************************/ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + #include "MIDI1_UART.h" +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ +#if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + #include "MIDI2_UART.h" +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + #include +#endif /* End USBFS_EP_MM */ + + +/*************************************** +* Private function prototypes +***************************************/ + +void USBFS_PrepareInBuffer(uint8 ic, const uint8 srcBuff[], uint8 eventLen, uint8 cable) + ; +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + void USBFS_MIDI_Init(void) ; + uint8 USBFS_ProcessMidiIn(uint8 mData, USBFS_MIDI_RX_STATUS *rxStat) + ; + uint8 USBFS_MIDI1_GetEvent(void) ; + void USBFS_MIDI1_ProcessUsbOut(const uint8 epBuf[]) + ; + + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + uint8 USBFS_MIDI2_GetEvent(void) ; + void USBFS_MIDI2_ProcessUsbOut(const uint8 epBuf[]) + ; + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + + +/*************************************** +* External data references +***************************************/ + +#if defined(USBFS_ENABLE_MIDI_STREAMING) + +#if (USBFS_MIDI_IN_BUFF_SIZE > 0) + #if (USBFS_MIDI_IN_BUFF_SIZE >= 256) + extern volatile uint16 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #else + extern volatile uint8 USBFS_midiInPointer; /* Input endpoint buffer pointer */ + #endif /* End USBFS_MIDI_IN_BUFF_SIZE >=256 */ + extern volatile uint8 USBFS_midi_in_ep; /* Input endpoint number */ + extern uint8 USBFS_midiInBuffer[USBFS_MIDI_IN_BUFF_SIZE]; /* Input endpoint buffer */ +#endif /* USBFS_MIDI_IN_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_OUT_BUFF_SIZE > 0) + extern volatile uint8 USBFS_midi_out_ep; /* Output endpoint number */ + extern uint8 USBFS_midiOutBuffer[USBFS_MIDI_OUT_BUFF_SIZE]; /* Output endpoint buffer */ +#endif /* USBFS_MIDI_OUT_BUFF_SIZE > 0 */ + +#if (USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF) + extern volatile uint8 USBFS_MIDI1_InqFlags; /* Device inquiry flag */ + #if (USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF) + extern volatile uint8 USBFS_MIDI2_InqFlags; /* Device inquiry flag */ + #endif /* End USBFS_MIDI_EXT_MODE >= USBFS_TWO_EXT_INTRF */ +#endif /* End USBFS_MIDI_EXT_MODE >= USBFS_ONE_EXT_INTRF */ + +#endif /* USBFS_ENABLE_MIDI_STREAMING */ + + +#endif /* End CY_USBFS_USBFS_midi_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pm.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pm.c new file mode 100644 index 0000000..00c88f6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pm.c @@ -0,0 +1,277 @@ +/******************************************************************************* +* File Name: USBFS_pm.c +* Version 2.60 +* +* Description: +* This file provides Suspend/Resume APIs functionality. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "project.h" +#include "USBFS.h" +#include "USBFS_pvt.h" + + +/*************************************** +* Custom Declarations +***************************************/ +/* `#START PM_CUSTOM_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/*************************************** +* Local data allocation +***************************************/ + +static USBFS_BACKUP_STRUCT USBFS_backup; + + +#if(USBFS_DP_ISR_REMOVE == 0u) + + + /******************************************************************************* + * Function Name: USBFS_DP_Interrupt + ******************************************************************************** + * + * Summary: + * This Interrupt Service Routine handles DP pin changes for wake-up from + * the sleep mode. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + CY_ISR(USBFS_DP_ISR) + { + /* `#START DP_USER_CODE` Place your code here */ + + /* `#END` */ + + /* Clears active interrupt */ + CY_GET_REG8(USBFS_DP_INTSTAT_PTR); + } + +#endif /* (USBFS_DP_ISR_REMOVE == 0u) */ + + +/******************************************************************************* +* Function Name: USBFS_SaveConfig +******************************************************************************** +* +* Summary: +* Saves the current user configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_SaveConfig(void) +{ + +} + + +/******************************************************************************* +* Function Name: USBFS_RestoreConfig +******************************************************************************** +* +* Summary: +* Restores the current user configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_RestoreConfig(void) +{ + if(USBFS_configuration != 0u) + { + USBFS_ConfigReg(); + } +} + + +/******************************************************************************* +* Function Name: USBFS_Suspend +******************************************************************************** +* +* Summary: +* This function disables the USBFS block and prepares for power donwn mode. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_backup.enable: modified. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Suspend(void) +{ + uint8 enableInterrupts; + enableInterrupts = CyEnterCriticalSection(); + + if((CY_GET_REG8(USBFS_CR0_PTR) & USBFS_CR0_ENABLE) != 0u) + { /* USB block is enabled */ + USBFS_backup.enableState = 1u; + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + USBFS_Stop_DMA(USBFS_MAX_EP); /* Stop all DMAs */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* Ensure USB transmit enable is low (USB_USBIO_CR0.ten). - Manual Transmission - Disabled */ + USBFS_USBIO_CR0_REG &= (uint8)~USBFS_USBIO_CR0_TEN; + CyDelayUs(0u); /*~50ns delay */ + + /* Disable the USBIO by asserting PM.USB_CR0.fsusbio_pd_n(Inverted) and pd_pullup_hv(Inverted) high. */ + USBFS_PM_USB_CR0_REG &= + (uint8)~(USBFS_PM_USB_CR0_PD_N | USBFS_PM_USB_CR0_PD_PULLUP_N); + + /* Disable the SIE */ + USBFS_CR0_REG &= (uint8)~USBFS_CR0_ENABLE; + + CyDelayUs(0u); /*~50ns delay */ + /* Store mode and Disable VRegulator*/ + USBFS_backup.mode = USBFS_CR1_REG & USBFS_CR1_REG_ENABLE; + USBFS_CR1_REG &= (uint8)~USBFS_CR1_REG_ENABLE; + + CyDelayUs(1u); /* 0.5 us min delay */ + /* Disable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_PM_USB_CR0_REG &= (uint8)~USBFS_PM_USB_CR0_REF_EN; + + /* Switch DP and DM terminals to GPIO mode and disconnect 1.5k pullup*/ + USBFS_USBIO_CR1_REG |= USBFS_USBIO_CR1_IOMODE; + + /* Disable USB in ACT PM */ + USBFS_PM_ACT_CFG_REG &= (uint8)~USBFS_PM_ACT_EN_FSUSB; + /* Disable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG &= (uint8)~USBFS_PM_STBY_EN_FSUSB; + CyDelayUs(1u); /* min 0.5us delay required */ + + } + else + { + USBFS_backup.enableState = 0u; + } + CyExitCriticalSection(enableInterrupts); + + /* Set the DP Interrupt for wake-up from sleep mode. */ + #if(USBFS_DP_ISR_REMOVE == 0u) + (void) CyIntSetVector(USBFS_DP_INTC_VECT_NUM, &USBFS_DP_ISR); + CyIntSetPriority(USBFS_DP_INTC_VECT_NUM, USBFS_DP_INTC_PRIOR); + CyIntClearPending(USBFS_DP_INTC_VECT_NUM); + CyIntEnable(USBFS_DP_INTC_VECT_NUM); + #endif /* (USBFS_DP_ISR_REMOVE == 0u) */ + +} + + +/******************************************************************************* +* Function Name: USBFS_Resume +******************************************************************************** +* +* Summary: +* This function enables the USBFS block after power down mode. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* USBFS_backup - checked. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Resume(void) +{ + uint8 enableInterrupts; + enableInterrupts = CyEnterCriticalSection(); + + if(USBFS_backup.enableState != 0u) + { + #if(USBFS_DP_ISR_REMOVE == 0u) + CyIntDisable(USBFS_DP_INTC_VECT_NUM); + #endif /* End USBFS_DP_ISR_REMOVE */ + + /* Enable USB block */ + USBFS_PM_ACT_CFG_REG |= USBFS_PM_ACT_EN_FSUSB; + /* Enable USB block for Standby Power Mode */ + USBFS_PM_STBY_CFG_REG |= USBFS_PM_STBY_EN_FSUSB; + /* Enable core clock */ + USBFS_USB_CLK_EN_REG |= USBFS_USB_CLK_ENABLE; + + /* Enable the USBIO reference by setting PM.USB_CR0.fsusbio_ref_en.*/ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_REF_EN; + /* The reference will be available ~40us after power restored */ + CyDelayUs(40u); + /* Return VRegulator*/ + USBFS_CR1_REG |= USBFS_backup.mode; + CyDelayUs(0u); /*~50ns delay */ + /* Enable USBIO */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_N; + CyDelayUs(2u); + /* Set the USBIO pull-up enable */ + USBFS_PM_USB_CR0_REG |= USBFS_PM_USB_CR0_PD_PULLUP_N; + + /* Reinit Arbiter configuration for DMA transfers */ + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + /* usb arb interrupt enable */ + USBFS_ARB_INT_EN_REG = USBFS_ARB_INT_MASK; + #if(USBFS_EP_MM == USBFS__EP_DMAMANUAL) + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_MANUAL_DMA; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAMANUAL */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /*Set cfg cmplt this rises DMA request when the full configuration is done */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + /* STALL_IN_OUT */ + CY_SET_REG8(USBFS_EP0_CR_PTR, USBFS_MODE_STALL_IN_OUT); + /* Enable the SIE with a last address */ + USBFS_CR0_REG |= USBFS_CR0_ENABLE; + CyDelayCycles(1u); + /* Finally, Enable d+ pullup and select iomode to USB mode*/ + CY_SET_REG8(USBFS_USBIO_CR1_PTR, USBFS_USBIO_CR1_USBPUEN); + + /* Restore USB register settings */ + USBFS_RestoreConfig(); + + } + CyExitCriticalSection(enableInterrupts); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h new file mode 100644 index 0000000..499fe26 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h @@ -0,0 +1,203 @@ +/******************************************************************************* +* File Name: .h +* Version 2.60 +* +* Description: +* This private file provides constants and parameter values for the +* USBFS Component. +* Please do not use this file or its content in your project. +* +* Note: +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_USBFS_USBFS_pvt_H) +#define CY_USBFS_USBFS_pvt_H + + +/*************************************** +* Private Variables +***************************************/ + +/* Generated external references for descriptors*/ +extern const uint8 CYCODE USBFS_DEVICE0_DESCR[18u]; +extern const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_DESCR[41u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_TABLE[1u]; +extern const T_USBFS_EP_SETTINGS_BLOCK CYCODE USBFS_DEVICE0_CONFIGURATION0_EP_SETTINGS_TABLE[2u]; +extern const uint8 CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE_CLASS[1u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_TABLE[4u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_TABLE[2u]; +extern const T_USBFS_LUT CYCODE USBFS_TABLE[1u]; +extern const uint8 CYCODE USBFS_SN_STRING_DESCRIPTOR[10]; +extern const uint8 CYCODE USBFS_STRING_DESCRIPTORS[83u]; +extern T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_SCB; +extern uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_BUF_SIZE]; +extern T_USBFS_XFER_STATUS_BLOCK USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_SCB; +extern uint8 USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF[ + USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_BUF_SIZE]; +extern const uint8 CYCODE USBFS_HIDREPORT_DESCRIPTOR1[40u]; +extern const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_IN_RPT_TABLE[1u]; +extern const T_USBFS_TD CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_OUT_RPT_TABLE[1u]; +extern const T_USBFS_LUT CYCODE USBFS_DEVICE0_CONFIGURATION0_INTERFACE0_ALTERNATE0_HID_TABLE[5u]; + + +extern const uint8 CYCODE USBFS_MSOS_DESCRIPTOR[USBFS_MSOS_DESCRIPTOR_LENGTH]; +extern const uint8 CYCODE USBFS_MSOS_CONFIGURATION_DESCR[USBFS_MSOS_CONF_DESCR_LENGTH]; +#if defined(USBFS_ENABLE_IDSN_STRING) + extern uint8 USBFS_idSerialNumberStringDescriptor[USBFS_IDSN_DESCR_LENGTH]; +#endif /* USBFS_ENABLE_IDSN_STRING */ + +extern volatile uint8 USBFS_interfaceNumber; +extern volatile uint8 USBFS_interfaceSetting[USBFS_MAX_INTERFACES_NUMBER]; +extern volatile uint8 USBFS_interfaceSetting_last[USBFS_MAX_INTERFACES_NUMBER]; +extern volatile uint8 USBFS_deviceAddress; +extern volatile uint8 USBFS_interfaceStatus[USBFS_MAX_INTERFACES_NUMBER]; +extern const uint8 CYCODE *USBFS_interfaceClass; + +extern volatile T_USBFS_EP_CTL_BLOCK USBFS_EP[USBFS_MAX_EP]; +extern volatile T_USBFS_TD USBFS_currentTD; + +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + extern uint8 USBFS_DmaChan[USBFS_MAX_EP]; + extern uint8 USBFS_DmaTd[USBFS_MAX_EP]; +#endif /* End USBFS_EP_MM */ + +extern volatile uint8 USBFS_ep0Toggle; +extern volatile uint8 USBFS_lastPacketSize; +extern volatile uint8 USBFS_ep0Mode; +extern volatile uint8 USBFS_ep0Count; +extern volatile uint16 USBFS_transferByteCount; + + +/*************************************** +* Private Function Prototypes +***************************************/ +void USBFS_ReInitComponent(void) ; +void USBFS_HandleSetup(void) ; +void USBFS_HandleIN(void) ; +void USBFS_HandleOUT(void) ; +void USBFS_LoadEP0(void) ; +uint8 USBFS_InitControlRead(void) ; +uint8 USBFS_InitControlWrite(void) ; +void USBFS_ControlReadDataStage(void) ; +void USBFS_ControlReadStatusStage(void) ; +void USBFS_ControlReadPrematureStatus(void) + ; +uint8 USBFS_InitControlWrite(void) ; +uint8 USBFS_InitZeroLengthControlTransfer(void) + ; +void USBFS_ControlWriteDataStage(void) ; +void USBFS_ControlWriteStatusStage(void) ; +void USBFS_ControlWritePrematureStatus(void) + ; +uint8 USBFS_InitNoDataControlTransfer(void) ; +void USBFS_NoDataControlStatusStage(void) ; +void USBFS_InitializeStatusBlock(void) ; +void USBFS_UpdateStatusBlock(uint8 completionCode) ; +uint8 USBFS_DispatchClassRqst(void) ; + +void USBFS_Config(uint8 clearAltSetting) ; +void USBFS_ConfigAltChanged(void) ; +void USBFS_ConfigReg(void) ; + +const T_USBFS_LUT CYCODE *USBFS_GetConfigTablePtr(uint8 c) + ; +const T_USBFS_LUT CYCODE *USBFS_GetDeviceTablePtr(void) + ; +const uint8 CYCODE *USBFS_GetInterfaceClassTablePtr(void) + ; +uint8 USBFS_ClearEndpointHalt(void) ; +uint8 USBFS_SetEndpointHalt(void) ; +uint8 USBFS_ValidateAlternateSetting(void) ; + +void USBFS_SaveConfig(void) ; +void USBFS_RestoreConfig(void) ; + +#if defined(USBFS_ENABLE_IDSN_STRING) + void USBFS_ReadDieID(uint8 descr[]) ; +#endif /* USBFS_ENABLE_IDSN_STRING */ + +#if defined(USBFS_ENABLE_HID_CLASS) + uint8 USBFS_DispatchHIDClassRqst(void); +#endif /* End USBFS_ENABLE_HID_CLASS */ +#if defined(USBFS_ENABLE_AUDIO_CLASS) + uint8 USBFS_DispatchAUDIOClassRqst(void); +#endif /* End USBFS_ENABLE_HID_CLASS */ +#if defined(USBFS_ENABLE_CDC_CLASS) + uint8 USBFS_DispatchCDCClassRqst(void); +#endif /* End USBFS_ENABLE_CDC_CLASS */ + +CY_ISR_PROTO(USBFS_EP_0_ISR); +#if(USBFS_EP1_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_1_ISR); +#endif /* End USBFS_EP1_ISR_REMOVE */ +#if(USBFS_EP2_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_2_ISR); +#endif /* End USBFS_EP2_ISR_REMOVE */ +#if(USBFS_EP3_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_3_ISR); +#endif /* End USBFS_EP3_ISR_REMOVE */ +#if(USBFS_EP4_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_4_ISR); +#endif /* End USBFS_EP4_ISR_REMOVE */ +#if(USBFS_EP5_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_5_ISR); +#endif /* End USBFS_EP5_ISR_REMOVE */ +#if(USBFS_EP6_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_6_ISR); +#endif /* End USBFS_EP6_ISR_REMOVE */ +#if(USBFS_EP7_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_7_ISR); +#endif /* End USBFS_EP7_ISR_REMOVE */ +#if(USBFS_EP8_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_EP_8_ISR); +#endif /* End USBFS_EP8_ISR_REMOVE */ +CY_ISR_PROTO(USBFS_BUS_RESET_ISR); +#if(USBFS_SOF_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_SOF_ISR); +#endif /* End USBFS_SOF_ISR_REMOVE */ +#if(USBFS_EP_MM != USBFS__EP_MANUAL) + CY_ISR_PROTO(USBFS_ARB_ISR); +#endif /* End USBFS_EP_MM */ +#if(USBFS_DP_ISR_REMOVE == 0u) + CY_ISR_PROTO(USBFS_DP_ISR); +#endif /* End USBFS_DP_ISR_REMOVE */ + + +/*************************************** +* Request Handlers +***************************************/ + +uint8 USBFS_HandleStandardRqst(void) ; +uint8 USBFS_DispatchClassRqst(void) ; +uint8 USBFS_HandleVendorRqst(void) ; + + +/*************************************** +* HID Internal references +***************************************/ +#if defined(USBFS_ENABLE_HID_CLASS) + void USBFS_FindReport(void) ; + void USBFS_FindReportDescriptor(void) ; + void USBFS_FindHidClassDecriptor(void) ; +#endif /* USBFS_ENABLE_HID_CLASS */ + + +/*************************************** +* MIDI Internal references +***************************************/ +#if defined(USBFS_ENABLE_MIDI_STREAMING) + void USBFS_MIDI_IN_EP_Service(void) ; +#endif /* USBFS_ENABLE_MIDI_STREAMING */ + + +#endif /* CY_USBFS_USBFS_pvt_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_std.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_std.c new file mode 100644 index 0000000..18f0364 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_std.c @@ -0,0 +1,1134 @@ +/******************************************************************************* +* File Name: USBFS_std.c +* Version 2.60 +* +* Description: +* USB Standard request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_cdc.h" +#include "USBFS_pvt.h" +#if defined(USBFS_ENABLE_MIDI_STREAMING) + #include "USBFS_midi.h" +#endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + + +/*************************************** +* Static data allocation +***************************************/ + +#if defined(USBFS_ENABLE_FWSN_STRING) + static volatile uint8 *USBFS_fwSerialNumberStringDescriptor; + static volatile uint8 USBFS_snStringConfirm = USBFS_FALSE; +#endif /* USBFS_ENABLE_FWSN_STRING */ + +#if defined(USBFS_ENABLE_FWSN_STRING) + + + /******************************************************************************* + * Function Name: USBFS_SerialNumString + ******************************************************************************** + * + * Summary: + * Application firmware may supply the source of the USB device descriptors + * serial number string during runtime. + * + * Parameters: + * snString: pointer to string. + * + * Return: + * None. + * + * Reentrant: + * No. + * + *******************************************************************************/ + void USBFS_SerialNumString(uint8 snString[]) + { + USBFS_snStringConfirm = USBFS_FALSE; + if(snString != NULL) + { + USBFS_fwSerialNumberStringDescriptor = snString; + /* Check descriptor validation */ + if( (snString[0u] > 1u ) && (snString[1u] == USBFS_DESCR_STRING) ) + { + USBFS_snStringConfirm = USBFS_TRUE; + } + } + } + +#endif /* USBFS_ENABLE_FWSN_STRING */ + + +/******************************************************************************* +* Function Name: USBFS_HandleStandardRqst +******************************************************************************** +* +* Summary: +* This Routine dispatches standard requests +* +* Parameters: +* None. +* +* Return: +* TRUE if request handled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_HandleStandardRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + uint8 interfaceNumber; + #if defined(USBFS_ENABLE_STRINGS) + volatile uint8 *pStr = 0u; + #if defined(USBFS_ENABLE_DESCRIPTOR_STRINGS) + uint8 nStr; + uint8 descrLength; + #endif /* USBFS_ENABLE_DESCRIPTOR_STRINGS */ + #endif /* USBFS_ENABLE_STRINGS */ + static volatile uint8 USBFS_tBuffer[USBFS_STATUS_LENGTH_MAX]; + const T_USBFS_LUT CYCODE *pTmp; + USBFS_currentTD.count = 0u; + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { + /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_DESCRIPTOR: + if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_DEVICE) + { + pTmp = USBFS_GetDeviceTablePtr(); + USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + USBFS_currentTD.count = USBFS_DEVICE_DESCR_LENGTH; + requestHandled = USBFS_InitControlRead(); + } + else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_CONFIG) + { + pTmp = USBFS_GetConfigTablePtr(CY_GET_REG8(USBFS_wValueLo)); + USBFS_currentTD.pData = (volatile uint8 *)pTmp->p_list; + USBFS_currentTD.count = ((uint16)(USBFS_currentTD.pData)[ \ + USBFS_CONFIG_DESCR_TOTAL_LENGTH_HI] << 8u) | \ + (USBFS_currentTD.pData)[USBFS_CONFIG_DESCR_TOTAL_LENGTH_LOW]; + requestHandled = USBFS_InitControlRead(); + } + #if defined(USBFS_ENABLE_STRINGS) + else if (CY_GET_REG8(USBFS_wValueHi) == USBFS_DESCR_STRING) + { + /* Descriptor Strings*/ + #if defined(USBFS_ENABLE_DESCRIPTOR_STRINGS) + nStr = 0u; + pStr = (volatile uint8 *)&USBFS_STRING_DESCRIPTORS[0u]; + while ( (CY_GET_REG8(USBFS_wValueLo) > nStr) && (*pStr != 0u) ) + { + /* Read descriptor length from 1st byte */ + descrLength = *pStr; + /* Move to next string descriptor */ + pStr = &pStr[descrLength]; + nStr++; + } + #endif /* End USBFS_ENABLE_DESCRIPTOR_STRINGS */ + /* Microsoft OS String*/ + #if defined(USBFS_ENABLE_MSOS_STRING) + if( CY_GET_REG8(USBFS_wValueLo) == USBFS_STRING_MSOS ) + { + pStr = (volatile uint8 *)&USBFS_MSOS_DESCRIPTOR[0u]; + } + #endif /* End USBFS_ENABLE_MSOS_STRING*/ + /* SN string */ + #if defined(USBFS_ENABLE_SN_STRING) + if( (CY_GET_REG8(USBFS_wValueLo) != 0u) && + (CY_GET_REG8(USBFS_wValueLo) == + USBFS_DEVICE0_DESCR[USBFS_DEVICE_DESCR_SN_SHIFT]) ) + { + pStr = (volatile uint8 *)&USBFS_SN_STRING_DESCRIPTOR[0u]; + #if defined(USBFS_ENABLE_FWSN_STRING) + if(USBFS_snStringConfirm != USBFS_FALSE) + { + pStr = USBFS_fwSerialNumberStringDescriptor; + } + #endif /* USBFS_ENABLE_FWSN_STRING */ + #if defined(USBFS_ENABLE_IDSN_STRING) + /* Read DIE ID and generate string descriptor in RAM */ + USBFS_ReadDieID(USBFS_idSerialNumberStringDescriptor); + pStr = USBFS_idSerialNumberStringDescriptor; + #endif /* End USBFS_ENABLE_IDSN_STRING */ + } + #endif /* End USBFS_ENABLE_SN_STRING */ + if (*pStr != 0u) + { + USBFS_currentTD.count = *pStr; + USBFS_currentTD.pData = pStr; + requestHandled = USBFS_InitControlRead(); + } + } + #endif /* End USBFS_ENABLE_STRINGS */ + else + { + requestHandled = USBFS_DispatchClassRqst(); + } + break; + case USBFS_GET_STATUS: + switch ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK)) + { + case USBFS_RQST_RCPT_EP: + USBFS_currentTD.count = USBFS_EP_STATUS_LENGTH; + USBFS_tBuffer[0u] = USBFS_EP[ \ + CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED].hwEpState; + USBFS_tBuffer[1u] = 0u; + USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + requestHandled = USBFS_InitControlRead(); + break; + case USBFS_RQST_RCPT_DEV: + USBFS_currentTD.count = USBFS_DEVICE_STATUS_LENGTH; + USBFS_tBuffer[0u] = USBFS_deviceStatus; + USBFS_tBuffer[1u] = 0u; + USBFS_currentTD.pData = &USBFS_tBuffer[0u]; + requestHandled = USBFS_InitControlRead(); + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + case USBFS_GET_CONFIGURATION: + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = (volatile uint8 *)&USBFS_configuration; + requestHandled = USBFS_InitControlRead(); + break; + case USBFS_GET_INTERFACE: + USBFS_currentTD.count = 1u; + USBFS_currentTD.pData = (volatile uint8 *)&USBFS_interfaceSetting[ \ + CY_GET_REG8(USBFS_wIndexLo)]; + requestHandled = USBFS_InitControlRead(); + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + else { + /* Control Write */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_SET_ADDRESS: + USBFS_deviceAddress = CY_GET_REG8(USBFS_wValueLo); + requestHandled = USBFS_InitNoDataControlTransfer(); + break; + case USBFS_SET_CONFIGURATION: + USBFS_configuration = CY_GET_REG8(USBFS_wValueLo); + USBFS_configurationChanged = USBFS_TRUE; + USBFS_Config(USBFS_TRUE); + requestHandled = USBFS_InitNoDataControlTransfer(); + break; + case USBFS_SET_INTERFACE: + if (USBFS_ValidateAlternateSetting() != 0u) + { + interfaceNumber = CY_GET_REG8(USBFS_wIndexLo); + USBFS_interfaceNumber = interfaceNumber; + USBFS_configurationChanged = USBFS_TRUE; + #if ((USBFS_EP_MA == USBFS__MA_DYNAMIC) && \ + (USBFS_EP_MM == USBFS__EP_MANUAL) ) + USBFS_Config(USBFS_FALSE); + #else + USBFS_ConfigAltChanged(); + #endif /* End (USBFS_EP_MA == USBFS__MA_DYNAMIC) */ + /* Update handled Alt setting changes status */ + USBFS_interfaceSetting_last[interfaceNumber] = + USBFS_interfaceSetting[interfaceNumber]; + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + case USBFS_CLEAR_FEATURE: + switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + { + case USBFS_RQST_RCPT_EP: + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + { + requestHandled = USBFS_ClearEndpointHalt(); + } + break; + case USBFS_RQST_RCPT_DEV: + /* Clear device REMOTE_WAKEUP */ + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + { + USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + case USBFS_RQST_RCPT_IFC: + /* Validate interfaceNumber */ + if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + { + USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + (uint8)~(CY_GET_REG8(USBFS_wValueLo)); + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + case USBFS_SET_FEATURE: + switch (CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_RCPT_MASK) + { + case USBFS_RQST_RCPT_EP: + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_ENDPOINT_HALT) + { + requestHandled = USBFS_SetEndpointHalt(); + } + break; + case USBFS_RQST_RCPT_DEV: + /* Set device REMOTE_WAKEUP */ + if (CY_GET_REG8(USBFS_wValueLo) == USBFS_DEVICE_REMOTE_WAKEUP) + { + USBFS_deviceStatus |= USBFS_DEVICE_STATUS_REMOTE_WAKEUP; + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + case USBFS_RQST_RCPT_IFC: + /* Validate interfaceNumber */ + if (CY_GET_REG8(USBFS_wIndexLo) < USBFS_MAX_INTERFACES_NUMBER) + { + USBFS_interfaceStatus[CY_GET_REG8(USBFS_wIndexLo)] &= + (uint8)~(CY_GET_REG8(USBFS_wValueLo)); + requestHandled = USBFS_InitNoDataControlTransfer(); + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + break; + default: /* requestHandled is initialized as FALSE by default */ + break; + } + } + return(requestHandled); +} + + +#if defined(USBFS_ENABLE_IDSN_STRING) + + /*************************************************************************** + * Function Name: USBFS_ReadDieID + **************************************************************************** + * + * Summary: + * This routine read Die ID and generate Serial Number string descriptor. + * + * Parameters: + * descr: pointer on string descriptor. + * + * Return: + * None. + * + * Reentrant: + * No. + * + ***************************************************************************/ + void USBFS_ReadDieID(uint8 descr[]) + { + uint8 i; + uint8 j = 0u; + uint8 value; + const char8 CYCODE hex[16u] = "0123456789ABCDEF"; + + + /* Check descriptor validation */ + if( descr != NULL) + { + descr[0u] = USBFS_IDSN_DESCR_LENGTH; + descr[1u] = USBFS_DESCR_STRING; + + /* fill descriptor */ + for(i = 2u; i < USBFS_IDSN_DESCR_LENGTH; i += 4u) + { + value = CY_GET_XTND_REG8((void CYFAR *)(USBFS_DIE_ID + j)); + j++; + descr[i] = (uint8)hex[value >> 4u]; + descr[i + 2u] = (uint8)hex[value & 0x0Fu]; + } + } + } + +#endif /* End USBFS_ENABLE_IDSN_STRING */ + + +/******************************************************************************* +* Function Name: USBFS_ConfigReg +******************************************************************************** +* +* Summary: +* This routine configures hardware registers from the variables. +* It is called from USBFS_Config() function and from RestoreConfig +* after Wakeup. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void USBFS_ConfigReg(void) +{ + uint8 ep; + uint8 i; + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + uint8 ep_type = 0u; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + /* Set the endpoint buffer addresses */ + ep = USBFS_EP1; + for (i = 0u; i < 0x80u; i+= 0x10u) + { + CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_CFG_IND + i), USBFS_ARB_EPX_CFG_CRC_BYPASS | + USBFS_ARB_EPX_CFG_RESET); + + #if(USBFS_EP_MM != USBFS__EP_MANUAL) + /* Enable all Arbiter EP Interrupts : err, buf under, buf over, dma gnt(mode2 only), in buf full */ + CY_SET_REG8((reg8 *)(USBFS_ARB_EP1_INT_EN_IND + i), USBFS_ARB_EPX_INT_MASK); + #endif /* End USBFS_EP_MM != USBFS__EP_MANUAL */ + + if(USBFS_EP[ep].epMode != USBFS_MODE_DISABLE) + { + if((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u ) + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_NAK_IN); + } + else + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_NAK_OUT); + /* Prepare EP type mask for automatic memory allocation */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + ep_type |= (uint8)(0x01u << (ep - USBFS_EP1)); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + } + else + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + i), USBFS_MODE_STALL_DATA_EP); + } + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + i), USBFS_EP[ep].bufferSize >> 8u); + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + i), USBFS_EP[ep].bufferSize & 0xFFu); + + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + i), USBFS_EP[ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + i), USBFS_EP[ep].buffOffset >> 8u); + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + ep++; + } + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* BUF_SIZE depend on DMA_THRESS value: 55-32 bytes 44-16 bytes 33-8 bytes 22-4 bytes 11-2 bytes */ + USBFS_BUF_SIZE_REG = USBFS_DMA_BUF_SIZE; + USBFS_DMA_THRES_REG = USBFS_DMA_BYTES_PER_BURST; /* DMA burst threshold */ + USBFS_DMA_THRES_MSB_REG = 0u; + USBFS_EP_ACTIVE_REG = USBFS_ARB_INT_MASK; + USBFS_EP_TYPE_REG = ep_type; + /* Cfg_cmp bit set to 1 once configuration is complete. */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM | + USBFS_ARB_CFG_CFG_CPM; + /* Cfg_cmp bit set to 0 during configuration of PFSUSB Registers. */ + USBFS_ARB_CFG_REG = USBFS_ARB_CFG_AUTO_DMA | USBFS_ARB_CFG_AUTO_MEM; + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + + CY_SET_REG8(USBFS_SIE_EP_INT_EN_PTR, 0xFFu); +} + + +/******************************************************************************* +* Function Name: USBFS_Config +******************************************************************************** +* +* Summary: +* This routine configures endpoints for the entire configuration by scanning +* the configuration descriptor. +* +* Parameters: +* clearAltSetting: It configures the bAlternateSetting 0 for each interface. +* +* Return: +* None. +* +* USBFS_interfaceClass - Initialized class array for each interface. +* It is used for handling Class specific requests depend on interface class. +* Different classes in multiple Alternate settings does not supported. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_Config(uint8 clearAltSetting) +{ + uint8 ep; + uint8 cur_ep; + uint8 i; + uint8 ep_type; + const uint8 *pDescr; + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + uint16 buffCount = 0u; + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + const T_USBFS_LUT CYCODE *pTmp; + const T_USBFS_EP_SETTINGS_BLOCK CYCODE *pEP; + + /* Clear all of the endpoints */ + for (ep = 0u; ep < USBFS_MAX_EP; ep++) + { + USBFS_EP[ep].attrib = 0u; + USBFS_EP[ep].hwEpState = 0u; + USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[ep].epToggle = 0u; + USBFS_EP[ep].epMode = USBFS_MODE_DISABLE; + USBFS_EP[ep].bufferSize = 0u; + USBFS_EP[ep].interface = 0u; + + } + + /* Clear Alternate settings for all interfaces */ + if(clearAltSetting != 0u) + { + for (i = 0u; i < USBFS_MAX_INTERFACES_NUMBER; i++) + { + USBFS_interfaceSetting[i] = 0x00u; + USBFS_interfaceSetting_last[i] = 0x00u; + } + } + + /* Init Endpoints and Device Status if configured */ + if(USBFS_configuration > 0u) + { + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + /* Set Power status for current configuration */ + pDescr = (const uint8 *)pTmp->p_list; + if((pDescr[USBFS_CONFIG_DESCR_ATTRIB] & USBFS_CONFIG_DESCR_ATTRIB_SELF_POWERED) != 0u) + { + USBFS_deviceStatus |= USBFS_DEVICE_STATUS_SELF_POWERED; + } + else + { + USBFS_deviceStatus &= (uint8)~USBFS_DEVICE_STATUS_SELF_POWERED; + } + /* Move to next element */ + pTmp = &pTmp[1u]; + ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + + #if ((USBFS_EP_MA == USBFS__MA_DYNAMIC) && \ + (USBFS_EP_MM == USBFS__EP_MANUAL) ) + /* Configure for dynamic EP memory allocation */ + /* p_list points the endpoint setting table. */ + pEP = (T_USBFS_EP_SETTINGS_BLOCK *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /* Compare current Alternate setting with EP Alt*/ + if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + { + cur_ep = pEP->addr & USBFS_DIR_UNUSED; + ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + if (pEP->addr & USBFS_DIR_IN) + { + /* IN Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_in_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_in_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + else + { + /* OUT Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_out_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_OUT_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_out_ep = cur_ep; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + USBFS_EP[cur_ep].addr = pEP->addr; + USBFS_EP[cur_ep].attrib = pEP->attributes; + } + pEP = &pEP[1u]; + } + #else /* Config for static EP memory allocation */ + for (i = USBFS_EP1; i < USBFS_MAX_EP; i++) + { + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + /* Find max length for each EP and select it (length could be different in different Alt settings) */ + /* but other settings should be correct with regards to Interface alt Setting */ + for (cur_ep = 0u; cur_ep < ep; cur_ep++) + { + /* EP count is equal to EP # in table and we found larger EP length than have before*/ + if(i == (pEP->addr & USBFS_DIR_UNUSED)) + { + if(USBFS_EP[i].bufferSize < pEP->bufferSize) + { + USBFS_EP[i].bufferSize = pEP->bufferSize; + } + /* Compare current Alternate setting with EP Alt*/ + if(USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) + { + ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + if ((pEP->addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + USBFS_EP[i].apiEpState = USBFS_EVENT_PENDING; + USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + /* Find and init CDC IN endpoint number */ + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_in_ep = i; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_IN_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_in_ep = i; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + else + { + /* OUT Endpoint */ + USBFS_EP[i].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[i].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + /* Find and init CDC IN endpoint number */ + #if defined(USBFS_ENABLE_CDC_CLASS) + if(((pEP->bMisc == USBFS_CLASS_CDC_DATA) || + (pEP->bMisc == USBFS_CLASS_CDC)) && + (ep_type != USBFS_EP_TYPE_INT)) + { + USBFS_cdc_data_out_ep = i; + } + #endif /* End USBFS_ENABLE_CDC_CLASS*/ + #if ( defined(USBFS_ENABLE_MIDI_STREAMING) && \ + (USBFS_MIDI_OUT_BUFF_SIZE > 0) ) + if((pEP->bMisc == USBFS_CLASS_AUDIO) && + (ep_type == USBFS_EP_TYPE_BULK)) + { + USBFS_midi_out_ep = i; + } + #endif /* End USBFS_ENABLE_MIDI_STREAMING*/ + } + USBFS_EP[i].addr = pEP->addr; + USBFS_EP[i].attrib = pEP->attributes; + + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + break; /* use first EP setting in Auto memory managment */ + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + } + pEP = &pEP[1u]; + } + } + #endif /* End (USBFS_EP_MA == USBFS__MA_DYNAMIC) */ + + /* Init class array for each interface and interface number for each EP. + * It is used for handling Class specific requests directed to either an + * interface or the endpoint. + */ + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /* Configure interface number for each EP*/ + USBFS_EP[pEP->addr & USBFS_DIR_UNUSED].interface = pEP->interface; + pEP = &pEP[1u]; + } + /* Init pointer on interface class table*/ + USBFS_interfaceClass = USBFS_GetInterfaceClassTablePtr(); + /* Set the endpoint buffer addresses */ + + #if(USBFS_EP_MM != USBFS__EP_DMAAUTO) + for (ep = USBFS_EP1; ep < USBFS_MAX_EP; ep++) + { + USBFS_EP[ep].buffOffset = buffCount; + buffCount += USBFS_EP[ep].bufferSize; + } + #endif /* End USBFS_EP_MM != USBFS__EP_DMAAUTO */ + + /* Configure hardware registers */ + USBFS_ConfigReg(); + } /* USBFS_configuration > 0 */ +} + + +/******************************************************************************* +* Function Name: USBFS_ConfigAltChanged +******************************************************************************** +* +* Summary: +* This routine update configuration for the required endpoints only. +* It is called after SET_INTERFACE request when Static memory allocation used. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_ConfigAltChanged(void) +{ + uint8 ep; + uint8 cur_ep; + uint8 i; + uint8 ep_type; + uint8 ri; + + const T_USBFS_LUT CYCODE *pTmp; + const T_USBFS_EP_SETTINGS_BLOCK CYCODE *pEP; + + + /* Init Endpoints and Device Status if configured */ + if(USBFS_configuration > 0u) + { + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + pTmp = &pTmp[1u]; + ep = pTmp->c; /* For this table, c is the number of endpoints configurations */ + + /* Do not touch EP which doesn't need reconfiguration */ + /* When Alt setting changed, the only required endpoints need to be reconfigured */ + /* p_list points the endpoint setting table. */ + pEP = (const T_USBFS_EP_SETTINGS_BLOCK CYCODE *) pTmp->p_list; + for (i = 0u; i < ep; i++) + { + /*If Alt setting changed and new is same with EP Alt */ + if((USBFS_interfaceSetting[pEP->interface] != + USBFS_interfaceSetting_last[pEP->interface] ) && + (USBFS_interfaceSetting[pEP->interface] == pEP->altSetting) && + (pEP->interface == CY_GET_REG8(USBFS_wIndexLo))) + { + cur_ep = pEP->addr & USBFS_DIR_UNUSED; + ri = ((cur_ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + ep_type = pEP->attributes & USBFS_EP_TYPE_MASK; + if ((pEP->addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_IN : USBFS_MODE_ACK_IN; + } + else + { + /* OUT Endpoint */ + USBFS_EP[cur_ep].apiEpState = USBFS_NO_EVENT_PENDING; + USBFS_EP[cur_ep].epMode = (ep_type == USBFS_EP_TYPE_ISOC) ? + USBFS_MODE_ISO_OUT : USBFS_MODE_ACK_OUT; + } + /* Change the SIE mode for the selected EP to NAK ALL */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN_OUT); + USBFS_EP[cur_ep].bufferSize = pEP->bufferSize; + USBFS_EP[cur_ep].addr = pEP->addr; + USBFS_EP[cur_ep].attrib = pEP->attributes; + + /* Clear the data toggle */ + USBFS_EP[cur_ep].epToggle = 0u; + + /* Dynamic reconfiguration for mode 3 transfer */ + #if(USBFS_EP_MM == USBFS__EP_DMAAUTO) + /* In_data_rdy for selected EP should be set to 0 */ + * (reg8 *)(USBFS_ARB_EP1_CFG_IND + ri) &= (uint8)~USBFS_ARB_EPX_CFG_IN_DATA_RDY; + + /* write the EP number for which reconfiguration is required */ + USBFS_DYN_RECONFIG_REG = (cur_ep - USBFS_EP1) << + USBFS_DYN_RECONFIG_EP_SHIFT; + /* Set the dyn_config_en bit in dynamic reconfiguration register */ + USBFS_DYN_RECONFIG_REG |= USBFS_DYN_RECONFIG_ENABLE; + /* wait for the dyn_config_rdy bit to set by the block, + * this bit will be set to 1 when block is ready for reconfiguration. + */ + while((USBFS_DYN_RECONFIG_REG & USBFS_DYN_RECONFIG_RDY_STS) == 0u) + { + ; + } + /* Once dyn_config_rdy bit is set, FW can change the EP configuration. */ + /* Change EP Type with new direction */ + if((pEP->addr & USBFS_DIR_IN) == 0u) + { + USBFS_EP_TYPE_REG |= (uint8)(0x01u << (cur_ep - USBFS_EP1)); + } + else + { + USBFS_EP_TYPE_REG &= (uint8)~(uint8)(0x01u << (cur_ep - USBFS_EP1)); + } + /* dynamic reconfiguration enable bit cleared, pointers and control/status + * signals for the selected EP is cleared/re-initialized on negative edge + * of dynamic reconfiguration enable bit). + */ + USBFS_DYN_RECONFIG_REG &= (uint8)~USBFS_DYN_RECONFIG_ENABLE; + /* The main loop has to re-enable DMA and OUT endpoint*/ + #else + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), + USBFS_EP[cur_ep].bufferSize >> 8u); + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT1_IND + ri), + USBFS_EP[cur_ep].bufferSize & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_IND + ri), + USBFS_EP[cur_ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_RA_MSB_IND + ri), + USBFS_EP[cur_ep].buffOffset >> 8u); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_IND + ri), + USBFS_EP[cur_ep].buffOffset & 0xFFu); + CY_SET_REG8((reg8 *)(USBFS_ARB_RW1_WA_MSB_IND + ri), + USBFS_EP[cur_ep].buffOffset >> 8u); + #endif /* End USBFS_EP_MM == USBFS__EP_DMAAUTO */ + } + /* Get next EP element */ + pEP = &pEP[1u]; + } + } /* USBFS_configuration > 0 */ +} + + +/******************************************************************************* +* Function Name: USBFS_GetConfigTablePtr +******************************************************************************** +* +* Summary: +* This routine returns a pointer a configuration table entry +* +* Parameters: +* c: Configuration Index +* +* Return: +* Device Descriptor pointer. +* +*******************************************************************************/ +const T_USBFS_LUT CYCODE *USBFS_GetConfigTablePtr(uint8 c) + +{ + /* Device Table */ + const T_USBFS_LUT CYCODE *pTmp; + + pTmp = (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list; + + /* The first entry points to the Device Descriptor, + * the rest configuration entries. + */ + return( (const T_USBFS_LUT CYCODE *) pTmp[c + 1u].p_list ); +} + + +/******************************************************************************* +* Function Name: USBFS_GetDeviceTablePtr +******************************************************************************** +* +* Summary: +* This routine returns a pointer to the Device table +* +* Parameters: +* None. +* +* Return: +* Device Table pointer +* +*******************************************************************************/ +const T_USBFS_LUT CYCODE *USBFS_GetDeviceTablePtr(void) + +{ + /* Device Table */ + return( (const T_USBFS_LUT CYCODE *) USBFS_TABLE[USBFS_device].p_list ); +} + + +/******************************************************************************* +* Function Name: USB_GetInterfaceClassTablePtr +******************************************************************************** +* +* Summary: +* This routine returns Interface Class table pointer, which contains +* the relation between interface number and interface class. +* +* Parameters: +* None. +* +* Return: +* Interface Class table pointer. +* +*******************************************************************************/ +const uint8 CYCODE *USBFS_GetInterfaceClassTablePtr(void) + +{ + const T_USBFS_LUT CYCODE *pTmp; + uint8 currentInterfacesNum; + + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_CONFIG_DESCR_NUM_INTERFACES]; + /* Third entry in the LUT starts the Interface Table pointers */ + /* The INTERFACE_CLASS table is located after all interfaces */ + pTmp = &pTmp[currentInterfacesNum + 2u]; + return( (const uint8 CYCODE *) pTmp->p_list ); +} + + +/******************************************************************************* +* Function Name: USBFS_TerminateEP +******************************************************************************** +* +* Summary: +* This function terminates the specified USBFS endpoint. +* This function should be used before endpoint reconfiguration. +* +* Parameters: +* Endpoint number. +* +* Return: +* None. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void USBFS_TerminateEP(uint8 ep) +{ + uint8 ri; + + ep &= USBFS_DIR_UNUSED; + ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + { + /* Set the endpoint Halt */ + USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_EP[ep].epToggle = 0u; + USBFS_EP[ep].apiEpState = USBFS_NO_EVENT_ALLOWED; + + if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN); + } + else + { + /* OUT Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + } + } +} + + +/******************************************************************************* +* Function Name: USBFS_SetEndpointHalt +******************************************************************************** +* +* Summary: +* This routine handles set endpoint halt. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_SetEndpointHalt(void) +{ + uint8 ep; + uint8 ri; + uint8 requestHandled = USBFS_FALSE; + + /* Set endpoint halt */ + ep = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + { + /* Set the endpoint Halt */ + USBFS_EP[ep].hwEpState |= (USBFS_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_EP[ep].epToggle = 0u; + USBFS_EP[ep].apiEpState |= USBFS_NO_EVENT_ALLOWED; + + if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_STALL_DATA_EP | + USBFS_MODE_ACK_IN); + } + else + { + /* OUT Endpoint */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_STALL_DATA_EP | + USBFS_MODE_ACK_OUT); + } + requestHandled = USBFS_InitNoDataControlTransfer(); + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USBFS_ClearEndpointHalt +******************************************************************************** +* +* Summary: +* This routine handles clear endpoint halt. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_ClearEndpointHalt(void) +{ + uint8 ep; + uint8 ri; + uint8 requestHandled = USBFS_FALSE; + + /* Clear endpoint halt */ + ep = CY_GET_REG8(USBFS_wIndexLo) & USBFS_DIR_UNUSED; + ri = ((ep - USBFS_EP1) << USBFS_EPX_CNTX_ADDR_SHIFT); + + if ((ep > USBFS_EP0) && (ep < USBFS_MAX_EP)) + { + /* Clear the endpoint Halt */ + USBFS_EP[ep].hwEpState &= (uint8)~(USBFS_ENDPOINT_STATUS_HALT); + + /* Clear the data toggle */ + USBFS_EP[ep].epToggle = 0u; + /* Clear toggle bit for already armed packet */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri), CY_GET_REG8( + (reg8 *)(USBFS_SIE_EP1_CNT0_IND + ri)) & (uint8)~USBFS_EPX_CNT_DATA_TOGGLE); + /* Return API State as it was defined before */ + USBFS_EP[ep].apiEpState &= (uint8)~USBFS_NO_EVENT_ALLOWED; + + if ((USBFS_EP[ep].addr & USBFS_DIR_IN) != 0u) + { + /* IN Endpoint */ + if(USBFS_EP[ep].apiEpState == USBFS_IN_BUFFER_EMPTY) + { /* Wait for next packet from application */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_IN); + } + else /* Continue armed transfer */ + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_ACK_IN); + } + } + else + { + /* OUT Endpoint */ + if(USBFS_EP[ep].apiEpState == USBFS_OUT_BUFFER_FULL) + { /* Allow application to read full buffer */ + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_NAK_OUT); + } + else /* Mark endpoint as empty, so it will be reloaded */ + { + CY_SET_REG8((reg8 *)(USBFS_SIE_EP1_CR0_IND + ri), USBFS_MODE_ACK_OUT); + } + } + requestHandled = USBFS_InitNoDataControlTransfer(); + } + + return(requestHandled); +} + + +/******************************************************************************* +* Function Name: USBFS_ValidateAlternateSetting +******************************************************************************** +* +* Summary: +* Validates (and records) a SET INTERFACE request. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_ValidateAlternateSetting(void) +{ + uint8 requestHandled = USBFS_TRUE; + uint8 interfaceNum; + const T_USBFS_LUT CYCODE *pTmp; + uint8 currentInterfacesNum; + + interfaceNum = CY_GET_REG8(USBFS_wIndexLo); + /* Validate interface setting, stall if invalid. */ + pTmp = USBFS_GetConfigTablePtr(USBFS_configuration - 1u); + currentInterfacesNum = ((const uint8 *) pTmp->p_list)[USBFS_CONFIG_DESCR_NUM_INTERFACES]; + + if((interfaceNum >= currentInterfacesNum) || (interfaceNum >= USBFS_MAX_INTERFACES_NUMBER)) + { /* Wrong interface number */ + requestHandled = USBFS_FALSE; + } + else + { + /* Save current Alt setting to find out the difference in Config() function */ + USBFS_interfaceSetting_last[interfaceNum] = USBFS_interfaceSetting[interfaceNum]; + USBFS_interfaceSetting[interfaceNum] = CY_GET_REG8(USBFS_wValueLo); + } + + return (requestHandled); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_vnd.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_vnd.c new file mode 100644 index 0000000..15b68a5 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_vnd.c @@ -0,0 +1,96 @@ +/******************************************************************************* +* File Name: USBFS_vnd.c +* Version 2.60 +* +* Description: +* USB vendor request handler. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "USBFS.h" +#include "USBFS_pvt.h" + +#if(USBFS_EXTERN_VND == USBFS_FALSE) + + +/*************************************** +* Vendor Specific Declarations +***************************************/ + +/* `#START VENDOR_SPECIFIC_DECLARATIONS` Place your declaration here */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: USBFS_HandleVendorRqst +******************************************************************************** +* +* Summary: +* This routine provide users with a method to implement vendor specifc +* requests. +* +* To implement vendor specific requests, add your code in this function to +* decode and disposition the request. If the request is handled, your code +* must set the variable "requestHandled" to TRUE, indicating that the +* request has been handled. +* +* Parameters: +* None. +* +* Return: +* requestHandled. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 USBFS_HandleVendorRqst(void) +{ + uint8 requestHandled = USBFS_FALSE; + + if ((CY_GET_REG8(USBFS_bmRequestType) & USBFS_RQST_DIR_MASK) == USBFS_RQST_DIR_D2H) + { + /* Control Read */ + switch (CY_GET_REG8(USBFS_bRequest)) + { + case USBFS_GET_EXTENDED_CONFIG_DESCRIPTOR: + #if defined(USBFS_ENABLE_MSOS_STRING) + USBFS_currentTD.pData = (volatile uint8 *)&USBFS_MSOS_CONFIGURATION_DESCR[0u]; + USBFS_currentTD.count = USBFS_MSOS_CONFIGURATION_DESCR[0u]; + requestHandled = USBFS_InitControlRead(); + #endif /* End USBFS_ENABLE_MSOS_STRING */ + break; + default: + break; + } + } + + /* `#START VENDOR_SPECIFIC_CODE` Place your vendor specific request here */ + + /* `#END` */ + + return(requestHandled); +} + + +/******************************************************************************* +* Additional user functions supporting Vendor Specific Requests +********************************************************************************/ + +/* `#START VENDOR_SPECIFIC_FUNCTIONS` Place any additional functions here */ + +/* `#END` */ + + +#endif /* USBFS_EXTERN_VND */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cm3gcc.ld b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cm3gcc.ld new file mode 100644 index 0000000..6427452 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cm3gcc.ld @@ -0,0 +1,295 @@ +/* Linker script for ARM M-profile Simulator + * + * Version: Sourcery G++ Lite 2010q1-188 + * Support: https://support.codesourcery.com/GNUToolchain/ + * + * Copyright (c) 2007, 2008, 2009, 2010 CodeSourcery, Inc. + * + * The authors hereby grant permission to use, copy, modify, distribute, + * and license this software and its documentation for any purpose, provided + * that existing copyright notices are retained in all copies and that this + * notice is included verbatim in any distributions. No written agreement, + * license, or royalty fee is required for any of the authorized uses. + * Modifications to this software may be copyrighted by their authors + * and need not follow the licensing terms described here, provided that + * the new terms are clearly indicated on the first page of each file where + * they apply. + */ +OUTPUT_FORMAT ("elf32-littlearm", "elf32-bigarm", "elf32-littlearm") +ENTRY(__cy_reset) +SEARCH_DIR(.) +GROUP(-lgcc -lc -lnosys) + + +MEMORY +{ + rom (rx) : ORIGIN = 0x0, LENGTH = 131072 + ram (rwx) : ORIGIN = 0x20000000 - (32768 / 2), LENGTH = 32768 +} + + +CY_APPL_ORIGIN = 0; +CY_FLASH_ROW_SIZE = 256; +CY_ECC_ROW_SIZE = 32; +CY_EE_IN_BTLDR = 0x0; +CY_APPL_LOADABLE = 0; +CY_EE_SIZE = 2048; +CY_APPL_NUM = 1; +CY_APPL_MAX = 1; +CY_METADATA_SIZE = 64; + + +/* These force the linker to search for particular symbols from + * the start of the link process and thus ensure the user's + * overrides are picked up + */ +EXTERN(Reset) + +/* Bring in the interrupt routines & vector */ +EXTERN(main) + +/* Bring in the meta data */ +EXTERN(cy_meta_loader cy_bootloader cy_meta_loadable cy_meta_bootloader) +EXTERN(cy_meta_custnvl cy_meta_wolatch cy_meta_flashprotect cy_metadata) + +/* Provide fall-back values */ +PROVIDE(__cy_heap_start = _end); +PROVIDE(__cy_region_num = (__cy_regions_end - __cy_regions) / 16); +PROVIDE(__cy_stack = ORIGIN(ram) + LENGTH(ram)); +PROVIDE(__cy_heap_end = __cy_stack - 0x2000); + + +SECTIONS +{ + /* The bootloader location */ + .cybootloader 0x0 : { KEEP(*(.cybootloader)) } >rom + + /* Calculate where the loadables should start */ + appl1_start = CY_APPL_ORIGIN ? CY_APPL_ORIGIN : ALIGN(CY_FLASH_ROW_SIZE); + appl2_start = appl1_start + ALIGN((LENGTH(rom) - appl1_start - 2 * CY_FLASH_ROW_SIZE) / 2, CY_FLASH_ROW_SIZE); + appl_start = (CY_APPL_NUM == 1) ? appl1_start : appl2_start; + ecc_offset = (appl_start / CY_FLASH_ROW_SIZE) * CY_ECC_ROW_SIZE; + ee_offset = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? ((CY_EE_SIZE / CY_APPL_MAX) * (CY_APPL_NUM - 1)) : 0; + ee_size = (CY_APPL_LOADABLE && !CY_EE_IN_BTLDR) ? (CY_EE_SIZE / CY_APPL_MAX) : CY_EE_SIZE; + PROVIDE(CY_ECC_OFFSET = ecc_offset); + + .text appl_start : + { + CREATE_OBJECT_SYMBOLS + PROVIDE(__cy_interrupt_vector = RomVectors); + + *(.romvectors) + + /* Make sure we pulled in an interrupt vector. */ + ASSERT (. != __cy_interrupt_vector, "No interrupt vector"); + + ASSERT (CY_APPL_ORIGIN ? (SIZEOF(.cybootloader) <= CY_APPL_ORIGIN) : 1, "Wrong image location"); + + PROVIDE(__cy_reset = Reset); + *(.text.Reset) + /* Make sure we pulled in some reset code. */ + ASSERT (. != __cy_reset, "No reset code"); + + /* Place the DMA initialization before text to ensure it gets placed in first 64K of flash */ + *(.dma_init) + ASSERT(appl_start + . <= 0x10000 || !0, "DMA Init must be within the first 64k of flash"); + + *(.text .text.* .gnu.linkonce.t.*) + *(.plt) + *(.gnu.warning) + *(.glue_7t) *(.glue_7) *(.vfp11_veneer) + + KEEP(*(.bootloader)) /* necessary for bootloader's, but doesn't impact non-bootloaders */ + + *(.ARM.extab* .gnu.linkonce.armextab.*) + *(.gcc_except_table) + } >rom + .eh_frame_hdr : ALIGN (4) + { + KEEP (*(.eh_frame_hdr)) + } >rom + .eh_frame : ALIGN (4) + { + KEEP (*(.eh_frame)) + } >rom + /* .ARM.exidx is sorted, so has to go in its own output section. */ + PROVIDE_HIDDEN (__exidx_start = .); + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } >rom + __exidx_end = .; + .rodata : ALIGN (4) + { + *(.rodata .rodata.* .gnu.linkonce.r.*) + + . = ALIGN(4); + KEEP(*(.init)) + + . = ALIGN(4); + __preinit_array_start = .; + KEEP (*(.preinit_array)) + __preinit_array_end = .; + + . = ALIGN(4); + __init_array_start = .; + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array)) + __init_array_end = .; + + . = ALIGN(4); + KEEP(*(.fini)) + + . = ALIGN(4); + __fini_array_start = .; + KEEP (*(.fini_array)) + KEEP (*(SORT(.fini_array.*))) + __fini_array_end = .; + + . = ALIGN(0x4); + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*crtend.o(.ctors)) + + . = ALIGN(0x4); + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*crtend.o(.dtors)) + + . = ALIGN(4); + __cy_regions = .; + LONG (__cy_region_init_ram) + LONG (__cy_region_start_data) + LONG (__cy_region_init_size_ram) + LONG (__cy_region_zero_size_ram) + __cy_regions_end = .; + + . = ALIGN (8); + _etext = .; + } >rom + + .ramvectors (NOLOAD) : ALIGN(8) + { + __cy_region_start_ram = .; + KEEP(*(.ramvectors)) + } + + .noinit (NOLOAD) : ALIGN(8) + { + KEEP(*(.noinit)) + } + + .data : ALIGN(8) + { + __cy_region_start_data = .; + + KEEP(*(.jcr)) + *(.got.plt) *(.got) + *(.shdata) + *(.data .data.* .gnu.linkonce.d.*) + . = ALIGN (8); + *(.ram) + _edata = .; + } >ram AT>rom + .bss : ALIGN(8) + { + PROVIDE(__bss_start__ = .); + *(.shbss) + *(.bss .bss.* .gnu.linkonce.b.*) + *(COMMON) + . = ALIGN (8); + *(.ram.b) + _end = .; + __end = .; + } >ram AT>rom + PROVIDE(end = .); + PROVIDE(__bss_end__ = .); + + __cy_region_init_ram = LOADADDR (.data); + __cy_region_init_size_ram = _edata - ADDR (.data); + __cy_region_zero_size_ram = _end - _edata; + + /* The .stack and .heap sections don't contain any symbols. + * They are only used for linker to calculate RAM utilization. + */ + .heap (NOLOAD) : + { + . = _end; + . += 0x0800; + __cy_heap_limit = .; + } >ram + + .stack (__cy_stack - 0x2000) (NOLOAD) : + { + __cy_stack_limit = .; + . += 0x2000; + } >ram + + /* Check if data + heap + stack exceeds RAM limit */ + ASSERT(__cy_stack_limit >= __cy_heap_limit, "region RAM overflowed with stack") + + .cyloadermeta ((appl_start == 0) ? (LENGTH(rom) - CY_METADATA_SIZE) : 0xF0000000) : + { + KEEP(*(.cyloadermeta)) + } :NONE + + .cyloadablemeta (LENGTH(rom) - CY_FLASH_ROW_SIZE * (CY_APPL_NUM - 1) - CY_METADATA_SIZE) : + { + KEEP(*(.cyloadablemeta)) + } >rom + + .cyconfigecc (0x80000000 + ecc_offset) : + { + KEEP(*(.cyconfigecc)) + } :NONE + + .cycustnvl 0x90000000 : { KEEP(*(.cycustnvl)) } :NONE + .cywolatch 0x90100000 : { KEEP(*(.cywolatch)) } :NONE + + .cyeeprom (0x90200000 + ee_offset) : + { + KEEP(*(.cyeeprom)) + ASSERT(. <= (0x90200000 + ee_offset + ee_size), ".cyeeprom data will not fit in EEPROM"); + } :NONE + + .cyflashprotect 0x90400000 : { KEEP(*(.cyflashprotect)) } :NONE + .cymeta 0x90500000 : { KEEP(*(.cymeta)) } :NONE + + .stab 0 (NOLOAD) : { *(.stab) } + .stabstr 0 (NOLOAD) : { *(.stabstr) } + /* DWARF debug sections. + * Symbols in the DWARF debugging sections are relative to the beginning + * of the section so we begin them at 0. + */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* DWARF 2.1 */ + .debug_ranges 0 : { *(.debug_ranges) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .note.gnu.arm.ident 0 : { KEEP (*(.note.gnu.arm.ident)) } + .ARM.attributes 0 : { KEEP (*(.ARM.attributes)) } + /DISCARD/ : { *(.note.GNU-stack) } +} + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h new file mode 100644 index 0000000..0e215fc --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h @@ -0,0 +1,1627 @@ +/**************************************************************************//** + * @file core_cm3.h + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Header File + * @version V3.20 + * @date 25. February 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#endif + +#ifdef __cplusplus + extern "C" { +#endif + +#ifndef __CORE_CM3_H_GENERIC +#define __CORE_CM3_H_GENERIC + +/** \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
    + Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
    + Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
    + Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** \ingroup Cortex_M3 + @{ + */ + +/* CMSIS CM3 definitions */ +#define __CM3_CMSIS_VERSION_MAIN (0x03) /*!< [31:16] CMSIS HAL main version */ +#define __CM3_CMSIS_VERSION_SUB (0x20) /*!< [15:0] CMSIS HAL sub version */ +#define __CM3_CMSIS_VERSION ((__CM3_CMSIS_VERSION_MAIN << 16) | \ + __CM3_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x03) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#endif + +/** __FPU_USED indicates whether an FPU is used or not. This core does not support an FPU at all +*/ +#define __FPU_USED 0 + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI__VFP_SUPPORT____ + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif +#endif + +#include /* standard types definitions */ +#include /* Core Instruction Access */ +#include /* Core Function Access */ + +#endif /* __CORE_CM3_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM3_H_DEPENDANT +#define __CORE_CM3_H_DEPENDANT + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM3_REV + #define __CM3_REV 0x0200 + #warning "__CM3_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0 + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4 + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0 + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/*@} end of group Cortex_M3 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + ******************************************************************************/ +/** \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ +#else + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ +#endif + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + + +/** \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + + +/** \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ +#if (__CORTEX_M != 0x04) + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ +#else + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ +#endif + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + + +/** \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/*@} end of group CMSIS_CORE */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0 /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL << NVIC_STIR_INTID_Pos) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5]; + __IO uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16 /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#if (__CM3_REV < 0x0201) /* core r2p1 */ +#define SCB_VTOR_TBLBASE_Pos 29 /*!< SCB VTOR: TBLBASE Position */ +#define SCB_VTOR_TBLBASE_Msk (1UL << SCB_VTOR_TBLBASE_Pos) /*!< SCB VTOR: TBLBASE Mask */ + +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x3FFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#else +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1]; + __I uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ +#if ((defined __CM3_REV) && (__CM3_REV >= 0x200)) + __IO uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +#else + uint32_t RESERVED1[1]; +#endif +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0 /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL << SCnSCB_ICTR_INTLINESNUM_Pos) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2 /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1 /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0 /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL << SCnSCB_ACTLR_DISMCYCINT_Pos) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL << SysTick_VAL_CURRENT_Pos) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __O uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __I uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __O uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __I uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10 /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IO uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IO uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IO uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IO uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IO uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IO uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __I uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IO uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IO uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IO uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1]; + __IO uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IO uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IO uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1]; + __IO uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IO uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IO uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1]; + __IO uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IO uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IO uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28 /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27 /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26 /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25 /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24 /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22 /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21 /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20 /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19 /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18 /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17 /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16 /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12 /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10 /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9 /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5 /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1 /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0 /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL << DWT_CTRL_CYCCNTENA_Pos) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0 /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL << DWT_CPICNT_CPICNT_Pos) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0 /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL << DWT_EXCCNT_EXCCNT_Pos) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0 /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL << DWT_SLEEPCNT_SLEEPCNT_Pos) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0 /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL << DWT_LSUCNT_LSUCNT_Pos) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0 /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL << DWT_FOLDCNT_FOLDCNT_Pos) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0 /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL << DWT_MASK_MASK_Pos) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24 /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16 /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12 /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10 /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9 /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8 /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7 /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5 /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0 /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL << DWT_FUNCTION_FUNCTION_Pos) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IO uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IO uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2]; + __IO uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55]; + __IO uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131]; + __I uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IO uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __I uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759]; + __I uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __I uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __I uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1]; + __I uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __I uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IO uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39]; + __IO uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IO uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8]; + __I uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __I uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0 /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL << TPI_ACPR_PRESCALER_Pos) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0 /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL << TPI_SPPR_TXMODE_Pos) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3 /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2 /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1 /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0 /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL << TPI_FFSR_FlInProg_Pos) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8 /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1 /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0 /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL << TPI_TRIGGER_TRIGGER_Pos) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29 /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27 /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26 /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24 /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16 /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8 /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0 /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL << TPI_FIFO0_ETM0_Pos) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0 /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL << TPI_ITATBCTR2_ATREADY_Pos) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29 /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27 /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26 /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24 /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16 /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8 /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0 /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL << TPI_FIFO1_ITM0_Pos) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0 /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL << TPI_ITATBCTR0_ATREADY_Pos) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0 /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL << TPI_ITCTRL_Mode_Pos) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11 /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10 /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9 /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6 /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5 /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0 /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL << TPI_DEVID_NrTraceInput_Pos) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_SubType_Pos 0 /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL << TPI_DEVTYPE_SubType_Pos) /*!< TPI DEVTYPE: SubType Mask */ + +#define TPI_DEVTYPE_MajorType_Pos 4 /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1) +/** \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_ATTRS_Pos 16 /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL << MPU_RASR_ENABLE_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M3 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** \brief Set Priority Grouping + + The function sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** \brief Get Priority Grouping + + The function reads the priority grouping field from the NVIC Interrupt Controller. + + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + + +/** \brief Enable External Interrupt + + The function enables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* enable interrupt */ +} + + +/** \brief Disable External Interrupt + + The function disables a device-specific interrupt in the NVIC interrupt controller. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + + +/** \brief Get Pending Interrupt + + The function reads the pending register in the NVIC and returns the pending bit + for the specified interrupt. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + + +/** \brief Set Pending Interrupt + + The function sets the pending bit of an external interrupt. + + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + + +/** \brief Clear Pending Interrupt + + The function clears the pending bit of an external interrupt. + + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + + +/** \brief Get Active Interrupt + + The function reads the active register in NVIC and returns the active bit. + + \param [in] IRQn Interrupt number. + + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + + +/** \brief Set Interrupt Priority + + The function sets the priority of an interrupt. + + \note The priority cannot be set for every core interrupt. + + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + + +/** \brief Get Interrupt Priority + + The function reads the priority of an interrupt. The interrupt + number can be positive to specify an external (device specific) + interrupt, or negative to specify an internal (core) interrupt. + + + \param [in] IRQn Interrupt number. + \return Interrupt Priority. Value is aligned automatically to the implemented + priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** \brief Encode Priority + + The function encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the samllest possible priority group is set. + + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** \brief Decode Priority + + The function decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + +/** \brief System Reset + + The function initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0) + +/** \brief System Tick Configuration + + The function initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + + \param [in] ticks Number of ticks between two interrupts. + + \return 0 Function succeeded. + \return 1 Function failed. + + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1) > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = ticks - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** \brief ITM Send Character + + The function transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + + \param [in] ch Character to transmit. + + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1UL << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** \brief ITM Receive Character + + The function inputs a character via the external variable \ref ITM_RxBuffer. + + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) { + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** \brief ITM Check Character + + The function checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + +#endif /* __CORE_CM3_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ + +#ifdef __cplusplus +} +#endif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h new file mode 100644 index 0000000..a7c7be7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h @@ -0,0 +1,54 @@ +/******************************************************************************* +* File Name: core_cm3_psoc5.h +* Version 4.0 +* +* Description: +* Provides important type information for the PSoC5. This includes types +* necessary for core_cm3.h. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + + +#if !defined(__CORE_CM3_PSOC5_H__) +#define __CORE_CM3_PSOC5_H__ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ +/****** Cortex-M3 Processor Exceptions Numbers ***************************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13, /*!< 3 Cortex-M3 Hard Fault Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M3 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M3 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M3 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M3 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M3 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M3 Pend SV Interrupt */ + SysTick_IRQn = -1 /*!< 15 Cortex-M3 System Tick Interrupt */ +/****** PSoC5 Peripheral Interrupt Numbers *******************************************************/ + /* Not relevant. All peripheral interrupts are defined by the user */ +} IRQn_Type; + +#include + +#define __CHECK_DEVICE_DEFINES + +#define __CM3_REV 0x0201 + +#define __MPU_PRESENT 0 +#define __NVIC_PRIO_BITS 3 +#define __Vendor_SysTickConfig 0 + +#include + + +#endif /* __CORE_CM3_PSOC5_H__ */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h new file mode 100644 index 0000000..139bc3c --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h @@ -0,0 +1,636 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V3.20 + * @date 25. February 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xff); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** \brief Enable IRQ Interrupts + + This function enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i" : : : "memory"); +} + + +/** \brief Disable IRQ Interrupts + + This function disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i" : : : "memory"); +} + + +/** \brief Get Control Register + + This function returns the content of the Control Register. + + \return Control Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +/** \brief Set Control Register + + This function writes the given value to the Control Register. + + \param [in] control Control Register value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) : "memory"); +} + + +/** \brief Get IPSR Register + + This function returns the content of the IPSR Register. + + \return IPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get APSR Register + + This function returns the content of the APSR Register. + + \return APSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get xPSR Register + + This function returns the content of the xPSR Register. + + \return xPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** \brief Get Process Stack Pointer + + This function returns the current value of the Process Stack Pointer (PSP). + + \return PSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, psp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Process Stack Pointer + + This function assigns the given value to the Process Stack Pointer (PSP). + + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n" : : "r" (topOfProcStack) : "sp"); +} + + +/** \brief Get Main Stack Pointer + + This function returns the current value of the Main Stack Pointer (MSP). + + \return MSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, msp\n" : "=r" (result) ); + return(result); +} + + +/** \brief Set Main Stack Pointer + + This function assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n" : : "r" (topOfMainStack) : "sp"); +} + + +/** \brief Get Priority Mask + + This function returns the current state of the priority mask bit from the Priority Mask Register. + + \return Priority Mask value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Priority Mask + + This function assigns the given value to the Priority Mask Register. + + \param [in] priMask Priority Mask + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory"); +} + + +#if (__CORTEX_M >= 0x03) + +/** \brief Enable FIQ + + This function enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f" : : : "memory"); +} + + +/** \brief Disable FIQ + + This function disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f" : : : "memory"); +} + + +/** \brief Get Base Priority + + This function returns the current value of the Base Priority register. + + \return Base Priority register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri_max" : "=r" (result) ); + return(result); +} + + +/** \brief Set Base Priority + + This function assigns the given value to the Base Priority register. + + \param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) : "memory"); +} + + +/** \brief Get Fault Mask + + This function returns the current value of the Fault Mask register. + + \return Fault Mask register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +/** \brief Set Fault Mask + + This function assigns the given value to the Fault Mask register. + + \param [in] faultMask Fault Mask value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory"); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + +#if (__CORTEX_M == 0x04) + +/** \brief Get FPSCR + + This function returns the current value of the Floating Point Status/Control register. + + \return Floating Point Status/Control register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + uint32_t result; + + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + __ASM volatile (""); + return(result); +#else + return(0); +#endif +} + + +/** \brief Set FPSCR + + This function assigns the given value to the Floating Point Status/Control register. + + \param [in] fpscr Floating Point Status/Control value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1) && (__FPU_USED == 1) + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) : "vfpcc"); + __ASM volatile (""); +#endif +} + +#endif /* (__CORTEX_M == 0x04) */ + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all instrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +#endif /* __CORE_CMFUNC_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h new file mode 100644 index 0000000..0d75f40 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h @@ -0,0 +1,688 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V3.20 + * @date 05. March 2013 + * + * @note + * + ******************************************************************************/ +/* Copyright (c) 2009 - 2013 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#if (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +#define __ISB() __isb(0xF) + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() __dsb(0xF) + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() __dmb(0xF) + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} +#endif + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} +#endif + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __breakpoint(value) + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +#define __RBIT __rbit + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXB(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXH(value, ptr) __strex(value, ptr) + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#define __STREXW(value, ptr) __strex(value, ptr) + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +#define __CLREX __clrex + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + +#endif /* (__CORTEX_M >= 0x03) */ + + + +#elif defined ( __ICCARM__ ) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#include + + +#elif defined ( __TMS470__ ) /*---------------- TI CCS Compiler ------------------*/ +/* TI CCS specific functions */ + +#include + + +#elif defined ( __GNUC__ ) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/* Define macros for porting to both thumb1 and thumb2. + * For thumb1, use low register (r0-r7), specified by constrant "l" + * Otherwise, use general registers, specified by constrant "r" */ +#if defined (__thumb__) && !defined (__thumb2__) +#define __CMSIS_GCC_OUT_REG(r) "=l" (r) +#define __CMSIS_GCC_USE_REG(r) "l" (r) +#else +#define __CMSIS_GCC_OUT_REG(r) "=r" (r) +#define __CMSIS_GCC_USE_REG(r) "r" (r) +#endif + +/** \brief No Operation + + No Operation does nothing. This instruction can be used for code alignment purposes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __NOP(void) +{ + __ASM volatile ("nop"); +} + + +/** \brief Wait For Interrupt + + Wait For Interrupt is a hint instruction that suspends execution + until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFI(void) +{ + __ASM volatile ("wfi"); +} + + +/** \brief Wait For Event + + Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __WFE(void) +{ + __ASM volatile ("wfe"); +} + + +/** \brief Send Event + + Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __SEV(void) +{ + __ASM volatile ("sev"); +} + + +/** \brief Instruction Synchronization Barrier + + Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or + memory, after the instruction has been completed. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __ISB(void) +{ + __ASM volatile ("isb"); +} + + +/** \brief Data Synchronization Barrier + + This function acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DSB(void) +{ + __ASM volatile ("dsb"); +} + + +/** \brief Data Memory Barrier + + This function ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __DMB(void) +{ + __ASM volatile ("dmb"); +} + + +/** \brief Reverse byte order (32 bit) + + This function reverses the byte order in integer value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV(uint32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 5) + return __builtin_bswap32(value); +#else + uint32_t result; + + __ASM volatile ("rev %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Reverse byte order (16 bit) + + This function reverses the byte order in two unsigned short values. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** \brief Reverse byte order in signed short value + + This function reverses the byte order in a signed short value with sign extension to integer. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __REVSH(int32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + return (short)__builtin_bswap16(value); +#else + uint32_t result; + + __ASM volatile ("revsh %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** \brief Rotate Right in unsigned value (32 bit) + + This function Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + return (op1 >> op2) | (op1 << (32 - op2)); +} + + +/** \brief Breakpoint + + This function causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __ASM volatile ("bkpt "#value) + + +#if (__CORTEX_M >= 0x03) + +/** \brief Reverse bit order of value + + This function reverses the bit order of the given value. + + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + + +/** \brief LDR Exclusive (8 bit) + + This function performs a exclusive LDR command for 8 bit value. + + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexb %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return(result); +} + + +/** \brief LDR Exclusive (16 bit) + + This function performs a exclusive LDR command for 16 bit values. + + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexh %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return(result); +} + + +/** \brief LDR Exclusive (32 bit) + + This function performs a exclusive LDR command for 32 bit values. + + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** \brief STR Exclusive (8 bit) + + This function performs a exclusive STR command for 8 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (16 bit) + + This function performs a exclusive STR command for 16 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief STR Exclusive (32 bit) + + This function performs a exclusive STR command for 32 bit values. + + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** \brief Remove the exclusive lock + + This function removes the exclusive lock which is created by LDREX. + + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __CLREX(void) +{ + __ASM volatile ("clrex" ::: "memory"); +} + + +/** \brief Signed Saturate + + This function saturates a signed value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Unsigned Saturate + + This function saturates an unsigned value. + + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** \brief Count leading zeros + + This function counts the number of leading zeros of a data value. + + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint8_t __CLZ(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("clz %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +#endif /* (__CORTEX_M >= 0x03) */ + + + + +#elif defined ( __TASKING__ ) /*------------------ TASKING Compiler --------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.c new file mode 100644 index 0000000..01f0794 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.c @@ -0,0 +1,1819 @@ +/******************************************************************************* +* File Name: cyPm.c +* Version 4.0 +* +* Description: +* Provides an API for the power management. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cyPm.h" + + +/******************************************************************* +* Place your includes, defines and code here. Do not use merge +* region below unless any component datasheet suggest to do so. +*******************************************************************/ +/* `#START CY_PM_HEADER_INCLUDE` */ + +/* `#END` */ + + +static CY_PM_BACKUP_STRUCT cyPmBackup; +static CY_PM_CLOCK_BACKUP_STRUCT cyPmClockBackup; + +/* Convertion table between register's values and frequency in MHz */ +static const uint8 CYCODE cyPmImoFreqReg2Mhz[7u] = {12u, 6u, 24u, 3u, 48u, 62u, 74u}; + +/* Function Prototypes */ +static void CyPmHibSaveSet(void); +static void CyPmHibRestore(void) ; + +static void CyPmHibSlpSaveSet(void) ; +static void CyPmHibSlpRestore(void) ; + +static void CyPmHviLviSaveDisable(void) ; +static void CyPmHviLviRestore(void) ; + + +/******************************************************************************* +* Function Name: CyPmSaveClocks +******************************************************************************** +* +* Summary: +* This function is called in preparation for entering sleep or hibernate low +* power modes. Saves all state of the clocking system that does not persist +* during sleep/hibernate or that needs to be altered in preparation for +* sleep/hibernate. Shutdowns all the digital and analog clock dividers for the +* active power mode configuration. +* +* Switches the master clock over to the IMO and shuts down the PLL and MHz +* Crystal. The IMO frequency is set to either 12 MHz or 48 MHz to match the +* Design-Wide Resources System Editor "Enable Fast IMO During Startup" setting. +* The ILO and 32 KHz oscillators are not impacted. The current Flash wait state +* setting is saved and the Flash wait state setting is set for the current IMO +* speed. +* +* Note If the Master Clock source is routed through the DSI inputs, then it +* must be set manually to another source before using the +* CyPmSaveClocks()/CyPmRestoreClocks() functions. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* All peripheral clocks are going to be off after this API method call. +* +*******************************************************************************/ +void CyPmSaveClocks(void) +{ + /* Digital and analog clocks - save enable state and disable them all */ + cyPmClockBackup.enClkA = CY_PM_ACT_CFG1_REG & CY_PM_ACT_EN_CLK_A_MASK; + cyPmClockBackup.enClkD = CY_PM_ACT_CFG2_REG; + CY_PM_ACT_CFG1_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_A_MASK)); + CY_PM_ACT_CFG2_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_D_MASK)); + + /* Save current flash wait cycles and set the maximum value */ + cyPmClockBackup.flashWaitCycles = CY_PM_CACHE_CR_CYCLES_MASK & CY_PM_CACHE_CR_REG; + CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + + /* IMO - save current IMO MHz OSC frequency and USB mode is on bit */ + cyPmClockBackup.imoFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + cyPmClockBackup.imoUsbClk = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_USB; + + /* IMO doubler - save enable state */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + { + /* IMO doubler enabled - save and disable */ + cyPmClockBackup.imo2x = CY_PM_ENABLED; + } + else + { + /* IMO doubler disabled */ + cyPmClockBackup.imo2x = CY_PM_DISABLED; + } + + /* IMO - set appropriate frequency for LPM */ + CyIMO_SetFreq(CY_PM_IMO_FREQ_LPM); + + /* IMO - save enable state and enable without wait to settle */ + if(0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG)) + { + /* IMO - save enabled state */ + cyPmClockBackup.imoEnable = CY_PM_ENABLED; + } + else + { + /* IMO - save disabled state */ + cyPmClockBackup.imoEnable = CY_PM_DISABLED; + + /* IMO - enable */ + CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + } + + /* IMO - save the current IMOCLK source and set to IMO if not yet */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_XCLKEN)) + { + /* DSI or XTAL CLK */ + cyPmClockBackup.imoClkSrc = + (0u == (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO2X_SRC)) ? CY_IMO_SOURCE_DSI : CY_IMO_SOURCE_XTAL; + + /* IMO - set IMOCLK source to MHz OSC */ + CyIMO_SetSource(CY_IMO_SOURCE_IMO); + } + else + { + /* IMO */ + cyPmClockBackup.imoClkSrc = CY_IMO_SOURCE_IMO; + } + + /* Save clk_imo source */ + cyPmClockBackup.clkImoSrc = CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK; + + /* If IMOCLK2X or SPC OSC is source for clk_imo, set it to IMOCLK */ + if(CY_PM_CLKDIST_IMO_OUT_IMO != cyPmClockBackup.clkImoSrc) + { + /* Set IMOCLK to source for clk_imo */ + CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + CY_PM_CLKDIST_IMO_OUT_IMO; + } /* Need to change nothing if IMOCLK is source clk_imo */ + + /* IMO doubler - disable it (saved above) */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + { + CyIMO_DisableDoubler(); + } + + /* Master clock - save divider and set it to divide-by-one (if no yet) */ + cyPmClockBackup.clkSyncDiv = CY_PM_CLKDIST_MSTR0_REG; + if(CY_PM_DIV_BY_ONE != cyPmClockBackup.clkSyncDiv) + { + CyMasterClk_SetDivider(CY_PM_DIV_BY_ONE); + } /* Need to change nothing if master clock divider is 1 */ + + /* Master clock - save current source */ + cyPmClockBackup.masterClkSrc = CY_PM_CLKDIST_MSTR1_REG & CY_PM_MASTER_CLK_SRC_MASK; + + /* Master clock source - set it to IMO if not yet. */ + if(CY_MASTER_SOURCE_IMO != cyPmClockBackup.masterClkSrc) + { + CyMasterClk_SetSource(CY_MASTER_SOURCE_IMO); + } /* Need to change nothing if master clock source is IMO */ + + /* Bus clock - save divider and set it, if needed, to divide-by-one */ + cyPmClockBackup.clkBusDiv = (uint16) ((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + cyPmClockBackup.clkBusDiv |= CY_PM_CLK_BUS_LSB_DIV_REG; + if(CY_PM_BUS_CLK_DIV_BY_ONE != cyPmClockBackup.clkBusDiv) + { + CyBusClk_SetDivider(CY_PM_BUS_CLK_DIV_BY_ONE); + } /* Do nothing if saved and actual values are equal */ + + /* Set number of wait cycles for the flash according CPU frequency in MHz */ + CyFlash_SetWaitCycles((uint8)CY_PM_GET_CPU_FREQ_MHZ); + + /* PLL - check enable state, disable if needed */ + if(0u != (CY_PM_FASTCLK_PLL_CFG0_REG & CY_PM_PLL_CFG0_ENABLE)) + { + /* PLL is enabled - save state and disable */ + cyPmClockBackup.pllEnableState = CY_PM_ENABLED; + CyPLL_OUT_Stop(); + } + else + { + /* PLL is disabled - save state */ + cyPmClockBackup.pllEnableState = CY_PM_DISABLED; + } + + /* MHz ECO - check enable state and disable if needed */ + if(0u != (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_ENABLE)) + { + /* MHz ECO is enabled - save state and disable */ + cyPmClockBackup.xmhzEnableState = CY_PM_ENABLED; + CyXTAL_Stop(); + } + else + { + /* MHz ECO is disabled - save state */ + cyPmClockBackup.xmhzEnableState = CY_PM_DISABLED; + } + + + /*************************************************************************** + * Save enable state of delay between the system bus clock and each of the + * 4 individual analog clocks. This bit non-retention and it's value should + * be restored on wakeup. + ***************************************************************************/ + if(0u != (CY_PM_CLKDIST_DELAY_REG & CY_PM_CLKDIST_DELAY_EN)) + { + cyPmClockBackup.clkDistDelay = CY_PM_ENABLED; + } + else + { + cyPmClockBackup.clkDistDelay = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmRestoreClocks +******************************************************************************** +* +* Summary: +* Restores any state that was preserved by the last call to CyPmSaveClocks(). +* The Flash wait state setting is also restored. +* +* Note If the Master Clock source is routed through the DSI inputs, then it +* must be set manually to another source before using the +* CyPmSaveClocks()/CyPmRestoreClocks() functions. +* +* PSoC 3 and PSoC 5LP: +* The merge region could be used to process state when the megahertz crystal is +* not ready after the hold-off timeout. +* +* PSoC 5: +* The 130 ms is given for the megahertz crystal to stabilize. It's readiness is +* not verified after the hold-off timeout. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPmRestoreClocks(void) +{ + cystatus status = CYRET_TIMEOUT; + uint16 i; + uint16 clkBusDivTmp; + + + /* Convertion table between CyIMO_SetFreq() parameters and register's value */ + const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + CY_IMO_FREQ_12MHZ, CY_IMO_FREQ_6MHZ, CY_IMO_FREQ_24MHZ, CY_IMO_FREQ_3MHZ, + CY_IMO_FREQ_48MHZ, 5u, 6u}; + + /* Restore enable state of delay between the system bus clock and ACLKs. */ + if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + { + /* Delay for both the bandgap and the delay line to settle out */ + CyDelayCycles((uint32)(CY_PM_CLK_DELAY_BANDGAP_SETTLE_US + CY_PM_CLK_DELAY_BIAS_SETTLE_US) * + CY_PM_GET_CPU_FREQ_MHZ); + + CY_PM_CLKDIST_DELAY_REG |= CY_PM_CLKDIST_DELAY_EN; + } + + /* MHz ECO restore state */ + if(CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) + { + /*********************************************************************** + * Enabling XMHZ XTAL. The actual CyXTAL_Start() with non zero wait + * period uses FTW for period measurement. This could cause a problem + * if CTW/FTW is used as a wake up time in the low power modes APIs. + * So, the XTAL wait procedure is implemented with a software delay. + ***********************************************************************/ + + /* Enable XMHZ XTAL with no wait */ + (void) CyXTAL_Start(CY_PM_XTAL_MHZ_NO_WAIT); + + /* Read XERR bit to clear it */ + (void) CY_PM_FASTCLK_XMHZ_CSR_REG; + + /* Wait */ + for(i = CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US; i > 0u; i--) + { + /* Make a 200 microseconds delay */ + CyDelayCycles((uint32)CY_PM_WAIT_200_US * CY_PM_GET_CPU_FREQ_MHZ); + + /* High output indicates oscillator failure */ + if(0u == (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_XERR)) + { + status = CYRET_SUCCESS; + break; + } + } + + if(CYRET_TIMEOUT == status) + { + /******************************************************************* + * Process the situation when megahertz crystal is not ready. + * Time to stabialize value is crystal specific. + *******************************************************************/ + /* `#START_MHZ_ECO_TIMEOUT` */ + + /* `#END` */ + } + } /* (CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) */ + + + /* Temprorary set the maximum flash wait cycles */ + CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + + /* The XTAL and DSI clocks are ready to be source for Master clock. */ + if((CY_PM_MASTER_CLK_SRC_XTAL == cyPmClockBackup.masterClkSrc) || + (CY_PM_MASTER_CLK_SRC_DSI == cyPmClockBackup.masterClkSrc)) + { + /* Restore Master clock's divider */ + if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + { + /* Restore Master clock divider */ + CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + } + + /* Restore Master clock source */ + CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + } + + /* IMO - restore IMO frequency */ + if((0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) && + (CY_IMO_FREQ_24MHZ == cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq])) + { + /* Restore IMO frequency (24 MHz) and trim it for USB */ + CyIMO_SetFreq(CY_IMO_FREQ_USB); + } + else + { + /* Restore IMO frequency */ + CyIMO_SetFreq(cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq]); + + if(0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) + { + CY_PM_FASTCLK_IMO_CR_REG |= CY_PM_FASTCLK_IMO_CR_USB; + } + else + { + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_PM_FASTCLK_IMO_CR_USB)); + } + } + + /* IMO - restore enable state if needed */ + if((CY_PM_ENABLED == cyPmClockBackup.imoEnable) && + (0u == (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + { + /* IMO - restore enabled state */ + CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + } + + /* IMO - restore disable state if needed */ + if((CY_PM_DISABLED == cyPmClockBackup.imoEnable) && + (0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + { + CyIMO_Stop(); + } + + /* IMO - restore IMOCLK source */ + CyIMO_SetSource(cyPmClockBackup.imoClkSrc); + + /* Restore IMO doubler enable state (turned off by CyPmSaveClocks()) */ + if(CY_PM_ENABLED == cyPmClockBackup.imo2x) + { + CyIMO_EnableDoubler(); + } + + /* IMO - restore clk_imo source, if needed */ + if(cyPmClockBackup.clkImoSrc != (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK)) + { + CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + cyPmClockBackup.clkImoSrc; + } + + /* PLL restore state */ + if(CY_PM_ENABLED == cyPmClockBackup.pllEnableState) + { + /*********************************************************************** + * Enable PLL. The actual CyPLL_OUT_Start() without wait period uses FTW + * for period measurement. This could cause a problem if CTW/FTW is used + * as a wakeup time in the low power modes APIs. To omit this issue PLL + * wait procedure is implemented with a software delay. + ***********************************************************************/ + + /* Enable PLL */ + (void) CyPLL_OUT_Start(CY_PM_PLL_OUT_NO_WAIT); + + /* Make a 250 us delay */ + CyDelayCycles((uint32)CY_PM_WAIT_250_US * CY_PM_GET_CPU_FREQ_MHZ); + } /* (CY_PM_ENABLED == cyPmClockBackup.pllEnableState) */ + + + /* PLL and IMO is ready to be source for Master clock */ + if((CY_PM_MASTER_CLK_SRC_IMO == cyPmClockBackup.masterClkSrc) || + (CY_PM_MASTER_CLK_SRC_PLL == cyPmClockBackup.masterClkSrc)) + { + /* Restore Master clock divider */ + if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + { + CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + } + + /* Restore Master clock source */ + CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + } + + /* Bus clock - restore divider, if needed */ + clkBusDivTmp = (uint16) ((uint16)CY_PM_CLK_BUS_MSB_DIV_REG << 8u); + clkBusDivTmp |= CY_PM_CLK_BUS_LSB_DIV_REG; + if(cyPmClockBackup.clkBusDiv != clkBusDivTmp) + { + CyBusClk_SetDivider(cyPmClockBackup.clkBusDiv); + } + + /* Restore flash wait cycles */ + CY_PM_CACHE_CR_REG = ((CY_PM_CACHE_CR_REG & ((uint8)(~CY_PM_CACHE_CR_CYCLES_MASK))) | + cyPmClockBackup.flashWaitCycles); + + /* Digital and analog clocks - restore state */ + CY_PM_ACT_CFG1_REG = cyPmClockBackup.enClkA; + CY_PM_ACT_CFG2_REG = cyPmClockBackup.enClkD; +} + + +/******************************************************************************* +* Function Name: CyPmAltAct +******************************************************************************** +* +* Summary: +* Puts the part into the Alternate Active (Standby) state. The Alternate Active +* state can allow for any of the capabilities of the device to be active, but +* the operation of this function is dependent on the CPU being disabled during +* the Alternate Active state. The configuration code and the component APIs +* will configure the template for the Alternate Active state to be the same as +* the Active state with the exception that the CPU will be disabled during +* Alternate Active. +* +* Note Before calling this function, you must manually configure the power mode +* of the source clocks for the timer that is used as the wakeup timer. +* +* PSoC 3: +* Before switching to Alternate Active, if a wakeupTime other than NONE is +* specified, then the appropriate timer state is configured as specified with +* the interrupt for that timer disabled. The wakeup source will be the +* combination of the values specified in the wakeupSource and any timer +* specified in the wakeupTime argument. Once the wakeup condition is +* satisfied, then all saved state is restored and the function returns in the +* Active state. +* +* Note that if the wakeupTime is made with a different value, the period before +* the wakeup occurs can be significantly shorter than the specified time. If +* the next call is made with the same wakeupTime value, then the wakeup will +* occur the specified period after the previous wakeup occurred. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. If the CTW, FTW or One PPS is already +* configured for wakeup, for example with the SleepTimer or RTC components, +* then specify NONE for the wakeupTime and include the appropriate source for +* wakeupSource. +* +* PSoC 5LP: +* This function is used to both enter the Alternate Active mode and halt the +* processor. For PSoC 3 these two actions must be paired together. With PSoC +* 5LP the processor can be halted independently with the __WFI() function from +* the CMSIS library that is included in Creator. This function should be used +* instead when the action required is just to halt the processor until an +* enabled interrupt occurs. +* +* The wakeupTime parameter is not used for this device. It must be set to zero +* (PM_ALT_ACT_TIME_NONE). The wake up time configuration can be done by a +* separate component: the CTW wakeup interval should be configured with the +* Sleep Timer component and one second interval should be configured with the +* RTC component. +* +* The wakeup behavior depends on wakeupSource parameter in the following +* manner: upon function execution the device will be switched from Active to +* Alternate Active mode and then the CPU will be halted. When an enabled wakeup +* event occurs the device will return to Active mode. Similarly when an +* enabled interrupt occurs the CPU will be started. These two actions will +* occur together provided that the event that occurs is an enabled wakeup +* source and also generates an interrupt. If just the wakeup event occurs then +* the device will be in Active mode, but the CPU will remain halted waiting for +* an interrupt. If an interrupt occurs from something other than a wakeup +* source, then the CPU will restart with the device in Alternate Active mode +* until a wakeup event occurs. +* +* For example, if CyPmAltAct(PM_ALT_ACT_TIME_NONE, PM_ALT_ACT_SRC_PICU) is +* called and PICU interrupt occurs, the CPU will be started and device will be +* switched into Active mode. And if CyPmAltAct(PM_ALT_ACT_TIME_NONE, +* PM_ALT_ACT_SRC_NONE) is called and PICU interrupt occurs, the CPU will be +* started while device remains in Alternate Active mode. +* +* Parameters: +* wakeupTime: Specifies a timer wakeup source and the frequency of that +* source. For PSoC 5LP this parameter is ignored. +* +* Define Time +* PM_ALT_ACT_TIME_NONE None +* PM_ALT_ACT_TIME_ONE_PPS One PPS: 1 second +* PM_ALT_ACT_TIME_CTW_2MS CTW: 2 ms +* PM_ALT_ACT_TIME_CTW_4MS CTW: 4 ms +* PM_ALT_ACT_TIME_CTW_8MS CTW: 8 ms +* PM_ALT_ACT_TIME_CTW_16MS CTW: 16 ms +* PM_ALT_ACT_TIME_CTW_32MS CTW: 32 ms +* PM_ALT_ACT_TIME_CTW_64MS CTW: 64 ms +* PM_ALT_ACT_TIME_CTW_128MS CTW: 128 ms +* PM_ALT_ACT_TIME_CTW_256MS CTW: 256 ms +* PM_ALT_ACT_TIME_CTW_512MS CTW: 512 ms +* PM_ALT_ACT_TIME_CTW_1024MS CTW: 1024 ms +* PM_ALT_ACT_TIME_CTW_2048MS CTW: 2048 ms +* PM_ALT_ACT_TIME_CTW_4096MS CTW: 4096 ms +* PM_ALT_ACT_TIME_FTW(1-256)* FTW: 10us to 2.56 ms +* +* *Note: PM_ALT_ACT_TIME_FTW() is a macro that takes an argument that +* specifies how many increments of 10 us to delay. + For PSoC 3 silicon the valid range of values is 1 to 256. +* +* wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if +* a wakeupTime has been specified the associated timer will be +* included as a wakeup source. +* +* Define Source +* PM_ALT_ACT_SRC_NONE None +* PM_ALT_ACT_SRC_COMPARATOR0 Comparator 0 +* PM_ALT_ACT_SRC_COMPARATOR1 Comparator 1 +* PM_ALT_ACT_SRC_COMPARATOR2 Comparator 2 +* PM_ALT_ACT_SRC_COMPARATOR3 Comparator 3 +* PM_ALT_ACT_SRC_INTERRUPT Interrupt +* PM_ALT_ACT_SRC_PICU PICU +* PM_ALT_ACT_SRC_I2C I2C +* PM_ALT_ACT_SRC_BOOSTCONVERTER Boost Converter +* PM_ALT_ACT_SRC_FTW Fast Timewheel* +* PM_ALT_ACT_SRC_VD High and Low Voltage Detection (HVI, LVI)* +* PM_ALT_ACT_SRC_CTW Central Timewheel** +* PM_ALT_ACT_SRC_ONE_PPS One PPS** +* PM_ALT_ACT_SRC_LCD LCD +* +* *Note : FTW and HVI/LVI wakeup signals are in the same mask bit. +* **Note: CTW and One PPS wakeup signals are in the same mask bit. +* +* When specifying a Comparator as the wakeupSource an instance specific define +* should be used that will track with the specific comparator that the instance +* is placed into. As an example, for a Comparator instance named MyComp the +* value to OR into the mask is: MyComp_ctComp__CMP_MASK. +* +* When CTW, FTW or One PPS is used as a wakeup source, the CyPmReadStatus() +* function must be called upon wakeup with corresponding parameter. Please +* refer to the CyPmReadStatus() API in the System Reference Guide for more +* information. +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects: +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is +* used as wakeup time) or ILO 100 KHz (if FTW timer is used as wakeup time) +* will be left started. +* +*******************************************************************************/ +void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) +{ + #if(CY_PSOC5) + + /* Arguments expected to be 0 */ + CYASSERT(PM_ALT_ACT_TIME_NONE == wakeupTime); + + if(0u != wakeupTime) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5) */ + + + #if(CY_PSOC3) + + /* FTW - save current and set new configuration */ + if((wakeupTime >= PM_ALT_ACT_TIME_FTW(1u)) && (wakeupTime <= PM_ALT_ACT_TIME_FTW(256u))) + { + CyPmFtwSetInterval(PM_ALT_ACT_FTW_INTERVAL(wakeupTime)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_FTW; + } + + /* CTW - save current and set new configuration */ + if((wakeupTime >= PM_ALT_ACT_TIME_CTW_2MS) && (wakeupTime <= PM_ALT_ACT_TIME_CTW_4096MS)) + { + /* Save current CTW configuration and set new one */ + CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_CTW; + } + + /* 1PPS - save current and set new configuration */ + if(PM_ALT_ACT_TIME_ONE_PPS == wakeupTime) + { + /* Save current 1PPS configuration and set new one */ + CyPmOppsSet(); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_ONE_PPS; + } + + #endif /* (CY_PSOC3) */ + + + /* Save and set new wake up configuration */ + + /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + + /* Comparators */ + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + + /* LCD */ + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + + + /* Switch to the Alternate Active mode */ + CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_ALT_ACT); + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Alternate Active Mode */ + + /* Restore wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; +} + + +/******************************************************************************* +* Function Name: CyPmSleep +******************************************************************************** +* +* Summary: +* Puts the part into the Sleep state. +* +* Note Before calling this function, you must manually configure the power +* mode of the source clocks for the timer that is used as wakeup timer. +* +* Note Before calling this function, you must prepare clock tree configuration +* for the low power mode by calling CyPmSaveClocks(). And restore clock +* configuration after CyPmSleep() execution by calling CyPmRestoreClocks(). See +* Power Management section, Clock Configuration subsection of the System +* Reference Guide for more information. +* +* PSoC 3: +* Before switching to Sleep, if a wakeupTime other than NONE is specified, +* then the appropriate timer state is configured as specified with the +* interrupt for that timer disabled. The wakeup source will be the combination +* of the values specified in the wakeupSource and any timer specified in the +* wakeupTime argument. Once the wakeup condition is satisfied, then all saved +* state is restored and the function returns in the Active state. +* +* Note that if the wakeupTime is made with a different value, the period before +* the wakeup occurs can be significantly shorter than the specified time. If +* the next call is made with the same wakeupTime value, then the wakeup will +* occur the specified period after the previous wakeup occurred. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. If the CTW or One PPS is already +* configured for wakeup, for example with the SleepTimer or RTC components, +* then specify NONE for the wakeupTime and include the appropriate source for +* wakeupSource. +* +* PSoC 5LP: +* The wakeupTime parameter is not used and the only NONE can be specified. +* The wakeup time must be configured with the component, SleepTimer for CTW +* intervals and RTC for 1PPS interval. The component must be configured to +* generate an interrrupt. +* +* Parameters: +* wakeupTime: Specifies a timer wakeup source and the frequency of that +* source. For PSoC 5LP, this parameter is ignored. +* +* Define Time +* PM_SLEEP_TIME_NONE None +* PM_SLEEP_TIME_ONE_PPS One PPS: 1 second +* PM_SLEEP_TIME_CTW_2MS CTW: 2 ms +* PM_SLEEP_TIME_CTW_4MS CTW: 4 ms +* PM_SLEEP_TIME_CTW_8MS CTW: 8 ms +* PM_SLEEP_TIME_CTW_16MS CTW: 16 ms +* PM_SLEEP_TIME_CTW_32MS CTW: 32 ms +* PM_SLEEP_TIME_CTW_64MS CTW: 64 ms +* PM_SLEEP_TIME_CTW_128MS CTW: 128 ms +* PM_SLEEP_TIME_CTW_256MS CTW: 256 ms +* PM_SLEEP_TIME_CTW_512MS CTW: 512 ms +* PM_SLEEP_TIME_CTW_1024MS CTW: 1024 ms +* PM_SLEEP_TIME_CTW_2048MS CTW: 2048 ms +* PM_SLEEP_TIME_CTW_4096MS CTW: 4096 ms +* +* wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if +* a wakeupTime has been specified the associated timer will be +* included as a wakeup source. +* +* Define Source +* PM_SLEEP_SRC_NONE None +* PM_SLEEP_SRC_COMPARATOR0 Comparator 0 +* PM_SLEEP_SRC_COMPARATOR1 Comparator 1 +* PM_SLEEP_SRC_COMPARATOR2 Comparator 2 +* PM_SLEEP_SRC_COMPARATOR3 Comparator 3 +* PM_SLEEP_SRC_PICU PICU +* PM_SLEEP_SRC_I2C I2C +* PM_SLEEP_SRC_BOOSTCONVERTER Boost Converter +* PM_SLEEP_SRC_VD High and Low Voltage Detection (HVI, LVI) +* PM_SLEEP_SRC_CTW Central Timewheel* +* PM_SLEEP_SRC_ONE_PPS One PPS* +* PM_SLEEP_SRC_LCD LCD +* +* *Note: CTW and One PPS wakeup signals are in the same mask bit. +* +* When specifying a Comparator as the wakeupSource an instance specific define +* should be used that will track with the specific comparator that the instance +* is placed into. As an example for a Comparator instance named MyComp the +* value to OR into the mask is: MyComp_ctComp__CMP_MASK. +* +* When CTW or One PPS is used as a wakeup source, the CyPmReadStatus() +* function must be called upon wakeup with corresponding parameter. Please +* refer to the CyPmReadStatus() API in the System Reference Guide for more +* information. +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects and Restrictions: +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is +* used as wake up time) will be left started. +* +* The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +* measure Hibernate/Sleep regulator settling time after a reset. The holdoff +* delay is measured using rising edges of the 1 kHz ILO. +* +* For PSoC 3 silicon hardware buzz should be disabled before entering a sleep +* power mode. It is disabled by PSoC Creator during startup. +* If a Low Voltage Interrupt (LVI), High Voltage Interrupt (HVI) or Brown Out +* detect (power supply supervising capabilities) are required in a design +* during sleep, use the Central Time Wheel (CTW) to periodically wake the +* device, perform software buzz, and refresh the supervisory services. If LVI, +* HVI, or Brown Out is not required, then use of the CTW is not required. +* Refer to the device errata for more information. +* +*******************************************************************************/ +void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + + /*********************************************************************** + * The Hibernate/Sleep regulator has a settling time after a reset. + * During this time, the system ignores requests to enter Sleep and + * Hibernate modes. The holdoff delay is measured using rising edges of + * the 1 kHz ILO. + ***********************************************************************/ + if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + { + /* Disable hold off - no action on restore */ + CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + } + else + { + /* Abort, device is not ready for low power mode entry */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + + return; + } + + + /*********************************************************************** + * PSoC3 < TO6: + * - Hardware buzz must be disabled before sleep mode entry. + * - Voltage supervision (HVI/LVI) requires hardware buzz, so they must + * be aslo disabled. + * + * PSoC3 >= TO6: + * - Voltage supervision (HVI/LVI) requires hardware buzz, so hardware buzz must be + * enabled before sleep mode entry and restored on wakeup. + ***********************************************************************/ + #if(CY_PSOC3) + + /* Silicon Revision ID is below TO6 */ + if(CYDEV_CHIP_REV_ACTUAL < 5u) + { + /* Hardware buzz expected to be disabled in Sleep mode */ + CYASSERT(0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)); + } + + + if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + { + if(CYDEV_CHIP_REV_ACTUAL < 5u) + { + /* LVI/HVI requires hardware buzz to be enabled */ + CYASSERT(0u != 0u); + } + else + { + if (0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)) + { + cyPmBackup.hardwareBuzz = CY_PM_DISABLED; + CY_PM_PWRSYS_WAKE_TR2_REG |= CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ; + } + else + { + cyPmBackup.hardwareBuzz = CY_PM_ENABLED; + } + } + } + + #endif /* (CY_PSOC3) */ + + + /******************************************************************************* + * For ARM-based devices, an interrupt is required for the CPU to wake up. The + * Power Management implementation assumes that wakeup time is configured with a + * separate component (component-based wakeup time configuration) for an + * interrupt to be issued on terminal count. For more information, refer to the + * Wakeup Time Configuration section of System Reference Guide. + *******************************************************************************/ + #if(CY_PSOC5) + + /* Arguments expected to be 0 */ + CYASSERT(PM_SLEEP_TIME_NONE == wakeupTime); + + if(0u != wakeupTime) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5) */ + + + CyPmHibSlpSaveSet(); + + + #if(CY_PSOC3) + + /* CTW - save current and set new configuration */ + if((wakeupTime >= PM_SLEEP_TIME_CTW_2MS) && (wakeupTime <= PM_SLEEP_TIME_CTW_4096MS)) + { + /* Save current and set new configuration of the CTW */ + CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_SLEEP_SRC_CTW; + } + + /* 1PPS - save current and set new configuration */ + if(PM_SLEEP_TIME_ONE_PPS == wakeupTime) + { + /* Save current and set new configuration of the 1PPS */ + CyPmOppsSet(); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_SLEEP_SRC_ONE_PPS; + } + + #endif /* (CY_PSOC3) */ + + + /* Save and set new wake up configuration */ + + /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + + /* Comparators */ + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + + /* LCD */ + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + + + /******************************************************************* + * Do not use merge region below unless any component datasheet + * suggest to do so. + *******************************************************************/ + /* `#START CY_PM_JUST_BEFORE_SLEEP` */ + + /* `#END` */ + + + /* Last moment IMO frequency change */ + if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + { + /* IMO frequency is 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + } + else + { + /* IMO frequency is not 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + + /* Save IMO frequency */ + cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + + /* Set IMO frequency to 12 MHz */ + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + } + + /* Switch to the Sleep mode */ + CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_SLEEP); + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Sleep Mode */ + + /* Restore last moment IMO frequency change */ + if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + { + CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ_MASK))) | + cyPmBackup.imoActFreq; + } + + + /******************************************************************* + * Do not use merge region below unless any component datasheet + * suggest to do so. + *******************************************************************/ + /* `#START CY_PM_JUST_AFTER_WAKEUP_FROM_SLEEP` */ + + /* `#END` */ + + + /* Restore hardware configuration */ + CyPmHibSlpRestore(); + + + /* Disable hardware buzz, if it was previously enabled */ + #if(CY_PSOC3) + + if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + { + if(CYDEV_CHIP_REV_ACTUAL >= 5u) + { + if (CY_PM_DISABLED == cyPmBackup.hardwareBuzz) + { + CY_PM_PWRSYS_WAKE_TR2_REG &= (uint8)(~CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ); + } + } + } + + #endif /* (CY_PSOC3) */ + + + /* Restore current wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyPmHibernate +******************************************************************************** +* +* Summary: +* Puts the part into the Hibernate state. +* +* PSoC 3 and PSoC 5LP: +* Before switching to Hibernate, the current status of the PICU wakeup source +* bit is saved and then set. This configures the device to wake up from the +* PICU. Make sure you have at least one pin configured to generate a PICU +* interrupt. For pin Px.y, the register "PICU_INTTYPE_PICUx_INTTYPEy" controls +* the PICU behavior. In the TRM, this register is "PICU[0..15]_INTTYPE[0..7]." +* In the Pins component datasheet, this register is referred to as the IRQ +* option. Once the wakeup occurs, the PICU wakeup source bit is restored and +* the PSoC returns to the Active state. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects: +* Applications must wait 20 us before re-entering hibernate or sleep after +* waking up from hibernate. The 20 us allows the sleep regulator time to +* stabilize before the next hibernate / sleep event occurs. The 20 us +* requirement begins when the device wakes up. There is no hardware check that +* this requirement is met. The specified delay should be done on ISR entry. +* +* After wakeup PICU interrupt occurs, the Pin_ClearInterrupt() (where Pin is +* instance name of the Pins component) function must be called to clear the +* latched pin events to allow proper Hibernate mode entry andd to enable +* detection of future events. +* +* The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +* measure Hibernate/Sleep regulator settling time after a reset. The holdoff +* delay is measured using rising edges of the 1 kHz ILO. +* +*******************************************************************************/ +void CyPmHibernate(void) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /*********************************************************************** + * The Hibernate/Sleep regulator has a settling time after a reset. + * During this time, the system ignores requests to enter Sleep and + * Hibernate modes. The holdoff delay is measured using rising edges of + * the 1 kHz ILO. + ***********************************************************************/ + if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + { + /* Disable hold off - no action on restore */ + CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + } + else + { + /* Abort, device is not ready for low power mode entry */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + + return; + } + + CyPmHibSaveSet(); + + + /* Save and enable only wakeup on PICU */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = CY_PM_WAKEUP_PICU; + + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = 0x00u; + + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = 0x00u; + + + /* Last moment IMO frequency change */ + if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + { + /* IMO frequency is 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + } + else + { + /* IMO frequency is not 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + + /* Save IMO frequency */ + cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + + /* Set IMO frequency to 12 MHz */ + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + } + + + /* Switch to Hibernate Mode */ + CY_PM_MODE_CSR_REG = (CY_PM_MODE_CSR_REG & ((uint8) (~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_HIBERNATE; + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + + /* Point of return from Hibernate mode */ + + + /* Restore last moment IMO frequency change */ + if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + { + CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ_MASK))) | + cyPmBackup.imoActFreq; + } + + + /* Restore device for proper Hibernate mode exit*/ + CyPmHibRestore(); + + /* Restore current wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyPmReadStatus +******************************************************************************** +* +* Summary: +* Manages the Power Manager Interrupt Status Register. This register has the +* interrupt status for the one pulse per second, central timewheel and fast +* timewheel timers. This hardware register clears on read. To allow for only +* clearing the bits of interest and preserving the other bits, this function +* uses a shadow register that retains the state. This function reads the +* status register and ORs that value with the shadow register. That is the +* value that is returned. Then the bits in the mask that are set are cleared +* from this value and written back to the shadow register. +* +* Note You must call this function within 1 ms (1 clock cycle of the ILO) +* after a CTW event has occurred. +* +* Parameters: +* mask: Bits in the shadow register to clear. +* +* Define Source +* CY_PM_FTW_INT Fast Timewheel +* CY_PM_CTW_INT Central Timewheel +* CY_PM_ONEPPS_INT One Pulse Per Second +* +* Return: +* Status. Same bits values as the mask parameter. +* +*******************************************************************************/ +uint8 CyPmReadStatus(uint8 mask) +{ + static uint8 interruptStatus; + uint8 interruptState; + uint8 tmpStatus; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Save value of the register, copy it and clear desired bit */ + interruptStatus |= CY_PM_INT_SR_REG; + tmpStatus = interruptStatus; + interruptStatus &= ((uint8)(~mask)); + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: CyPmHibSaveSet +******************************************************************************** +* +* Summary: +* Prepare device for proper Hibernate low power mode entry: +* - Disables I2C backup regulator +* - Saves ILO power down mode state and enable it +* - Saves state of 1 kHz and 100 kHz ILO and disable them +* - Disables sleep regulator and shorts vccd to vpwrsleep +* - Save LVI/HVI configuration and disable them - CyPmHviLviSaveDisable() +* - CyPmHibSlpSaveSet() function is called +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHibSaveSet(void) +{ + /* I2C backup reg must be off when the sleep regulator is unavailable */ + if(0u != (CY_PM_PWRSYS_CR1_REG & CY_PM_PWRSYS_CR1_I2CREG_BACKUP)) + { + /*********************************************************************** + * If I2C backup regulator is enabled, all the fixed-function registers + * store their values while device is in low power mode, otherwise their + * configuration is lost. The I2C API makes a decision to restore or not + * to restore I2C registers based on this. If this regulator will be + * disabled and then enabled, I2C API will suppose that I2C block + * registers preserved their values, while this is not true. So, the + * backup regulator is disabled. The I2C sleep APIs is responsible for + * restoration. + ***********************************************************************/ + + /* Disable I2C backup register */ + CY_PM_PWRSYS_CR1_REG &= ((uint8)(~CY_PM_PWRSYS_CR1_I2CREG_BACKUP)); + } + + + /* Save current ILO power mode and ensure low power mode */ + cyPmBackup.iloPowerMode = CyILO_SetPowerMode(CY_PM_POWERDOWN_MODE); + + /* Save current 1kHz ILO enable state. Disabled automatically. */ + cyPmBackup.ilo1kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_1K)) ? + CY_PM_DISABLED : CY_PM_ENABLED; + + /* Save current 100kHz ILO enable state. Disabled automatically. */ + cyPmBackup.ilo100kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_100K)) ? + CY_PM_DISABLED : CY_PM_ENABLED; + + + /* Disable the sleep regulator and shorts vccd to vpwrsleep */ + if(0u == (CY_PM_PWRSYS_SLP_TR_REG & CY_PM_PWRSYS_SLP_TR_BYPASS)) + { + /* Save current bypass state */ + cyPmBackup.slpTrBypass = CY_PM_DISABLED; + CY_PM_PWRSYS_SLP_TR_REG |= CY_PM_PWRSYS_SLP_TR_BYPASS; + } + else + { + cyPmBackup.slpTrBypass = CY_PM_ENABLED; + } + + /* LPCOMPs are always enabled (even when BOTH ext_vccd=1 and ext_vcca=1)*/ + + + /*************************************************************************** + * LVI/HVI must be disabled in Hibernate + ***************************************************************************/ + + /* Save LVI/HVI configuration and disable them */ + CyPmHviLviSaveDisable(); + + + /* Make the same preparations for Hibernate and Sleep modes */ + CyPmHibSlpSaveSet(); + + + /*************************************************************************** + * Save and set power mode wakeup trim registers + ***************************************************************************/ + cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; + cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; + + CY_PM_PWRSYS_WAKE_TR0_REG = CY_PM_PWRSYS_WAKE_TR0; + CY_PM_PWRSYS_WAKE_TR1_REG = CY_PM_PWRSYS_WAKE_TR1; +} + + +/******************************************************************************* +* Function Name: CyPmHibRestore +******************************************************************************** +* +* Summary: +* Restore device for proper Hibernate mode exit: +* - Restore LVI/HVI configuration - call CyPmHviLviRestore() +* - CyPmHibSlpSaveRestore() function is called +* - Restores ILO power down mode state and enable it +* - Restores state of 1 kHz and 100 kHz ILO and disable them +* - Restores sleep regulator settings +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmHibRestore(void) +{ + /* Restore LVI/HVI configuration */ + CyPmHviLviRestore(); + + /* Restore the same configuration for Hibernate and Sleep modes */ + CyPmHibSlpRestore(); + + /* Restore 1kHz ILO enable state */ + if(CY_PM_ENABLED == cyPmBackup.ilo1kEnable) + { + /* Enable 1kHz ILO */ + CyILO_Start1K(); + } + + /* Restore 100kHz ILO enable state */ + if(CY_PM_ENABLED == cyPmBackup.ilo100kEnable) + { + /* Enable 100kHz ILO */ + CyILO_Start100K(); + } + + /* Restore ILO power mode */ + (void) CyILO_SetPowerMode(cyPmBackup.iloPowerMode); + + + if(CY_PM_DISABLED == cyPmBackup.slpTrBypass) + { + /* Enable the sleep regulator */ + CY_PM_PWRSYS_SLP_TR_REG &= ((uint8)(~CY_PM_PWRSYS_SLP_TR_BYPASS)); + } + + + /*************************************************************************** + * Restore power mode wakeup trim registers + ***************************************************************************/ + CY_PM_PWRSYS_WAKE_TR0_REG = cyPmBackup.wakeupTrim0; + CY_PM_PWRSYS_WAKE_TR1_REG = cyPmBackup.wakeupTrim1; +} + + +/******************************************************************************* +* Function Name: CyPmCtwSetInterval +******************************************************************************** +* +* Summary: +* Performs CTW configuration: +* - Disables CTW interrupt +* - Enables 1 kHz ILO +* - Sets new CTW interval +* +* Parameters: +* ctwInterval: the CTW interval to be set. +* +* Return: +* None +* +* Side Effects: +* Enables ILO 1 KHz clock and leaves it enabled. +* +*******************************************************************************/ +void CyPmCtwSetInterval(uint8 ctwInterval) +{ + /* Disable CTW interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_IE)); + + /* Enable 1kHz ILO (required for CTW operation) */ + CyILO_Start1K(); + + /* Interval could be set only while CTW is disabled */ + if(0u != (CY_PM_TW_CFG2_REG & CY_PM_CTW_EN)) + { + /* Set CTW interval if needed */ + if(CY_PM_TW_CFG1_REG != ctwInterval) + { + /* Disable the CTW, set new CTW interval and enable it again */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_EN)); + CY_PM_TW_CFG1_REG = ctwInterval; + CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + } /* Required interval is already set */ + } + else + { + /* Set CTW interval if needed */ + if(CY_PM_TW_CFG1_REG != ctwInterval) + { + /* Set the new CTW interval. Could be changed if CTW is disabled */ + CY_PM_TW_CFG1_REG = ctwInterval; + } /* Required interval is already set */ + + /* Enable the CTW */ + CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + } +} + + +/******************************************************************************* +* Function Name: CyPmOppsSet +******************************************************************************** +* +* Summary: +* Performs 1PPS configuration: +* - Starts 32 KHz XTAL +* - Disables 1PPS interupts +* - Enables 1PPS +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPmOppsSet(void) +{ + /* Enable 32kHz XTAL if needed */ + if(0u == (CY_PM_SLOWCLK_X32_CR_REG & CY_PM_X32_CR_X32EN)) + { + /* Enable 32kHz XTAL */ + CyXTAL_32KHZ_Start(); + } + + /* Disable 1PPS interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_1PPS_IE)); + + /* Enable 1PPS operation */ + CY_PM_TW_CFG2_REG |= CY_PM_1PPS_EN; +} + + +/******************************************************************************* +* Function Name: CyPmFtwSetInterval +******************************************************************************** +* +* Summary: +* Performs FTW configuration: +* - Disables FTW interrupt +* - Enables 100 kHz ILO +* - Sets new FTW interval. +* +* Parameters: +* ftwInterval - FTW counter interval. +* +* Return: +* None +* +* Side Effects: +* Enables ILO 100 KHz clock and leaves it enabled. +* +*******************************************************************************/ +void CyPmFtwSetInterval(uint8 ftwInterval) +{ + /* Disable FTW interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_IE)); + + /* Enable 100kHz ILO */ + CyILO_Start100K(); + + /* Iterval could be set only while FTW is disabled */ + if(0u != (CY_PM_TW_CFG2_REG & CY_PM_FTW_EN)) + { + /* Disable FTW, set new FTW interval if needed and enable it again */ + if(CY_PM_TW_CFG0_REG != ftwInterval) + { + /* Disable the CTW, set new CTW interval and enable it again */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_EN)); + CY_PM_TW_CFG0_REG = ftwInterval; + CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + } /* Required interval is already set */ + } + else + { + /* Set new FTW counter interval if needed. FTW is disabled. */ + if(CY_PM_TW_CFG0_REG != ftwInterval) + { + /* Set the new CTW interval. Could be changed if CTW is disabled */ + CY_PM_TW_CFG0_REG = ftwInterval; + } /* Required interval is already set */ + + /* Enable the FTW */ + CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + } +} + + +/******************************************************************************* +* Function Name: CyPmHibSlpSaveSet +******************************************************************************** +* +* Summary: +* This API is used for preparing device for Sleep and Hibernate low power +* modes entry: +* - Saves COMP, VIDAC, DSM and SAR routing connections (PSoC 5) +* - Saves SC/CT routing connections (PSoC 3/5/5LP) +* - Disables Serial Wire Viewer (SWV) (PSoC 3) +* - Save boost reference selection and set it to internal +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHibSlpSaveSet(void) +{ + /* Save SC/CT routing registers */ + cyPmBackup.scctData[0u] = CY_GET_REG8(CYREG_SC0_SW0 ); + cyPmBackup.scctData[1u] = CY_GET_REG8(CYREG_SC0_SW2 ); + cyPmBackup.scctData[2u] = CY_GET_REG8(CYREG_SC0_SW3 ); + cyPmBackup.scctData[3u] = CY_GET_REG8(CYREG_SC0_SW4 ); + cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); + cyPmBackup.scctData[5u] = CY_GET_REG8(CYREG_SC0_SW8 ); + cyPmBackup.scctData[6u] = CY_GET_REG8(CYREG_SC0_SW10); + + cyPmBackup.scctData[7u] = CY_GET_REG8(CYREG_SC1_SW0 ); + cyPmBackup.scctData[8u] = CY_GET_REG8(CYREG_SC1_SW2 ); + cyPmBackup.scctData[9u] = CY_GET_REG8(CYREG_SC1_SW3 ); + cyPmBackup.scctData[10u] = CY_GET_REG8(CYREG_SC1_SW4 ); + cyPmBackup.scctData[11u] = CY_GET_REG8(CYREG_SC1_SW6 ); + cyPmBackup.scctData[12u] = CY_GET_REG8(CYREG_SC1_SW8 ); + cyPmBackup.scctData[13u] = CY_GET_REG8(CYREG_SC1_SW10); + + cyPmBackup.scctData[14u] = CY_GET_REG8(CYREG_SC2_SW0 ); + cyPmBackup.scctData[15u] = CY_GET_REG8(CYREG_SC2_SW2 ); + cyPmBackup.scctData[16u] = CY_GET_REG8(CYREG_SC2_SW3 ); + cyPmBackup.scctData[17u] = CY_GET_REG8(CYREG_SC2_SW4 ); + cyPmBackup.scctData[18u] = CY_GET_REG8(CYREG_SC2_SW6 ); + cyPmBackup.scctData[19u] = CY_GET_REG8(CYREG_SC2_SW8 ); + cyPmBackup.scctData[20u] = CY_GET_REG8(CYREG_SC2_SW10); + + cyPmBackup.scctData[21u] = CY_GET_REG8(CYREG_SC3_SW0 ); + cyPmBackup.scctData[22u] = CY_GET_REG8(CYREG_SC3_SW2 ); + cyPmBackup.scctData[23u] = CY_GET_REG8(CYREG_SC3_SW3 ); + cyPmBackup.scctData[24u] = CY_GET_REG8(CYREG_SC3_SW4 ); + cyPmBackup.scctData[25u] = CY_GET_REG8(CYREG_SC3_SW6 ); + cyPmBackup.scctData[26u] = CY_GET_REG8(CYREG_SC3_SW8 ); + cyPmBackup.scctData[27u] = CY_GET_REG8(CYREG_SC3_SW10); + + CY_SET_REG8(CYREG_SC0_SW0 , 0u); + CY_SET_REG8(CYREG_SC0_SW2 , 0u); + CY_SET_REG8(CYREG_SC0_SW3 , 0u); + CY_SET_REG8(CYREG_SC0_SW4 , 0u); + CY_SET_REG8(CYREG_SC0_SW6 , 0u); + CY_SET_REG8(CYREG_SC0_SW8 , 0u); + CY_SET_REG8(CYREG_SC0_SW10, 0u); + + CY_SET_REG8(CYREG_SC1_SW0 , 0u); + CY_SET_REG8(CYREG_SC1_SW2 , 0u); + CY_SET_REG8(CYREG_SC1_SW3 , 0u); + CY_SET_REG8(CYREG_SC1_SW4 , 0u); + CY_SET_REG8(CYREG_SC1_SW6 , 0u); + CY_SET_REG8(CYREG_SC1_SW8 , 0u); + CY_SET_REG8(CYREG_SC1_SW10, 0u); + + CY_SET_REG8(CYREG_SC2_SW0 , 0u); + CY_SET_REG8(CYREG_SC2_SW2 , 0u); + CY_SET_REG8(CYREG_SC2_SW3 , 0u); + CY_SET_REG8(CYREG_SC2_SW4 , 0u); + CY_SET_REG8(CYREG_SC2_SW6 , 0u); + CY_SET_REG8(CYREG_SC2_SW8 , 0u); + CY_SET_REG8(CYREG_SC2_SW10, 0u); + + CY_SET_REG8(CYREG_SC3_SW0 , 0u); + CY_SET_REG8(CYREG_SC3_SW2 , 0u); + CY_SET_REG8(CYREG_SC3_SW3 , 0u); + CY_SET_REG8(CYREG_SC3_SW4 , 0u); + CY_SET_REG8(CYREG_SC3_SW6 , 0u); + CY_SET_REG8(CYREG_SC3_SW8 , 0u); + CY_SET_REG8(CYREG_SC3_SW10, 0u); + + + #if(CY_PSOC3) + + /* Serial Wire Viewer (SWV) workaround */ + + /* Disable SWV before entering low power mode */ + if(0u != (CY_PM_MLOGIC_DBG_REG & CY_PM_MLOGIC_DBG_SWV_CLK_EN)) + { + /* Save SWV clock enabled state */ + cyPmBackup.swvClkEnabled = CY_PM_ENABLED; + + /* Save current ports drive mode settings */ + cyPmBackup.prt1Dm = CY_PM_PRT1_PC3_REG & ((uint8)(~CY_PM_PRT1_PC3_DM_MASK)); + + /* Set drive mode to strong output */ + CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | + CY_PM_PRT1_PC3_DM_STRONG; + + /* Disable SWV clocks */ + CY_PM_MLOGIC_DBG_REG &= ((uint8)(~CY_PM_MLOGIC_DBG_SWV_CLK_EN)); + } + else + { + /* Save SWV clock disabled state */ + cyPmBackup.swvClkEnabled = CY_PM_DISABLED; + } + + #endif /* (CY_PSOC3) */ + + + /*************************************************************************** + * Save boost reference and set it to boost's internal by clearing the bit. + * External (chip bandgap) reference is not available in Sleep and Hibernate. + ***************************************************************************/ + if(0u != (CY_PM_BOOST_CR2_REG & CY_PM_BOOST_CR2_EREFSEL_EXT)) + { + cyPmBackup.boostRefExt = CY_PM_ENABLED; + CY_PM_BOOST_CR2_REG &= ((uint8)(~CY_PM_BOOST_CR2_EREFSEL_EXT)); + } + else + { + cyPmBackup.boostRefExt = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmHibSlpRestore +******************************************************************************** +* +* Summary: +* This API is used for restoring device configurations after wakeup from Sleep +* and Hibernate low power modes: +* - Restores SC/CT routing connections +* - Restores enable state of Serial Wire Viewer (SWV) (PSoC 3) +* - Restore boost reference selection +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmHibSlpRestore(void) +{ + /* Restore SC/CT routing registers */ + CY_SET_REG8(CYREG_SC0_SW0 , cyPmBackup.scctData[0u] ); + CY_SET_REG8(CYREG_SC0_SW2 , cyPmBackup.scctData[1u] ); + CY_SET_REG8(CYREG_SC0_SW3 , cyPmBackup.scctData[2u] ); + CY_SET_REG8(CYREG_SC0_SW4 , cyPmBackup.scctData[3u] ); + CY_SET_REG8(CYREG_SC0_SW6 , cyPmBackup.scctData[4u] ); + CY_SET_REG8(CYREG_SC0_SW8 , cyPmBackup.scctData[5u] ); + CY_SET_REG8(CYREG_SC0_SW10, cyPmBackup.scctData[6u] ); + + CY_SET_REG8(CYREG_SC1_SW0 , cyPmBackup.scctData[7u] ); + CY_SET_REG8(CYREG_SC1_SW2 , cyPmBackup.scctData[8u] ); + CY_SET_REG8(CYREG_SC1_SW3 , cyPmBackup.scctData[9u] ); + CY_SET_REG8(CYREG_SC1_SW4 , cyPmBackup.scctData[10u]); + CY_SET_REG8(CYREG_SC1_SW6 , cyPmBackup.scctData[11u]); + CY_SET_REG8(CYREG_SC1_SW8 , cyPmBackup.scctData[12u]); + CY_SET_REG8(CYREG_SC1_SW10, cyPmBackup.scctData[13u]); + + CY_SET_REG8(CYREG_SC2_SW0 , cyPmBackup.scctData[14u]); + CY_SET_REG8(CYREG_SC2_SW2 , cyPmBackup.scctData[15u]); + CY_SET_REG8(CYREG_SC2_SW3 , cyPmBackup.scctData[16u]); + CY_SET_REG8(CYREG_SC2_SW4 , cyPmBackup.scctData[17u]); + CY_SET_REG8(CYREG_SC2_SW6 , cyPmBackup.scctData[18u]); + CY_SET_REG8(CYREG_SC2_SW8 , cyPmBackup.scctData[19u]); + CY_SET_REG8(CYREG_SC2_SW10, cyPmBackup.scctData[20u]); + + CY_SET_REG8(CYREG_SC3_SW0 , cyPmBackup.scctData[21u]); + CY_SET_REG8(CYREG_SC3_SW2 , cyPmBackup.scctData[22u]); + CY_SET_REG8(CYREG_SC3_SW3 , cyPmBackup.scctData[23u]); + CY_SET_REG8(CYREG_SC3_SW4 , cyPmBackup.scctData[24u]); + CY_SET_REG8(CYREG_SC3_SW6 , cyPmBackup.scctData[25u]); + CY_SET_REG8(CYREG_SC3_SW8 , cyPmBackup.scctData[26u]); + CY_SET_REG8(CYREG_SC3_SW10, cyPmBackup.scctData[27u]); + + + #if(CY_PSOC3) + + /* Serial Wire Viewer (SWV) workaround */ + if(CY_PM_ENABLED == cyPmBackup.swvClkEnabled) + { + /* Restore ports drive mode */ + CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | + cyPmBackup.prt1Dm; + + /* Enable SWV clocks */ + CY_PM_MLOGIC_DBG_REG |= CY_PM_MLOGIC_DBG_SWV_CLK_EN; + } + + #endif /* (CY_PSOC3) */ + + + /* Restore boost reference */ + if(CY_PM_ENABLED == cyPmBackup.boostRefExt) + { + CY_PM_BOOST_CR2_REG |= CY_PM_BOOST_CR2_EREFSEL_EXT; + } +} + + +/******************************************************************************* +* Function Name: CyPmHviLviSaveDisable +******************************************************************************** +* +* Summary: +* Saves analog and digital LVI and HVI configuration and disables them. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHviLviSaveDisable(void) +{ + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVID_EN)) + { + cyPmBackup.lvidEn = CY_PM_ENABLED; + cyPmBackup.lvidTrip = CY_VD_LVI_TRIP_REG & CY_VD_LVI_TRIP_LVID_MASK; + + /* Save state of reset device at a specified Vddd threshold */ + cyPmBackup.lvidRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESD_EN)) ? \ + CY_PM_DISABLED : CY_PM_ENABLED; + + CyVdLvDigitDisable(); + } + else + { + cyPmBackup.lvidEn = CY_PM_DISABLED; + } + + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVIA_EN)) + { + cyPmBackup.lviaEn = CY_PM_ENABLED; + cyPmBackup.lviaTrip = CY_VD_LVI_TRIP_REG >> 4u; + + /* Save state of reset device at a specified Vdda threshold */ + cyPmBackup.lviaRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESA_EN)) ? \ + CY_PM_DISABLED : CY_PM_ENABLED; + + CyVdLvAnalogDisable(); + } + else + { + cyPmBackup.lviaEn = CY_PM_DISABLED; + } + + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_HVIA_EN)) + { + cyPmBackup.hviaEn = CY_PM_ENABLED; + CyVdHvAnalogDisable(); + } + else + { + cyPmBackup.hviaEn = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmHviLviRestore +******************************************************************************** +* +* Summary: +* Restores analog and digital LVI and HVI configuration. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHviLviRestore(void) +{ + /* Restore LVI/HVI configuration */ + if(CY_PM_ENABLED == cyPmBackup.lvidEn) + { + CyVdLvDigitEnable(cyPmBackup.lvidRst, cyPmBackup.lvidTrip); + } + + if(CY_PM_ENABLED == cyPmBackup.lviaEn) + { + CyVdLvAnalogEnable(cyPmBackup.lviaRst, cyPmBackup.lviaTrip); + } + + if(CY_PM_ENABLED == cyPmBackup.hviaEn) + { + CyVdHvAnalogEnable(); + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h new file mode 100644 index 0000000..bfa2214 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h @@ -0,0 +1,635 @@ +/******************************************************************************* +* File Name: cyPm.h +* Version 4.0 +* +* Description: +* Provides the function definitions for the power management API. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYPM_H) +#define CY_BOOT_CYPM_H + +#include "cytypes.h" /* Register access API */ +#include "cydevice_trm.h" /* Registers addresses */ +#include "cyfitter.h" /* Comparators placement */ +#include "CyLib.h" /* Clock API */ +#include "CyFlash.h" /* Flash API - CyFlash_SetWaitCycles() */ + + +/*************************************** +* Function Prototypes +***************************************/ +void CyPmSaveClocks(void) ; +void CyPmRestoreClocks(void) ; +void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) ; +void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) ; +void CyPmHibernate(void) ; + +uint8 CyPmReadStatus(uint8 mask) ; + +/* Internal APIs and are not meant to be called directly by the user */ +void CyPmCtwSetInterval(uint8 ctwInterval) ; +void CyPmFtwSetInterval(uint8 ftwInterval) ; +void CyPmOppsSet(void) ; + + +/*************************************** +* API Constants +***************************************/ + +#define PM_SLEEP_SRC_NONE (0x0000u) +#define PM_SLEEP_TIME_NONE (0x00u) +#define PM_ALT_ACT_SRC_NONE (0x0000u) +#define PM_ALT_ACT_TIME_NONE (0x0000u) + +#if(CY_PSOC3) + + /* Wake up time for the Sleep mode */ + #define PM_SLEEP_TIME_ONE_PPS (0x01u) + #define PM_SLEEP_TIME_CTW_2MS (0x02u) + #define PM_SLEEP_TIME_CTW_4MS (0x03u) + #define PM_SLEEP_TIME_CTW_8MS (0x04u) + #define PM_SLEEP_TIME_CTW_16MS (0x05u) + #define PM_SLEEP_TIME_CTW_32MS (0x06u) + #define PM_SLEEP_TIME_CTW_64MS (0x07u) + #define PM_SLEEP_TIME_CTW_128MS (0x08u) + #define PM_SLEEP_TIME_CTW_256MS (0x09u) + #define PM_SLEEP_TIME_CTW_512MS (0x0Au) + #define PM_SLEEP_TIME_CTW_1024MS (0x0Bu) + #define PM_SLEEP_TIME_CTW_2048MS (0x0Cu) + #define PM_SLEEP_TIME_CTW_4096MS (0x0Du) + + /* Difference between parameter's value and register's one */ + #define CY_PM_FTW_INTERVAL_SHIFT (0x000Eu) + + /* Wake up time for the Alternate Active mode */ + #define PM_ALT_ACT_TIME_ONE_PPS (0x0001u) + #define PM_ALT_ACT_TIME_CTW_2MS (0x0002u) + #define PM_ALT_ACT_TIME_CTW_4MS (0x0003u) + #define PM_ALT_ACT_TIME_CTW_8MS (0x0004u) + #define PM_ALT_ACT_TIME_CTW_16MS (0x0005u) + #define PM_ALT_ACT_TIME_CTW_32MS (0x0006u) + #define PM_ALT_ACT_TIME_CTW_64MS (0x0007u) + #define PM_ALT_ACT_TIME_CTW_128MS (0x0008u) + #define PM_ALT_ACT_TIME_CTW_256MS (0x0009u) + #define PM_ALT_ACT_TIME_CTW_512MS (0x000Au) + #define PM_ALT_ACT_TIME_CTW_1024MS (0x000Bu) + #define PM_ALT_ACT_TIME_CTW_2048MS (0x000Cu) + #define PM_ALT_ACT_TIME_CTW_4096MS (0x000Du) + #define PM_ALT_ACT_TIME_FTW(x) ((x) + CY_PM_FTW_INTERVAL_SHIFT) + +#endif /* (CY_PSOC3) */ + + +/* Wake up sources for the Sleep mode */ +#define PM_SLEEP_SRC_COMPARATOR0 (0x0001u) +#define PM_SLEEP_SRC_COMPARATOR1 (0x0002u) +#define PM_SLEEP_SRC_COMPARATOR2 (0x0004u) +#define PM_SLEEP_SRC_COMPARATOR3 (0x0008u) +#define PM_SLEEP_SRC_PICU (0x0040u) +#define PM_SLEEP_SRC_I2C (0x0080u) +#define PM_SLEEP_SRC_BOOSTCONVERTER (0x0200u) +#define PM_SLEEP_SRC_VD (0x0400u) +#define PM_SLEEP_SRC_CTW (0x0800u) +#define PM_SLEEP_SRC_ONE_PPS (0x0800u) +#define PM_SLEEP_SRC_LCD (0x1000u) + +/* Wake up sources for the Alternate Active mode */ +#define PM_ALT_ACT_SRC_COMPARATOR0 (0x0001u) +#define PM_ALT_ACT_SRC_COMPARATOR1 (0x0002u) +#define PM_ALT_ACT_SRC_COMPARATOR2 (0x0004u) +#define PM_ALT_ACT_SRC_COMPARATOR3 (0x0008u) +#define PM_ALT_ACT_SRC_INTERRUPT (0x0010u) +#define PM_ALT_ACT_SRC_PICU (0x0040u) +#define PM_ALT_ACT_SRC_I2C (0x0080u) +#define PM_ALT_ACT_SRC_BOOSTCONVERTER (0x0200u) +#define PM_ALT_ACT_SRC_FTW (0x0400u) +#define PM_ALT_ACT_SRC_VD (0x0400u) +#define PM_ALT_ACT_SRC_CTW (0x0800u) +#define PM_ALT_ACT_SRC_ONE_PPS (0x0800u) +#define PM_ALT_ACT_SRC_LCD (0x1000u) + + +#define CY_PM_WAKEUP_PICU (0x04u) +#define CY_PM_IMO_NO_WAIT_TO_SETTLE (0x00u) +#define CY_PM_POWERDOWN_MODE (0x01u) +#define CY_PM_HIGHPOWER_MODE (0x00u) /* Deprecated */ +#define CY_PM_ENABLED (0x01u) +#define CY_PM_DISABLED (0x00u) + +/* No wait for PLL to stabilize, used in CyPLL_OUT_Start() */ +#define CY_PM_PLL_OUT_NO_WAIT (0u) + +/* No wait for MHZ XTAL to stabilize, used in CyXTAL_Start() */ +#define CY_PM_XTAL_MHZ_NO_WAIT (0u) + +#define CY_PM_WAIT_200_US (200u) +#define CY_PM_WAIT_250_US (250u) +#define CY_PM_WAIT_20_US (20u) + +#define CY_PM_FREQ_3MHZ (3u) +#define CY_PM_FREQ_12MHZ (12u) +#define CY_PM_FREQ_48MHZ (48u) + + +#define CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US (5u) + + +/* Delay line bandgap current settling time starting from a wakeup event */ +#define CY_PM_CLK_DELAY_BANDGAP_SETTLE_US (50u) + +/* Delay line internal bias settling */ +#define CY_PM_CLK_DELAY_BIAS_SETTLE_US (25u) + + +/* Max flash wait cycles for each device */ +#if(CY_PSOC3) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (45u) +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (55u) +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* This marco is used to obtain the CPU frequency in MHz. It should be only used +* when the clock distribution system is prepared for the low power mode entry. +* This macro is silicon dependent as PSoC 5 devices have no CPU clock divider +* and PSoC 3 devices have different placement of the CPU clock divider register +* bitfield. +*******************************************************************************/ +#if(CY_PSOC3) + #define CY_PM_GET_CPU_FREQ_MHZ \ + ((uint32)(cyPmImoFreqReg2Mhz[CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK]) / \ + ((uint8)(((CY_PM_CLKDIST_MSTR1_REG & CY_PM_CLKDIST_CPU_DIV_MASK) >> 4u) + 1u))) +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + + /* The CPU clock is directly derived from bus clock */ + #define CY_PM_GET_CPU_FREQ_MHZ (cyPmImoFreqReg2Mhz[CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK]) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* The low power mode entry is different for PSoC 3 and PSoC 5 devices. The low +* power modes in PSoC 5 devices are invoked by Wait-For-Interrupt (WFI) +* instruction. The ARM compilers has __wfi() instristic that inserts a WFI +* instruction into the instruction stream generated by the compiler. The GCC +* compiler has to execute assembly language instruction. +*******************************************************************************/ +#if(CY_PSOC5) + + #if defined(__ARMCC_VERSION) /* Instristic for Keil compilers */ + #define CY_PM_WFI __wfi() + #else /* ASM for GCC & IAR */ + #define CY_PM_WFI asm volatile ("WFI \n") + #endif /* (__ARMCC_VERSION) */ + +#else + + #define CY_PM_WFI CY_NOP + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Macro for the wakeupTime argument of the CyPmAltAct() function. The FTW should +* be programmed manually for non PSoC 3 devices. +*******************************************************************************/ +#if(CY_PSOC3) + + #define PM_ALT_ACT_FTW_INTERVAL(x) ((uint8)((x) - CY_PM_FTW_INTERVAL_SHIFT)) + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* This macro defines the IMO frequency that will be set by CyPmSaveClocks() +* function based on Enable Fast IMO during Startup option from the DWR file. +* The CyPmSleep()/CyPmHibernate() will set IMO 12 MHz just before entering +* low power mode and restore IMO back to the value set by CyPmSaveClocks() +* immediately on wakeup. +*******************************************************************************/ + +/* Enable Fast IMO during Startup - enabled */ +#if(1u == CYDEV_CONFIGURATION_IMOENABLED) + + /* IMO will be configured to 48 MHz */ + #define CY_PM_IMO_FREQ_LPM (CY_IMO_FREQ_48MHZ) + +#else + + /* IMO will be configured to 12 MHz */ + #define CY_PM_IMO_FREQ_LPM (CY_IMO_FREQ_12MHZ) + +#endif /* (1u == CYDEV_CONFIGURATION_IMOENABLED) */ + + +typedef struct cyPmClockBackupStruct +{ + /* CyPmSaveClocks()/CyPmRestoreClocks() */ + uint8 enClkA; /* Analog clocks enable */ + uint8 enClkD; /* Digital clocks enable */ + uint8 masterClkSrc; /* The Master clock source */ + uint8 imoFreq; /* IMO frequency (reg's value) */ + uint8 imoUsbClk; /* IMO USB CLK (reg's value) */ + uint8 flashWaitCycles; /* Flash wait cycles */ + uint8 imoEnable; /* IMO enable in Active mode */ + uint8 imoClkSrc; /* The IMO output */ + uint8 clkImoSrc; + uint8 imo2x; /* IMO doubler enable state */ + uint8 clkSyncDiv; /* Master clk divider */ + uint16 clkBusDiv; /* The clk_bus divider */ + uint8 pllEnableState; /* PLL enable state */ + uint8 xmhzEnableState; /* XM HZ enable state */ + uint8 clkDistDelay; /* Delay for clk_bus and ACLKs */ + +} CY_PM_CLOCK_BACKUP_STRUCT; + + +typedef struct cyPmBackupStruct +{ + uint8 iloPowerMode; /* ILO power mode */ + uint8 ilo1kEnable; /* ILO 1K enable state */ + uint8 ilo100kEnable; /* ILO 100K enable state */ + + uint8 slpTrBypass; /* Sleep Trim Bypass */ + + #if(CY_PSOC3) + + uint8 swvClkEnabled; /* SWV clock enable state */ + uint8 prt1Dm; /* Ports drive mode configuration */ + uint8 hardwareBuzz; + + #endif /* (CY_PSOC3) */ + + uint8 wakeupCfg0; /* Wake up configuration 0 */ + uint8 wakeupCfg1; /* Wake up configuration 1 */ + uint8 wakeupCfg2; /* Wake up configuration 2 */ + + uint8 wakeupTrim0; + uint8 wakeupTrim1; + + uint8 scctData[28u]; /* SC/CT routing registers */ + + /* CyPmHviLviSaveDisable()/CyPmHviLviRestore() */ + uint8 lvidEn; + uint8 lvidTrip; + uint8 lviaEn; + uint8 lviaTrip; + uint8 hviaEn; + uint8 lvidRst; + uint8 lviaRst; + + uint8 imoActFreq; /* Last moment IMO change */ + uint8 imoActFreq12Mhz; /* 12 MHz or not */ + + uint8 boostRefExt; /* Boost reference selection */ + +} CY_PM_BACKUP_STRUCT; + + +/*************************************** +* Registers +***************************************/ + +/* Power Mode Wakeup Trim Register 1 */ +#define CY_PM_PWRSYS_WAKE_TR1_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR1 ) +#define CY_PM_PWRSYS_WAKE_TR1_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR1 ) + +/* Master clock Divider Value Register */ +#define CY_PM_CLKDIST_MSTR0_REG (* (reg8 *) CYREG_CLKDIST_MSTR0 ) +#define CY_PM_CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0 ) + +/* Master Clock Configuration Register/CPU Divider Value */ +#define CY_PM_CLKDIST_MSTR1_REG (* (reg8 *) CYREG_CLKDIST_MSTR1 ) +#define CY_PM_CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1 ) + +/* Clock distribution configuration Register */ +#define CY_PM_CLKDIST_CR_REG (* (reg8 *) CYREG_CLKDIST_CR ) +#define CY_PM_CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR ) + +/* CLK_BUS LSB Divider Value Register */ +#define CY_PM_CLK_BUS_LSB_DIV_REG (* (reg8 *) CYREG_CLKDIST_BCFG0 ) +#define CY_PM_CLK_BUS_LSB_DIV_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0 ) + +/* CLK_BUS MSB Divider Value Register */ +#define CY_PM_CLK_BUS_MSB_DIV_REG (* (reg8 *) CYREG_CLKDIST_BCFG1 ) +#define CY_PM_CLK_BUS_MSB_DIV_PTR ( (reg8 *) CYREG_CLKDIST_BCFG1 ) + +/* CLK_BUS Configuration Register */ +#define CLK_BUS_CFG_REG (* (reg8 *) CYREG_CLKDIST_BCFG2 ) +#define CLK_BUS_CFG_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2 ) + +/* Power Mode Control/Status Register */ +#define CY_PM_MODE_CSR_REG (* (reg8 *) CYREG_PM_MODE_CSR ) +#define CY_PM_MODE_CSR_PTR ( (reg8 *) CYREG_PM_MODE_CSR ) + +/* Power System Control Register 1 */ +#define CY_PM_PWRSYS_CR1_REG (* (reg8 *) CYREG_PWRSYS_CR1 ) +#define CY_PM_PWRSYS_CR1_PTR ( (reg8 *) CYREG_PWRSYS_CR1 ) + +/* Power System Control Register 0 */ +#define CY_PM_PWRSYS_CR0_REG (* (reg8 *) CYREG_PWRSYS_CR0 ) +#define CY_PM_PWRSYS_CR0_PTR ( (reg8 *) CYREG_PWRSYS_CR0 ) + +/* Internal Low-speed Oscillator Control Register 0 */ +#define CY_PM_SLOWCLK_ILO_CR0_REG (* (reg8 *) CYREG_SLOWCLK_ILO_CR0 ) +#define CY_PM_SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0 ) + +/* External 32kHz Crystal Oscillator Control Register */ +#define CY_PM_SLOWCLK_X32_CR_REG (* (reg8 *) CYREG_SLOWCLK_X32_CR ) +#define CY_PM_SLOWCLK_X32_CR_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CR ) + +#if(CY_PSOC3) + + /* MLOGIC Debug Register */ + #define CY_PM_MLOGIC_DBG_REG (* (reg8 *) CYREG_MLOGIC_DEBUG ) + #define CY_PM_MLOGIC_DBG_PTR ( (reg8 *) CYREG_MLOGIC_DEBUG ) + + /* Port Pin Configuration Register */ + #define CY_PM_PRT1_PC3_REG (* (reg8 *) CYREG_PRT1_PC3 ) + #define CY_PM_PRT1_PC3_PTR ( (reg8 *) CYREG_PRT1_PC3 ) + +#endif /* (CY_PSOC3) */ + + +/* Sleep Regulator Trim Register */ +#define CY_PM_PWRSYS_SLP_TR_REG (* (reg8 *) CYREG_PWRSYS_SLP_TR ) +#define CY_PM_PWRSYS_SLP_TR_PTR ( (reg8 *) CYREG_PWRSYS_SLP_TR ) + + +/* Reset System Control Register */ +#define CY_PM_RESET_CR1_REG (* (reg8 *) CYREG_RESET_CR1 ) +#define CY_PM_RESET_CR1_PTR ( (reg8 *) CYREG_RESET_CR1 ) + +/* Power Mode Wakeup Trim Register 0 */ +#define CY_PM_PWRSYS_WAKE_TR0_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR0 ) +#define CY_PM_PWRSYS_WAKE_TR0_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR0 ) + +#if(CY_PSOC3) + + /* Power Mode Wakeup Trim Register 2 */ + #define CY_PM_PWRSYS_WAKE_TR2_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR2 ) + #define CY_PM_PWRSYS_WAKE_TR2_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR2 ) + +#endif /* (CY_PSOC3) */ + +/* Power Manager Interrupt Status Register */ +#define CY_PM_INT_SR_REG (* (reg8 *) CYREG_PM_INT_SR ) +#define CY_PM_INT_SR_PTR ( (reg8 *) CYREG_PM_INT_SR ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_PM_ACT_CFG0_REG (* (reg8 *) CYREG_PM_ACT_CFG0 ) +#define CY_PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0 ) + +/* Active Power Mode Configuration Register 1 */ +#define CY_PM_ACT_CFG1_REG (* (reg8 *) CYREG_PM_ACT_CFG1 ) +#define CY_PM_ACT_CFG1_PTR ( (reg8 *) CYREG_PM_ACT_CFG1 ) + +/* Active Power Mode Configuration Register 2 */ +#define CY_PM_ACT_CFG2_REG (* (reg8 *) CYREG_PM_ACT_CFG2 ) +#define CY_PM_ACT_CFG2_PTR ( (reg8 *) CYREG_PM_ACT_CFG2 ) + +/* Boost Control 1 */ +#define CY_PM_BOOST_CR1_REG (* (reg8 *) CYREG_BOOST_CR1 ) +#define CY_PM_BOOST_CR1_PTR ( (reg8 *) CYREG_BOOST_CR1 ) + +/* Timewheel Configuration Register 0 */ +#define CY_PM_TW_CFG0_REG (* (reg8 *) CYREG_PM_TW_CFG0 ) +#define CY_PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0 ) + +/* Timewheel Configuration Register 1 */ +#define CY_PM_TW_CFG1_REG (* (reg8 *) CYREG_PM_TW_CFG1 ) +#define CY_PM_TW_CFG1_PTR ( (reg8 *) CYREG_PM_TW_CFG1 ) + +/* Timewheel Configuration Register 2 */ +#define CY_PM_TW_CFG2_REG (* (reg8 *) CYREG_PM_TW_CFG2 ) +#define CY_PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2 ) + +/* PLL Status Register */ +#define CY_PM_FASTCLK_PLL_SR_REG (*(reg8 *) CYREG_FASTCLK_PLL_SR ) +#define CY_PM_FASTCLK_PLL_SR_PTR ( (reg8 *) CYREG_FASTCLK_PLL_SR ) + +/* Internal Main Oscillator Control Register */ +#define CY_PM_FASTCLK_IMO_CR_REG (* (reg8 *) CYREG_FASTCLK_IMO_CR ) +#define CY_PM_FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR ) + +/* PLL Configuration Register */ +#define CY_PM_FASTCLK_PLL_CFG0_REG (* (reg8 *) CYREG_FASTCLK_PLL_CFG0 ) +#define CY_PM_FASTCLK_PLL_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG0 ) + +/* External 4-33 MHz Crystal Oscillator Status and Control Register */ +#define CY_PM_FASTCLK_XMHZ_CSR_REG (* (reg8 *) CYREG_FASTCLK_XMHZ_CSR ) +#define CY_PM_FASTCLK_XMHZ_CSR_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CSR ) + +/* Delay block Configuration Register */ +#define CY_PM_CLKDIST_DELAY_REG (* (reg8 *) CYREG_CLKDIST_DLY1 ) +#define CY_PM_CLKDIST_DELAY_PTR ( (reg8 *) CYREG_CLKDIST_DLY1 ) + + +#if(CY_PSOC3) + + /* Cache Control Register */ + #define CY_PM_CACHE_CR_REG (* (reg8 *) CYREG_CACHE_CR ) + #define CY_PM_CACHE_CR_PTR ( (reg8 *) CYREG_CACHE_CR ) + +#else /* Device is PSoC 5 */ + + /* Cache Control Register */ + #define CY_PM_CACHE_CR_REG (* (reg8 *) CYREG_CACHE_CC_CTL ) + #define CY_PM_CACHE_CR_PTR ( (reg8 *) CYREG_CACHE_CC_CTL ) + +#endif /* (CY_PSOC3) */ + + +/* Power Mode Wakeup Mask Configuration Register 0 */ +#define CY_PM_WAKEUP_CFG0_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG0 ) +#define CY_PM_WAKEUP_CFG0_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG0 ) + +/* Power Mode Wakeup Mask Configuration Register 1 */ +#define CY_PM_WAKEUP_CFG1_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG1 ) +#define CY_PM_WAKEUP_CFG1_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG1 ) + +/* Power Mode Wakeup Mask Configuration Register 2 */ +#define CY_PM_WAKEUP_CFG2_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG2 ) +#define CY_PM_WAKEUP_CFG2_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG2 ) + +/* Boost Control 2 */ +#define CY_PM_BOOST_CR2_REG (* (reg8 *) CYREG_BOOST_CR2 ) +#define CY_PM_BOOST_CR2_PTR ( (reg8 *) CYREG_BOOST_CR2 ) + + +/*************************************** +* Register Constants +***************************************/ + +/* Internal Main Oscillator Control Register */ + +#define CY_PM_FASTCLK_IMO_CR_FREQ_MASK (0x07u) /* IMO frequency mask */ +#define CY_PM_FASTCLK_IMO_CR_FREQ_12MHZ (0x00u) /* IMO frequency 12 MHz */ +#define CY_PM_FASTCLK_IMO_CR_F2XON (0x10u) /* IMO doubler enable */ +#define CY_PM_FASTCLK_IMO_CR_USB (0x40u) /* IMO is in USB mode */ + +#define CY_PM_MASTER_CLK_SRC_IMO (0u) +#define CY_PM_MASTER_CLK_SRC_PLL (1u) +#define CY_PM_MASTER_CLK_SRC_XTAL (2u) +#define CY_PM_MASTER_CLK_SRC_DSI (3u) +#define CY_PM_MASTER_CLK_SRC_MASK (3u) + +#define CY_PM_PLL_CFG0_ENABLE (0x01u) /* PLL enable */ +#define CY_PM_PLL_STATUS_LOCK (0x01u) /* PLL Lock Status */ +#define CY_PM_XMHZ_CSR_ENABLE (0x01u) /* Enable X MHz OSC */ +#define CY_PM_XMHZ_CSR_XERR (0x80u) /* High indicates failure */ +#define CY_PM_BOOST_ENABLE (0x08u) /* Boost enable */ +#define CY_PM_ILO_CR0_EN_1K (0x02u) /* Enable 1kHz ILO */ +#define CY_PM_ILO_CR0_EN_100K (0x04u) /* Enable 100kHz ILO */ +#define CY_PM_ILO_CR0_PD_MODE (0x10u) /* Power down mode for ILO*/ +#define CY_PM_X32_CR_X32EN (0x01u) /* Enable 32kHz OSC */ + +#define CY_PM_CTW_IE (0x08u) /* CTW interrupt enable */ +#define CY_PM_CTW_EN (0x04u) /* CTW enable */ +#define CY_PM_FTW_IE (0x02u) /* FTW interrupt enable */ +#define CY_PM_FTW_EN (0x01u) /* FTW enable */ +#define CY_PM_1PPS_EN (0x10u) /* 1PPS enable */ +#define CY_PM_1PPS_IE (0x20u) /* 1PPS interrupt enable */ + + +#define CY_PM_ACT_EN_CLK_A_MASK (0x0Fu) +#define CY_PM_ACT_EN_CLK_D_MASK (0xFFu) + +#define CY_PM_DIV_BY_ONE (0x00u) + +/* Internal Main Oscillator Control Register */ +#define CY_PM_FASTCLK_IMO_CR_XCLKEN (0x20u) + +/* Clock distribution configuration Register */ +#define CY_PM_CLKDIST_IMO_OUT_MASK (0x30u) +#define CY_PM_CLKDIST_IMO_OUT_IMO (0x00u) +#define CY_PM_CLKDIST_IMO2X_SRC (0x40u) + +/* Waiting for the hibernate/sleep regulator to stabilize */ +#define CY_PM_MODE_CSR_PWRUP_PULSE_Q (0x08u) + +#define CY_PM_MODE_CSR_ACTIVE (0x00u) /* Active power mode */ +#define CY_PM_MODE_CSR_ALT_ACT (0x01u) /* Alternate Active power */ +#define CY_PM_MODE_CSR_SLEEP (0x03u) /* Sleep power mode */ +#define CY_PM_MODE_CSR_HIBERNATE (0x04u) /* Hibernate power mode */ +#define CY_PM_MODE_CSR_MASK (0x07u) + +/* I2C regulator backup enable */ +#define CY_PM_PWRSYS_CR1_I2CREG_BACKUP (0x04u) + +/* When set, prepares the system to disable the LDO-A */ +#define CY_PM_PWRSYS_CR1_LDOA_ISO (0x01u) + +/* When set, disables the analog LDO regulator */ +#define CY_PM_PWRSYS_CR1_LDOA_DIS (0x02u) + +#define CY_PM_PWRSYS_WAKE_TR2_VCCD_CLK_DET (0x04u) + +#define CY_PM_FTW_INT (0x01u) /* FTW event has occured */ +#define CY_PM_CTW_INT (0x02u) /* CTW event has occured */ +#define CY_PM_ONEPPS_INT (0x04u) /* 1PPS event has occured */ + +/* Active Power Mode Configuration Register 0 */ +#define CY_PM_ACT_CFG0_IMO (0x10u) /* IMO enable in Active */ + +/* Cache Control Register (same mask for all device revisions) */ +#define CY_PM_CACHE_CR_CYCLES_MASK (0xC0u) + +/* Bus Clock divider to divide-by-one */ +#define CY_PM_BUS_CLK_DIV_BY_ONE (0x00u) + +/* HVI/LVI feature on the external analog and digital supply mask */ +#define CY_PM_RESET_CR1_HVI_LVI_EN_MASK (0x07u) + +/* The high-voltage-interrupt feature on the external analog supply */ +#define CY_PM_RESET_CR1_HVIA_EN (0x04u) + +/* The low-voltage-interrupt feature on the external analog supply */ +#define CY_PM_RESET_CR1_LVIA_EN (0x02u) + +/* The low-voltage-interrupt feature on the external digital supply */ +#define CY_PM_RESET_CR1_LVID_EN (0x01u) + +/* Allows the system to program delays on clk_sync_d */ +#define CY_PM_CLKDIST_DELAY_EN (0x04u) + + +#define CY_PM_WAKEUP_SRC_CMPS_MASK (0x000Fu) + +/* Holdoff mask sleep trim */ +#define CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK (0x1Fu) + +#if(CY_PSOC3) + + /* CPU clock divider mask */ + #define CY_PM_CLKDIST_CPU_DIV_MASK (0xF0u) + + /* Serial Wire View (SWV) clock enable */ + #define CY_PM_MLOGIC_DBG_SWV_CLK_EN (0x04u) + + /* Port drive mode */ + #define CY_PM_PRT1_PC3_DM_MASK (0xf1u) + + /* Mode 6, stong pull-up, strong pull-down */ + #define CY_PM_PRT1_PC3_DM_STRONG (0x0Cu) + + /* When set, enables buzz wakeups */ + #define CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ (0x01u) + +#endif /* (CY_PSOC3) */ + + +/* Disable the sleep regulator and shorts vccd to vpwrsleep */ +#define CY_PM_PWRSYS_SLP_TR_BYPASS (0x10u) + +/* Boost Control 2: Select external precision reference */ +#define CY_PM_BOOST_CR2_EREFSEL_EXT (0x08u) + +#if(CY_PSOC3) + + #define CY_PM_PWRSYS_WAKE_TR0 (0xFFu) + #define CY_PM_PWRSYS_WAKE_TR1 (0x90u) + +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + + #define CY_PM_PWRSYS_WAKE_TR0 (0xFFu) + #define CY_PM_PWRSYS_WAKE_TR1 (0xB0u) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#if(CY_PSOC3) + + /* Was removed as redundant */ + #define CY_PM_FTW_INTERVAL_MASK (0xFFu) + +#endif /* (CY_PSOC3) */ + +/* Was removed as redundant */ +#define CY_PM_CTW_INTERVAL_MASK (0x0Fu) + +#endif /* (CY_BOOT_CYPM_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h new file mode 100644 index 0000000..8263e30 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h @@ -0,0 +1,5360 @@ +/******************************************************************************* +* FILENAME: cydevice.h +* OBSOLETE: Do not use this file. Use the _trm version instead. +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#if !defined(CYDEVICE_H) +#define CYDEVICE_H +#define CYDEV_FLASH_BASE 0x00000000u +#define CYDEV_FLASH_SIZE 0x00020000u +#define CYDEV_FLASH_DATA_MBASE 0x00000000u +#define CYDEV_FLASH_DATA_MSIZE 0x00020000u +#define CYDEV_SRAM_BASE 0x1fffc000u +#define CYDEV_SRAM_SIZE 0x00008000u +#define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000u +#define CYDEV_SRAM_CODE64K_MSIZE 0x00004000u +#define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000u +#define CYDEV_SRAM_CODE32K_MSIZE 0x00002000u +#define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000u +#define CYDEV_SRAM_CODE16K_MSIZE 0x00001000u +#define CYDEV_SRAM_CODE_MBASE 0x1fffc000u +#define CYDEV_SRAM_CODE_MSIZE 0x00004000u +#define CYDEV_SRAM_DATA_MBASE 0x20000000u +#define CYDEV_SRAM_DATA_MSIZE 0x00004000u +#define CYDEV_SRAM_DATA16K_MBASE 0x20001000u +#define CYDEV_SRAM_DATA16K_MSIZE 0x00001000u +#define CYDEV_SRAM_DATA32K_MBASE 0x20002000u +#define CYDEV_SRAM_DATA32K_MSIZE 0x00002000u +#define CYDEV_SRAM_DATA64K_MBASE 0x20004000u +#define CYDEV_SRAM_DATA64K_MSIZE 0x00004000u +#define CYDEV_DMA_BASE 0x20008000u +#define CYDEV_DMA_SIZE 0x00008000u +#define CYDEV_DMA_SRAM64K_MBASE 0x20008000u +#define CYDEV_DMA_SRAM64K_MSIZE 0x00004000u +#define CYDEV_DMA_SRAM32K_MBASE 0x2000c000u +#define CYDEV_DMA_SRAM32K_MSIZE 0x00002000u +#define CYDEV_DMA_SRAM16K_MBASE 0x2000e000u +#define CYDEV_DMA_SRAM16K_MSIZE 0x00001000u +#define CYDEV_DMA_SRAM_MBASE 0x2000f000u +#define CYDEV_DMA_SRAM_MSIZE 0x00001000u +#define CYDEV_CLKDIST_BASE 0x40004000u +#define CYDEV_CLKDIST_SIZE 0x00000110u +#define CYDEV_CLKDIST_CR 0x40004000u +#define CYDEV_CLKDIST_LD 0x40004001u +#define CYDEV_CLKDIST_WRK0 0x40004002u +#define CYDEV_CLKDIST_WRK1 0x40004003u +#define CYDEV_CLKDIST_MSTR0 0x40004004u +#define CYDEV_CLKDIST_MSTR1 0x40004005u +#define CYDEV_CLKDIST_BCFG0 0x40004006u +#define CYDEV_CLKDIST_BCFG1 0x40004007u +#define CYDEV_CLKDIST_BCFG2 0x40004008u +#define CYDEV_CLKDIST_UCFG 0x40004009u +#define CYDEV_CLKDIST_DLY0 0x4000400au +#define CYDEV_CLKDIST_DLY1 0x4000400bu +#define CYDEV_CLKDIST_DMASK 0x40004010u +#define CYDEV_CLKDIST_AMASK 0x40004014u +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080u +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG0_CFG0 0x40004080u +#define CYDEV_CLKDIST_DCFG0_CFG1 0x40004081u +#define CYDEV_CLKDIST_DCFG0_CFG2 0x40004082u +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084u +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG1_CFG0 0x40004084u +#define CYDEV_CLKDIST_DCFG1_CFG1 0x40004085u +#define CYDEV_CLKDIST_DCFG1_CFG2 0x40004086u +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088u +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG2_CFG0 0x40004088u +#define CYDEV_CLKDIST_DCFG2_CFG1 0x40004089u +#define CYDEV_CLKDIST_DCFG2_CFG2 0x4000408au +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG3_CFG0 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_CFG1 0x4000408du +#define CYDEV_CLKDIST_DCFG3_CFG2 0x4000408eu +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090u +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG4_CFG0 0x40004090u +#define CYDEV_CLKDIST_DCFG4_CFG1 0x40004091u +#define CYDEV_CLKDIST_DCFG4_CFG2 0x40004092u +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094u +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG5_CFG0 0x40004094u +#define CYDEV_CLKDIST_DCFG5_CFG1 0x40004095u +#define CYDEV_CLKDIST_DCFG5_CFG2 0x40004096u +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098u +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG6_CFG0 0x40004098u +#define CYDEV_CLKDIST_DCFG6_CFG1 0x40004099u +#define CYDEV_CLKDIST_DCFG6_CFG2 0x4000409au +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG7_CFG0 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_CFG1 0x4000409du +#define CYDEV_CLKDIST_DCFG7_CFG2 0x4000409eu +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100u +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG0_CFG0 0x40004100u +#define CYDEV_CLKDIST_ACFG0_CFG1 0x40004101u +#define CYDEV_CLKDIST_ACFG0_CFG2 0x40004102u +#define CYDEV_CLKDIST_ACFG0_CFG3 0x40004103u +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104u +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG1_CFG0 0x40004104u +#define CYDEV_CLKDIST_ACFG1_CFG1 0x40004105u +#define CYDEV_CLKDIST_ACFG1_CFG2 0x40004106u +#define CYDEV_CLKDIST_ACFG1_CFG3 0x40004107u +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108u +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG2_CFG0 0x40004108u +#define CYDEV_CLKDIST_ACFG2_CFG1 0x40004109u +#define CYDEV_CLKDIST_ACFG2_CFG2 0x4000410au +#define CYDEV_CLKDIST_ACFG2_CFG3 0x4000410bu +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG3_CFG0 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_CFG1 0x4000410du +#define CYDEV_CLKDIST_ACFG3_CFG2 0x4000410eu +#define CYDEV_CLKDIST_ACFG3_CFG3 0x4000410fu +#define CYDEV_FASTCLK_BASE 0x40004200u +#define CYDEV_FASTCLK_SIZE 0x00000026u +#define CYDEV_FASTCLK_IMO_BASE 0x40004200u +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001u +#define CYDEV_FASTCLK_IMO_CR 0x40004200u +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210u +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004u +#define CYDEV_FASTCLK_XMHZ_CSR 0x40004210u +#define CYDEV_FASTCLK_XMHZ_CFG0 0x40004212u +#define CYDEV_FASTCLK_XMHZ_CFG1 0x40004213u +#define CYDEV_FASTCLK_PLL_BASE 0x40004220u +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006u +#define CYDEV_FASTCLK_PLL_CFG0 0x40004220u +#define CYDEV_FASTCLK_PLL_CFG1 0x40004221u +#define CYDEV_FASTCLK_PLL_P 0x40004222u +#define CYDEV_FASTCLK_PLL_Q 0x40004223u +#define CYDEV_FASTCLK_PLL_SR 0x40004225u +#define CYDEV_SLOWCLK_BASE 0x40004300u +#define CYDEV_SLOWCLK_SIZE 0x0000000bu +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300u +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002u +#define CYDEV_SLOWCLK_ILO_CR0 0x40004300u +#define CYDEV_SLOWCLK_ILO_CR1 0x40004301u +#define CYDEV_SLOWCLK_X32_BASE 0x40004308u +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003u +#define CYDEV_SLOWCLK_X32_CR 0x40004308u +#define CYDEV_SLOWCLK_X32_CFG 0x40004309u +#define CYDEV_SLOWCLK_X32_TST 0x4000430au +#define CYDEV_BOOST_BASE 0x40004320u +#define CYDEV_BOOST_SIZE 0x00000007u +#define CYDEV_BOOST_CR0 0x40004320u +#define CYDEV_BOOST_CR1 0x40004321u +#define CYDEV_BOOST_CR2 0x40004322u +#define CYDEV_BOOST_CR3 0x40004323u +#define CYDEV_BOOST_SR 0x40004324u +#define CYDEV_BOOST_CR4 0x40004325u +#define CYDEV_BOOST_SR2 0x40004326u +#define CYDEV_PWRSYS_BASE 0x40004330u +#define CYDEV_PWRSYS_SIZE 0x00000002u +#define CYDEV_PWRSYS_CR0 0x40004330u +#define CYDEV_PWRSYS_CR1 0x40004331u +#define CYDEV_PM_BASE 0x40004380u +#define CYDEV_PM_SIZE 0x00000057u +#define CYDEV_PM_TW_CFG0 0x40004380u +#define CYDEV_PM_TW_CFG1 0x40004381u +#define CYDEV_PM_TW_CFG2 0x40004382u +#define CYDEV_PM_WDT_CFG 0x40004383u +#define CYDEV_PM_WDT_CR 0x40004384u +#define CYDEV_PM_INT_SR 0x40004390u +#define CYDEV_PM_MODE_CFG0 0x40004391u +#define CYDEV_PM_MODE_CFG1 0x40004392u +#define CYDEV_PM_MODE_CSR 0x40004393u +#define CYDEV_PM_USB_CR0 0x40004394u +#define CYDEV_PM_WAKEUP_CFG0 0x40004398u +#define CYDEV_PM_WAKEUP_CFG1 0x40004399u +#define CYDEV_PM_WAKEUP_CFG2 0x4000439au +#define CYDEV_PM_ACT_BASE 0x400043a0u +#define CYDEV_PM_ACT_SIZE 0x0000000eu +#define CYDEV_PM_ACT_CFG0 0x400043a0u +#define CYDEV_PM_ACT_CFG1 0x400043a1u +#define CYDEV_PM_ACT_CFG2 0x400043a2u +#define CYDEV_PM_ACT_CFG3 0x400043a3u +#define CYDEV_PM_ACT_CFG4 0x400043a4u +#define CYDEV_PM_ACT_CFG5 0x400043a5u +#define CYDEV_PM_ACT_CFG6 0x400043a6u +#define CYDEV_PM_ACT_CFG7 0x400043a7u +#define CYDEV_PM_ACT_CFG8 0x400043a8u +#define CYDEV_PM_ACT_CFG9 0x400043a9u +#define CYDEV_PM_ACT_CFG10 0x400043aau +#define CYDEV_PM_ACT_CFG11 0x400043abu +#define CYDEV_PM_ACT_CFG12 0x400043acu +#define CYDEV_PM_ACT_CFG13 0x400043adu +#define CYDEV_PM_STBY_BASE 0x400043b0u +#define CYDEV_PM_STBY_SIZE 0x0000000eu +#define CYDEV_PM_STBY_CFG0 0x400043b0u +#define CYDEV_PM_STBY_CFG1 0x400043b1u +#define CYDEV_PM_STBY_CFG2 0x400043b2u +#define CYDEV_PM_STBY_CFG3 0x400043b3u +#define CYDEV_PM_STBY_CFG4 0x400043b4u +#define CYDEV_PM_STBY_CFG5 0x400043b5u +#define CYDEV_PM_STBY_CFG6 0x400043b6u +#define CYDEV_PM_STBY_CFG7 0x400043b7u +#define CYDEV_PM_STBY_CFG8 0x400043b8u +#define CYDEV_PM_STBY_CFG9 0x400043b9u +#define CYDEV_PM_STBY_CFG10 0x400043bau +#define CYDEV_PM_STBY_CFG11 0x400043bbu +#define CYDEV_PM_STBY_CFG12 0x400043bcu +#define CYDEV_PM_STBY_CFG13 0x400043bdu +#define CYDEV_PM_AVAIL_BASE 0x400043c0u +#define CYDEV_PM_AVAIL_SIZE 0x00000017u +#define CYDEV_PM_AVAIL_CR0 0x400043c0u +#define CYDEV_PM_AVAIL_CR1 0x400043c1u +#define CYDEV_PM_AVAIL_CR2 0x400043c2u +#define CYDEV_PM_AVAIL_CR3 0x400043c3u +#define CYDEV_PM_AVAIL_CR4 0x400043c4u +#define CYDEV_PM_AVAIL_CR5 0x400043c5u +#define CYDEV_PM_AVAIL_CR6 0x400043c6u +#define CYDEV_PM_AVAIL_SR0 0x400043d0u +#define CYDEV_PM_AVAIL_SR1 0x400043d1u +#define CYDEV_PM_AVAIL_SR2 0x400043d2u +#define CYDEV_PM_AVAIL_SR3 0x400043d3u +#define CYDEV_PM_AVAIL_SR4 0x400043d4u +#define CYDEV_PM_AVAIL_SR5 0x400043d5u +#define CYDEV_PM_AVAIL_SR6 0x400043d6u +#define CYDEV_PICU_BASE 0x40004500u +#define CYDEV_PICU_SIZE 0x000000b0u +#define CYDEV_PICU_INTTYPE_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080u +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 0x40004501u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 0x40004502u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 0x40004503u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 0x40004504u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 0x40004505u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 0x40004506u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 0x40004507u +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 0x40004509u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 0x4000450au +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 0x4000450bu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 0x4000450cu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 0x4000450du +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 0x4000450eu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 0x4000450fu +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 0x40004511u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 0x40004512u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 0x40004513u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 0x40004514u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 0x40004515u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 0x40004516u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 0x40004517u +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 0x40004519u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 0x4000451au +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 0x4000451bu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 0x4000451cu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 0x4000451du +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 0x4000451eu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 0x4000451fu +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 0x40004521u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 0x40004522u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 0x40004523u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 0x40004524u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 0x40004525u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 0x40004526u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 0x40004527u +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 0x40004529u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 0x4000452au +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 0x4000452bu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 0x4000452cu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 0x4000452du +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 0x4000452eu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 0x4000452fu +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 0x40004531u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 0x40004532u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 0x40004533u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 0x40004534u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 0x40004535u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 0x40004536u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 0x40004537u +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 0x40004561u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 0x40004562u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 0x40004563u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 0x40004564u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 0x40004565u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 0x40004566u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 0x40004567u +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 0x40004579u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 0x4000457au +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 0x4000457bu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 0x4000457cu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 0x4000457du +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 0x4000457eu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 0x4000457fu +#define CYDEV_PICU_STAT_BASE 0x40004580u +#define CYDEV_PICU_STAT_SIZE 0x00000010u +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580u +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU0_INTSTAT 0x40004580u +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581u +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU1_INTSTAT 0x40004581u +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582u +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU2_INTSTAT 0x40004582u +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583u +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU3_INTSTAT 0x40004583u +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584u +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU4_INTSTAT 0x40004584u +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585u +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU5_INTSTAT 0x40004585u +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586u +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU6_INTSTAT 0x40004586u +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458cu +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU12_INTSTAT 0x4000458cu +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458fu +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU15_INTSTAT 0x4000458fu +#define CYDEV_PICU_SNAP_BASE 0x40004590u +#define CYDEV_PICU_SNAP_SIZE 0x00000010u +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590u +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU0_SNAP 0x40004590u +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591u +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU1_SNAP 0x40004591u +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592u +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU2_SNAP 0x40004592u +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593u +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU3_SNAP 0x40004593u +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594u +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU4_SNAP 0x40004594u +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595u +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU5_SNAP 0x40004595u +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596u +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU6_SNAP 0x40004596u +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459cu +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU12_SNAP 0x4000459cu +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459fu +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU_15_SNAP_15 0x4000459fu +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010u +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045afu +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR 0x400045afu +#define CYDEV_MFGCFG_BASE 0x40004600u +#define CYDEV_MFGCFG_SIZE 0x000000edu +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600u +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038u +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC0_TR 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC1_TR 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC2_TR 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC3_TR 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 0x40004612u +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_SAR0_TR0 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616u +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_SAR1_TR0 0x40004616u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 0x40004621u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 0x40004623u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 0x40004625u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 0x40004627u +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP0_TR0 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_TR1 0x40004631u +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP1_TR0 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_TR1 0x40004633u +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP2_TR0 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_TR1 0x40004635u +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP3_TR0 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_TR1 0x40004637u +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000bu +#define CYDEV_MFGCFG_PWRSYS_HIB_TR0 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_HIB_TR1 0x40004681u +#define CYDEV_MFGCFG_PWRSYS_I2C_TR 0x40004682u +#define CYDEV_MFGCFG_PWRSYS_SLP_TR 0x40004683u +#define CYDEV_MFGCFG_PWRSYS_BUZZ_TR 0x40004684u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR0 0x40004685u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR1 0x40004686u +#define CYDEV_MFGCFG_PWRSYS_BREF_TR 0x40004687u +#define CYDEV_MFGCFG_PWRSYS_BG_TR 0x40004688u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR2 0x40004689u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR3 0x4000468au +#define CYDEV_MFGCFG_ILO_BASE 0x40004690u +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002u +#define CYDEV_MFGCFG_ILO_TR0 0x40004690u +#define CYDEV_MFGCFG_ILO_TR1 0x40004691u +#define CYDEV_MFGCFG_X32_BASE 0x40004698u +#define CYDEV_MFGCFG_X32_SIZE 0x00000001u +#define CYDEV_MFGCFG_X32_TR 0x40004698u +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0u +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005u +#define CYDEV_MFGCFG_IMO_TR0 0x400046a0u +#define CYDEV_MFGCFG_IMO_TR1 0x400046a1u +#define CYDEV_MFGCFG_IMO_GAIN 0x400046a2u +#define CYDEV_MFGCFG_IMO_C36M 0x400046a3u +#define CYDEV_MFGCFG_IMO_TR2 0x400046a4u +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8u +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001u +#define CYDEV_MFGCFG_XMHZ_TR 0x400046a8u +#define CYDEV_MFGCFG_DLY 0x400046c0u +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0u +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000du +#define CYDEV_MFGCFG_MLOGIC_DMPSTR 0x400046e2u +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002u +#define CYDEV_MFGCFG_MLOGIC_SEG_CR 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_CFG0 0x400046e5u +#define CYDEV_MFGCFG_MLOGIC_DEBUG 0x400046e8u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_REV_ID 0x400046ecu +#define CYDEV_RESET_BASE 0x400046f0u +#define CYDEV_RESET_SIZE 0x0000000fu +#define CYDEV_RESET_IPOR_CR0 0x400046f0u +#define CYDEV_RESET_IPOR_CR1 0x400046f1u +#define CYDEV_RESET_IPOR_CR2 0x400046f2u +#define CYDEV_RESET_IPOR_CR3 0x400046f3u +#define CYDEV_RESET_CR0 0x400046f4u +#define CYDEV_RESET_CR1 0x400046f5u +#define CYDEV_RESET_CR2 0x400046f6u +#define CYDEV_RESET_CR3 0x400046f7u +#define CYDEV_RESET_CR4 0x400046f8u +#define CYDEV_RESET_CR5 0x400046f9u +#define CYDEV_RESET_SR0 0x400046fau +#define CYDEV_RESET_SR1 0x400046fbu +#define CYDEV_RESET_SR2 0x400046fcu +#define CYDEV_RESET_SR3 0x400046fdu +#define CYDEV_RESET_TR 0x400046feu +#define CYDEV_SPC_BASE 0x40004700u +#define CYDEV_SPC_SIZE 0x00000100u +#define CYDEV_SPC_FM_EE_CR 0x40004700u +#define CYDEV_SPC_FM_EE_WAKE_CNT 0x40004701u +#define CYDEV_SPC_EE_SCR 0x40004702u +#define CYDEV_SPC_EE_ERR 0x40004703u +#define CYDEV_SPC_CPU_DATA 0x40004720u +#define CYDEV_SPC_DMA_DATA 0x40004721u +#define CYDEV_SPC_SR 0x40004722u +#define CYDEV_SPC_CR 0x40004723u +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080u +#define CYDEV_SPC_DMM_MAP_SRAM_MBASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SRAM_MSIZE 0x00000080u +#define CYDEV_CACHE_BASE 0x40004800u +#define CYDEV_CACHE_SIZE 0x0000009cu +#define CYDEV_CACHE_CC_CTL 0x40004800u +#define CYDEV_CACHE_ECC_CORR 0x40004880u +#define CYDEV_CACHE_ECC_ERR 0x40004888u +#define CYDEV_CACHE_FLASH_ERR 0x40004890u +#define CYDEV_CACHE_HITMISS 0x40004898u +#define CYDEV_I2C_BASE 0x40004900u +#define CYDEV_I2C_SIZE 0x000000e1u +#define CYDEV_I2C_XCFG 0x400049c8u +#define CYDEV_I2C_ADR 0x400049cau +#define CYDEV_I2C_CFG 0x400049d6u +#define CYDEV_I2C_CSR 0x400049d7u +#define CYDEV_I2C_D 0x400049d8u +#define CYDEV_I2C_MCSR 0x400049d9u +#define CYDEV_I2C_CLK_DIV1 0x400049dbu +#define CYDEV_I2C_CLK_DIV2 0x400049dcu +#define CYDEV_I2C_TMOUT_CSR 0x400049ddu +#define CYDEV_I2C_TMOUT_SR 0x400049deu +#define CYDEV_I2C_TMOUT_CFG0 0x400049dfu +#define CYDEV_I2C_TMOUT_CFG1 0x400049e0u +#define CYDEV_DEC_BASE 0x40004e00u +#define CYDEV_DEC_SIZE 0x00000015u +#define CYDEV_DEC_CR 0x40004e00u +#define CYDEV_DEC_SR 0x40004e01u +#define CYDEV_DEC_SHIFT1 0x40004e02u +#define CYDEV_DEC_SHIFT2 0x40004e03u +#define CYDEV_DEC_DR2 0x40004e04u +#define CYDEV_DEC_DR2H 0x40004e05u +#define CYDEV_DEC_DR1 0x40004e06u +#define CYDEV_DEC_OCOR 0x40004e08u +#define CYDEV_DEC_OCORM 0x40004e09u +#define CYDEV_DEC_OCORH 0x40004e0au +#define CYDEV_DEC_GCOR 0x40004e0cu +#define CYDEV_DEC_GCORH 0x40004e0du +#define CYDEV_DEC_GVAL 0x40004e0eu +#define CYDEV_DEC_OUTSAMP 0x40004e10u +#define CYDEV_DEC_OUTSAMPM 0x40004e11u +#define CYDEV_DEC_OUTSAMPH 0x40004e12u +#define CYDEV_DEC_OUTSAMPS 0x40004e13u +#define CYDEV_DEC_COHER 0x40004e14u +#define CYDEV_TMR0_BASE 0x40004f00u +#define CYDEV_TMR0_SIZE 0x0000000cu +#define CYDEV_TMR0_CFG0 0x40004f00u +#define CYDEV_TMR0_CFG1 0x40004f01u +#define CYDEV_TMR0_CFG2 0x40004f02u +#define CYDEV_TMR0_SR0 0x40004f03u +#define CYDEV_TMR0_PER0 0x40004f04u +#define CYDEV_TMR0_PER1 0x40004f05u +#define CYDEV_TMR0_CNT_CMP0 0x40004f06u +#define CYDEV_TMR0_CNT_CMP1 0x40004f07u +#define CYDEV_TMR0_CAP0 0x40004f08u +#define CYDEV_TMR0_CAP1 0x40004f09u +#define CYDEV_TMR0_RT0 0x40004f0au +#define CYDEV_TMR0_RT1 0x40004f0bu +#define CYDEV_TMR1_BASE 0x40004f0cu +#define CYDEV_TMR1_SIZE 0x0000000cu +#define CYDEV_TMR1_CFG0 0x40004f0cu +#define CYDEV_TMR1_CFG1 0x40004f0du +#define CYDEV_TMR1_CFG2 0x40004f0eu +#define CYDEV_TMR1_SR0 0x40004f0fu +#define CYDEV_TMR1_PER0 0x40004f10u +#define CYDEV_TMR1_PER1 0x40004f11u +#define CYDEV_TMR1_CNT_CMP0 0x40004f12u +#define CYDEV_TMR1_CNT_CMP1 0x40004f13u +#define CYDEV_TMR1_CAP0 0x40004f14u +#define CYDEV_TMR1_CAP1 0x40004f15u +#define CYDEV_TMR1_RT0 0x40004f16u +#define CYDEV_TMR1_RT1 0x40004f17u +#define CYDEV_TMR2_BASE 0x40004f18u +#define CYDEV_TMR2_SIZE 0x0000000cu +#define CYDEV_TMR2_CFG0 0x40004f18u +#define CYDEV_TMR2_CFG1 0x40004f19u +#define CYDEV_TMR2_CFG2 0x40004f1au +#define CYDEV_TMR2_SR0 0x40004f1bu +#define CYDEV_TMR2_PER0 0x40004f1cu +#define CYDEV_TMR2_PER1 0x40004f1du +#define CYDEV_TMR2_CNT_CMP0 0x40004f1eu +#define CYDEV_TMR2_CNT_CMP1 0x40004f1fu +#define CYDEV_TMR2_CAP0 0x40004f20u +#define CYDEV_TMR2_CAP1 0x40004f21u +#define CYDEV_TMR2_RT0 0x40004f22u +#define CYDEV_TMR2_RT1 0x40004f23u +#define CYDEV_TMR3_BASE 0x40004f24u +#define CYDEV_TMR3_SIZE 0x0000000cu +#define CYDEV_TMR3_CFG0 0x40004f24u +#define CYDEV_TMR3_CFG1 0x40004f25u +#define CYDEV_TMR3_CFG2 0x40004f26u +#define CYDEV_TMR3_SR0 0x40004f27u +#define CYDEV_TMR3_PER0 0x40004f28u +#define CYDEV_TMR3_PER1 0x40004f29u +#define CYDEV_TMR3_CNT_CMP0 0x40004f2au +#define CYDEV_TMR3_CNT_CMP1 0x40004f2bu +#define CYDEV_TMR3_CAP0 0x40004f2cu +#define CYDEV_TMR3_CAP1 0x40004f2du +#define CYDEV_TMR3_RT0 0x40004f2eu +#define CYDEV_TMR3_RT1 0x40004f2fu +#define CYDEV_IO_BASE 0x40005000u +#define CYDEV_IO_SIZE 0x00000200u +#define CYDEV_IO_PC_BASE 0x40005000u +#define CYDEV_IO_PC_SIZE 0x00000080u +#define CYDEV_IO_PC_PRT0_BASE 0x40005000u +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT0_PC0 0x40005000u +#define CYDEV_IO_PC_PRT0_PC1 0x40005001u +#define CYDEV_IO_PC_PRT0_PC2 0x40005002u +#define CYDEV_IO_PC_PRT0_PC3 0x40005003u +#define CYDEV_IO_PC_PRT0_PC4 0x40005004u +#define CYDEV_IO_PC_PRT0_PC5 0x40005005u +#define CYDEV_IO_PC_PRT0_PC6 0x40005006u +#define CYDEV_IO_PC_PRT0_PC7 0x40005007u +#define CYDEV_IO_PC_PRT1_BASE 0x40005008u +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT1_PC0 0x40005008u +#define CYDEV_IO_PC_PRT1_PC1 0x40005009u +#define CYDEV_IO_PC_PRT1_PC2 0x4000500au +#define CYDEV_IO_PC_PRT1_PC3 0x4000500bu +#define CYDEV_IO_PC_PRT1_PC4 0x4000500cu +#define CYDEV_IO_PC_PRT1_PC5 0x4000500du +#define CYDEV_IO_PC_PRT1_PC6 0x4000500eu +#define CYDEV_IO_PC_PRT1_PC7 0x4000500fu +#define CYDEV_IO_PC_PRT2_BASE 0x40005010u +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT2_PC0 0x40005010u +#define CYDEV_IO_PC_PRT2_PC1 0x40005011u +#define CYDEV_IO_PC_PRT2_PC2 0x40005012u +#define CYDEV_IO_PC_PRT2_PC3 0x40005013u +#define CYDEV_IO_PC_PRT2_PC4 0x40005014u +#define CYDEV_IO_PC_PRT2_PC5 0x40005015u +#define CYDEV_IO_PC_PRT2_PC6 0x40005016u +#define CYDEV_IO_PC_PRT2_PC7 0x40005017u +#define CYDEV_IO_PC_PRT3_BASE 0x40005018u +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT3_PC0 0x40005018u +#define CYDEV_IO_PC_PRT3_PC1 0x40005019u +#define CYDEV_IO_PC_PRT3_PC2 0x4000501au +#define CYDEV_IO_PC_PRT3_PC3 0x4000501bu +#define CYDEV_IO_PC_PRT3_PC4 0x4000501cu +#define CYDEV_IO_PC_PRT3_PC5 0x4000501du +#define CYDEV_IO_PC_PRT3_PC6 0x4000501eu +#define CYDEV_IO_PC_PRT3_PC7 0x4000501fu +#define CYDEV_IO_PC_PRT4_BASE 0x40005020u +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT4_PC0 0x40005020u +#define CYDEV_IO_PC_PRT4_PC1 0x40005021u +#define CYDEV_IO_PC_PRT4_PC2 0x40005022u +#define CYDEV_IO_PC_PRT4_PC3 0x40005023u +#define CYDEV_IO_PC_PRT4_PC4 0x40005024u +#define CYDEV_IO_PC_PRT4_PC5 0x40005025u +#define CYDEV_IO_PC_PRT4_PC6 0x40005026u +#define CYDEV_IO_PC_PRT4_PC7 0x40005027u +#define CYDEV_IO_PC_PRT5_BASE 0x40005028u +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT5_PC0 0x40005028u +#define CYDEV_IO_PC_PRT5_PC1 0x40005029u +#define CYDEV_IO_PC_PRT5_PC2 0x4000502au +#define CYDEV_IO_PC_PRT5_PC3 0x4000502bu +#define CYDEV_IO_PC_PRT5_PC4 0x4000502cu +#define CYDEV_IO_PC_PRT5_PC5 0x4000502du +#define CYDEV_IO_PC_PRT5_PC6 0x4000502eu +#define CYDEV_IO_PC_PRT5_PC7 0x4000502fu +#define CYDEV_IO_PC_PRT6_BASE 0x40005030u +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT6_PC0 0x40005030u +#define CYDEV_IO_PC_PRT6_PC1 0x40005031u +#define CYDEV_IO_PC_PRT6_PC2 0x40005032u +#define CYDEV_IO_PC_PRT6_PC3 0x40005033u +#define CYDEV_IO_PC_PRT6_PC4 0x40005034u +#define CYDEV_IO_PC_PRT6_PC5 0x40005035u +#define CYDEV_IO_PC_PRT6_PC6 0x40005036u +#define CYDEV_IO_PC_PRT6_PC7 0x40005037u +#define CYDEV_IO_PC_PRT12_BASE 0x40005060u +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT12_PC0 0x40005060u +#define CYDEV_IO_PC_PRT12_PC1 0x40005061u +#define CYDEV_IO_PC_PRT12_PC2 0x40005062u +#define CYDEV_IO_PC_PRT12_PC3 0x40005063u +#define CYDEV_IO_PC_PRT12_PC4 0x40005064u +#define CYDEV_IO_PC_PRT12_PC5 0x40005065u +#define CYDEV_IO_PC_PRT12_PC6 0x40005066u +#define CYDEV_IO_PC_PRT12_PC7 0x40005067u +#define CYDEV_IO_PC_PRT15_BASE 0x40005078u +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006u +#define CYDEV_IO_PC_PRT15_PC0 0x40005078u +#define CYDEV_IO_PC_PRT15_PC1 0x40005079u +#define CYDEV_IO_PC_PRT15_PC2 0x4000507au +#define CYDEV_IO_PC_PRT15_PC3 0x4000507bu +#define CYDEV_IO_PC_PRT15_PC4 0x4000507cu +#define CYDEV_IO_PC_PRT15_PC5 0x4000507du +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002u +#define CYDEV_IO_PC_PRT15_7_6_PC0 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_PC1 0x4000507fu +#define CYDEV_IO_DR_BASE 0x40005080u +#define CYDEV_IO_DR_SIZE 0x00000010u +#define CYDEV_IO_DR_PRT0_BASE 0x40005080u +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT0_DR_ALIAS 0x40005080u +#define CYDEV_IO_DR_PRT1_BASE 0x40005081u +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT1_DR_ALIAS 0x40005081u +#define CYDEV_IO_DR_PRT2_BASE 0x40005082u +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT2_DR_ALIAS 0x40005082u +#define CYDEV_IO_DR_PRT3_BASE 0x40005083u +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT3_DR_ALIAS 0x40005083u +#define CYDEV_IO_DR_PRT4_BASE 0x40005084u +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT4_DR_ALIAS 0x40005084u +#define CYDEV_IO_DR_PRT5_BASE 0x40005085u +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT5_DR_ALIAS 0x40005085u +#define CYDEV_IO_DR_PRT6_BASE 0x40005086u +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT6_DR_ALIAS 0x40005086u +#define CYDEV_IO_DR_PRT12_BASE 0x4000508cu +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT12_DR_ALIAS 0x4000508cu +#define CYDEV_IO_DR_PRT15_BASE 0x4000508fu +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT15_DR_15_ALIAS 0x4000508fu +#define CYDEV_IO_PS_BASE 0x40005090u +#define CYDEV_IO_PS_SIZE 0x00000010u +#define CYDEV_IO_PS_PRT0_BASE 0x40005090u +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT0_PS_ALIAS 0x40005090u +#define CYDEV_IO_PS_PRT1_BASE 0x40005091u +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT1_PS_ALIAS 0x40005091u +#define CYDEV_IO_PS_PRT2_BASE 0x40005092u +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT2_PS_ALIAS 0x40005092u +#define CYDEV_IO_PS_PRT3_BASE 0x40005093u +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT3_PS_ALIAS 0x40005093u +#define CYDEV_IO_PS_PRT4_BASE 0x40005094u +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT4_PS_ALIAS 0x40005094u +#define CYDEV_IO_PS_PRT5_BASE 0x40005095u +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT5_PS_ALIAS 0x40005095u +#define CYDEV_IO_PS_PRT6_BASE 0x40005096u +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT6_PS_ALIAS 0x40005096u +#define CYDEV_IO_PS_PRT12_BASE 0x4000509cu +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT12_PS_ALIAS 0x4000509cu +#define CYDEV_IO_PS_PRT15_BASE 0x4000509fu +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT15_PS15_ALIAS 0x4000509fu +#define CYDEV_IO_PRT_BASE 0x40005100u +#define CYDEV_IO_PRT_SIZE 0x00000100u +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100u +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT0_DR 0x40005100u +#define CYDEV_IO_PRT_PRT0_PS 0x40005101u +#define CYDEV_IO_PRT_PRT0_DM0 0x40005102u +#define CYDEV_IO_PRT_PRT0_DM1 0x40005103u +#define CYDEV_IO_PRT_PRT0_DM2 0x40005104u +#define CYDEV_IO_PRT_PRT0_SLW 0x40005105u +#define CYDEV_IO_PRT_PRT0_BYP 0x40005106u +#define CYDEV_IO_PRT_PRT0_BIE 0x40005107u +#define CYDEV_IO_PRT_PRT0_INP_DIS 0x40005108u +#define CYDEV_IO_PRT_PRT0_CTL 0x40005109u +#define CYDEV_IO_PRT_PRT0_PRT 0x4000510au +#define CYDEV_IO_PRT_PRT0_BIT_MASK 0x4000510bu +#define CYDEV_IO_PRT_PRT0_AMUX 0x4000510cu +#define CYDEV_IO_PRT_PRT0_AG 0x4000510du +#define CYDEV_IO_PRT_PRT0_LCD_COM_SEG 0x4000510eu +#define CYDEV_IO_PRT_PRT0_LCD_EN 0x4000510fu +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110u +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT1_DR 0x40005110u +#define CYDEV_IO_PRT_PRT1_PS 0x40005111u +#define CYDEV_IO_PRT_PRT1_DM0 0x40005112u +#define CYDEV_IO_PRT_PRT1_DM1 0x40005113u +#define CYDEV_IO_PRT_PRT1_DM2 0x40005114u +#define CYDEV_IO_PRT_PRT1_SLW 0x40005115u +#define CYDEV_IO_PRT_PRT1_BYP 0x40005116u +#define CYDEV_IO_PRT_PRT1_BIE 0x40005117u +#define CYDEV_IO_PRT_PRT1_INP_DIS 0x40005118u +#define CYDEV_IO_PRT_PRT1_CTL 0x40005119u +#define CYDEV_IO_PRT_PRT1_PRT 0x4000511au +#define CYDEV_IO_PRT_PRT1_BIT_MASK 0x4000511bu +#define CYDEV_IO_PRT_PRT1_AMUX 0x4000511cu +#define CYDEV_IO_PRT_PRT1_AG 0x4000511du +#define CYDEV_IO_PRT_PRT1_LCD_COM_SEG 0x4000511eu +#define CYDEV_IO_PRT_PRT1_LCD_EN 0x4000511fu +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120u +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT2_DR 0x40005120u +#define CYDEV_IO_PRT_PRT2_PS 0x40005121u +#define CYDEV_IO_PRT_PRT2_DM0 0x40005122u +#define CYDEV_IO_PRT_PRT2_DM1 0x40005123u +#define CYDEV_IO_PRT_PRT2_DM2 0x40005124u +#define CYDEV_IO_PRT_PRT2_SLW 0x40005125u +#define CYDEV_IO_PRT_PRT2_BYP 0x40005126u +#define CYDEV_IO_PRT_PRT2_BIE 0x40005127u +#define CYDEV_IO_PRT_PRT2_INP_DIS 0x40005128u +#define CYDEV_IO_PRT_PRT2_CTL 0x40005129u +#define CYDEV_IO_PRT_PRT2_PRT 0x4000512au +#define CYDEV_IO_PRT_PRT2_BIT_MASK 0x4000512bu +#define CYDEV_IO_PRT_PRT2_AMUX 0x4000512cu +#define CYDEV_IO_PRT_PRT2_AG 0x4000512du +#define CYDEV_IO_PRT_PRT2_LCD_COM_SEG 0x4000512eu +#define CYDEV_IO_PRT_PRT2_LCD_EN 0x4000512fu +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130u +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT3_DR 0x40005130u +#define CYDEV_IO_PRT_PRT3_PS 0x40005131u +#define CYDEV_IO_PRT_PRT3_DM0 0x40005132u +#define CYDEV_IO_PRT_PRT3_DM1 0x40005133u +#define CYDEV_IO_PRT_PRT3_DM2 0x40005134u +#define CYDEV_IO_PRT_PRT3_SLW 0x40005135u +#define CYDEV_IO_PRT_PRT3_BYP 0x40005136u +#define CYDEV_IO_PRT_PRT3_BIE 0x40005137u +#define CYDEV_IO_PRT_PRT3_INP_DIS 0x40005138u +#define CYDEV_IO_PRT_PRT3_CTL 0x40005139u +#define CYDEV_IO_PRT_PRT3_PRT 0x4000513au +#define CYDEV_IO_PRT_PRT3_BIT_MASK 0x4000513bu +#define CYDEV_IO_PRT_PRT3_AMUX 0x4000513cu +#define CYDEV_IO_PRT_PRT3_AG 0x4000513du +#define CYDEV_IO_PRT_PRT3_LCD_COM_SEG 0x4000513eu +#define CYDEV_IO_PRT_PRT3_LCD_EN 0x4000513fu +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140u +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT4_DR 0x40005140u +#define CYDEV_IO_PRT_PRT4_PS 0x40005141u +#define CYDEV_IO_PRT_PRT4_DM0 0x40005142u +#define CYDEV_IO_PRT_PRT4_DM1 0x40005143u +#define CYDEV_IO_PRT_PRT4_DM2 0x40005144u +#define CYDEV_IO_PRT_PRT4_SLW 0x40005145u +#define CYDEV_IO_PRT_PRT4_BYP 0x40005146u +#define CYDEV_IO_PRT_PRT4_BIE 0x40005147u +#define CYDEV_IO_PRT_PRT4_INP_DIS 0x40005148u +#define CYDEV_IO_PRT_PRT4_CTL 0x40005149u +#define CYDEV_IO_PRT_PRT4_PRT 0x4000514au +#define CYDEV_IO_PRT_PRT4_BIT_MASK 0x4000514bu +#define CYDEV_IO_PRT_PRT4_AMUX 0x4000514cu +#define CYDEV_IO_PRT_PRT4_AG 0x4000514du +#define CYDEV_IO_PRT_PRT4_LCD_COM_SEG 0x4000514eu +#define CYDEV_IO_PRT_PRT4_LCD_EN 0x4000514fu +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150u +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT5_DR 0x40005150u +#define CYDEV_IO_PRT_PRT5_PS 0x40005151u +#define CYDEV_IO_PRT_PRT5_DM0 0x40005152u +#define CYDEV_IO_PRT_PRT5_DM1 0x40005153u +#define CYDEV_IO_PRT_PRT5_DM2 0x40005154u +#define CYDEV_IO_PRT_PRT5_SLW 0x40005155u +#define CYDEV_IO_PRT_PRT5_BYP 0x40005156u +#define CYDEV_IO_PRT_PRT5_BIE 0x40005157u +#define CYDEV_IO_PRT_PRT5_INP_DIS 0x40005158u +#define CYDEV_IO_PRT_PRT5_CTL 0x40005159u +#define CYDEV_IO_PRT_PRT5_PRT 0x4000515au +#define CYDEV_IO_PRT_PRT5_BIT_MASK 0x4000515bu +#define CYDEV_IO_PRT_PRT5_AMUX 0x4000515cu +#define CYDEV_IO_PRT_PRT5_AG 0x4000515du +#define CYDEV_IO_PRT_PRT5_LCD_COM_SEG 0x4000515eu +#define CYDEV_IO_PRT_PRT5_LCD_EN 0x4000515fu +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160u +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT6_DR 0x40005160u +#define CYDEV_IO_PRT_PRT6_PS 0x40005161u +#define CYDEV_IO_PRT_PRT6_DM0 0x40005162u +#define CYDEV_IO_PRT_PRT6_DM1 0x40005163u +#define CYDEV_IO_PRT_PRT6_DM2 0x40005164u +#define CYDEV_IO_PRT_PRT6_SLW 0x40005165u +#define CYDEV_IO_PRT_PRT6_BYP 0x40005166u +#define CYDEV_IO_PRT_PRT6_BIE 0x40005167u +#define CYDEV_IO_PRT_PRT6_INP_DIS 0x40005168u +#define CYDEV_IO_PRT_PRT6_CTL 0x40005169u +#define CYDEV_IO_PRT_PRT6_PRT 0x4000516au +#define CYDEV_IO_PRT_PRT6_BIT_MASK 0x4000516bu +#define CYDEV_IO_PRT_PRT6_AMUX 0x4000516cu +#define CYDEV_IO_PRT_PRT6_AG 0x4000516du +#define CYDEV_IO_PRT_PRT6_LCD_COM_SEG 0x4000516eu +#define CYDEV_IO_PRT_PRT6_LCD_EN 0x4000516fu +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0u +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT12_DR 0x400051c0u +#define CYDEV_IO_PRT_PRT12_PS 0x400051c1u +#define CYDEV_IO_PRT_PRT12_DM0 0x400051c2u +#define CYDEV_IO_PRT_PRT12_DM1 0x400051c3u +#define CYDEV_IO_PRT_PRT12_DM2 0x400051c4u +#define CYDEV_IO_PRT_PRT12_SLW 0x400051c5u +#define CYDEV_IO_PRT_PRT12_BYP 0x400051c6u +#define CYDEV_IO_PRT_PRT12_BIE 0x400051c7u +#define CYDEV_IO_PRT_PRT12_INP_DIS 0x400051c8u +#define CYDEV_IO_PRT_PRT12_SIO_HYST_EN 0x400051c9u +#define CYDEV_IO_PRT_PRT12_PRT 0x400051cau +#define CYDEV_IO_PRT_PRT12_BIT_MASK 0x400051cbu +#define CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ 0x400051ccu +#define CYDEV_IO_PRT_PRT12_AG 0x400051cdu +#define CYDEV_IO_PRT_PRT12_SIO_CFG 0x400051ceu +#define CYDEV_IO_PRT_PRT12_SIO_DIFF 0x400051cfu +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0u +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT15_DR 0x400051f0u +#define CYDEV_IO_PRT_PRT15_PS 0x400051f1u +#define CYDEV_IO_PRT_PRT15_DM0 0x400051f2u +#define CYDEV_IO_PRT_PRT15_DM1 0x400051f3u +#define CYDEV_IO_PRT_PRT15_DM2 0x400051f4u +#define CYDEV_IO_PRT_PRT15_SLW 0x400051f5u +#define CYDEV_IO_PRT_PRT15_BYP 0x400051f6u +#define CYDEV_IO_PRT_PRT15_BIE 0x400051f7u +#define CYDEV_IO_PRT_PRT15_INP_DIS 0x400051f8u +#define CYDEV_IO_PRT_PRT15_CTL 0x400051f9u +#define CYDEV_IO_PRT_PRT15_PRT 0x400051fau +#define CYDEV_IO_PRT_PRT15_BIT_MASK 0x400051fbu +#define CYDEV_IO_PRT_PRT15_AMUX 0x400051fcu +#define CYDEV_IO_PRT_PRT15_AG 0x400051fdu +#define CYDEV_IO_PRT_PRT15_LCD_COM_SEG 0x400051feu +#define CYDEV_IO_PRT_PRT15_LCD_EN 0x400051ffu +#define CYDEV_PRTDSI_BASE 0x40005200u +#define CYDEV_PRTDSI_SIZE 0x0000007fu +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200u +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT0_OUT_SEL0 0x40005200u +#define CYDEV_PRTDSI_PRT0_OUT_SEL1 0x40005201u +#define CYDEV_PRTDSI_PRT0_OE_SEL0 0x40005202u +#define CYDEV_PRTDSI_PRT0_OE_SEL1 0x40005203u +#define CYDEV_PRTDSI_PRT0_DBL_SYNC_IN 0x40005204u +#define CYDEV_PRTDSI_PRT0_SYNC_OUT 0x40005205u +#define CYDEV_PRTDSI_PRT0_CAPS_SEL 0x40005206u +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208u +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT1_OUT_SEL0 0x40005208u +#define CYDEV_PRTDSI_PRT1_OUT_SEL1 0x40005209u +#define CYDEV_PRTDSI_PRT1_OE_SEL0 0x4000520au +#define CYDEV_PRTDSI_PRT1_OE_SEL1 0x4000520bu +#define CYDEV_PRTDSI_PRT1_DBL_SYNC_IN 0x4000520cu +#define CYDEV_PRTDSI_PRT1_SYNC_OUT 0x4000520du +#define CYDEV_PRTDSI_PRT1_CAPS_SEL 0x4000520eu +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210u +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT2_OUT_SEL0 0x40005210u +#define CYDEV_PRTDSI_PRT2_OUT_SEL1 0x40005211u +#define CYDEV_PRTDSI_PRT2_OE_SEL0 0x40005212u +#define CYDEV_PRTDSI_PRT2_OE_SEL1 0x40005213u +#define CYDEV_PRTDSI_PRT2_DBL_SYNC_IN 0x40005214u +#define CYDEV_PRTDSI_PRT2_SYNC_OUT 0x40005215u +#define CYDEV_PRTDSI_PRT2_CAPS_SEL 0x40005216u +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218u +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT3_OUT_SEL0 0x40005218u +#define CYDEV_PRTDSI_PRT3_OUT_SEL1 0x40005219u +#define CYDEV_PRTDSI_PRT3_OE_SEL0 0x4000521au +#define CYDEV_PRTDSI_PRT3_OE_SEL1 0x4000521bu +#define CYDEV_PRTDSI_PRT3_DBL_SYNC_IN 0x4000521cu +#define CYDEV_PRTDSI_PRT3_SYNC_OUT 0x4000521du +#define CYDEV_PRTDSI_PRT3_CAPS_SEL 0x4000521eu +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220u +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT4_OUT_SEL0 0x40005220u +#define CYDEV_PRTDSI_PRT4_OUT_SEL1 0x40005221u +#define CYDEV_PRTDSI_PRT4_OE_SEL0 0x40005222u +#define CYDEV_PRTDSI_PRT4_OE_SEL1 0x40005223u +#define CYDEV_PRTDSI_PRT4_DBL_SYNC_IN 0x40005224u +#define CYDEV_PRTDSI_PRT4_SYNC_OUT 0x40005225u +#define CYDEV_PRTDSI_PRT4_CAPS_SEL 0x40005226u +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228u +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT5_OUT_SEL0 0x40005228u +#define CYDEV_PRTDSI_PRT5_OUT_SEL1 0x40005229u +#define CYDEV_PRTDSI_PRT5_OE_SEL0 0x4000522au +#define CYDEV_PRTDSI_PRT5_OE_SEL1 0x4000522bu +#define CYDEV_PRTDSI_PRT5_DBL_SYNC_IN 0x4000522cu +#define CYDEV_PRTDSI_PRT5_SYNC_OUT 0x4000522du +#define CYDEV_PRTDSI_PRT5_CAPS_SEL 0x4000522eu +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230u +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT6_OUT_SEL0 0x40005230u +#define CYDEV_PRTDSI_PRT6_OUT_SEL1 0x40005231u +#define CYDEV_PRTDSI_PRT6_OE_SEL0 0x40005232u +#define CYDEV_PRTDSI_PRT6_OE_SEL1 0x40005233u +#define CYDEV_PRTDSI_PRT6_DBL_SYNC_IN 0x40005234u +#define CYDEV_PRTDSI_PRT6_SYNC_OUT 0x40005235u +#define CYDEV_PRTDSI_PRT6_CAPS_SEL 0x40005236u +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260u +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006u +#define CYDEV_PRTDSI_PRT12_OUT_SEL0 0x40005260u +#define CYDEV_PRTDSI_PRT12_OUT_SEL1 0x40005261u +#define CYDEV_PRTDSI_PRT12_OE_SEL0 0x40005262u +#define CYDEV_PRTDSI_PRT12_OE_SEL1 0x40005263u +#define CYDEV_PRTDSI_PRT12_DBL_SYNC_IN 0x40005264u +#define CYDEV_PRTDSI_PRT12_SYNC_OUT 0x40005265u +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278u +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT15_OUT_SEL0 0x40005278u +#define CYDEV_PRTDSI_PRT15_OUT_SEL1 0x40005279u +#define CYDEV_PRTDSI_PRT15_OE_SEL0 0x4000527au +#define CYDEV_PRTDSI_PRT15_OE_SEL1 0x4000527bu +#define CYDEV_PRTDSI_PRT15_DBL_SYNC_IN 0x4000527cu +#define CYDEV_PRTDSI_PRT15_SYNC_OUT 0x4000527du +#define CYDEV_PRTDSI_PRT15_CAPS_SEL 0x4000527eu +#define CYDEV_EMIF_BASE 0x40005400u +#define CYDEV_EMIF_SIZE 0x00000007u +#define CYDEV_EMIF_NO_UDB 0x40005400u +#define CYDEV_EMIF_RP_WAIT_STATES 0x40005401u +#define CYDEV_EMIF_MEM_DWN 0x40005402u +#define CYDEV_EMIF_MEMCLK_DIV 0x40005403u +#define CYDEV_EMIF_CLOCK_EN 0x40005404u +#define CYDEV_EMIF_EM_TYPE 0x40005405u +#define CYDEV_EMIF_WP_WAIT_STATES 0x40005406u +#define CYDEV_ANAIF_BASE 0x40005800u +#define CYDEV_ANAIF_SIZE 0x000003a9u +#define CYDEV_ANAIF_CFG_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SIZE 0x0000010fu +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC0_CR0 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_CR1 0x40005801u +#define CYDEV_ANAIF_CFG_SC0_CR2 0x40005802u +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC1_CR0 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_CR1 0x40005805u +#define CYDEV_ANAIF_CFG_SC1_CR2 0x40005806u +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC2_CR0 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_CR1 0x40005809u +#define CYDEV_ANAIF_CFG_SC2_CR2 0x4000580au +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC3_CR0 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_CR1 0x4000580du +#define CYDEV_ANAIF_CFG_SC3_CR2 0x4000580eu +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC0_CR0 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_CR1 0x40005821u +#define CYDEV_ANAIF_CFG_DAC0_TST 0x40005822u +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC1_CR0 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_CR1 0x40005825u +#define CYDEV_ANAIF_CFG_DAC1_TST 0x40005826u +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC2_CR0 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_CR1 0x40005829u +#define CYDEV_ANAIF_CFG_DAC2_TST 0x4000582au +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC3_CR0 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_CR1 0x4000582du +#define CYDEV_ANAIF_CFG_DAC3_TST 0x4000582eu +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840u +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP0_CR 0x40005840u +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841u +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP1_CR 0x40005841u +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842u +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP2_CR 0x40005842u +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843u +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP3_CR 0x40005843u +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT0_CR 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_MX 0x40005849u +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT1_CR 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_MX 0x4000584bu +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT2_CR 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_MX 0x4000584du +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT3_CR 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_MX 0x4000584fu +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP0_CR 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_RSVD 0x40005859u +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP1_CR 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_RSVD 0x4000585bu +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP2_CR 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_RSVD 0x4000585du +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP3_CR 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_RSVD 0x4000585fu +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LCDDAC_CR0 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_CR1 0x40005869u +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586au +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_LCDDRV_CR 0x4000586au +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586bu +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_LCDTMR_CFG 0x4000586bu +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004u +#define CYDEV_ANAIF_CFG_BG_CR0 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_RSVD 0x4000586du +#define CYDEV_ANAIF_CFG_BG_DFT0 0x4000586eu +#define CYDEV_ANAIF_CFG_BG_DFT1 0x4000586fu +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_CAPSL_CFG0 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_CFG1 0x40005871u +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_CAPSR_CFG0 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_CFG1 0x40005873u +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_PUMP_CR0 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_CR1 0x40005877u +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LPF0_CR0 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_RSVD 0x40005879u +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LPF1_CR0 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_RSVD 0x4000587bu +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587cu +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_MISC_CR0 0x4000587cu +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020u +#define CYDEV_ANAIF_CFG_DSM0_CR0 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_CR1 0x40005881u +#define CYDEV_ANAIF_CFG_DSM0_CR2 0x40005882u +#define CYDEV_ANAIF_CFG_DSM0_CR3 0x40005883u +#define CYDEV_ANAIF_CFG_DSM0_CR4 0x40005884u +#define CYDEV_ANAIF_CFG_DSM0_CR5 0x40005885u +#define CYDEV_ANAIF_CFG_DSM0_CR6 0x40005886u +#define CYDEV_ANAIF_CFG_DSM0_CR7 0x40005887u +#define CYDEV_ANAIF_CFG_DSM0_CR8 0x40005888u +#define CYDEV_ANAIF_CFG_DSM0_CR9 0x40005889u +#define CYDEV_ANAIF_CFG_DSM0_CR10 0x4000588au +#define CYDEV_ANAIF_CFG_DSM0_CR11 0x4000588bu +#define CYDEV_ANAIF_CFG_DSM0_CR12 0x4000588cu +#define CYDEV_ANAIF_CFG_DSM0_CR13 0x4000588du +#define CYDEV_ANAIF_CFG_DSM0_CR14 0x4000588eu +#define CYDEV_ANAIF_CFG_DSM0_CR15 0x4000588fu +#define CYDEV_ANAIF_CFG_DSM0_CR16 0x40005890u +#define CYDEV_ANAIF_CFG_DSM0_CR17 0x40005891u +#define CYDEV_ANAIF_CFG_DSM0_REF0 0x40005892u +#define CYDEV_ANAIF_CFG_DSM0_REF1 0x40005893u +#define CYDEV_ANAIF_CFG_DSM0_REF2 0x40005894u +#define CYDEV_ANAIF_CFG_DSM0_REF3 0x40005895u +#define CYDEV_ANAIF_CFG_DSM0_DEM0 0x40005896u +#define CYDEV_ANAIF_CFG_DSM0_DEM1 0x40005897u +#define CYDEV_ANAIF_CFG_DSM0_TST0 0x40005898u +#define CYDEV_ANAIF_CFG_DSM0_TST1 0x40005899u +#define CYDEV_ANAIF_CFG_DSM0_BUF0 0x4000589au +#define CYDEV_ANAIF_CFG_DSM0_BUF1 0x4000589bu +#define CYDEV_ANAIF_CFG_DSM0_BUF2 0x4000589cu +#define CYDEV_ANAIF_CFG_DSM0_BUF3 0x4000589du +#define CYDEV_ANAIF_CFG_DSM0_MISC 0x4000589eu +#define CYDEV_ANAIF_CFG_DSM0_RSVD1 0x4000589fu +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007u +#define CYDEV_ANAIF_CFG_SAR0_CSR0 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_CSR1 0x40005901u +#define CYDEV_ANAIF_CFG_SAR0_CSR2 0x40005902u +#define CYDEV_ANAIF_CFG_SAR0_CSR3 0x40005903u +#define CYDEV_ANAIF_CFG_SAR0_CSR4 0x40005904u +#define CYDEV_ANAIF_CFG_SAR0_CSR5 0x40005905u +#define CYDEV_ANAIF_CFG_SAR0_CSR6 0x40005906u +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007u +#define CYDEV_ANAIF_CFG_SAR1_CSR0 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_CSR1 0x40005909u +#define CYDEV_ANAIF_CFG_SAR1_CSR2 0x4000590au +#define CYDEV_ANAIF_CFG_SAR1_CSR3 0x4000590bu +#define CYDEV_ANAIF_CFG_SAR1_CSR4 0x4000590cu +#define CYDEV_ANAIF_CFG_SAR1_CSR5 0x4000590du +#define CYDEV_ANAIF_CFG_SAR1_CSR6 0x4000590eu +#define CYDEV_ANAIF_RT_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SIZE 0x00000162u +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC0_SW0 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SW2 0x40005a02u +#define CYDEV_ANAIF_RT_SC0_SW3 0x40005a03u +#define CYDEV_ANAIF_RT_SC0_SW4 0x40005a04u +#define CYDEV_ANAIF_RT_SC0_SW6 0x40005a06u +#define CYDEV_ANAIF_RT_SC0_SW7 0x40005a07u +#define CYDEV_ANAIF_RT_SC0_SW8 0x40005a08u +#define CYDEV_ANAIF_RT_SC0_SW10 0x40005a0au +#define CYDEV_ANAIF_RT_SC0_CLK 0x40005a0bu +#define CYDEV_ANAIF_RT_SC0_BST 0x40005a0cu +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC1_SW0 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SW2 0x40005a12u +#define CYDEV_ANAIF_RT_SC1_SW3 0x40005a13u +#define CYDEV_ANAIF_RT_SC1_SW4 0x40005a14u +#define CYDEV_ANAIF_RT_SC1_SW6 0x40005a16u +#define CYDEV_ANAIF_RT_SC1_SW7 0x40005a17u +#define CYDEV_ANAIF_RT_SC1_SW8 0x40005a18u +#define CYDEV_ANAIF_RT_SC1_SW10 0x40005a1au +#define CYDEV_ANAIF_RT_SC1_CLK 0x40005a1bu +#define CYDEV_ANAIF_RT_SC1_BST 0x40005a1cu +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC2_SW0 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SW2 0x40005a22u +#define CYDEV_ANAIF_RT_SC2_SW3 0x40005a23u +#define CYDEV_ANAIF_RT_SC2_SW4 0x40005a24u +#define CYDEV_ANAIF_RT_SC2_SW6 0x40005a26u +#define CYDEV_ANAIF_RT_SC2_SW7 0x40005a27u +#define CYDEV_ANAIF_RT_SC2_SW8 0x40005a28u +#define CYDEV_ANAIF_RT_SC2_SW10 0x40005a2au +#define CYDEV_ANAIF_RT_SC2_CLK 0x40005a2bu +#define CYDEV_ANAIF_RT_SC2_BST 0x40005a2cu +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC3_SW0 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SW2 0x40005a32u +#define CYDEV_ANAIF_RT_SC3_SW3 0x40005a33u +#define CYDEV_ANAIF_RT_SC3_SW4 0x40005a34u +#define CYDEV_ANAIF_RT_SC3_SW6 0x40005a36u +#define CYDEV_ANAIF_RT_SC3_SW7 0x40005a37u +#define CYDEV_ANAIF_RT_SC3_SW8 0x40005a38u +#define CYDEV_ANAIF_RT_SC3_SW10 0x40005a3au +#define CYDEV_ANAIF_RT_SC3_CLK 0x40005a3bu +#define CYDEV_ANAIF_RT_SC3_BST 0x40005a3cu +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC0_SW0 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SW2 0x40005a82u +#define CYDEV_ANAIF_RT_DAC0_SW3 0x40005a83u +#define CYDEV_ANAIF_RT_DAC0_SW4 0x40005a84u +#define CYDEV_ANAIF_RT_DAC0_STROBE 0x40005a87u +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC1_SW0 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SW2 0x40005a8au +#define CYDEV_ANAIF_RT_DAC1_SW3 0x40005a8bu +#define CYDEV_ANAIF_RT_DAC1_SW4 0x40005a8cu +#define CYDEV_ANAIF_RT_DAC1_STROBE 0x40005a8fu +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC2_SW0 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SW2 0x40005a92u +#define CYDEV_ANAIF_RT_DAC2_SW3 0x40005a93u +#define CYDEV_ANAIF_RT_DAC2_SW4 0x40005a94u +#define CYDEV_ANAIF_RT_DAC2_STROBE 0x40005a97u +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC3_SW0 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SW2 0x40005a9au +#define CYDEV_ANAIF_RT_DAC3_SW3 0x40005a9bu +#define CYDEV_ANAIF_RT_DAC3_SW4 0x40005a9cu +#define CYDEV_ANAIF_RT_DAC3_STROBE 0x40005a9fu +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP0_SW0 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SW2 0x40005ac2u +#define CYDEV_ANAIF_RT_CMP0_SW3 0x40005ac3u +#define CYDEV_ANAIF_RT_CMP0_SW4 0x40005ac4u +#define CYDEV_ANAIF_RT_CMP0_SW6 0x40005ac6u +#define CYDEV_ANAIF_RT_CMP0_CLK 0x40005ac7u +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP1_SW0 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SW2 0x40005acau +#define CYDEV_ANAIF_RT_CMP1_SW3 0x40005acbu +#define CYDEV_ANAIF_RT_CMP1_SW4 0x40005accu +#define CYDEV_ANAIF_RT_CMP1_SW6 0x40005aceu +#define CYDEV_ANAIF_RT_CMP1_CLK 0x40005acfu +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP2_SW0 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SW2 0x40005ad2u +#define CYDEV_ANAIF_RT_CMP2_SW3 0x40005ad3u +#define CYDEV_ANAIF_RT_CMP2_SW4 0x40005ad4u +#define CYDEV_ANAIF_RT_CMP2_SW6 0x40005ad6u +#define CYDEV_ANAIF_RT_CMP2_CLK 0x40005ad7u +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP3_SW0 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SW2 0x40005adau +#define CYDEV_ANAIF_RT_CMP3_SW3 0x40005adbu +#define CYDEV_ANAIF_RT_CMP3_SW4 0x40005adcu +#define CYDEV_ANAIF_RT_CMP3_SW6 0x40005adeu +#define CYDEV_ANAIF_RT_CMP3_CLK 0x40005adfu +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DSM0_SW0 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SW2 0x40005b02u +#define CYDEV_ANAIF_RT_DSM0_SW3 0x40005b03u +#define CYDEV_ANAIF_RT_DSM0_SW4 0x40005b04u +#define CYDEV_ANAIF_RT_DSM0_SW6 0x40005b06u +#define CYDEV_ANAIF_RT_DSM0_CLK 0x40005b07u +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_SAR0_SW0 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SW2 0x40005b22u +#define CYDEV_ANAIF_RT_SAR0_SW3 0x40005b23u +#define CYDEV_ANAIF_RT_SAR0_SW4 0x40005b24u +#define CYDEV_ANAIF_RT_SAR0_SW6 0x40005b26u +#define CYDEV_ANAIF_RT_SAR0_CLK 0x40005b27u +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_SAR1_SW0 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SW2 0x40005b2au +#define CYDEV_ANAIF_RT_SAR1_SW3 0x40005b2bu +#define CYDEV_ANAIF_RT_SAR1_SW4 0x40005b2cu +#define CYDEV_ANAIF_RT_SAR1_SW6 0x40005b2eu +#define CYDEV_ANAIF_RT_SAR1_CLK 0x40005b2fu +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP0_MX 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SW 0x40005b41u +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP1_MX 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SW 0x40005b43u +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP2_MX 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SW 0x40005b45u +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP3_MX 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SW 0x40005b47u +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005u +#define CYDEV_ANAIF_RT_LCDDAC_SW0 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SW1 0x40005b51u +#define CYDEV_ANAIF_RT_LCDDAC_SW2 0x40005b52u +#define CYDEV_ANAIF_RT_LCDDAC_SW3 0x40005b53u +#define CYDEV_ANAIF_RT_LCDDAC_SW4 0x40005b54u +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56u +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001u +#define CYDEV_ANAIF_RT_SC_MISC 0x40005b56u +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004u +#define CYDEV_ANAIF_RT_BUS_SW0 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SW2 0x40005b5au +#define CYDEV_ANAIF_RT_BUS_SW3 0x40005b5bu +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006u +#define CYDEV_ANAIF_RT_DFT_CR0 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_CR1 0x40005b5du +#define CYDEV_ANAIF_RT_DFT_CR2 0x40005b5eu +#define CYDEV_ANAIF_RT_DFT_CR3 0x40005b5fu +#define CYDEV_ANAIF_RT_DFT_CR4 0x40005b60u +#define CYDEV_ANAIF_RT_DFT_CR5 0x40005b61u +#define CYDEV_ANAIF_WRK_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_SIZE 0x00000029u +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC0_D 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC1_D 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC2_D 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83u +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC3_D 0x40005b83u +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_DSM0_OUT0 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_OUT1 0x40005b89u +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005u +#define CYDEV_ANAIF_WRK_LUT_SR 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_WRK1 0x40005b91u +#define CYDEV_ANAIF_WRK_LUT_MSK 0x40005b92u +#define CYDEV_ANAIF_WRK_LUT_CLK 0x40005b93u +#define CYDEV_ANAIF_WRK_LUT_CPTR 0x40005b94u +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_CMP_WRK 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_TST 0x40005b97u +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005u +#define CYDEV_ANAIF_WRK_SC_SR 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_WRK1 0x40005b99u +#define CYDEV_ANAIF_WRK_SC_MSK 0x40005b9au +#define CYDEV_ANAIF_WRK_SC_CMPINV 0x40005b9bu +#define CYDEV_ANAIF_WRK_SC_CPTR 0x40005b9cu +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_SAR0_WRK0 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_WRK1 0x40005ba1u +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_SAR1_WRK0 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_WRK1 0x40005ba3u +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8u +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_SARS_SOF 0x40005ba8u +#define CYDEV_USB_BASE 0x40006000u +#define CYDEV_USB_SIZE 0x00000300u +#define CYDEV_USB_EP0_DR0 0x40006000u +#define CYDEV_USB_EP0_DR1 0x40006001u +#define CYDEV_USB_EP0_DR2 0x40006002u +#define CYDEV_USB_EP0_DR3 0x40006003u +#define CYDEV_USB_EP0_DR4 0x40006004u +#define CYDEV_USB_EP0_DR5 0x40006005u +#define CYDEV_USB_EP0_DR6 0x40006006u +#define CYDEV_USB_EP0_DR7 0x40006007u +#define CYDEV_USB_CR0 0x40006008u +#define CYDEV_USB_CR1 0x40006009u +#define CYDEV_USB_SIE_EP_INT_EN 0x4000600au +#define CYDEV_USB_SIE_EP_INT_SR 0x4000600bu +#define CYDEV_USB_SIE_EP1_BASE 0x4000600cu +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP1_CNT0 0x4000600cu +#define CYDEV_USB_SIE_EP1_CNT1 0x4000600du +#define CYDEV_USB_SIE_EP1_CR0 0x4000600eu +#define CYDEV_USB_USBIO_CR0 0x40006010u +#define CYDEV_USB_USBIO_CR1 0x40006012u +#define CYDEV_USB_DYN_RECONFIG 0x40006014u +#define CYDEV_USB_SOF0 0x40006018u +#define CYDEV_USB_SOF1 0x40006019u +#define CYDEV_USB_SIE_EP2_BASE 0x4000601cu +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP2_CNT0 0x4000601cu +#define CYDEV_USB_SIE_EP2_CNT1 0x4000601du +#define CYDEV_USB_SIE_EP2_CR0 0x4000601eu +#define CYDEV_USB_EP0_CR 0x40006028u +#define CYDEV_USB_EP0_CNT 0x40006029u +#define CYDEV_USB_SIE_EP3_BASE 0x4000602cu +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP3_CNT0 0x4000602cu +#define CYDEV_USB_SIE_EP3_CNT1 0x4000602du +#define CYDEV_USB_SIE_EP3_CR0 0x4000602eu +#define CYDEV_USB_SIE_EP4_BASE 0x4000603cu +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP4_CNT0 0x4000603cu +#define CYDEV_USB_SIE_EP4_CNT1 0x4000603du +#define CYDEV_USB_SIE_EP4_CR0 0x4000603eu +#define CYDEV_USB_SIE_EP5_BASE 0x4000604cu +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP5_CNT0 0x4000604cu +#define CYDEV_USB_SIE_EP5_CNT1 0x4000604du +#define CYDEV_USB_SIE_EP5_CR0 0x4000604eu +#define CYDEV_USB_SIE_EP6_BASE 0x4000605cu +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP6_CNT0 0x4000605cu +#define CYDEV_USB_SIE_EP6_CNT1 0x4000605du +#define CYDEV_USB_SIE_EP6_CR0 0x4000605eu +#define CYDEV_USB_SIE_EP7_BASE 0x4000606cu +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP7_CNT0 0x4000606cu +#define CYDEV_USB_SIE_EP7_CNT1 0x4000606du +#define CYDEV_USB_SIE_EP7_CR0 0x4000606eu +#define CYDEV_USB_SIE_EP8_BASE 0x4000607cu +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP8_CNT0 0x4000607cu +#define CYDEV_USB_SIE_EP8_CNT1 0x4000607du +#define CYDEV_USB_SIE_EP8_CR0 0x4000607eu +#define CYDEV_USB_ARB_EP1_BASE 0x40006080u +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP1_CFG 0x40006080u +#define CYDEV_USB_ARB_EP1_INT_EN 0x40006081u +#define CYDEV_USB_ARB_EP1_SR 0x40006082u +#define CYDEV_USB_ARB_RW1_BASE 0x40006084u +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW1_WA 0x40006084u +#define CYDEV_USB_ARB_RW1_WA_MSB 0x40006085u +#define CYDEV_USB_ARB_RW1_RA 0x40006086u +#define CYDEV_USB_ARB_RW1_RA_MSB 0x40006087u +#define CYDEV_USB_ARB_RW1_DR 0x40006088u +#define CYDEV_USB_BUF_SIZE 0x4000608cu +#define CYDEV_USB_EP_ACTIVE 0x4000608eu +#define CYDEV_USB_EP_TYPE 0x4000608fu +#define CYDEV_USB_ARB_EP2_BASE 0x40006090u +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP2_CFG 0x40006090u +#define CYDEV_USB_ARB_EP2_INT_EN 0x40006091u +#define CYDEV_USB_ARB_EP2_SR 0x40006092u +#define CYDEV_USB_ARB_RW2_BASE 0x40006094u +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW2_WA 0x40006094u +#define CYDEV_USB_ARB_RW2_WA_MSB 0x40006095u +#define CYDEV_USB_ARB_RW2_RA 0x40006096u +#define CYDEV_USB_ARB_RW2_RA_MSB 0x40006097u +#define CYDEV_USB_ARB_RW2_DR 0x40006098u +#define CYDEV_USB_ARB_CFG 0x4000609cu +#define CYDEV_USB_USB_CLK_EN 0x4000609du +#define CYDEV_USB_ARB_INT_EN 0x4000609eu +#define CYDEV_USB_ARB_INT_SR 0x4000609fu +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0u +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP3_CFG 0x400060a0u +#define CYDEV_USB_ARB_EP3_INT_EN 0x400060a1u +#define CYDEV_USB_ARB_EP3_SR 0x400060a2u +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4u +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW3_WA 0x400060a4u +#define CYDEV_USB_ARB_RW3_WA_MSB 0x400060a5u +#define CYDEV_USB_ARB_RW3_RA 0x400060a6u +#define CYDEV_USB_ARB_RW3_RA_MSB 0x400060a7u +#define CYDEV_USB_ARB_RW3_DR 0x400060a8u +#define CYDEV_USB_CWA 0x400060acu +#define CYDEV_USB_CWA_MSB 0x400060adu +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0u +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP4_CFG 0x400060b0u +#define CYDEV_USB_ARB_EP4_INT_EN 0x400060b1u +#define CYDEV_USB_ARB_EP4_SR 0x400060b2u +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4u +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW4_WA 0x400060b4u +#define CYDEV_USB_ARB_RW4_WA_MSB 0x400060b5u +#define CYDEV_USB_ARB_RW4_RA 0x400060b6u +#define CYDEV_USB_ARB_RW4_RA_MSB 0x400060b7u +#define CYDEV_USB_ARB_RW4_DR 0x400060b8u +#define CYDEV_USB_DMA_THRES 0x400060bcu +#define CYDEV_USB_DMA_THRES_MSB 0x400060bdu +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0u +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP5_CFG 0x400060c0u +#define CYDEV_USB_ARB_EP5_INT_EN 0x400060c1u +#define CYDEV_USB_ARB_EP5_SR 0x400060c2u +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4u +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW5_WA 0x400060c4u +#define CYDEV_USB_ARB_RW5_WA_MSB 0x400060c5u +#define CYDEV_USB_ARB_RW5_RA 0x400060c6u +#define CYDEV_USB_ARB_RW5_RA_MSB 0x400060c7u +#define CYDEV_USB_ARB_RW5_DR 0x400060c8u +#define CYDEV_USB_BUS_RST_CNT 0x400060ccu +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0u +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP6_CFG 0x400060d0u +#define CYDEV_USB_ARB_EP6_INT_EN 0x400060d1u +#define CYDEV_USB_ARB_EP6_SR 0x400060d2u +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4u +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW6_WA 0x400060d4u +#define CYDEV_USB_ARB_RW6_WA_MSB 0x400060d5u +#define CYDEV_USB_ARB_RW6_RA 0x400060d6u +#define CYDEV_USB_ARB_RW6_RA_MSB 0x400060d7u +#define CYDEV_USB_ARB_RW6_DR 0x400060d8u +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0u +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP7_CFG 0x400060e0u +#define CYDEV_USB_ARB_EP7_INT_EN 0x400060e1u +#define CYDEV_USB_ARB_EP7_SR 0x400060e2u +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4u +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW7_WA 0x400060e4u +#define CYDEV_USB_ARB_RW7_WA_MSB 0x400060e5u +#define CYDEV_USB_ARB_RW7_RA 0x400060e6u +#define CYDEV_USB_ARB_RW7_RA_MSB 0x400060e7u +#define CYDEV_USB_ARB_RW7_DR 0x400060e8u +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0u +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP8_CFG 0x400060f0u +#define CYDEV_USB_ARB_EP8_INT_EN 0x400060f1u +#define CYDEV_USB_ARB_EP8_SR 0x400060f2u +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4u +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW8_WA 0x400060f4u +#define CYDEV_USB_ARB_RW8_WA_MSB 0x400060f5u +#define CYDEV_USB_ARB_RW8_RA 0x400060f6u +#define CYDEV_USB_ARB_RW8_RA_MSB 0x400060f7u +#define CYDEV_USB_ARB_RW8_DR 0x400060f8u +#define CYDEV_USB_MEM_BASE 0x40006100u +#define CYDEV_USB_MEM_SIZE 0x00000200u +#define CYDEV_USB_MEM_DATA_MBASE 0x40006100u +#define CYDEV_USB_MEM_DATA_MSIZE 0x00000200u +#define CYDEV_UWRK_BASE 0x40006400u +#define CYDEV_UWRK_SIZE 0x00000b60u +#define CYDEV_UWRK_UWRK8_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0u +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0u +#define CYDEV_UWRK_UWRK8_B0_UDB00_A0 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_UDB01_A0 0x40006401u +#define CYDEV_UWRK_UWRK8_B0_UDB02_A0 0x40006402u +#define CYDEV_UWRK_UWRK8_B0_UDB03_A0 0x40006403u +#define CYDEV_UWRK_UWRK8_B0_UDB04_A0 0x40006404u +#define CYDEV_UWRK_UWRK8_B0_UDB05_A0 0x40006405u +#define CYDEV_UWRK_UWRK8_B0_UDB06_A0 0x40006406u +#define CYDEV_UWRK_UWRK8_B0_UDB07_A0 0x40006407u +#define CYDEV_UWRK_UWRK8_B0_UDB08_A0 0x40006408u +#define CYDEV_UWRK_UWRK8_B0_UDB09_A0 0x40006409u +#define CYDEV_UWRK_UWRK8_B0_UDB10_A0 0x4000640au +#define CYDEV_UWRK_UWRK8_B0_UDB11_A0 0x4000640bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_A0 0x4000640cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_A0 0x4000640du +#define CYDEV_UWRK_UWRK8_B0_UDB14_A0 0x4000640eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_A0 0x4000640fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_A1 0x40006410u +#define CYDEV_UWRK_UWRK8_B0_UDB01_A1 0x40006411u +#define CYDEV_UWRK_UWRK8_B0_UDB02_A1 0x40006412u +#define CYDEV_UWRK_UWRK8_B0_UDB03_A1 0x40006413u +#define CYDEV_UWRK_UWRK8_B0_UDB04_A1 0x40006414u +#define CYDEV_UWRK_UWRK8_B0_UDB05_A1 0x40006415u +#define CYDEV_UWRK_UWRK8_B0_UDB06_A1 0x40006416u +#define CYDEV_UWRK_UWRK8_B0_UDB07_A1 0x40006417u +#define CYDEV_UWRK_UWRK8_B0_UDB08_A1 0x40006418u +#define CYDEV_UWRK_UWRK8_B0_UDB09_A1 0x40006419u +#define CYDEV_UWRK_UWRK8_B0_UDB10_A1 0x4000641au +#define CYDEV_UWRK_UWRK8_B0_UDB11_A1 0x4000641bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_A1 0x4000641cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_A1 0x4000641du +#define CYDEV_UWRK_UWRK8_B0_UDB14_A1 0x4000641eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_A1 0x4000641fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_D0 0x40006420u +#define CYDEV_UWRK_UWRK8_B0_UDB01_D0 0x40006421u +#define CYDEV_UWRK_UWRK8_B0_UDB02_D0 0x40006422u +#define CYDEV_UWRK_UWRK8_B0_UDB03_D0 0x40006423u +#define CYDEV_UWRK_UWRK8_B0_UDB04_D0 0x40006424u +#define CYDEV_UWRK_UWRK8_B0_UDB05_D0 0x40006425u +#define CYDEV_UWRK_UWRK8_B0_UDB06_D0 0x40006426u +#define CYDEV_UWRK_UWRK8_B0_UDB07_D0 0x40006427u +#define CYDEV_UWRK_UWRK8_B0_UDB08_D0 0x40006428u +#define CYDEV_UWRK_UWRK8_B0_UDB09_D0 0x40006429u +#define CYDEV_UWRK_UWRK8_B0_UDB10_D0 0x4000642au +#define CYDEV_UWRK_UWRK8_B0_UDB11_D0 0x4000642bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_D0 0x4000642cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_D0 0x4000642du +#define CYDEV_UWRK_UWRK8_B0_UDB14_D0 0x4000642eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_D0 0x4000642fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_D1 0x40006430u +#define CYDEV_UWRK_UWRK8_B0_UDB01_D1 0x40006431u +#define CYDEV_UWRK_UWRK8_B0_UDB02_D1 0x40006432u +#define CYDEV_UWRK_UWRK8_B0_UDB03_D1 0x40006433u +#define CYDEV_UWRK_UWRK8_B0_UDB04_D1 0x40006434u +#define CYDEV_UWRK_UWRK8_B0_UDB05_D1 0x40006435u +#define CYDEV_UWRK_UWRK8_B0_UDB06_D1 0x40006436u +#define CYDEV_UWRK_UWRK8_B0_UDB07_D1 0x40006437u +#define CYDEV_UWRK_UWRK8_B0_UDB08_D1 0x40006438u +#define CYDEV_UWRK_UWRK8_B0_UDB09_D1 0x40006439u +#define CYDEV_UWRK_UWRK8_B0_UDB10_D1 0x4000643au +#define CYDEV_UWRK_UWRK8_B0_UDB11_D1 0x4000643bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_D1 0x4000643cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_D1 0x4000643du +#define CYDEV_UWRK_UWRK8_B0_UDB14_D1 0x4000643eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_D1 0x4000643fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_F0 0x40006440u +#define CYDEV_UWRK_UWRK8_B0_UDB01_F0 0x40006441u +#define CYDEV_UWRK_UWRK8_B0_UDB02_F0 0x40006442u +#define CYDEV_UWRK_UWRK8_B0_UDB03_F0 0x40006443u +#define CYDEV_UWRK_UWRK8_B0_UDB04_F0 0x40006444u +#define CYDEV_UWRK_UWRK8_B0_UDB05_F0 0x40006445u +#define CYDEV_UWRK_UWRK8_B0_UDB06_F0 0x40006446u +#define CYDEV_UWRK_UWRK8_B0_UDB07_F0 0x40006447u +#define CYDEV_UWRK_UWRK8_B0_UDB08_F0 0x40006448u +#define CYDEV_UWRK_UWRK8_B0_UDB09_F0 0x40006449u +#define CYDEV_UWRK_UWRK8_B0_UDB10_F0 0x4000644au +#define CYDEV_UWRK_UWRK8_B0_UDB11_F0 0x4000644bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_F0 0x4000644cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_F0 0x4000644du +#define CYDEV_UWRK_UWRK8_B0_UDB14_F0 0x4000644eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_F0 0x4000644fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_F1 0x40006450u +#define CYDEV_UWRK_UWRK8_B0_UDB01_F1 0x40006451u +#define CYDEV_UWRK_UWRK8_B0_UDB02_F1 0x40006452u +#define CYDEV_UWRK_UWRK8_B0_UDB03_F1 0x40006453u +#define CYDEV_UWRK_UWRK8_B0_UDB04_F1 0x40006454u +#define CYDEV_UWRK_UWRK8_B0_UDB05_F1 0x40006455u +#define CYDEV_UWRK_UWRK8_B0_UDB06_F1 0x40006456u +#define CYDEV_UWRK_UWRK8_B0_UDB07_F1 0x40006457u +#define CYDEV_UWRK_UWRK8_B0_UDB08_F1 0x40006458u +#define CYDEV_UWRK_UWRK8_B0_UDB09_F1 0x40006459u +#define CYDEV_UWRK_UWRK8_B0_UDB10_F1 0x4000645au +#define CYDEV_UWRK_UWRK8_B0_UDB11_F1 0x4000645bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_F1 0x4000645cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_F1 0x4000645du +#define CYDEV_UWRK_UWRK8_B0_UDB14_F1 0x4000645eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_F1 0x4000645fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_ST 0x40006460u +#define CYDEV_UWRK_UWRK8_B0_UDB01_ST 0x40006461u +#define CYDEV_UWRK_UWRK8_B0_UDB02_ST 0x40006462u +#define CYDEV_UWRK_UWRK8_B0_UDB03_ST 0x40006463u +#define CYDEV_UWRK_UWRK8_B0_UDB04_ST 0x40006464u +#define CYDEV_UWRK_UWRK8_B0_UDB05_ST 0x40006465u +#define CYDEV_UWRK_UWRK8_B0_UDB06_ST 0x40006466u +#define CYDEV_UWRK_UWRK8_B0_UDB07_ST 0x40006467u +#define CYDEV_UWRK_UWRK8_B0_UDB08_ST 0x40006468u +#define CYDEV_UWRK_UWRK8_B0_UDB09_ST 0x40006469u +#define CYDEV_UWRK_UWRK8_B0_UDB10_ST 0x4000646au +#define CYDEV_UWRK_UWRK8_B0_UDB11_ST 0x4000646bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_ST 0x4000646cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_ST 0x4000646du +#define CYDEV_UWRK_UWRK8_B0_UDB14_ST 0x4000646eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_ST 0x4000646fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_CTL 0x40006470u +#define CYDEV_UWRK_UWRK8_B0_UDB01_CTL 0x40006471u +#define CYDEV_UWRK_UWRK8_B0_UDB02_CTL 0x40006472u +#define CYDEV_UWRK_UWRK8_B0_UDB03_CTL 0x40006473u +#define CYDEV_UWRK_UWRK8_B0_UDB04_CTL 0x40006474u +#define CYDEV_UWRK_UWRK8_B0_UDB05_CTL 0x40006475u +#define CYDEV_UWRK_UWRK8_B0_UDB06_CTL 0x40006476u +#define CYDEV_UWRK_UWRK8_B0_UDB07_CTL 0x40006477u +#define CYDEV_UWRK_UWRK8_B0_UDB08_CTL 0x40006478u +#define CYDEV_UWRK_UWRK8_B0_UDB09_CTL 0x40006479u +#define CYDEV_UWRK_UWRK8_B0_UDB10_CTL 0x4000647au +#define CYDEV_UWRK_UWRK8_B0_UDB11_CTL 0x4000647bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_CTL 0x4000647cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_CTL 0x4000647du +#define CYDEV_UWRK_UWRK8_B0_UDB14_CTL 0x4000647eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_CTL 0x4000647fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_MSK 0x40006480u +#define CYDEV_UWRK_UWRK8_B0_UDB01_MSK 0x40006481u +#define CYDEV_UWRK_UWRK8_B0_UDB02_MSK 0x40006482u +#define CYDEV_UWRK_UWRK8_B0_UDB03_MSK 0x40006483u +#define CYDEV_UWRK_UWRK8_B0_UDB04_MSK 0x40006484u +#define CYDEV_UWRK_UWRK8_B0_UDB05_MSK 0x40006485u +#define CYDEV_UWRK_UWRK8_B0_UDB06_MSK 0x40006486u +#define CYDEV_UWRK_UWRK8_B0_UDB07_MSK 0x40006487u +#define CYDEV_UWRK_UWRK8_B0_UDB08_MSK 0x40006488u +#define CYDEV_UWRK_UWRK8_B0_UDB09_MSK 0x40006489u +#define CYDEV_UWRK_UWRK8_B0_UDB10_MSK 0x4000648au +#define CYDEV_UWRK_UWRK8_B0_UDB11_MSK 0x4000648bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_MSK 0x4000648cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_MSK 0x4000648du +#define CYDEV_UWRK_UWRK8_B0_UDB14_MSK 0x4000648eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_MSK 0x4000648fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_ACTL 0x40006490u +#define CYDEV_UWRK_UWRK8_B0_UDB01_ACTL 0x40006491u +#define CYDEV_UWRK_UWRK8_B0_UDB02_ACTL 0x40006492u +#define CYDEV_UWRK_UWRK8_B0_UDB03_ACTL 0x40006493u +#define CYDEV_UWRK_UWRK8_B0_UDB04_ACTL 0x40006494u +#define CYDEV_UWRK_UWRK8_B0_UDB05_ACTL 0x40006495u +#define CYDEV_UWRK_UWRK8_B0_UDB06_ACTL 0x40006496u +#define CYDEV_UWRK_UWRK8_B0_UDB07_ACTL 0x40006497u +#define CYDEV_UWRK_UWRK8_B0_UDB08_ACTL 0x40006498u +#define CYDEV_UWRK_UWRK8_B0_UDB09_ACTL 0x40006499u +#define CYDEV_UWRK_UWRK8_B0_UDB10_ACTL 0x4000649au +#define CYDEV_UWRK_UWRK8_B0_UDB11_ACTL 0x4000649bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_ACTL 0x4000649cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_ACTL 0x4000649du +#define CYDEV_UWRK_UWRK8_B0_UDB14_ACTL 0x4000649eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_ACTL 0x4000649fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_MC 0x400064a0u +#define CYDEV_UWRK_UWRK8_B0_UDB01_MC 0x400064a1u +#define CYDEV_UWRK_UWRK8_B0_UDB02_MC 0x400064a2u +#define CYDEV_UWRK_UWRK8_B0_UDB03_MC 0x400064a3u +#define CYDEV_UWRK_UWRK8_B0_UDB04_MC 0x400064a4u +#define CYDEV_UWRK_UWRK8_B0_UDB05_MC 0x400064a5u +#define CYDEV_UWRK_UWRK8_B0_UDB06_MC 0x400064a6u +#define CYDEV_UWRK_UWRK8_B0_UDB07_MC 0x400064a7u +#define CYDEV_UWRK_UWRK8_B0_UDB08_MC 0x400064a8u +#define CYDEV_UWRK_UWRK8_B0_UDB09_MC 0x400064a9u +#define CYDEV_UWRK_UWRK8_B0_UDB10_MC 0x400064aau +#define CYDEV_UWRK_UWRK8_B0_UDB11_MC 0x400064abu +#define CYDEV_UWRK_UWRK8_B0_UDB12_MC 0x400064acu +#define CYDEV_UWRK_UWRK8_B0_UDB13_MC 0x400064adu +#define CYDEV_UWRK_UWRK8_B0_UDB14_MC 0x400064aeu +#define CYDEV_UWRK_UWRK8_B0_UDB15_MC 0x400064afu +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500u +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0u +#define CYDEV_UWRK_UWRK8_B1_UDB04_A0 0x40006504u +#define CYDEV_UWRK_UWRK8_B1_UDB05_A0 0x40006505u +#define CYDEV_UWRK_UWRK8_B1_UDB06_A0 0x40006506u +#define CYDEV_UWRK_UWRK8_B1_UDB07_A0 0x40006507u +#define CYDEV_UWRK_UWRK8_B1_UDB08_A0 0x40006508u +#define CYDEV_UWRK_UWRK8_B1_UDB09_A0 0x40006509u +#define CYDEV_UWRK_UWRK8_B1_UDB10_A0 0x4000650au +#define CYDEV_UWRK_UWRK8_B1_UDB11_A0 0x4000650bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_A1 0x40006514u +#define CYDEV_UWRK_UWRK8_B1_UDB05_A1 0x40006515u +#define CYDEV_UWRK_UWRK8_B1_UDB06_A1 0x40006516u +#define CYDEV_UWRK_UWRK8_B1_UDB07_A1 0x40006517u +#define CYDEV_UWRK_UWRK8_B1_UDB08_A1 0x40006518u +#define CYDEV_UWRK_UWRK8_B1_UDB09_A1 0x40006519u +#define CYDEV_UWRK_UWRK8_B1_UDB10_A1 0x4000651au +#define CYDEV_UWRK_UWRK8_B1_UDB11_A1 0x4000651bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_D0 0x40006524u +#define CYDEV_UWRK_UWRK8_B1_UDB05_D0 0x40006525u +#define CYDEV_UWRK_UWRK8_B1_UDB06_D0 0x40006526u +#define CYDEV_UWRK_UWRK8_B1_UDB07_D0 0x40006527u +#define CYDEV_UWRK_UWRK8_B1_UDB08_D0 0x40006528u +#define CYDEV_UWRK_UWRK8_B1_UDB09_D0 0x40006529u +#define CYDEV_UWRK_UWRK8_B1_UDB10_D0 0x4000652au +#define CYDEV_UWRK_UWRK8_B1_UDB11_D0 0x4000652bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_D1 0x40006534u +#define CYDEV_UWRK_UWRK8_B1_UDB05_D1 0x40006535u +#define CYDEV_UWRK_UWRK8_B1_UDB06_D1 0x40006536u +#define CYDEV_UWRK_UWRK8_B1_UDB07_D1 0x40006537u +#define CYDEV_UWRK_UWRK8_B1_UDB08_D1 0x40006538u +#define CYDEV_UWRK_UWRK8_B1_UDB09_D1 0x40006539u +#define CYDEV_UWRK_UWRK8_B1_UDB10_D1 0x4000653au +#define CYDEV_UWRK_UWRK8_B1_UDB11_D1 0x4000653bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_F0 0x40006544u +#define CYDEV_UWRK_UWRK8_B1_UDB05_F0 0x40006545u +#define CYDEV_UWRK_UWRK8_B1_UDB06_F0 0x40006546u +#define CYDEV_UWRK_UWRK8_B1_UDB07_F0 0x40006547u +#define CYDEV_UWRK_UWRK8_B1_UDB08_F0 0x40006548u +#define CYDEV_UWRK_UWRK8_B1_UDB09_F0 0x40006549u +#define CYDEV_UWRK_UWRK8_B1_UDB10_F0 0x4000654au +#define CYDEV_UWRK_UWRK8_B1_UDB11_F0 0x4000654bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_F1 0x40006554u +#define CYDEV_UWRK_UWRK8_B1_UDB05_F1 0x40006555u +#define CYDEV_UWRK_UWRK8_B1_UDB06_F1 0x40006556u +#define CYDEV_UWRK_UWRK8_B1_UDB07_F1 0x40006557u +#define CYDEV_UWRK_UWRK8_B1_UDB08_F1 0x40006558u +#define CYDEV_UWRK_UWRK8_B1_UDB09_F1 0x40006559u +#define CYDEV_UWRK_UWRK8_B1_UDB10_F1 0x4000655au +#define CYDEV_UWRK_UWRK8_B1_UDB11_F1 0x4000655bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_ST 0x40006564u +#define CYDEV_UWRK_UWRK8_B1_UDB05_ST 0x40006565u +#define CYDEV_UWRK_UWRK8_B1_UDB06_ST 0x40006566u +#define CYDEV_UWRK_UWRK8_B1_UDB07_ST 0x40006567u +#define CYDEV_UWRK_UWRK8_B1_UDB08_ST 0x40006568u +#define CYDEV_UWRK_UWRK8_B1_UDB09_ST 0x40006569u +#define CYDEV_UWRK_UWRK8_B1_UDB10_ST 0x4000656au +#define CYDEV_UWRK_UWRK8_B1_UDB11_ST 0x4000656bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_CTL 0x40006574u +#define CYDEV_UWRK_UWRK8_B1_UDB05_CTL 0x40006575u +#define CYDEV_UWRK_UWRK8_B1_UDB06_CTL 0x40006576u +#define CYDEV_UWRK_UWRK8_B1_UDB07_CTL 0x40006577u +#define CYDEV_UWRK_UWRK8_B1_UDB08_CTL 0x40006578u +#define CYDEV_UWRK_UWRK8_B1_UDB09_CTL 0x40006579u +#define CYDEV_UWRK_UWRK8_B1_UDB10_CTL 0x4000657au +#define CYDEV_UWRK_UWRK8_B1_UDB11_CTL 0x4000657bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_MSK 0x40006584u +#define CYDEV_UWRK_UWRK8_B1_UDB05_MSK 0x40006585u +#define CYDEV_UWRK_UWRK8_B1_UDB06_MSK 0x40006586u +#define CYDEV_UWRK_UWRK8_B1_UDB07_MSK 0x40006587u +#define CYDEV_UWRK_UWRK8_B1_UDB08_MSK 0x40006588u +#define CYDEV_UWRK_UWRK8_B1_UDB09_MSK 0x40006589u +#define CYDEV_UWRK_UWRK8_B1_UDB10_MSK 0x4000658au +#define CYDEV_UWRK_UWRK8_B1_UDB11_MSK 0x4000658bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_ACTL 0x40006594u +#define CYDEV_UWRK_UWRK8_B1_UDB05_ACTL 0x40006595u +#define CYDEV_UWRK_UWRK8_B1_UDB06_ACTL 0x40006596u +#define CYDEV_UWRK_UWRK8_B1_UDB07_ACTL 0x40006597u +#define CYDEV_UWRK_UWRK8_B1_UDB08_ACTL 0x40006598u +#define CYDEV_UWRK_UWRK8_B1_UDB09_ACTL 0x40006599u +#define CYDEV_UWRK_UWRK8_B1_UDB10_ACTL 0x4000659au +#define CYDEV_UWRK_UWRK8_B1_UDB11_ACTL 0x4000659bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_MC 0x400065a4u +#define CYDEV_UWRK_UWRK8_B1_UDB05_MC 0x400065a5u +#define CYDEV_UWRK_UWRK8_B1_UDB06_MC 0x400065a6u +#define CYDEV_UWRK_UWRK8_B1_UDB07_MC 0x400065a7u +#define CYDEV_UWRK_UWRK8_B1_UDB08_MC 0x400065a8u +#define CYDEV_UWRK_UWRK8_B1_UDB09_MC 0x400065a9u +#define CYDEV_UWRK_UWRK8_B1_UDB10_MC 0x400065aau +#define CYDEV_UWRK_UWRK8_B1_UDB11_MC 0x400065abu +#define CYDEV_UWRK_UWRK16_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 0x40006802u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 0x40006804u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 0x40006806u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 0x40006808u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 0x4000680au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 0x4000680cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 0x4000680eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 0x40006810u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 0x40006812u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 0x40006814u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 0x40006816u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 0x40006818u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 0x4000681au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 0x4000681cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 0x4000681eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 0x40006840u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 0x40006842u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 0x40006844u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 0x40006846u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 0x40006848u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 0x4000684au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 0x4000684cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 0x4000684eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 0x40006850u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 0x40006852u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 0x40006854u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 0x40006856u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 0x40006858u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 0x4000685au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 0x4000685cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 0x4000685eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 0x40006880u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 0x40006882u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 0x40006884u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 0x40006886u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 0x40006888u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 0x4000688au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 0x4000688cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 0x4000688eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 0x40006890u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 0x40006892u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 0x40006894u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 0x40006896u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 0x40006898u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 0x4000689au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 0x4000689cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 0x4000689eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL 0x400068c0u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL 0x400068c2u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL 0x400068c4u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL 0x400068c6u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL 0x400068c8u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL 0x400068cau +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL 0x400068ccu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL 0x400068ceu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL 0x400068d0u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL 0x400068d2u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL 0x400068d4u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL 0x400068d6u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL 0x400068d8u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL 0x400068dau +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL 0x400068dcu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL 0x400068deu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL 0x40006900u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL 0x40006902u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL 0x40006904u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL 0x40006906u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL 0x40006908u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL 0x4000690au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL 0x4000690cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL 0x4000690eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL 0x40006910u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL 0x40006912u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL 0x40006914u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL 0x40006916u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL 0x40006918u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL 0x4000691au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL 0x4000691cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL 0x4000691eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 0x40006940u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 0x40006942u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 0x40006944u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 0x40006946u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 0x40006948u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 0x4000694au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 0x4000694cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 0x4000694eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 0x40006950u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 0x40006952u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 0x40006954u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 0x40006956u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 0x40006958u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 0x4000695au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 0x4000695cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 0x4000695eu +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 0x40006a08u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 0x40006a0au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 0x40006a0cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 0x40006a0eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 0x40006a10u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 0x40006a12u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 0x40006a14u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 0x40006a16u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 0x40006a48u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 0x40006a4au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 0x40006a4cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 0x40006a4eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 0x40006a50u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 0x40006a52u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 0x40006a54u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 0x40006a56u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 0x40006a88u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 0x40006a8au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 0x40006a8cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 0x40006a8eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 0x40006a90u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 0x40006a92u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 0x40006a94u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 0x40006a96u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL 0x40006ac8u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL 0x40006acau +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL 0x40006accu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL 0x40006aceu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL 0x40006ad0u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL 0x40006ad2u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL 0x40006ad4u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL 0x40006ad6u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL 0x40006b08u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL 0x40006b0au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL 0x40006b0cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL 0x40006b0eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL 0x40006b10u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL 0x40006b12u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL 0x40006b14u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL 0x40006b16u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 0x40006b48u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 0x40006b4au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 0x40006b4cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 0x40006b4eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 0x40006b50u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 0x40006b52u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 0x40006b54u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 0x40006b56u +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075eu +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 0x40006802u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 0x40006804u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 0x40006806u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 0x40006808u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 0x4000680au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 0x4000680cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 0x4000680eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 0x40006810u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 0x40006812u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 0x40006814u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 0x40006816u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 0x40006818u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 0x4000681au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 0x4000681cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 0x40006820u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 0x40006822u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 0x40006824u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 0x40006826u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 0x40006828u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 0x4000682au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 0x4000682cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 0x4000682eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 0x40006830u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 0x40006832u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 0x40006834u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 0x40006836u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 0x40006838u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 0x4000683au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 0x4000683cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 0x40006840u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 0x40006842u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 0x40006844u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 0x40006846u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 0x40006848u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 0x4000684au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 0x4000684cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 0x4000684eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 0x40006850u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 0x40006852u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 0x40006854u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 0x40006856u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 0x40006858u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 0x4000685au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 0x4000685cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 0x40006860u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 0x40006862u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 0x40006864u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 0x40006866u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 0x40006868u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 0x4000686au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 0x4000686cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 0x4000686eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 0x40006870u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 0x40006872u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 0x40006874u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 0x40006876u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 0x40006878u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 0x4000687au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 0x4000687cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 0x40006880u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 0x40006882u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 0x40006884u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 0x40006886u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 0x40006888u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 0x4000688au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 0x4000688cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 0x4000688eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 0x40006890u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 0x40006892u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 0x40006894u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 0x40006896u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 0x40006898u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 0x4000689au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 0x4000689cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 0x400068a0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 0x400068a2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 0x400068a4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 0x400068a6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 0x400068a8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 0x400068aau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 0x400068acu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 0x400068aeu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 0x400068b0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 0x400068b2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 0x400068b4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 0x400068b6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 0x400068b8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 0x400068bau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 0x400068bcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST 0x400068c0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST 0x400068c2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST 0x400068c4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST 0x400068c6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST 0x400068c8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST 0x400068cau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST 0x400068ccu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST 0x400068ceu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST 0x400068d0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST 0x400068d2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST 0x400068d4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST 0x400068d6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST 0x400068d8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST 0x400068dau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST 0x400068dcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL 0x400068e0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL 0x400068e2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL 0x400068e4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL 0x400068e6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL 0x400068e8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL 0x400068eau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL 0x400068ecu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL 0x400068eeu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL 0x400068f0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL 0x400068f2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL 0x400068f4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL 0x400068f6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL 0x400068f8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL 0x400068fau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL 0x400068fcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK 0x40006900u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK 0x40006902u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK 0x40006904u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK 0x40006906u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK 0x40006908u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK 0x4000690au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK 0x4000690cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK 0x4000690eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK 0x40006910u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK 0x40006912u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK 0x40006914u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK 0x40006916u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK 0x40006918u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK 0x4000691au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK 0x4000691cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL 0x40006920u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL 0x40006922u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL 0x40006924u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL 0x40006926u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL 0x40006928u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL 0x4000692au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL 0x4000692cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL 0x4000692eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL 0x40006930u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL 0x40006932u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL 0x40006934u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL 0x40006936u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL 0x40006938u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL 0x4000693au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL 0x4000693cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC 0x40006940u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC 0x40006942u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC 0x40006944u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC 0x40006946u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC 0x40006948u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC 0x4000694au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC 0x4000694cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC 0x4000694eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC 0x40006950u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC 0x40006952u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC 0x40006954u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC 0x40006956u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC 0x40006958u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC 0x4000695au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC 0x4000695cu +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 0x40006a08u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 0x40006a0au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 0x40006a0cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 0x40006a0eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 0x40006a10u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 0x40006a12u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 0x40006a14u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 0x40006a16u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 0x40006a28u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 0x40006a2au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 0x40006a2cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 0x40006a2eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 0x40006a30u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 0x40006a32u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 0x40006a34u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 0x40006a36u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 0x40006a48u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 0x40006a4au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 0x40006a4cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 0x40006a4eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 0x40006a50u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 0x40006a52u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 0x40006a54u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 0x40006a56u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 0x40006a68u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 0x40006a6au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 0x40006a6cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 0x40006a6eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 0x40006a70u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 0x40006a72u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 0x40006a74u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 0x40006a76u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 0x40006a88u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 0x40006a8au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 0x40006a8cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 0x40006a8eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 0x40006a90u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 0x40006a92u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 0x40006a94u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 0x40006a96u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 0x40006aa8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 0x40006aaau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 0x40006aacu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 0x40006aaeu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 0x40006ab0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 0x40006ab2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 0x40006ab4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 0x40006ab6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST 0x40006ac8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST 0x40006acau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST 0x40006accu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST 0x40006aceu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST 0x40006ad0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST 0x40006ad2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST 0x40006ad4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST 0x40006ad6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL 0x40006ae8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL 0x40006aeau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL 0x40006aecu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL 0x40006aeeu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL 0x40006af0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL 0x40006af2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL 0x40006af4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL 0x40006af6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK 0x40006b08u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK 0x40006b0au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK 0x40006b0cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK 0x40006b0eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK 0x40006b10u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK 0x40006b12u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK 0x40006b14u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK 0x40006b16u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL 0x40006b28u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL 0x40006b2au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL 0x40006b2cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL 0x40006b2eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL 0x40006b30u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL 0x40006b32u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL 0x40006b34u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL 0x40006b36u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC 0x40006b48u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC 0x40006b4au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC 0x40006b4cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC 0x40006b4eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC 0x40006b50u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC 0x40006b52u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC 0x40006b54u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC 0x40006b56u +#define CYDEV_PHUB_BASE 0x40007000u +#define CYDEV_PHUB_SIZE 0x00000c00u +#define CYDEV_PHUB_CFG 0x40007000u +#define CYDEV_PHUB_ERR 0x40007004u +#define CYDEV_PHUB_ERR_ADR 0x40007008u +#define CYDEV_PHUB_CH0_BASE 0x40007010u +#define CYDEV_PHUB_CH0_SIZE 0x0000000cu +#define CYDEV_PHUB_CH0_BASIC_CFG 0x40007010u +#define CYDEV_PHUB_CH0_ACTION 0x40007014u +#define CYDEV_PHUB_CH0_BASIC_STATUS 0x40007018u +#define CYDEV_PHUB_CH1_BASE 0x40007020u +#define CYDEV_PHUB_CH1_SIZE 0x0000000cu +#define CYDEV_PHUB_CH1_BASIC_CFG 0x40007020u +#define CYDEV_PHUB_CH1_ACTION 0x40007024u +#define CYDEV_PHUB_CH1_BASIC_STATUS 0x40007028u +#define CYDEV_PHUB_CH2_BASE 0x40007030u +#define CYDEV_PHUB_CH2_SIZE 0x0000000cu +#define CYDEV_PHUB_CH2_BASIC_CFG 0x40007030u +#define CYDEV_PHUB_CH2_ACTION 0x40007034u +#define CYDEV_PHUB_CH2_BASIC_STATUS 0x40007038u +#define CYDEV_PHUB_CH3_BASE 0x40007040u +#define CYDEV_PHUB_CH3_SIZE 0x0000000cu +#define CYDEV_PHUB_CH3_BASIC_CFG 0x40007040u +#define CYDEV_PHUB_CH3_ACTION 0x40007044u +#define CYDEV_PHUB_CH3_BASIC_STATUS 0x40007048u +#define CYDEV_PHUB_CH4_BASE 0x40007050u +#define CYDEV_PHUB_CH4_SIZE 0x0000000cu +#define CYDEV_PHUB_CH4_BASIC_CFG 0x40007050u +#define CYDEV_PHUB_CH4_ACTION 0x40007054u +#define CYDEV_PHUB_CH4_BASIC_STATUS 0x40007058u +#define CYDEV_PHUB_CH5_BASE 0x40007060u +#define CYDEV_PHUB_CH5_SIZE 0x0000000cu +#define CYDEV_PHUB_CH5_BASIC_CFG 0x40007060u +#define CYDEV_PHUB_CH5_ACTION 0x40007064u +#define CYDEV_PHUB_CH5_BASIC_STATUS 0x40007068u +#define CYDEV_PHUB_CH6_BASE 0x40007070u +#define CYDEV_PHUB_CH6_SIZE 0x0000000cu +#define CYDEV_PHUB_CH6_BASIC_CFG 0x40007070u +#define CYDEV_PHUB_CH6_ACTION 0x40007074u +#define CYDEV_PHUB_CH6_BASIC_STATUS 0x40007078u +#define CYDEV_PHUB_CH7_BASE 0x40007080u +#define CYDEV_PHUB_CH7_SIZE 0x0000000cu +#define CYDEV_PHUB_CH7_BASIC_CFG 0x40007080u +#define CYDEV_PHUB_CH7_ACTION 0x40007084u +#define CYDEV_PHUB_CH7_BASIC_STATUS 0x40007088u +#define CYDEV_PHUB_CH8_BASE 0x40007090u +#define CYDEV_PHUB_CH8_SIZE 0x0000000cu +#define CYDEV_PHUB_CH8_BASIC_CFG 0x40007090u +#define CYDEV_PHUB_CH8_ACTION 0x40007094u +#define CYDEV_PHUB_CH8_BASIC_STATUS 0x40007098u +#define CYDEV_PHUB_CH9_BASE 0x400070a0u +#define CYDEV_PHUB_CH9_SIZE 0x0000000cu +#define CYDEV_PHUB_CH9_BASIC_CFG 0x400070a0u +#define CYDEV_PHUB_CH9_ACTION 0x400070a4u +#define CYDEV_PHUB_CH9_BASIC_STATUS 0x400070a8u +#define CYDEV_PHUB_CH10_BASE 0x400070b0u +#define CYDEV_PHUB_CH10_SIZE 0x0000000cu +#define CYDEV_PHUB_CH10_BASIC_CFG 0x400070b0u +#define CYDEV_PHUB_CH10_ACTION 0x400070b4u +#define CYDEV_PHUB_CH10_BASIC_STATUS 0x400070b8u +#define CYDEV_PHUB_CH11_BASE 0x400070c0u +#define CYDEV_PHUB_CH11_SIZE 0x0000000cu +#define CYDEV_PHUB_CH11_BASIC_CFG 0x400070c0u +#define CYDEV_PHUB_CH11_ACTION 0x400070c4u +#define CYDEV_PHUB_CH11_BASIC_STATUS 0x400070c8u +#define CYDEV_PHUB_CH12_BASE 0x400070d0u +#define CYDEV_PHUB_CH12_SIZE 0x0000000cu +#define CYDEV_PHUB_CH12_BASIC_CFG 0x400070d0u +#define CYDEV_PHUB_CH12_ACTION 0x400070d4u +#define CYDEV_PHUB_CH12_BASIC_STATUS 0x400070d8u +#define CYDEV_PHUB_CH13_BASE 0x400070e0u +#define CYDEV_PHUB_CH13_SIZE 0x0000000cu +#define CYDEV_PHUB_CH13_BASIC_CFG 0x400070e0u +#define CYDEV_PHUB_CH13_ACTION 0x400070e4u +#define CYDEV_PHUB_CH13_BASIC_STATUS 0x400070e8u +#define CYDEV_PHUB_CH14_BASE 0x400070f0u +#define CYDEV_PHUB_CH14_SIZE 0x0000000cu +#define CYDEV_PHUB_CH14_BASIC_CFG 0x400070f0u +#define CYDEV_PHUB_CH14_ACTION 0x400070f4u +#define CYDEV_PHUB_CH14_BASIC_STATUS 0x400070f8u +#define CYDEV_PHUB_CH15_BASE 0x40007100u +#define CYDEV_PHUB_CH15_SIZE 0x0000000cu +#define CYDEV_PHUB_CH15_BASIC_CFG 0x40007100u +#define CYDEV_PHUB_CH15_ACTION 0x40007104u +#define CYDEV_PHUB_CH15_BASIC_STATUS 0x40007108u +#define CYDEV_PHUB_CH16_BASE 0x40007110u +#define CYDEV_PHUB_CH16_SIZE 0x0000000cu +#define CYDEV_PHUB_CH16_BASIC_CFG 0x40007110u +#define CYDEV_PHUB_CH16_ACTION 0x40007114u +#define CYDEV_PHUB_CH16_BASIC_STATUS 0x40007118u +#define CYDEV_PHUB_CH17_BASE 0x40007120u +#define CYDEV_PHUB_CH17_SIZE 0x0000000cu +#define CYDEV_PHUB_CH17_BASIC_CFG 0x40007120u +#define CYDEV_PHUB_CH17_ACTION 0x40007124u +#define CYDEV_PHUB_CH17_BASIC_STATUS 0x40007128u +#define CYDEV_PHUB_CH18_BASE 0x40007130u +#define CYDEV_PHUB_CH18_SIZE 0x0000000cu +#define CYDEV_PHUB_CH18_BASIC_CFG 0x40007130u +#define CYDEV_PHUB_CH18_ACTION 0x40007134u +#define CYDEV_PHUB_CH18_BASIC_STATUS 0x40007138u +#define CYDEV_PHUB_CH19_BASE 0x40007140u +#define CYDEV_PHUB_CH19_SIZE 0x0000000cu +#define CYDEV_PHUB_CH19_BASIC_CFG 0x40007140u +#define CYDEV_PHUB_CH19_ACTION 0x40007144u +#define CYDEV_PHUB_CH19_BASIC_STATUS 0x40007148u +#define CYDEV_PHUB_CH20_BASE 0x40007150u +#define CYDEV_PHUB_CH20_SIZE 0x0000000cu +#define CYDEV_PHUB_CH20_BASIC_CFG 0x40007150u +#define CYDEV_PHUB_CH20_ACTION 0x40007154u +#define CYDEV_PHUB_CH20_BASIC_STATUS 0x40007158u +#define CYDEV_PHUB_CH21_BASE 0x40007160u +#define CYDEV_PHUB_CH21_SIZE 0x0000000cu +#define CYDEV_PHUB_CH21_BASIC_CFG 0x40007160u +#define CYDEV_PHUB_CH21_ACTION 0x40007164u +#define CYDEV_PHUB_CH21_BASIC_STATUS 0x40007168u +#define CYDEV_PHUB_CH22_BASE 0x40007170u +#define CYDEV_PHUB_CH22_SIZE 0x0000000cu +#define CYDEV_PHUB_CH22_BASIC_CFG 0x40007170u +#define CYDEV_PHUB_CH22_ACTION 0x40007174u +#define CYDEV_PHUB_CH22_BASIC_STATUS 0x40007178u +#define CYDEV_PHUB_CH23_BASE 0x40007180u +#define CYDEV_PHUB_CH23_SIZE 0x0000000cu +#define CYDEV_PHUB_CH23_BASIC_CFG 0x40007180u +#define CYDEV_PHUB_CH23_ACTION 0x40007184u +#define CYDEV_PHUB_CH23_BASIC_STATUS 0x40007188u +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600u +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM0_CFG0 0x40007600u +#define CYDEV_PHUB_CFGMEM0_CFG1 0x40007604u +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608u +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM1_CFG0 0x40007608u +#define CYDEV_PHUB_CFGMEM1_CFG1 0x4000760cu +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610u +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM2_CFG0 0x40007610u +#define CYDEV_PHUB_CFGMEM2_CFG1 0x40007614u +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618u +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM3_CFG0 0x40007618u +#define CYDEV_PHUB_CFGMEM3_CFG1 0x4000761cu +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620u +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM4_CFG0 0x40007620u +#define CYDEV_PHUB_CFGMEM4_CFG1 0x40007624u +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628u +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM5_CFG0 0x40007628u +#define CYDEV_PHUB_CFGMEM5_CFG1 0x4000762cu +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630u +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM6_CFG0 0x40007630u +#define CYDEV_PHUB_CFGMEM6_CFG1 0x40007634u +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638u +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM7_CFG0 0x40007638u +#define CYDEV_PHUB_CFGMEM7_CFG1 0x4000763cu +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640u +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM8_CFG0 0x40007640u +#define CYDEV_PHUB_CFGMEM8_CFG1 0x40007644u +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648u +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM9_CFG0 0x40007648u +#define CYDEV_PHUB_CFGMEM9_CFG1 0x4000764cu +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650u +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM10_CFG0 0x40007650u +#define CYDEV_PHUB_CFGMEM10_CFG1 0x40007654u +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658u +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM11_CFG0 0x40007658u +#define CYDEV_PHUB_CFGMEM11_CFG1 0x4000765cu +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660u +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM12_CFG0 0x40007660u +#define CYDEV_PHUB_CFGMEM12_CFG1 0x40007664u +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668u +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM13_CFG0 0x40007668u +#define CYDEV_PHUB_CFGMEM13_CFG1 0x4000766cu +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670u +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM14_CFG0 0x40007670u +#define CYDEV_PHUB_CFGMEM14_CFG1 0x40007674u +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678u +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM15_CFG0 0x40007678u +#define CYDEV_PHUB_CFGMEM15_CFG1 0x4000767cu +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680u +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM16_CFG0 0x40007680u +#define CYDEV_PHUB_CFGMEM16_CFG1 0x40007684u +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688u +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM17_CFG0 0x40007688u +#define CYDEV_PHUB_CFGMEM17_CFG1 0x4000768cu +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690u +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM18_CFG0 0x40007690u +#define CYDEV_PHUB_CFGMEM18_CFG1 0x40007694u +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698u +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM19_CFG0 0x40007698u +#define CYDEV_PHUB_CFGMEM19_CFG1 0x4000769cu +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM20_CFG0 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_CFG1 0x400076a4u +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM21_CFG0 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_CFG1 0x400076acu +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM22_CFG0 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_CFG1 0x400076b4u +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM23_CFG0 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_CFG1 0x400076bcu +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800u +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM0_ORIG_TD0 0x40007800u +#define CYDEV_PHUB_TDMEM0_ORIG_TD1 0x40007804u +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808u +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM1_ORIG_TD0 0x40007808u +#define CYDEV_PHUB_TDMEM1_ORIG_TD1 0x4000780cu +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810u +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM2_ORIG_TD0 0x40007810u +#define CYDEV_PHUB_TDMEM2_ORIG_TD1 0x40007814u +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818u +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM3_ORIG_TD0 0x40007818u +#define CYDEV_PHUB_TDMEM3_ORIG_TD1 0x4000781cu +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820u +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM4_ORIG_TD0 0x40007820u +#define CYDEV_PHUB_TDMEM4_ORIG_TD1 0x40007824u +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828u +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM5_ORIG_TD0 0x40007828u +#define CYDEV_PHUB_TDMEM5_ORIG_TD1 0x4000782cu +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830u +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM6_ORIG_TD0 0x40007830u +#define CYDEV_PHUB_TDMEM6_ORIG_TD1 0x40007834u +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838u +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM7_ORIG_TD0 0x40007838u +#define CYDEV_PHUB_TDMEM7_ORIG_TD1 0x4000783cu +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840u +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM8_ORIG_TD0 0x40007840u +#define CYDEV_PHUB_TDMEM8_ORIG_TD1 0x40007844u +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848u +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM9_ORIG_TD0 0x40007848u +#define CYDEV_PHUB_TDMEM9_ORIG_TD1 0x4000784cu +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850u +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM10_ORIG_TD0 0x40007850u +#define CYDEV_PHUB_TDMEM10_ORIG_TD1 0x40007854u +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858u +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM11_ORIG_TD0 0x40007858u +#define CYDEV_PHUB_TDMEM11_ORIG_TD1 0x4000785cu +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860u +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM12_ORIG_TD0 0x40007860u +#define CYDEV_PHUB_TDMEM12_ORIG_TD1 0x40007864u +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868u +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM13_ORIG_TD0 0x40007868u +#define CYDEV_PHUB_TDMEM13_ORIG_TD1 0x4000786cu +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870u +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM14_ORIG_TD0 0x40007870u +#define CYDEV_PHUB_TDMEM14_ORIG_TD1 0x40007874u +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878u +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM15_ORIG_TD0 0x40007878u +#define CYDEV_PHUB_TDMEM15_ORIG_TD1 0x4000787cu +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880u +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM16_ORIG_TD0 0x40007880u +#define CYDEV_PHUB_TDMEM16_ORIG_TD1 0x40007884u +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888u +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM17_ORIG_TD0 0x40007888u +#define CYDEV_PHUB_TDMEM17_ORIG_TD1 0x4000788cu +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890u +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM18_ORIG_TD0 0x40007890u +#define CYDEV_PHUB_TDMEM18_ORIG_TD1 0x40007894u +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898u +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM19_ORIG_TD0 0x40007898u +#define CYDEV_PHUB_TDMEM19_ORIG_TD1 0x4000789cu +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0u +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM20_ORIG_TD0 0x400078a0u +#define CYDEV_PHUB_TDMEM20_ORIG_TD1 0x400078a4u +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8u +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM21_ORIG_TD0 0x400078a8u +#define CYDEV_PHUB_TDMEM21_ORIG_TD1 0x400078acu +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0u +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM22_ORIG_TD0 0x400078b0u +#define CYDEV_PHUB_TDMEM22_ORIG_TD1 0x400078b4u +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8u +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM23_ORIG_TD0 0x400078b8u +#define CYDEV_PHUB_TDMEM23_ORIG_TD1 0x400078bcu +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0u +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM24_ORIG_TD0 0x400078c0u +#define CYDEV_PHUB_TDMEM24_ORIG_TD1 0x400078c4u +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8u +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM25_ORIG_TD0 0x400078c8u +#define CYDEV_PHUB_TDMEM25_ORIG_TD1 0x400078ccu +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0u +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM26_ORIG_TD0 0x400078d0u +#define CYDEV_PHUB_TDMEM26_ORIG_TD1 0x400078d4u +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8u +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM27_ORIG_TD0 0x400078d8u +#define CYDEV_PHUB_TDMEM27_ORIG_TD1 0x400078dcu +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0u +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM28_ORIG_TD0 0x400078e0u +#define CYDEV_PHUB_TDMEM28_ORIG_TD1 0x400078e4u +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8u +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM29_ORIG_TD0 0x400078e8u +#define CYDEV_PHUB_TDMEM29_ORIG_TD1 0x400078ecu +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0u +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM30_ORIG_TD0 0x400078f0u +#define CYDEV_PHUB_TDMEM30_ORIG_TD1 0x400078f4u +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8u +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM31_ORIG_TD0 0x400078f8u +#define CYDEV_PHUB_TDMEM31_ORIG_TD1 0x400078fcu +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900u +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM32_ORIG_TD0 0x40007900u +#define CYDEV_PHUB_TDMEM32_ORIG_TD1 0x40007904u +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908u +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM33_ORIG_TD0 0x40007908u +#define CYDEV_PHUB_TDMEM33_ORIG_TD1 0x4000790cu +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910u +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM34_ORIG_TD0 0x40007910u +#define CYDEV_PHUB_TDMEM34_ORIG_TD1 0x40007914u +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918u +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM35_ORIG_TD0 0x40007918u +#define CYDEV_PHUB_TDMEM35_ORIG_TD1 0x4000791cu +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920u +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM36_ORIG_TD0 0x40007920u +#define CYDEV_PHUB_TDMEM36_ORIG_TD1 0x40007924u +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928u +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM37_ORIG_TD0 0x40007928u +#define CYDEV_PHUB_TDMEM37_ORIG_TD1 0x4000792cu +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930u +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM38_ORIG_TD0 0x40007930u +#define CYDEV_PHUB_TDMEM38_ORIG_TD1 0x40007934u +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938u +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM39_ORIG_TD0 0x40007938u +#define CYDEV_PHUB_TDMEM39_ORIG_TD1 0x4000793cu +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940u +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM40_ORIG_TD0 0x40007940u +#define CYDEV_PHUB_TDMEM40_ORIG_TD1 0x40007944u +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948u +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM41_ORIG_TD0 0x40007948u +#define CYDEV_PHUB_TDMEM41_ORIG_TD1 0x4000794cu +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950u +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM42_ORIG_TD0 0x40007950u +#define CYDEV_PHUB_TDMEM42_ORIG_TD1 0x40007954u +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958u +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM43_ORIG_TD0 0x40007958u +#define CYDEV_PHUB_TDMEM43_ORIG_TD1 0x4000795cu +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960u +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM44_ORIG_TD0 0x40007960u +#define CYDEV_PHUB_TDMEM44_ORIG_TD1 0x40007964u +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968u +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM45_ORIG_TD0 0x40007968u +#define CYDEV_PHUB_TDMEM45_ORIG_TD1 0x4000796cu +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970u +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM46_ORIG_TD0 0x40007970u +#define CYDEV_PHUB_TDMEM46_ORIG_TD1 0x40007974u +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978u +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM47_ORIG_TD0 0x40007978u +#define CYDEV_PHUB_TDMEM47_ORIG_TD1 0x4000797cu +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980u +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM48_ORIG_TD0 0x40007980u +#define CYDEV_PHUB_TDMEM48_ORIG_TD1 0x40007984u +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988u +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM49_ORIG_TD0 0x40007988u +#define CYDEV_PHUB_TDMEM49_ORIG_TD1 0x4000798cu +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990u +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM50_ORIG_TD0 0x40007990u +#define CYDEV_PHUB_TDMEM50_ORIG_TD1 0x40007994u +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998u +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM51_ORIG_TD0 0x40007998u +#define CYDEV_PHUB_TDMEM51_ORIG_TD1 0x4000799cu +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0u +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM52_ORIG_TD0 0x400079a0u +#define CYDEV_PHUB_TDMEM52_ORIG_TD1 0x400079a4u +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8u +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM53_ORIG_TD0 0x400079a8u +#define CYDEV_PHUB_TDMEM53_ORIG_TD1 0x400079acu +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0u +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM54_ORIG_TD0 0x400079b0u +#define CYDEV_PHUB_TDMEM54_ORIG_TD1 0x400079b4u +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8u +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM55_ORIG_TD0 0x400079b8u +#define CYDEV_PHUB_TDMEM55_ORIG_TD1 0x400079bcu +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0u +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM56_ORIG_TD0 0x400079c0u +#define CYDEV_PHUB_TDMEM56_ORIG_TD1 0x400079c4u +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8u +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM57_ORIG_TD0 0x400079c8u +#define CYDEV_PHUB_TDMEM57_ORIG_TD1 0x400079ccu +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0u +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM58_ORIG_TD0 0x400079d0u +#define CYDEV_PHUB_TDMEM58_ORIG_TD1 0x400079d4u +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8u +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM59_ORIG_TD0 0x400079d8u +#define CYDEV_PHUB_TDMEM59_ORIG_TD1 0x400079dcu +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0u +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM60_ORIG_TD0 0x400079e0u +#define CYDEV_PHUB_TDMEM60_ORIG_TD1 0x400079e4u +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8u +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM61_ORIG_TD0 0x400079e8u +#define CYDEV_PHUB_TDMEM61_ORIG_TD1 0x400079ecu +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0u +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM62_ORIG_TD0 0x400079f0u +#define CYDEV_PHUB_TDMEM62_ORIG_TD1 0x400079f4u +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8u +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM63_ORIG_TD0 0x400079f8u +#define CYDEV_PHUB_TDMEM63_ORIG_TD1 0x400079fcu +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00u +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM64_ORIG_TD0 0x40007a00u +#define CYDEV_PHUB_TDMEM64_ORIG_TD1 0x40007a04u +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08u +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM65_ORIG_TD0 0x40007a08u +#define CYDEV_PHUB_TDMEM65_ORIG_TD1 0x40007a0cu +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10u +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM66_ORIG_TD0 0x40007a10u +#define CYDEV_PHUB_TDMEM66_ORIG_TD1 0x40007a14u +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18u +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM67_ORIG_TD0 0x40007a18u +#define CYDEV_PHUB_TDMEM67_ORIG_TD1 0x40007a1cu +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20u +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM68_ORIG_TD0 0x40007a20u +#define CYDEV_PHUB_TDMEM68_ORIG_TD1 0x40007a24u +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28u +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM69_ORIG_TD0 0x40007a28u +#define CYDEV_PHUB_TDMEM69_ORIG_TD1 0x40007a2cu +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30u +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM70_ORIG_TD0 0x40007a30u +#define CYDEV_PHUB_TDMEM70_ORIG_TD1 0x40007a34u +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38u +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM71_ORIG_TD0 0x40007a38u +#define CYDEV_PHUB_TDMEM71_ORIG_TD1 0x40007a3cu +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40u +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM72_ORIG_TD0 0x40007a40u +#define CYDEV_PHUB_TDMEM72_ORIG_TD1 0x40007a44u +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48u +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM73_ORIG_TD0 0x40007a48u +#define CYDEV_PHUB_TDMEM73_ORIG_TD1 0x40007a4cu +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50u +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM74_ORIG_TD0 0x40007a50u +#define CYDEV_PHUB_TDMEM74_ORIG_TD1 0x40007a54u +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58u +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM75_ORIG_TD0 0x40007a58u +#define CYDEV_PHUB_TDMEM75_ORIG_TD1 0x40007a5cu +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60u +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM76_ORIG_TD0 0x40007a60u +#define CYDEV_PHUB_TDMEM76_ORIG_TD1 0x40007a64u +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68u +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM77_ORIG_TD0 0x40007a68u +#define CYDEV_PHUB_TDMEM77_ORIG_TD1 0x40007a6cu +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70u +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM78_ORIG_TD0 0x40007a70u +#define CYDEV_PHUB_TDMEM78_ORIG_TD1 0x40007a74u +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78u +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM79_ORIG_TD0 0x40007a78u +#define CYDEV_PHUB_TDMEM79_ORIG_TD1 0x40007a7cu +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80u +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM80_ORIG_TD0 0x40007a80u +#define CYDEV_PHUB_TDMEM80_ORIG_TD1 0x40007a84u +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88u +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM81_ORIG_TD0 0x40007a88u +#define CYDEV_PHUB_TDMEM81_ORIG_TD1 0x40007a8cu +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90u +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM82_ORIG_TD0 0x40007a90u +#define CYDEV_PHUB_TDMEM82_ORIG_TD1 0x40007a94u +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98u +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM83_ORIG_TD0 0x40007a98u +#define CYDEV_PHUB_TDMEM83_ORIG_TD1 0x40007a9cu +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM84_ORIG_TD0 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_ORIG_TD1 0x40007aa4u +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM85_ORIG_TD0 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_ORIG_TD1 0x40007aacu +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM86_ORIG_TD0 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_ORIG_TD1 0x40007ab4u +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM87_ORIG_TD0 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_ORIG_TD1 0x40007abcu +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM88_ORIG_TD0 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_ORIG_TD1 0x40007ac4u +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM89_ORIG_TD0 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_ORIG_TD1 0x40007accu +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM90_ORIG_TD0 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_ORIG_TD1 0x40007ad4u +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM91_ORIG_TD0 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_ORIG_TD1 0x40007adcu +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM92_ORIG_TD0 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_ORIG_TD1 0x40007ae4u +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM93_ORIG_TD0 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_ORIG_TD1 0x40007aecu +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0u +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM94_ORIG_TD0 0x40007af0u +#define CYDEV_PHUB_TDMEM94_ORIG_TD1 0x40007af4u +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8u +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM95_ORIG_TD0 0x40007af8u +#define CYDEV_PHUB_TDMEM95_ORIG_TD1 0x40007afcu +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00u +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM96_ORIG_TD0 0x40007b00u +#define CYDEV_PHUB_TDMEM96_ORIG_TD1 0x40007b04u +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08u +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM97_ORIG_TD0 0x40007b08u +#define CYDEV_PHUB_TDMEM97_ORIG_TD1 0x40007b0cu +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10u +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM98_ORIG_TD0 0x40007b10u +#define CYDEV_PHUB_TDMEM98_ORIG_TD1 0x40007b14u +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18u +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM99_ORIG_TD0 0x40007b18u +#define CYDEV_PHUB_TDMEM99_ORIG_TD1 0x40007b1cu +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20u +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM100_ORIG_TD0 0x40007b20u +#define CYDEV_PHUB_TDMEM100_ORIG_TD1 0x40007b24u +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28u +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM101_ORIG_TD0 0x40007b28u +#define CYDEV_PHUB_TDMEM101_ORIG_TD1 0x40007b2cu +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30u +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM102_ORIG_TD0 0x40007b30u +#define CYDEV_PHUB_TDMEM102_ORIG_TD1 0x40007b34u +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38u +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM103_ORIG_TD0 0x40007b38u +#define CYDEV_PHUB_TDMEM103_ORIG_TD1 0x40007b3cu +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40u +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM104_ORIG_TD0 0x40007b40u +#define CYDEV_PHUB_TDMEM104_ORIG_TD1 0x40007b44u +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48u +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM105_ORIG_TD0 0x40007b48u +#define CYDEV_PHUB_TDMEM105_ORIG_TD1 0x40007b4cu +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50u +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM106_ORIG_TD0 0x40007b50u +#define CYDEV_PHUB_TDMEM106_ORIG_TD1 0x40007b54u +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58u +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM107_ORIG_TD0 0x40007b58u +#define CYDEV_PHUB_TDMEM107_ORIG_TD1 0x40007b5cu +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60u +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM108_ORIG_TD0 0x40007b60u +#define CYDEV_PHUB_TDMEM108_ORIG_TD1 0x40007b64u +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68u +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM109_ORIG_TD0 0x40007b68u +#define CYDEV_PHUB_TDMEM109_ORIG_TD1 0x40007b6cu +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70u +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM110_ORIG_TD0 0x40007b70u +#define CYDEV_PHUB_TDMEM110_ORIG_TD1 0x40007b74u +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78u +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM111_ORIG_TD0 0x40007b78u +#define CYDEV_PHUB_TDMEM111_ORIG_TD1 0x40007b7cu +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80u +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM112_ORIG_TD0 0x40007b80u +#define CYDEV_PHUB_TDMEM112_ORIG_TD1 0x40007b84u +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88u +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM113_ORIG_TD0 0x40007b88u +#define CYDEV_PHUB_TDMEM113_ORIG_TD1 0x40007b8cu +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90u +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM114_ORIG_TD0 0x40007b90u +#define CYDEV_PHUB_TDMEM114_ORIG_TD1 0x40007b94u +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98u +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM115_ORIG_TD0 0x40007b98u +#define CYDEV_PHUB_TDMEM115_ORIG_TD1 0x40007b9cu +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM116_ORIG_TD0 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_ORIG_TD1 0x40007ba4u +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM117_ORIG_TD0 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_ORIG_TD1 0x40007bacu +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM118_ORIG_TD0 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_ORIG_TD1 0x40007bb4u +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM119_ORIG_TD0 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_ORIG_TD1 0x40007bbcu +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM120_ORIG_TD0 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_ORIG_TD1 0x40007bc4u +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM121_ORIG_TD0 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_ORIG_TD1 0x40007bccu +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM122_ORIG_TD0 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_ORIG_TD1 0x40007bd4u +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM123_ORIG_TD0 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_ORIG_TD1 0x40007bdcu +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0u +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM124_ORIG_TD0 0x40007be0u +#define CYDEV_PHUB_TDMEM124_ORIG_TD1 0x40007be4u +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8u +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM125_ORIG_TD0 0x40007be8u +#define CYDEV_PHUB_TDMEM125_ORIG_TD1 0x40007becu +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM126_ORIG_TD0 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_ORIG_TD1 0x40007bf4u +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM127_ORIG_TD0 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_ORIG_TD1 0x40007bfcu +#define CYDEV_EE_BASE 0x40008000u +#define CYDEV_EE_SIZE 0x00000800u +#define CYDEV_EE_DATA_MBASE 0x40008000u +#define CYDEV_EE_DATA_MSIZE 0x00000800u +#define CYDEV_CAN0_BASE 0x4000a000u +#define CYDEV_CAN0_SIZE 0x000002a0u +#define CYDEV_CAN0_CSR_BASE 0x4000a000u +#define CYDEV_CAN0_CSR_SIZE 0x00000018u +#define CYDEV_CAN0_CSR_INT_SR 0x4000a000u +#define CYDEV_CAN0_CSR_INT_EN 0x4000a004u +#define CYDEV_CAN0_CSR_BUF_SR 0x4000a008u +#define CYDEV_CAN0_CSR_ERR_SR 0x4000a00cu +#define CYDEV_CAN0_CSR_CMD 0x4000a010u +#define CYDEV_CAN0_CSR_CFG 0x4000a014u +#define CYDEV_CAN0_TX0_BASE 0x4000a020u +#define CYDEV_CAN0_TX0_SIZE 0x00000010u +#define CYDEV_CAN0_TX0_CMD 0x4000a020u +#define CYDEV_CAN0_TX0_ID 0x4000a024u +#define CYDEV_CAN0_TX0_DH 0x4000a028u +#define CYDEV_CAN0_TX0_DL 0x4000a02cu +#define CYDEV_CAN0_TX1_BASE 0x4000a030u +#define CYDEV_CAN0_TX1_SIZE 0x00000010u +#define CYDEV_CAN0_TX1_CMD 0x4000a030u +#define CYDEV_CAN0_TX1_ID 0x4000a034u +#define CYDEV_CAN0_TX1_DH 0x4000a038u +#define CYDEV_CAN0_TX1_DL 0x4000a03cu +#define CYDEV_CAN0_TX2_BASE 0x4000a040u +#define CYDEV_CAN0_TX2_SIZE 0x00000010u +#define CYDEV_CAN0_TX2_CMD 0x4000a040u +#define CYDEV_CAN0_TX2_ID 0x4000a044u +#define CYDEV_CAN0_TX2_DH 0x4000a048u +#define CYDEV_CAN0_TX2_DL 0x4000a04cu +#define CYDEV_CAN0_TX3_BASE 0x4000a050u +#define CYDEV_CAN0_TX3_SIZE 0x00000010u +#define CYDEV_CAN0_TX3_CMD 0x4000a050u +#define CYDEV_CAN0_TX3_ID 0x4000a054u +#define CYDEV_CAN0_TX3_DH 0x4000a058u +#define CYDEV_CAN0_TX3_DL 0x4000a05cu +#define CYDEV_CAN0_TX4_BASE 0x4000a060u +#define CYDEV_CAN0_TX4_SIZE 0x00000010u +#define CYDEV_CAN0_TX4_CMD 0x4000a060u +#define CYDEV_CAN0_TX4_ID 0x4000a064u +#define CYDEV_CAN0_TX4_DH 0x4000a068u +#define CYDEV_CAN0_TX4_DL 0x4000a06cu +#define CYDEV_CAN0_TX5_BASE 0x4000a070u +#define CYDEV_CAN0_TX5_SIZE 0x00000010u +#define CYDEV_CAN0_TX5_CMD 0x4000a070u +#define CYDEV_CAN0_TX5_ID 0x4000a074u +#define CYDEV_CAN0_TX5_DH 0x4000a078u +#define CYDEV_CAN0_TX5_DL 0x4000a07cu +#define CYDEV_CAN0_TX6_BASE 0x4000a080u +#define CYDEV_CAN0_TX6_SIZE 0x00000010u +#define CYDEV_CAN0_TX6_CMD 0x4000a080u +#define CYDEV_CAN0_TX6_ID 0x4000a084u +#define CYDEV_CAN0_TX6_DH 0x4000a088u +#define CYDEV_CAN0_TX6_DL 0x4000a08cu +#define CYDEV_CAN0_TX7_BASE 0x4000a090u +#define CYDEV_CAN0_TX7_SIZE 0x00000010u +#define CYDEV_CAN0_TX7_CMD 0x4000a090u +#define CYDEV_CAN0_TX7_ID 0x4000a094u +#define CYDEV_CAN0_TX7_DH 0x4000a098u +#define CYDEV_CAN0_TX7_DL 0x4000a09cu +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0u +#define CYDEV_CAN0_RX0_SIZE 0x00000020u +#define CYDEV_CAN0_RX0_CMD 0x4000a0a0u +#define CYDEV_CAN0_RX0_ID 0x4000a0a4u +#define CYDEV_CAN0_RX0_DH 0x4000a0a8u +#define CYDEV_CAN0_RX0_DL 0x4000a0acu +#define CYDEV_CAN0_RX0_AMR 0x4000a0b0u +#define CYDEV_CAN0_RX0_ACR 0x4000a0b4u +#define CYDEV_CAN0_RX0_AMRD 0x4000a0b8u +#define CYDEV_CAN0_RX0_ACRD 0x4000a0bcu +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0u +#define CYDEV_CAN0_RX1_SIZE 0x00000020u +#define CYDEV_CAN0_RX1_CMD 0x4000a0c0u +#define CYDEV_CAN0_RX1_ID 0x4000a0c4u +#define CYDEV_CAN0_RX1_DH 0x4000a0c8u +#define CYDEV_CAN0_RX1_DL 0x4000a0ccu +#define CYDEV_CAN0_RX1_AMR 0x4000a0d0u +#define CYDEV_CAN0_RX1_ACR 0x4000a0d4u +#define CYDEV_CAN0_RX1_AMRD 0x4000a0d8u +#define CYDEV_CAN0_RX1_ACRD 0x4000a0dcu +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0u +#define CYDEV_CAN0_RX2_SIZE 0x00000020u +#define CYDEV_CAN0_RX2_CMD 0x4000a0e0u +#define CYDEV_CAN0_RX2_ID 0x4000a0e4u +#define CYDEV_CAN0_RX2_DH 0x4000a0e8u +#define CYDEV_CAN0_RX2_DL 0x4000a0ecu +#define CYDEV_CAN0_RX2_AMR 0x4000a0f0u +#define CYDEV_CAN0_RX2_ACR 0x4000a0f4u +#define CYDEV_CAN0_RX2_AMRD 0x4000a0f8u +#define CYDEV_CAN0_RX2_ACRD 0x4000a0fcu +#define CYDEV_CAN0_RX3_BASE 0x4000a100u +#define CYDEV_CAN0_RX3_SIZE 0x00000020u +#define CYDEV_CAN0_RX3_CMD 0x4000a100u +#define CYDEV_CAN0_RX3_ID 0x4000a104u +#define CYDEV_CAN0_RX3_DH 0x4000a108u +#define CYDEV_CAN0_RX3_DL 0x4000a10cu +#define CYDEV_CAN0_RX3_AMR 0x4000a110u +#define CYDEV_CAN0_RX3_ACR 0x4000a114u +#define CYDEV_CAN0_RX3_AMRD 0x4000a118u +#define CYDEV_CAN0_RX3_ACRD 0x4000a11cu +#define CYDEV_CAN0_RX4_BASE 0x4000a120u +#define CYDEV_CAN0_RX4_SIZE 0x00000020u +#define CYDEV_CAN0_RX4_CMD 0x4000a120u +#define CYDEV_CAN0_RX4_ID 0x4000a124u +#define CYDEV_CAN0_RX4_DH 0x4000a128u +#define CYDEV_CAN0_RX4_DL 0x4000a12cu +#define CYDEV_CAN0_RX4_AMR 0x4000a130u +#define CYDEV_CAN0_RX4_ACR 0x4000a134u +#define CYDEV_CAN0_RX4_AMRD 0x4000a138u +#define CYDEV_CAN0_RX4_ACRD 0x4000a13cu +#define CYDEV_CAN0_RX5_BASE 0x4000a140u +#define CYDEV_CAN0_RX5_SIZE 0x00000020u +#define CYDEV_CAN0_RX5_CMD 0x4000a140u +#define CYDEV_CAN0_RX5_ID 0x4000a144u +#define CYDEV_CAN0_RX5_DH 0x4000a148u +#define CYDEV_CAN0_RX5_DL 0x4000a14cu +#define CYDEV_CAN0_RX5_AMR 0x4000a150u +#define CYDEV_CAN0_RX5_ACR 0x4000a154u +#define CYDEV_CAN0_RX5_AMRD 0x4000a158u +#define CYDEV_CAN0_RX5_ACRD 0x4000a15cu +#define CYDEV_CAN0_RX6_BASE 0x4000a160u +#define CYDEV_CAN0_RX6_SIZE 0x00000020u +#define CYDEV_CAN0_RX6_CMD 0x4000a160u +#define CYDEV_CAN0_RX6_ID 0x4000a164u +#define CYDEV_CAN0_RX6_DH 0x4000a168u +#define CYDEV_CAN0_RX6_DL 0x4000a16cu +#define CYDEV_CAN0_RX6_AMR 0x4000a170u +#define CYDEV_CAN0_RX6_ACR 0x4000a174u +#define CYDEV_CAN0_RX6_AMRD 0x4000a178u +#define CYDEV_CAN0_RX6_ACRD 0x4000a17cu +#define CYDEV_CAN0_RX7_BASE 0x4000a180u +#define CYDEV_CAN0_RX7_SIZE 0x00000020u +#define CYDEV_CAN0_RX7_CMD 0x4000a180u +#define CYDEV_CAN0_RX7_ID 0x4000a184u +#define CYDEV_CAN0_RX7_DH 0x4000a188u +#define CYDEV_CAN0_RX7_DL 0x4000a18cu +#define CYDEV_CAN0_RX7_AMR 0x4000a190u +#define CYDEV_CAN0_RX7_ACR 0x4000a194u +#define CYDEV_CAN0_RX7_AMRD 0x4000a198u +#define CYDEV_CAN0_RX7_ACRD 0x4000a19cu +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0u +#define CYDEV_CAN0_RX8_SIZE 0x00000020u +#define CYDEV_CAN0_RX8_CMD 0x4000a1a0u +#define CYDEV_CAN0_RX8_ID 0x4000a1a4u +#define CYDEV_CAN0_RX8_DH 0x4000a1a8u +#define CYDEV_CAN0_RX8_DL 0x4000a1acu +#define CYDEV_CAN0_RX8_AMR 0x4000a1b0u +#define CYDEV_CAN0_RX8_ACR 0x4000a1b4u +#define CYDEV_CAN0_RX8_AMRD 0x4000a1b8u +#define CYDEV_CAN0_RX8_ACRD 0x4000a1bcu +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0u +#define CYDEV_CAN0_RX9_SIZE 0x00000020u +#define CYDEV_CAN0_RX9_CMD 0x4000a1c0u +#define CYDEV_CAN0_RX9_ID 0x4000a1c4u +#define CYDEV_CAN0_RX9_DH 0x4000a1c8u +#define CYDEV_CAN0_RX9_DL 0x4000a1ccu +#define CYDEV_CAN0_RX9_AMR 0x4000a1d0u +#define CYDEV_CAN0_RX9_ACR 0x4000a1d4u +#define CYDEV_CAN0_RX9_AMRD 0x4000a1d8u +#define CYDEV_CAN0_RX9_ACRD 0x4000a1dcu +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0u +#define CYDEV_CAN0_RX10_SIZE 0x00000020u +#define CYDEV_CAN0_RX10_CMD 0x4000a1e0u +#define CYDEV_CAN0_RX10_ID 0x4000a1e4u +#define CYDEV_CAN0_RX10_DH 0x4000a1e8u +#define CYDEV_CAN0_RX10_DL 0x4000a1ecu +#define CYDEV_CAN0_RX10_AMR 0x4000a1f0u +#define CYDEV_CAN0_RX10_ACR 0x4000a1f4u +#define CYDEV_CAN0_RX10_AMRD 0x4000a1f8u +#define CYDEV_CAN0_RX10_ACRD 0x4000a1fcu +#define CYDEV_CAN0_RX11_BASE 0x4000a200u +#define CYDEV_CAN0_RX11_SIZE 0x00000020u +#define CYDEV_CAN0_RX11_CMD 0x4000a200u +#define CYDEV_CAN0_RX11_ID 0x4000a204u +#define CYDEV_CAN0_RX11_DH 0x4000a208u +#define CYDEV_CAN0_RX11_DL 0x4000a20cu +#define CYDEV_CAN0_RX11_AMR 0x4000a210u +#define CYDEV_CAN0_RX11_ACR 0x4000a214u +#define CYDEV_CAN0_RX11_AMRD 0x4000a218u +#define CYDEV_CAN0_RX11_ACRD 0x4000a21cu +#define CYDEV_CAN0_RX12_BASE 0x4000a220u +#define CYDEV_CAN0_RX12_SIZE 0x00000020u +#define CYDEV_CAN0_RX12_CMD 0x4000a220u +#define CYDEV_CAN0_RX12_ID 0x4000a224u +#define CYDEV_CAN0_RX12_DH 0x4000a228u +#define CYDEV_CAN0_RX12_DL 0x4000a22cu +#define CYDEV_CAN0_RX12_AMR 0x4000a230u +#define CYDEV_CAN0_RX12_ACR 0x4000a234u +#define CYDEV_CAN0_RX12_AMRD 0x4000a238u +#define CYDEV_CAN0_RX12_ACRD 0x4000a23cu +#define CYDEV_CAN0_RX13_BASE 0x4000a240u +#define CYDEV_CAN0_RX13_SIZE 0x00000020u +#define CYDEV_CAN0_RX13_CMD 0x4000a240u +#define CYDEV_CAN0_RX13_ID 0x4000a244u +#define CYDEV_CAN0_RX13_DH 0x4000a248u +#define CYDEV_CAN0_RX13_DL 0x4000a24cu +#define CYDEV_CAN0_RX13_AMR 0x4000a250u +#define CYDEV_CAN0_RX13_ACR 0x4000a254u +#define CYDEV_CAN0_RX13_AMRD 0x4000a258u +#define CYDEV_CAN0_RX13_ACRD 0x4000a25cu +#define CYDEV_CAN0_RX14_BASE 0x4000a260u +#define CYDEV_CAN0_RX14_SIZE 0x00000020u +#define CYDEV_CAN0_RX14_CMD 0x4000a260u +#define CYDEV_CAN0_RX14_ID 0x4000a264u +#define CYDEV_CAN0_RX14_DH 0x4000a268u +#define CYDEV_CAN0_RX14_DL 0x4000a26cu +#define CYDEV_CAN0_RX14_AMR 0x4000a270u +#define CYDEV_CAN0_RX14_ACR 0x4000a274u +#define CYDEV_CAN0_RX14_AMRD 0x4000a278u +#define CYDEV_CAN0_RX14_ACRD 0x4000a27cu +#define CYDEV_CAN0_RX15_BASE 0x4000a280u +#define CYDEV_CAN0_RX15_SIZE 0x00000020u +#define CYDEV_CAN0_RX15_CMD 0x4000a280u +#define CYDEV_CAN0_RX15_ID 0x4000a284u +#define CYDEV_CAN0_RX15_DH 0x4000a288u +#define CYDEV_CAN0_RX15_DL 0x4000a28cu +#define CYDEV_CAN0_RX15_AMR 0x4000a290u +#define CYDEV_CAN0_RX15_ACR 0x4000a294u +#define CYDEV_CAN0_RX15_AMRD 0x4000a298u +#define CYDEV_CAN0_RX15_ACRD 0x4000a29cu +#define CYDEV_DFB0_BASE 0x4000c000u +#define CYDEV_DFB0_SIZE 0x000007b5u +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200u +#define CYDEV_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040u +#define CYDEV_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040u +#define CYDEV_DFB0_CR 0x4000c780u +#define CYDEV_DFB0_SR 0x4000c784u +#define CYDEV_DFB0_RAM_EN 0x4000c788u +#define CYDEV_DFB0_RAM_DIR 0x4000c78cu +#define CYDEV_DFB0_SEMA 0x4000c790u +#define CYDEV_DFB0_DSI_CTRL 0x4000c794u +#define CYDEV_DFB0_INT_CTRL 0x4000c798u +#define CYDEV_DFB0_DMA_CTRL 0x4000c79cu +#define CYDEV_DFB0_STAGEA 0x4000c7a0u +#define CYDEV_DFB0_STAGEAM 0x4000c7a1u +#define CYDEV_DFB0_STAGEAH 0x4000c7a2u +#define CYDEV_DFB0_STAGEB 0x4000c7a4u +#define CYDEV_DFB0_STAGEBM 0x4000c7a5u +#define CYDEV_DFB0_STAGEBH 0x4000c7a6u +#define CYDEV_DFB0_HOLDA 0x4000c7a8u +#define CYDEV_DFB0_HOLDAM 0x4000c7a9u +#define CYDEV_DFB0_HOLDAH 0x4000c7aau +#define CYDEV_DFB0_HOLDAS 0x4000c7abu +#define CYDEV_DFB0_HOLDB 0x4000c7acu +#define CYDEV_DFB0_HOLDBM 0x4000c7adu +#define CYDEV_DFB0_HOLDBH 0x4000c7aeu +#define CYDEV_DFB0_HOLDBS 0x4000c7afu +#define CYDEV_DFB0_COHER 0x4000c7b0u +#define CYDEV_DFB0_DALIGN 0x4000c7b4u +#define CYDEV_UCFG_BASE 0x40010000u +#define CYDEV_UCFG_SIZE 0x00005040u +#define CYDEV_UCFG_B0_BASE 0x40010000u +#define CYDEV_UCFG_B0_SIZE 0x00000fefu +#define CYDEV_UCFG_B0_P0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT0 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT1 0x40010004u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT2 0x40010008u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT3 0x4001000cu +#define CYDEV_UCFG_B0_P0_U0_PLD_IT4 0x40010010u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT5 0x40010014u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT6 0x40010018u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT7 0x4001001cu +#define CYDEV_UCFG_B0_P0_U0_PLD_IT8 0x40010020u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT9 0x40010024u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT10 0x40010028u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT11 0x4001002cu +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT0 0x40010030u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT1 0x40010032u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT2 0x40010034u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT3 0x40010036u +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038u +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB 0x4001003au +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003cu +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS 0x4001003eu +#define CYDEV_UCFG_B0_P0_U0_CFG0 0x40010040u +#define CYDEV_UCFG_B0_P0_U0_CFG1 0x40010041u +#define CYDEV_UCFG_B0_P0_U0_CFG2 0x40010042u +#define CYDEV_UCFG_B0_P0_U0_CFG3 0x40010043u +#define CYDEV_UCFG_B0_P0_U0_CFG4 0x40010044u +#define CYDEV_UCFG_B0_P0_U0_CFG5 0x40010045u +#define CYDEV_UCFG_B0_P0_U0_CFG6 0x40010046u +#define CYDEV_UCFG_B0_P0_U0_CFG7 0x40010047u +#define CYDEV_UCFG_B0_P0_U0_CFG8 0x40010048u +#define CYDEV_UCFG_B0_P0_U0_CFG9 0x40010049u +#define CYDEV_UCFG_B0_P0_U0_CFG10 0x4001004au +#define CYDEV_UCFG_B0_P0_U0_CFG11 0x4001004bu +#define CYDEV_UCFG_B0_P0_U0_CFG12 0x4001004cu +#define CYDEV_UCFG_B0_P0_U0_CFG13 0x4001004du +#define CYDEV_UCFG_B0_P0_U0_CFG14 0x4001004eu +#define CYDEV_UCFG_B0_P0_U0_CFG15 0x4001004fu +#define CYDEV_UCFG_B0_P0_U0_CFG16 0x40010050u +#define CYDEV_UCFG_B0_P0_U0_CFG17 0x40010051u +#define CYDEV_UCFG_B0_P0_U0_CFG18 0x40010052u +#define CYDEV_UCFG_B0_P0_U0_CFG19 0x40010053u +#define CYDEV_UCFG_B0_P0_U0_CFG20 0x40010054u +#define CYDEV_UCFG_B0_P0_U0_CFG21 0x40010055u +#define CYDEV_UCFG_B0_P0_U0_CFG22 0x40010056u +#define CYDEV_UCFG_B0_P0_U0_CFG23 0x40010057u +#define CYDEV_UCFG_B0_P0_U0_CFG24 0x40010058u +#define CYDEV_UCFG_B0_P0_U0_CFG25 0x40010059u +#define CYDEV_UCFG_B0_P0_U0_CFG26 0x4001005au +#define CYDEV_UCFG_B0_P0_U0_CFG27 0x4001005bu +#define CYDEV_UCFG_B0_P0_U0_CFG28 0x4001005cu +#define CYDEV_UCFG_B0_P0_U0_CFG29 0x4001005du +#define CYDEV_UCFG_B0_P0_U0_CFG30 0x4001005eu +#define CYDEV_UCFG_B0_P0_U0_CFG31 0x4001005fu +#define CYDEV_UCFG_B0_P0_U0_DCFG0 0x40010060u +#define CYDEV_UCFG_B0_P0_U0_DCFG1 0x40010062u +#define CYDEV_UCFG_B0_P0_U0_DCFG2 0x40010064u +#define CYDEV_UCFG_B0_P0_U0_DCFG3 0x40010066u +#define CYDEV_UCFG_B0_P0_U0_DCFG4 0x40010068u +#define CYDEV_UCFG_B0_P0_U0_DCFG5 0x4001006au +#define CYDEV_UCFG_B0_P0_U0_DCFG6 0x4001006cu +#define CYDEV_UCFG_B0_P0_U0_DCFG7 0x4001006eu +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT0 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT1 0x40010084u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT2 0x40010088u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT3 0x4001008cu +#define CYDEV_UCFG_B0_P0_U1_PLD_IT4 0x40010090u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT5 0x40010094u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT6 0x40010098u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT7 0x4001009cu +#define CYDEV_UCFG_B0_P0_U1_PLD_IT8 0x400100a0u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT9 0x400100a4u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT10 0x400100a8u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT11 0x400100acu +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT0 0x400100b0u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT1 0x400100b2u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT2 0x400100b4u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT3 0x400100b6u +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8u +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB 0x400100bau +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bcu +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS 0x400100beu +#define CYDEV_UCFG_B0_P0_U1_CFG0 0x400100c0u +#define CYDEV_UCFG_B0_P0_U1_CFG1 0x400100c1u +#define CYDEV_UCFG_B0_P0_U1_CFG2 0x400100c2u +#define CYDEV_UCFG_B0_P0_U1_CFG3 0x400100c3u +#define CYDEV_UCFG_B0_P0_U1_CFG4 0x400100c4u +#define CYDEV_UCFG_B0_P0_U1_CFG5 0x400100c5u +#define CYDEV_UCFG_B0_P0_U1_CFG6 0x400100c6u +#define CYDEV_UCFG_B0_P0_U1_CFG7 0x400100c7u +#define CYDEV_UCFG_B0_P0_U1_CFG8 0x400100c8u +#define CYDEV_UCFG_B0_P0_U1_CFG9 0x400100c9u +#define CYDEV_UCFG_B0_P0_U1_CFG10 0x400100cau +#define CYDEV_UCFG_B0_P0_U1_CFG11 0x400100cbu +#define CYDEV_UCFG_B0_P0_U1_CFG12 0x400100ccu +#define CYDEV_UCFG_B0_P0_U1_CFG13 0x400100cdu +#define CYDEV_UCFG_B0_P0_U1_CFG14 0x400100ceu +#define CYDEV_UCFG_B0_P0_U1_CFG15 0x400100cfu +#define CYDEV_UCFG_B0_P0_U1_CFG16 0x400100d0u +#define CYDEV_UCFG_B0_P0_U1_CFG17 0x400100d1u +#define CYDEV_UCFG_B0_P0_U1_CFG18 0x400100d2u +#define CYDEV_UCFG_B0_P0_U1_CFG19 0x400100d3u +#define CYDEV_UCFG_B0_P0_U1_CFG20 0x400100d4u +#define CYDEV_UCFG_B0_P0_U1_CFG21 0x400100d5u +#define CYDEV_UCFG_B0_P0_U1_CFG22 0x400100d6u +#define CYDEV_UCFG_B0_P0_U1_CFG23 0x400100d7u +#define CYDEV_UCFG_B0_P0_U1_CFG24 0x400100d8u +#define CYDEV_UCFG_B0_P0_U1_CFG25 0x400100d9u +#define CYDEV_UCFG_B0_P0_U1_CFG26 0x400100dau +#define CYDEV_UCFG_B0_P0_U1_CFG27 0x400100dbu +#define CYDEV_UCFG_B0_P0_U1_CFG28 0x400100dcu +#define CYDEV_UCFG_B0_P0_U1_CFG29 0x400100ddu +#define CYDEV_UCFG_B0_P0_U1_CFG30 0x400100deu +#define CYDEV_UCFG_B0_P0_U1_CFG31 0x400100dfu +#define CYDEV_UCFG_B0_P0_U1_DCFG0 0x400100e0u +#define CYDEV_UCFG_B0_P0_U1_DCFG1 0x400100e2u +#define CYDEV_UCFG_B0_P0_U1_DCFG2 0x400100e4u +#define CYDEV_UCFG_B0_P0_U1_DCFG3 0x400100e6u +#define CYDEV_UCFG_B0_P0_U1_DCFG4 0x400100e8u +#define CYDEV_UCFG_B0_P0_U1_DCFG5 0x400100eau +#define CYDEV_UCFG_B0_P0_U1_DCFG6 0x400100ecu +#define CYDEV_UCFG_B0_P0_U1_DCFG7 0x400100eeu +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100u +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P1_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT0 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT1 0x40010204u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT2 0x40010208u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT3 0x4001020cu +#define CYDEV_UCFG_B0_P1_U0_PLD_IT4 0x40010210u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT5 0x40010214u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT6 0x40010218u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT7 0x4001021cu +#define CYDEV_UCFG_B0_P1_U0_PLD_IT8 0x40010220u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT9 0x40010224u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT10 0x40010228u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT11 0x4001022cu +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT0 0x40010230u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT1 0x40010232u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT2 0x40010234u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT3 0x40010236u +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238u +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB 0x4001023au +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023cu +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS 0x4001023eu +#define CYDEV_UCFG_B0_P1_U0_CFG0 0x40010240u +#define CYDEV_UCFG_B0_P1_U0_CFG1 0x40010241u +#define CYDEV_UCFG_B0_P1_U0_CFG2 0x40010242u +#define CYDEV_UCFG_B0_P1_U0_CFG3 0x40010243u +#define CYDEV_UCFG_B0_P1_U0_CFG4 0x40010244u +#define CYDEV_UCFG_B0_P1_U0_CFG5 0x40010245u +#define CYDEV_UCFG_B0_P1_U0_CFG6 0x40010246u +#define CYDEV_UCFG_B0_P1_U0_CFG7 0x40010247u +#define CYDEV_UCFG_B0_P1_U0_CFG8 0x40010248u +#define CYDEV_UCFG_B0_P1_U0_CFG9 0x40010249u +#define CYDEV_UCFG_B0_P1_U0_CFG10 0x4001024au +#define CYDEV_UCFG_B0_P1_U0_CFG11 0x4001024bu +#define CYDEV_UCFG_B0_P1_U0_CFG12 0x4001024cu +#define CYDEV_UCFG_B0_P1_U0_CFG13 0x4001024du +#define CYDEV_UCFG_B0_P1_U0_CFG14 0x4001024eu +#define CYDEV_UCFG_B0_P1_U0_CFG15 0x4001024fu +#define CYDEV_UCFG_B0_P1_U0_CFG16 0x40010250u +#define CYDEV_UCFG_B0_P1_U0_CFG17 0x40010251u +#define CYDEV_UCFG_B0_P1_U0_CFG18 0x40010252u +#define CYDEV_UCFG_B0_P1_U0_CFG19 0x40010253u +#define CYDEV_UCFG_B0_P1_U0_CFG20 0x40010254u +#define CYDEV_UCFG_B0_P1_U0_CFG21 0x40010255u +#define CYDEV_UCFG_B0_P1_U0_CFG22 0x40010256u +#define CYDEV_UCFG_B0_P1_U0_CFG23 0x40010257u +#define CYDEV_UCFG_B0_P1_U0_CFG24 0x40010258u +#define CYDEV_UCFG_B0_P1_U0_CFG25 0x40010259u +#define CYDEV_UCFG_B0_P1_U0_CFG26 0x4001025au +#define CYDEV_UCFG_B0_P1_U0_CFG27 0x4001025bu +#define CYDEV_UCFG_B0_P1_U0_CFG28 0x4001025cu +#define CYDEV_UCFG_B0_P1_U0_CFG29 0x4001025du +#define CYDEV_UCFG_B0_P1_U0_CFG30 0x4001025eu +#define CYDEV_UCFG_B0_P1_U0_CFG31 0x4001025fu +#define CYDEV_UCFG_B0_P1_U0_DCFG0 0x40010260u +#define CYDEV_UCFG_B0_P1_U0_DCFG1 0x40010262u +#define CYDEV_UCFG_B0_P1_U0_DCFG2 0x40010264u +#define CYDEV_UCFG_B0_P1_U0_DCFG3 0x40010266u +#define CYDEV_UCFG_B0_P1_U0_DCFG4 0x40010268u +#define CYDEV_UCFG_B0_P1_U0_DCFG5 0x4001026au +#define CYDEV_UCFG_B0_P1_U0_DCFG6 0x4001026cu +#define CYDEV_UCFG_B0_P1_U0_DCFG7 0x4001026eu +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT0 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT1 0x40010284u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT2 0x40010288u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT3 0x4001028cu +#define CYDEV_UCFG_B0_P1_U1_PLD_IT4 0x40010290u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT5 0x40010294u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT6 0x40010298u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT7 0x4001029cu +#define CYDEV_UCFG_B0_P1_U1_PLD_IT8 0x400102a0u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT9 0x400102a4u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT10 0x400102a8u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT11 0x400102acu +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT0 0x400102b0u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT1 0x400102b2u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT2 0x400102b4u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT3 0x400102b6u +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8u +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB 0x400102bau +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bcu +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS 0x400102beu +#define CYDEV_UCFG_B0_P1_U1_CFG0 0x400102c0u +#define CYDEV_UCFG_B0_P1_U1_CFG1 0x400102c1u +#define CYDEV_UCFG_B0_P1_U1_CFG2 0x400102c2u +#define CYDEV_UCFG_B0_P1_U1_CFG3 0x400102c3u +#define CYDEV_UCFG_B0_P1_U1_CFG4 0x400102c4u +#define CYDEV_UCFG_B0_P1_U1_CFG5 0x400102c5u +#define CYDEV_UCFG_B0_P1_U1_CFG6 0x400102c6u +#define CYDEV_UCFG_B0_P1_U1_CFG7 0x400102c7u +#define CYDEV_UCFG_B0_P1_U1_CFG8 0x400102c8u +#define CYDEV_UCFG_B0_P1_U1_CFG9 0x400102c9u +#define CYDEV_UCFG_B0_P1_U1_CFG10 0x400102cau +#define CYDEV_UCFG_B0_P1_U1_CFG11 0x400102cbu +#define CYDEV_UCFG_B0_P1_U1_CFG12 0x400102ccu +#define CYDEV_UCFG_B0_P1_U1_CFG13 0x400102cdu +#define CYDEV_UCFG_B0_P1_U1_CFG14 0x400102ceu +#define CYDEV_UCFG_B0_P1_U1_CFG15 0x400102cfu +#define CYDEV_UCFG_B0_P1_U1_CFG16 0x400102d0u +#define CYDEV_UCFG_B0_P1_U1_CFG17 0x400102d1u +#define CYDEV_UCFG_B0_P1_U1_CFG18 0x400102d2u +#define CYDEV_UCFG_B0_P1_U1_CFG19 0x400102d3u +#define CYDEV_UCFG_B0_P1_U1_CFG20 0x400102d4u +#define CYDEV_UCFG_B0_P1_U1_CFG21 0x400102d5u +#define CYDEV_UCFG_B0_P1_U1_CFG22 0x400102d6u +#define CYDEV_UCFG_B0_P1_U1_CFG23 0x400102d7u +#define CYDEV_UCFG_B0_P1_U1_CFG24 0x400102d8u +#define CYDEV_UCFG_B0_P1_U1_CFG25 0x400102d9u +#define CYDEV_UCFG_B0_P1_U1_CFG26 0x400102dau +#define CYDEV_UCFG_B0_P1_U1_CFG27 0x400102dbu +#define CYDEV_UCFG_B0_P1_U1_CFG28 0x400102dcu +#define CYDEV_UCFG_B0_P1_U1_CFG29 0x400102ddu +#define CYDEV_UCFG_B0_P1_U1_CFG30 0x400102deu +#define CYDEV_UCFG_B0_P1_U1_CFG31 0x400102dfu +#define CYDEV_UCFG_B0_P1_U1_DCFG0 0x400102e0u +#define CYDEV_UCFG_B0_P1_U1_DCFG1 0x400102e2u +#define CYDEV_UCFG_B0_P1_U1_DCFG2 0x400102e4u +#define CYDEV_UCFG_B0_P1_U1_DCFG3 0x400102e6u +#define CYDEV_UCFG_B0_P1_U1_DCFG4 0x400102e8u +#define CYDEV_UCFG_B0_P1_U1_DCFG5 0x400102eau +#define CYDEV_UCFG_B0_P1_U1_DCFG6 0x400102ecu +#define CYDEV_UCFG_B0_P1_U1_DCFG7 0x400102eeu +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300u +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P2_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT0 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT1 0x40010404u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT2 0x40010408u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT3 0x4001040cu +#define CYDEV_UCFG_B0_P2_U0_PLD_IT4 0x40010410u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT5 0x40010414u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT6 0x40010418u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT7 0x4001041cu +#define CYDEV_UCFG_B0_P2_U0_PLD_IT8 0x40010420u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT9 0x40010424u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT10 0x40010428u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT11 0x4001042cu +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT0 0x40010430u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT1 0x40010432u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT2 0x40010434u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT3 0x40010436u +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438u +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB 0x4001043au +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043cu +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS 0x4001043eu +#define CYDEV_UCFG_B0_P2_U0_CFG0 0x40010440u +#define CYDEV_UCFG_B0_P2_U0_CFG1 0x40010441u +#define CYDEV_UCFG_B0_P2_U0_CFG2 0x40010442u +#define CYDEV_UCFG_B0_P2_U0_CFG3 0x40010443u +#define CYDEV_UCFG_B0_P2_U0_CFG4 0x40010444u +#define CYDEV_UCFG_B0_P2_U0_CFG5 0x40010445u +#define CYDEV_UCFG_B0_P2_U0_CFG6 0x40010446u +#define CYDEV_UCFG_B0_P2_U0_CFG7 0x40010447u +#define CYDEV_UCFG_B0_P2_U0_CFG8 0x40010448u +#define CYDEV_UCFG_B0_P2_U0_CFG9 0x40010449u +#define CYDEV_UCFG_B0_P2_U0_CFG10 0x4001044au +#define CYDEV_UCFG_B0_P2_U0_CFG11 0x4001044bu +#define CYDEV_UCFG_B0_P2_U0_CFG12 0x4001044cu +#define CYDEV_UCFG_B0_P2_U0_CFG13 0x4001044du +#define CYDEV_UCFG_B0_P2_U0_CFG14 0x4001044eu +#define CYDEV_UCFG_B0_P2_U0_CFG15 0x4001044fu +#define CYDEV_UCFG_B0_P2_U0_CFG16 0x40010450u +#define CYDEV_UCFG_B0_P2_U0_CFG17 0x40010451u +#define CYDEV_UCFG_B0_P2_U0_CFG18 0x40010452u +#define CYDEV_UCFG_B0_P2_U0_CFG19 0x40010453u +#define CYDEV_UCFG_B0_P2_U0_CFG20 0x40010454u +#define CYDEV_UCFG_B0_P2_U0_CFG21 0x40010455u +#define CYDEV_UCFG_B0_P2_U0_CFG22 0x40010456u +#define CYDEV_UCFG_B0_P2_U0_CFG23 0x40010457u +#define CYDEV_UCFG_B0_P2_U0_CFG24 0x40010458u +#define CYDEV_UCFG_B0_P2_U0_CFG25 0x40010459u +#define CYDEV_UCFG_B0_P2_U0_CFG26 0x4001045au +#define CYDEV_UCFG_B0_P2_U0_CFG27 0x4001045bu +#define CYDEV_UCFG_B0_P2_U0_CFG28 0x4001045cu +#define CYDEV_UCFG_B0_P2_U0_CFG29 0x4001045du +#define CYDEV_UCFG_B0_P2_U0_CFG30 0x4001045eu +#define CYDEV_UCFG_B0_P2_U0_CFG31 0x4001045fu +#define CYDEV_UCFG_B0_P2_U0_DCFG0 0x40010460u +#define CYDEV_UCFG_B0_P2_U0_DCFG1 0x40010462u +#define CYDEV_UCFG_B0_P2_U0_DCFG2 0x40010464u +#define CYDEV_UCFG_B0_P2_U0_DCFG3 0x40010466u +#define CYDEV_UCFG_B0_P2_U0_DCFG4 0x40010468u +#define CYDEV_UCFG_B0_P2_U0_DCFG5 0x4001046au +#define CYDEV_UCFG_B0_P2_U0_DCFG6 0x4001046cu +#define CYDEV_UCFG_B0_P2_U0_DCFG7 0x4001046eu +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT0 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT1 0x40010484u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT2 0x40010488u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT3 0x4001048cu +#define CYDEV_UCFG_B0_P2_U1_PLD_IT4 0x40010490u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT5 0x40010494u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT6 0x40010498u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT7 0x4001049cu +#define CYDEV_UCFG_B0_P2_U1_PLD_IT8 0x400104a0u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT9 0x400104a4u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT10 0x400104a8u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT11 0x400104acu +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT0 0x400104b0u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT1 0x400104b2u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT2 0x400104b4u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT3 0x400104b6u +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8u +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB 0x400104bau +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bcu +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS 0x400104beu +#define CYDEV_UCFG_B0_P2_U1_CFG0 0x400104c0u +#define CYDEV_UCFG_B0_P2_U1_CFG1 0x400104c1u +#define CYDEV_UCFG_B0_P2_U1_CFG2 0x400104c2u +#define CYDEV_UCFG_B0_P2_U1_CFG3 0x400104c3u +#define CYDEV_UCFG_B0_P2_U1_CFG4 0x400104c4u +#define CYDEV_UCFG_B0_P2_U1_CFG5 0x400104c5u +#define CYDEV_UCFG_B0_P2_U1_CFG6 0x400104c6u +#define CYDEV_UCFG_B0_P2_U1_CFG7 0x400104c7u +#define CYDEV_UCFG_B0_P2_U1_CFG8 0x400104c8u +#define CYDEV_UCFG_B0_P2_U1_CFG9 0x400104c9u +#define CYDEV_UCFG_B0_P2_U1_CFG10 0x400104cau +#define CYDEV_UCFG_B0_P2_U1_CFG11 0x400104cbu +#define CYDEV_UCFG_B0_P2_U1_CFG12 0x400104ccu +#define CYDEV_UCFG_B0_P2_U1_CFG13 0x400104cdu +#define CYDEV_UCFG_B0_P2_U1_CFG14 0x400104ceu +#define CYDEV_UCFG_B0_P2_U1_CFG15 0x400104cfu +#define CYDEV_UCFG_B0_P2_U1_CFG16 0x400104d0u +#define CYDEV_UCFG_B0_P2_U1_CFG17 0x400104d1u +#define CYDEV_UCFG_B0_P2_U1_CFG18 0x400104d2u +#define CYDEV_UCFG_B0_P2_U1_CFG19 0x400104d3u +#define CYDEV_UCFG_B0_P2_U1_CFG20 0x400104d4u +#define CYDEV_UCFG_B0_P2_U1_CFG21 0x400104d5u +#define CYDEV_UCFG_B0_P2_U1_CFG22 0x400104d6u +#define CYDEV_UCFG_B0_P2_U1_CFG23 0x400104d7u +#define CYDEV_UCFG_B0_P2_U1_CFG24 0x400104d8u +#define CYDEV_UCFG_B0_P2_U1_CFG25 0x400104d9u +#define CYDEV_UCFG_B0_P2_U1_CFG26 0x400104dau +#define CYDEV_UCFG_B0_P2_U1_CFG27 0x400104dbu +#define CYDEV_UCFG_B0_P2_U1_CFG28 0x400104dcu +#define CYDEV_UCFG_B0_P2_U1_CFG29 0x400104ddu +#define CYDEV_UCFG_B0_P2_U1_CFG30 0x400104deu +#define CYDEV_UCFG_B0_P2_U1_CFG31 0x400104dfu +#define CYDEV_UCFG_B0_P2_U1_DCFG0 0x400104e0u +#define CYDEV_UCFG_B0_P2_U1_DCFG1 0x400104e2u +#define CYDEV_UCFG_B0_P2_U1_DCFG2 0x400104e4u +#define CYDEV_UCFG_B0_P2_U1_DCFG3 0x400104e6u +#define CYDEV_UCFG_B0_P2_U1_DCFG4 0x400104e8u +#define CYDEV_UCFG_B0_P2_U1_DCFG5 0x400104eau +#define CYDEV_UCFG_B0_P2_U1_DCFG6 0x400104ecu +#define CYDEV_UCFG_B0_P2_U1_DCFG7 0x400104eeu +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500u +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P3_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT0 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT1 0x40010604u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT2 0x40010608u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT3 0x4001060cu +#define CYDEV_UCFG_B0_P3_U0_PLD_IT4 0x40010610u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT5 0x40010614u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT6 0x40010618u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT7 0x4001061cu +#define CYDEV_UCFG_B0_P3_U0_PLD_IT8 0x40010620u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT9 0x40010624u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT10 0x40010628u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT11 0x4001062cu +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT0 0x40010630u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT1 0x40010632u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT2 0x40010634u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT3 0x40010636u +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638u +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB 0x4001063au +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063cu +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS 0x4001063eu +#define CYDEV_UCFG_B0_P3_U0_CFG0 0x40010640u +#define CYDEV_UCFG_B0_P3_U0_CFG1 0x40010641u +#define CYDEV_UCFG_B0_P3_U0_CFG2 0x40010642u +#define CYDEV_UCFG_B0_P3_U0_CFG3 0x40010643u +#define CYDEV_UCFG_B0_P3_U0_CFG4 0x40010644u +#define CYDEV_UCFG_B0_P3_U0_CFG5 0x40010645u +#define CYDEV_UCFG_B0_P3_U0_CFG6 0x40010646u +#define CYDEV_UCFG_B0_P3_U0_CFG7 0x40010647u +#define CYDEV_UCFG_B0_P3_U0_CFG8 0x40010648u +#define CYDEV_UCFG_B0_P3_U0_CFG9 0x40010649u +#define CYDEV_UCFG_B0_P3_U0_CFG10 0x4001064au +#define CYDEV_UCFG_B0_P3_U0_CFG11 0x4001064bu +#define CYDEV_UCFG_B0_P3_U0_CFG12 0x4001064cu +#define CYDEV_UCFG_B0_P3_U0_CFG13 0x4001064du +#define CYDEV_UCFG_B0_P3_U0_CFG14 0x4001064eu +#define CYDEV_UCFG_B0_P3_U0_CFG15 0x4001064fu +#define CYDEV_UCFG_B0_P3_U0_CFG16 0x40010650u +#define CYDEV_UCFG_B0_P3_U0_CFG17 0x40010651u +#define CYDEV_UCFG_B0_P3_U0_CFG18 0x40010652u +#define CYDEV_UCFG_B0_P3_U0_CFG19 0x40010653u +#define CYDEV_UCFG_B0_P3_U0_CFG20 0x40010654u +#define CYDEV_UCFG_B0_P3_U0_CFG21 0x40010655u +#define CYDEV_UCFG_B0_P3_U0_CFG22 0x40010656u +#define CYDEV_UCFG_B0_P3_U0_CFG23 0x40010657u +#define CYDEV_UCFG_B0_P3_U0_CFG24 0x40010658u +#define CYDEV_UCFG_B0_P3_U0_CFG25 0x40010659u +#define CYDEV_UCFG_B0_P3_U0_CFG26 0x4001065au +#define CYDEV_UCFG_B0_P3_U0_CFG27 0x4001065bu +#define CYDEV_UCFG_B0_P3_U0_CFG28 0x4001065cu +#define CYDEV_UCFG_B0_P3_U0_CFG29 0x4001065du +#define CYDEV_UCFG_B0_P3_U0_CFG30 0x4001065eu +#define CYDEV_UCFG_B0_P3_U0_CFG31 0x4001065fu +#define CYDEV_UCFG_B0_P3_U0_DCFG0 0x40010660u +#define CYDEV_UCFG_B0_P3_U0_DCFG1 0x40010662u +#define CYDEV_UCFG_B0_P3_U0_DCFG2 0x40010664u +#define CYDEV_UCFG_B0_P3_U0_DCFG3 0x40010666u +#define CYDEV_UCFG_B0_P3_U0_DCFG4 0x40010668u +#define CYDEV_UCFG_B0_P3_U0_DCFG5 0x4001066au +#define CYDEV_UCFG_B0_P3_U0_DCFG6 0x4001066cu +#define CYDEV_UCFG_B0_P3_U0_DCFG7 0x4001066eu +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT0 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT1 0x40010684u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT2 0x40010688u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT3 0x4001068cu +#define CYDEV_UCFG_B0_P3_U1_PLD_IT4 0x40010690u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT5 0x40010694u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT6 0x40010698u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT7 0x4001069cu +#define CYDEV_UCFG_B0_P3_U1_PLD_IT8 0x400106a0u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT9 0x400106a4u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT10 0x400106a8u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT11 0x400106acu +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT0 0x400106b0u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT1 0x400106b2u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT2 0x400106b4u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT3 0x400106b6u +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8u +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB 0x400106bau +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bcu +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS 0x400106beu +#define CYDEV_UCFG_B0_P3_U1_CFG0 0x400106c0u +#define CYDEV_UCFG_B0_P3_U1_CFG1 0x400106c1u +#define CYDEV_UCFG_B0_P3_U1_CFG2 0x400106c2u +#define CYDEV_UCFG_B0_P3_U1_CFG3 0x400106c3u +#define CYDEV_UCFG_B0_P3_U1_CFG4 0x400106c4u +#define CYDEV_UCFG_B0_P3_U1_CFG5 0x400106c5u +#define CYDEV_UCFG_B0_P3_U1_CFG6 0x400106c6u +#define CYDEV_UCFG_B0_P3_U1_CFG7 0x400106c7u +#define CYDEV_UCFG_B0_P3_U1_CFG8 0x400106c8u +#define CYDEV_UCFG_B0_P3_U1_CFG9 0x400106c9u +#define CYDEV_UCFG_B0_P3_U1_CFG10 0x400106cau +#define CYDEV_UCFG_B0_P3_U1_CFG11 0x400106cbu +#define CYDEV_UCFG_B0_P3_U1_CFG12 0x400106ccu +#define CYDEV_UCFG_B0_P3_U1_CFG13 0x400106cdu +#define CYDEV_UCFG_B0_P3_U1_CFG14 0x400106ceu +#define CYDEV_UCFG_B0_P3_U1_CFG15 0x400106cfu +#define CYDEV_UCFG_B0_P3_U1_CFG16 0x400106d0u +#define CYDEV_UCFG_B0_P3_U1_CFG17 0x400106d1u +#define CYDEV_UCFG_B0_P3_U1_CFG18 0x400106d2u +#define CYDEV_UCFG_B0_P3_U1_CFG19 0x400106d3u +#define CYDEV_UCFG_B0_P3_U1_CFG20 0x400106d4u +#define CYDEV_UCFG_B0_P3_U1_CFG21 0x400106d5u +#define CYDEV_UCFG_B0_P3_U1_CFG22 0x400106d6u +#define CYDEV_UCFG_B0_P3_U1_CFG23 0x400106d7u +#define CYDEV_UCFG_B0_P3_U1_CFG24 0x400106d8u +#define CYDEV_UCFG_B0_P3_U1_CFG25 0x400106d9u +#define CYDEV_UCFG_B0_P3_U1_CFG26 0x400106dau +#define CYDEV_UCFG_B0_P3_U1_CFG27 0x400106dbu +#define CYDEV_UCFG_B0_P3_U1_CFG28 0x400106dcu +#define CYDEV_UCFG_B0_P3_U1_CFG29 0x400106ddu +#define CYDEV_UCFG_B0_P3_U1_CFG30 0x400106deu +#define CYDEV_UCFG_B0_P3_U1_CFG31 0x400106dfu +#define CYDEV_UCFG_B0_P3_U1_DCFG0 0x400106e0u +#define CYDEV_UCFG_B0_P3_U1_DCFG1 0x400106e2u +#define CYDEV_UCFG_B0_P3_U1_DCFG2 0x400106e4u +#define CYDEV_UCFG_B0_P3_U1_DCFG3 0x400106e6u +#define CYDEV_UCFG_B0_P3_U1_DCFG4 0x400106e8u +#define CYDEV_UCFG_B0_P3_U1_DCFG5 0x400106eau +#define CYDEV_UCFG_B0_P3_U1_DCFG6 0x400106ecu +#define CYDEV_UCFG_B0_P3_U1_DCFG7 0x400106eeu +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700u +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P4_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT0 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT1 0x40010804u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT2 0x40010808u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT3 0x4001080cu +#define CYDEV_UCFG_B0_P4_U0_PLD_IT4 0x40010810u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT5 0x40010814u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT6 0x40010818u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT7 0x4001081cu +#define CYDEV_UCFG_B0_P4_U0_PLD_IT8 0x40010820u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT9 0x40010824u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT10 0x40010828u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT11 0x4001082cu +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT0 0x40010830u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT1 0x40010832u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT2 0x40010834u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT3 0x40010836u +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838u +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB 0x4001083au +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083cu +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS 0x4001083eu +#define CYDEV_UCFG_B0_P4_U0_CFG0 0x40010840u +#define CYDEV_UCFG_B0_P4_U0_CFG1 0x40010841u +#define CYDEV_UCFG_B0_P4_U0_CFG2 0x40010842u +#define CYDEV_UCFG_B0_P4_U0_CFG3 0x40010843u +#define CYDEV_UCFG_B0_P4_U0_CFG4 0x40010844u +#define CYDEV_UCFG_B0_P4_U0_CFG5 0x40010845u +#define CYDEV_UCFG_B0_P4_U0_CFG6 0x40010846u +#define CYDEV_UCFG_B0_P4_U0_CFG7 0x40010847u +#define CYDEV_UCFG_B0_P4_U0_CFG8 0x40010848u +#define CYDEV_UCFG_B0_P4_U0_CFG9 0x40010849u +#define CYDEV_UCFG_B0_P4_U0_CFG10 0x4001084au +#define CYDEV_UCFG_B0_P4_U0_CFG11 0x4001084bu +#define CYDEV_UCFG_B0_P4_U0_CFG12 0x4001084cu +#define CYDEV_UCFG_B0_P4_U0_CFG13 0x4001084du +#define CYDEV_UCFG_B0_P4_U0_CFG14 0x4001084eu +#define CYDEV_UCFG_B0_P4_U0_CFG15 0x4001084fu +#define CYDEV_UCFG_B0_P4_U0_CFG16 0x40010850u +#define CYDEV_UCFG_B0_P4_U0_CFG17 0x40010851u +#define CYDEV_UCFG_B0_P4_U0_CFG18 0x40010852u +#define CYDEV_UCFG_B0_P4_U0_CFG19 0x40010853u +#define CYDEV_UCFG_B0_P4_U0_CFG20 0x40010854u +#define CYDEV_UCFG_B0_P4_U0_CFG21 0x40010855u +#define CYDEV_UCFG_B0_P4_U0_CFG22 0x40010856u +#define CYDEV_UCFG_B0_P4_U0_CFG23 0x40010857u +#define CYDEV_UCFG_B0_P4_U0_CFG24 0x40010858u +#define CYDEV_UCFG_B0_P4_U0_CFG25 0x40010859u +#define CYDEV_UCFG_B0_P4_U0_CFG26 0x4001085au +#define CYDEV_UCFG_B0_P4_U0_CFG27 0x4001085bu +#define CYDEV_UCFG_B0_P4_U0_CFG28 0x4001085cu +#define CYDEV_UCFG_B0_P4_U0_CFG29 0x4001085du +#define CYDEV_UCFG_B0_P4_U0_CFG30 0x4001085eu +#define CYDEV_UCFG_B0_P4_U0_CFG31 0x4001085fu +#define CYDEV_UCFG_B0_P4_U0_DCFG0 0x40010860u +#define CYDEV_UCFG_B0_P4_U0_DCFG1 0x40010862u +#define CYDEV_UCFG_B0_P4_U0_DCFG2 0x40010864u +#define CYDEV_UCFG_B0_P4_U0_DCFG3 0x40010866u +#define CYDEV_UCFG_B0_P4_U0_DCFG4 0x40010868u +#define CYDEV_UCFG_B0_P4_U0_DCFG5 0x4001086au +#define CYDEV_UCFG_B0_P4_U0_DCFG6 0x4001086cu +#define CYDEV_UCFG_B0_P4_U0_DCFG7 0x4001086eu +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT0 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT1 0x40010884u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT2 0x40010888u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT3 0x4001088cu +#define CYDEV_UCFG_B0_P4_U1_PLD_IT4 0x40010890u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT5 0x40010894u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT6 0x40010898u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT7 0x4001089cu +#define CYDEV_UCFG_B0_P4_U1_PLD_IT8 0x400108a0u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT9 0x400108a4u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT10 0x400108a8u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT11 0x400108acu +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT0 0x400108b0u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT1 0x400108b2u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT2 0x400108b4u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT3 0x400108b6u +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8u +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB 0x400108bau +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bcu +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS 0x400108beu +#define CYDEV_UCFG_B0_P4_U1_CFG0 0x400108c0u +#define CYDEV_UCFG_B0_P4_U1_CFG1 0x400108c1u +#define CYDEV_UCFG_B0_P4_U1_CFG2 0x400108c2u +#define CYDEV_UCFG_B0_P4_U1_CFG3 0x400108c3u +#define CYDEV_UCFG_B0_P4_U1_CFG4 0x400108c4u +#define CYDEV_UCFG_B0_P4_U1_CFG5 0x400108c5u +#define CYDEV_UCFG_B0_P4_U1_CFG6 0x400108c6u +#define CYDEV_UCFG_B0_P4_U1_CFG7 0x400108c7u +#define CYDEV_UCFG_B0_P4_U1_CFG8 0x400108c8u +#define CYDEV_UCFG_B0_P4_U1_CFG9 0x400108c9u +#define CYDEV_UCFG_B0_P4_U1_CFG10 0x400108cau +#define CYDEV_UCFG_B0_P4_U1_CFG11 0x400108cbu +#define CYDEV_UCFG_B0_P4_U1_CFG12 0x400108ccu +#define CYDEV_UCFG_B0_P4_U1_CFG13 0x400108cdu +#define CYDEV_UCFG_B0_P4_U1_CFG14 0x400108ceu +#define CYDEV_UCFG_B0_P4_U1_CFG15 0x400108cfu +#define CYDEV_UCFG_B0_P4_U1_CFG16 0x400108d0u +#define CYDEV_UCFG_B0_P4_U1_CFG17 0x400108d1u +#define CYDEV_UCFG_B0_P4_U1_CFG18 0x400108d2u +#define CYDEV_UCFG_B0_P4_U1_CFG19 0x400108d3u +#define CYDEV_UCFG_B0_P4_U1_CFG20 0x400108d4u +#define CYDEV_UCFG_B0_P4_U1_CFG21 0x400108d5u +#define CYDEV_UCFG_B0_P4_U1_CFG22 0x400108d6u +#define CYDEV_UCFG_B0_P4_U1_CFG23 0x400108d7u +#define CYDEV_UCFG_B0_P4_U1_CFG24 0x400108d8u +#define CYDEV_UCFG_B0_P4_U1_CFG25 0x400108d9u +#define CYDEV_UCFG_B0_P4_U1_CFG26 0x400108dau +#define CYDEV_UCFG_B0_P4_U1_CFG27 0x400108dbu +#define CYDEV_UCFG_B0_P4_U1_CFG28 0x400108dcu +#define CYDEV_UCFG_B0_P4_U1_CFG29 0x400108ddu +#define CYDEV_UCFG_B0_P4_U1_CFG30 0x400108deu +#define CYDEV_UCFG_B0_P4_U1_CFG31 0x400108dfu +#define CYDEV_UCFG_B0_P4_U1_DCFG0 0x400108e0u +#define CYDEV_UCFG_B0_P4_U1_DCFG1 0x400108e2u +#define CYDEV_UCFG_B0_P4_U1_DCFG2 0x400108e4u +#define CYDEV_UCFG_B0_P4_U1_DCFG3 0x400108e6u +#define CYDEV_UCFG_B0_P4_U1_DCFG4 0x400108e8u +#define CYDEV_UCFG_B0_P4_U1_DCFG5 0x400108eau +#define CYDEV_UCFG_B0_P4_U1_DCFG6 0x400108ecu +#define CYDEV_UCFG_B0_P4_U1_DCFG7 0x400108eeu +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900u +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT0 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT1 0x40010a04u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT2 0x40010a08u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT3 0x40010a0cu +#define CYDEV_UCFG_B0_P5_U0_PLD_IT4 0x40010a10u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT5 0x40010a14u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT6 0x40010a18u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT7 0x40010a1cu +#define CYDEV_UCFG_B0_P5_U0_PLD_IT8 0x40010a20u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT9 0x40010a24u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT10 0x40010a28u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT11 0x40010a2cu +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT0 0x40010a30u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT1 0x40010a32u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT2 0x40010a34u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT3 0x40010a36u +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38u +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB 0x40010a3au +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3cu +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3eu +#define CYDEV_UCFG_B0_P5_U0_CFG0 0x40010a40u +#define CYDEV_UCFG_B0_P5_U0_CFG1 0x40010a41u +#define CYDEV_UCFG_B0_P5_U0_CFG2 0x40010a42u +#define CYDEV_UCFG_B0_P5_U0_CFG3 0x40010a43u +#define CYDEV_UCFG_B0_P5_U0_CFG4 0x40010a44u +#define CYDEV_UCFG_B0_P5_U0_CFG5 0x40010a45u +#define CYDEV_UCFG_B0_P5_U0_CFG6 0x40010a46u +#define CYDEV_UCFG_B0_P5_U0_CFG7 0x40010a47u +#define CYDEV_UCFG_B0_P5_U0_CFG8 0x40010a48u +#define CYDEV_UCFG_B0_P5_U0_CFG9 0x40010a49u +#define CYDEV_UCFG_B0_P5_U0_CFG10 0x40010a4au +#define CYDEV_UCFG_B0_P5_U0_CFG11 0x40010a4bu +#define CYDEV_UCFG_B0_P5_U0_CFG12 0x40010a4cu +#define CYDEV_UCFG_B0_P5_U0_CFG13 0x40010a4du +#define CYDEV_UCFG_B0_P5_U0_CFG14 0x40010a4eu +#define CYDEV_UCFG_B0_P5_U0_CFG15 0x40010a4fu +#define CYDEV_UCFG_B0_P5_U0_CFG16 0x40010a50u +#define CYDEV_UCFG_B0_P5_U0_CFG17 0x40010a51u +#define CYDEV_UCFG_B0_P5_U0_CFG18 0x40010a52u +#define CYDEV_UCFG_B0_P5_U0_CFG19 0x40010a53u +#define CYDEV_UCFG_B0_P5_U0_CFG20 0x40010a54u +#define CYDEV_UCFG_B0_P5_U0_CFG21 0x40010a55u +#define CYDEV_UCFG_B0_P5_U0_CFG22 0x40010a56u +#define CYDEV_UCFG_B0_P5_U0_CFG23 0x40010a57u +#define CYDEV_UCFG_B0_P5_U0_CFG24 0x40010a58u +#define CYDEV_UCFG_B0_P5_U0_CFG25 0x40010a59u +#define CYDEV_UCFG_B0_P5_U0_CFG26 0x40010a5au +#define CYDEV_UCFG_B0_P5_U0_CFG27 0x40010a5bu +#define CYDEV_UCFG_B0_P5_U0_CFG28 0x40010a5cu +#define CYDEV_UCFG_B0_P5_U0_CFG29 0x40010a5du +#define CYDEV_UCFG_B0_P5_U0_CFG30 0x40010a5eu +#define CYDEV_UCFG_B0_P5_U0_CFG31 0x40010a5fu +#define CYDEV_UCFG_B0_P5_U0_DCFG0 0x40010a60u +#define CYDEV_UCFG_B0_P5_U0_DCFG1 0x40010a62u +#define CYDEV_UCFG_B0_P5_U0_DCFG2 0x40010a64u +#define CYDEV_UCFG_B0_P5_U0_DCFG3 0x40010a66u +#define CYDEV_UCFG_B0_P5_U0_DCFG4 0x40010a68u +#define CYDEV_UCFG_B0_P5_U0_DCFG5 0x40010a6au +#define CYDEV_UCFG_B0_P5_U0_DCFG6 0x40010a6cu +#define CYDEV_UCFG_B0_P5_U0_DCFG7 0x40010a6eu +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT0 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT1 0x40010a84u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT2 0x40010a88u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT3 0x40010a8cu +#define CYDEV_UCFG_B0_P5_U1_PLD_IT4 0x40010a90u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT5 0x40010a94u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT6 0x40010a98u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT7 0x40010a9cu +#define CYDEV_UCFG_B0_P5_U1_PLD_IT8 0x40010aa0u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT9 0x40010aa4u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT10 0x40010aa8u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT11 0x40010aacu +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT0 0x40010ab0u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT1 0x40010ab2u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT2 0x40010ab4u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT3 0x40010ab6u +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8u +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB 0x40010abau +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abcu +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS 0x40010abeu +#define CYDEV_UCFG_B0_P5_U1_CFG0 0x40010ac0u +#define CYDEV_UCFG_B0_P5_U1_CFG1 0x40010ac1u +#define CYDEV_UCFG_B0_P5_U1_CFG2 0x40010ac2u +#define CYDEV_UCFG_B0_P5_U1_CFG3 0x40010ac3u +#define CYDEV_UCFG_B0_P5_U1_CFG4 0x40010ac4u +#define CYDEV_UCFG_B0_P5_U1_CFG5 0x40010ac5u +#define CYDEV_UCFG_B0_P5_U1_CFG6 0x40010ac6u +#define CYDEV_UCFG_B0_P5_U1_CFG7 0x40010ac7u +#define CYDEV_UCFG_B0_P5_U1_CFG8 0x40010ac8u +#define CYDEV_UCFG_B0_P5_U1_CFG9 0x40010ac9u +#define CYDEV_UCFG_B0_P5_U1_CFG10 0x40010acau +#define CYDEV_UCFG_B0_P5_U1_CFG11 0x40010acbu +#define CYDEV_UCFG_B0_P5_U1_CFG12 0x40010accu +#define CYDEV_UCFG_B0_P5_U1_CFG13 0x40010acdu +#define CYDEV_UCFG_B0_P5_U1_CFG14 0x40010aceu +#define CYDEV_UCFG_B0_P5_U1_CFG15 0x40010acfu +#define CYDEV_UCFG_B0_P5_U1_CFG16 0x40010ad0u +#define CYDEV_UCFG_B0_P5_U1_CFG17 0x40010ad1u +#define CYDEV_UCFG_B0_P5_U1_CFG18 0x40010ad2u +#define CYDEV_UCFG_B0_P5_U1_CFG19 0x40010ad3u +#define CYDEV_UCFG_B0_P5_U1_CFG20 0x40010ad4u +#define CYDEV_UCFG_B0_P5_U1_CFG21 0x40010ad5u +#define CYDEV_UCFG_B0_P5_U1_CFG22 0x40010ad6u +#define CYDEV_UCFG_B0_P5_U1_CFG23 0x40010ad7u +#define CYDEV_UCFG_B0_P5_U1_CFG24 0x40010ad8u +#define CYDEV_UCFG_B0_P5_U1_CFG25 0x40010ad9u +#define CYDEV_UCFG_B0_P5_U1_CFG26 0x40010adau +#define CYDEV_UCFG_B0_P5_U1_CFG27 0x40010adbu +#define CYDEV_UCFG_B0_P5_U1_CFG28 0x40010adcu +#define CYDEV_UCFG_B0_P5_U1_CFG29 0x40010addu +#define CYDEV_UCFG_B0_P5_U1_CFG30 0x40010adeu +#define CYDEV_UCFG_B0_P5_U1_CFG31 0x40010adfu +#define CYDEV_UCFG_B0_P5_U1_DCFG0 0x40010ae0u +#define CYDEV_UCFG_B0_P5_U1_DCFG1 0x40010ae2u +#define CYDEV_UCFG_B0_P5_U1_DCFG2 0x40010ae4u +#define CYDEV_UCFG_B0_P5_U1_DCFG3 0x40010ae6u +#define CYDEV_UCFG_B0_P5_U1_DCFG4 0x40010ae8u +#define CYDEV_UCFG_B0_P5_U1_DCFG5 0x40010aeau +#define CYDEV_UCFG_B0_P5_U1_DCFG6 0x40010aecu +#define CYDEV_UCFG_B0_P5_U1_DCFG7 0x40010aeeu +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00u +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT0 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT1 0x40010c04u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT2 0x40010c08u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT3 0x40010c0cu +#define CYDEV_UCFG_B0_P6_U0_PLD_IT4 0x40010c10u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT5 0x40010c14u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT6 0x40010c18u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT7 0x40010c1cu +#define CYDEV_UCFG_B0_P6_U0_PLD_IT8 0x40010c20u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT9 0x40010c24u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT10 0x40010c28u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT11 0x40010c2cu +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT0 0x40010c30u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT1 0x40010c32u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT2 0x40010c34u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT3 0x40010c36u +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38u +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB 0x40010c3au +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3cu +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3eu +#define CYDEV_UCFG_B0_P6_U0_CFG0 0x40010c40u +#define CYDEV_UCFG_B0_P6_U0_CFG1 0x40010c41u +#define CYDEV_UCFG_B0_P6_U0_CFG2 0x40010c42u +#define CYDEV_UCFG_B0_P6_U0_CFG3 0x40010c43u +#define CYDEV_UCFG_B0_P6_U0_CFG4 0x40010c44u +#define CYDEV_UCFG_B0_P6_U0_CFG5 0x40010c45u +#define CYDEV_UCFG_B0_P6_U0_CFG6 0x40010c46u +#define CYDEV_UCFG_B0_P6_U0_CFG7 0x40010c47u +#define CYDEV_UCFG_B0_P6_U0_CFG8 0x40010c48u +#define CYDEV_UCFG_B0_P6_U0_CFG9 0x40010c49u +#define CYDEV_UCFG_B0_P6_U0_CFG10 0x40010c4au +#define CYDEV_UCFG_B0_P6_U0_CFG11 0x40010c4bu +#define CYDEV_UCFG_B0_P6_U0_CFG12 0x40010c4cu +#define CYDEV_UCFG_B0_P6_U0_CFG13 0x40010c4du +#define CYDEV_UCFG_B0_P6_U0_CFG14 0x40010c4eu +#define CYDEV_UCFG_B0_P6_U0_CFG15 0x40010c4fu +#define CYDEV_UCFG_B0_P6_U0_CFG16 0x40010c50u +#define CYDEV_UCFG_B0_P6_U0_CFG17 0x40010c51u +#define CYDEV_UCFG_B0_P6_U0_CFG18 0x40010c52u +#define CYDEV_UCFG_B0_P6_U0_CFG19 0x40010c53u +#define CYDEV_UCFG_B0_P6_U0_CFG20 0x40010c54u +#define CYDEV_UCFG_B0_P6_U0_CFG21 0x40010c55u +#define CYDEV_UCFG_B0_P6_U0_CFG22 0x40010c56u +#define CYDEV_UCFG_B0_P6_U0_CFG23 0x40010c57u +#define CYDEV_UCFG_B0_P6_U0_CFG24 0x40010c58u +#define CYDEV_UCFG_B0_P6_U0_CFG25 0x40010c59u +#define CYDEV_UCFG_B0_P6_U0_CFG26 0x40010c5au +#define CYDEV_UCFG_B0_P6_U0_CFG27 0x40010c5bu +#define CYDEV_UCFG_B0_P6_U0_CFG28 0x40010c5cu +#define CYDEV_UCFG_B0_P6_U0_CFG29 0x40010c5du +#define CYDEV_UCFG_B0_P6_U0_CFG30 0x40010c5eu +#define CYDEV_UCFG_B0_P6_U0_CFG31 0x40010c5fu +#define CYDEV_UCFG_B0_P6_U0_DCFG0 0x40010c60u +#define CYDEV_UCFG_B0_P6_U0_DCFG1 0x40010c62u +#define CYDEV_UCFG_B0_P6_U0_DCFG2 0x40010c64u +#define CYDEV_UCFG_B0_P6_U0_DCFG3 0x40010c66u +#define CYDEV_UCFG_B0_P6_U0_DCFG4 0x40010c68u +#define CYDEV_UCFG_B0_P6_U0_DCFG5 0x40010c6au +#define CYDEV_UCFG_B0_P6_U0_DCFG6 0x40010c6cu +#define CYDEV_UCFG_B0_P6_U0_DCFG7 0x40010c6eu +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT0 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT1 0x40010c84u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT2 0x40010c88u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT3 0x40010c8cu +#define CYDEV_UCFG_B0_P6_U1_PLD_IT4 0x40010c90u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT5 0x40010c94u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT6 0x40010c98u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT7 0x40010c9cu +#define CYDEV_UCFG_B0_P6_U1_PLD_IT8 0x40010ca0u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT9 0x40010ca4u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT10 0x40010ca8u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT11 0x40010cacu +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT0 0x40010cb0u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT1 0x40010cb2u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT2 0x40010cb4u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT3 0x40010cb6u +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8u +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB 0x40010cbau +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbcu +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbeu +#define CYDEV_UCFG_B0_P6_U1_CFG0 0x40010cc0u +#define CYDEV_UCFG_B0_P6_U1_CFG1 0x40010cc1u +#define CYDEV_UCFG_B0_P6_U1_CFG2 0x40010cc2u +#define CYDEV_UCFG_B0_P6_U1_CFG3 0x40010cc3u +#define CYDEV_UCFG_B0_P6_U1_CFG4 0x40010cc4u +#define CYDEV_UCFG_B0_P6_U1_CFG5 0x40010cc5u +#define CYDEV_UCFG_B0_P6_U1_CFG6 0x40010cc6u +#define CYDEV_UCFG_B0_P6_U1_CFG7 0x40010cc7u +#define CYDEV_UCFG_B0_P6_U1_CFG8 0x40010cc8u +#define CYDEV_UCFG_B0_P6_U1_CFG9 0x40010cc9u +#define CYDEV_UCFG_B0_P6_U1_CFG10 0x40010ccau +#define CYDEV_UCFG_B0_P6_U1_CFG11 0x40010ccbu +#define CYDEV_UCFG_B0_P6_U1_CFG12 0x40010cccu +#define CYDEV_UCFG_B0_P6_U1_CFG13 0x40010ccdu +#define CYDEV_UCFG_B0_P6_U1_CFG14 0x40010cceu +#define CYDEV_UCFG_B0_P6_U1_CFG15 0x40010ccfu +#define CYDEV_UCFG_B0_P6_U1_CFG16 0x40010cd0u +#define CYDEV_UCFG_B0_P6_U1_CFG17 0x40010cd1u +#define CYDEV_UCFG_B0_P6_U1_CFG18 0x40010cd2u +#define CYDEV_UCFG_B0_P6_U1_CFG19 0x40010cd3u +#define CYDEV_UCFG_B0_P6_U1_CFG20 0x40010cd4u +#define CYDEV_UCFG_B0_P6_U1_CFG21 0x40010cd5u +#define CYDEV_UCFG_B0_P6_U1_CFG22 0x40010cd6u +#define CYDEV_UCFG_B0_P6_U1_CFG23 0x40010cd7u +#define CYDEV_UCFG_B0_P6_U1_CFG24 0x40010cd8u +#define CYDEV_UCFG_B0_P6_U1_CFG25 0x40010cd9u +#define CYDEV_UCFG_B0_P6_U1_CFG26 0x40010cdau +#define CYDEV_UCFG_B0_P6_U1_CFG27 0x40010cdbu +#define CYDEV_UCFG_B0_P6_U1_CFG28 0x40010cdcu +#define CYDEV_UCFG_B0_P6_U1_CFG29 0x40010cddu +#define CYDEV_UCFG_B0_P6_U1_CFG30 0x40010cdeu +#define CYDEV_UCFG_B0_P6_U1_CFG31 0x40010cdfu +#define CYDEV_UCFG_B0_P6_U1_DCFG0 0x40010ce0u +#define CYDEV_UCFG_B0_P6_U1_DCFG1 0x40010ce2u +#define CYDEV_UCFG_B0_P6_U1_DCFG2 0x40010ce4u +#define CYDEV_UCFG_B0_P6_U1_DCFG3 0x40010ce6u +#define CYDEV_UCFG_B0_P6_U1_DCFG4 0x40010ce8u +#define CYDEV_UCFG_B0_P6_U1_DCFG5 0x40010ceau +#define CYDEV_UCFG_B0_P6_U1_DCFG6 0x40010cecu +#define CYDEV_UCFG_B0_P6_U1_DCFG7 0x40010ceeu +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00u +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT0 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT1 0x40010e04u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT2 0x40010e08u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT3 0x40010e0cu +#define CYDEV_UCFG_B0_P7_U0_PLD_IT4 0x40010e10u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT5 0x40010e14u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT6 0x40010e18u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT7 0x40010e1cu +#define CYDEV_UCFG_B0_P7_U0_PLD_IT8 0x40010e20u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT9 0x40010e24u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT10 0x40010e28u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT11 0x40010e2cu +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT0 0x40010e30u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT1 0x40010e32u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT2 0x40010e34u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT3 0x40010e36u +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38u +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB 0x40010e3au +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3cu +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3eu +#define CYDEV_UCFG_B0_P7_U0_CFG0 0x40010e40u +#define CYDEV_UCFG_B0_P7_U0_CFG1 0x40010e41u +#define CYDEV_UCFG_B0_P7_U0_CFG2 0x40010e42u +#define CYDEV_UCFG_B0_P7_U0_CFG3 0x40010e43u +#define CYDEV_UCFG_B0_P7_U0_CFG4 0x40010e44u +#define CYDEV_UCFG_B0_P7_U0_CFG5 0x40010e45u +#define CYDEV_UCFG_B0_P7_U0_CFG6 0x40010e46u +#define CYDEV_UCFG_B0_P7_U0_CFG7 0x40010e47u +#define CYDEV_UCFG_B0_P7_U0_CFG8 0x40010e48u +#define CYDEV_UCFG_B0_P7_U0_CFG9 0x40010e49u +#define CYDEV_UCFG_B0_P7_U0_CFG10 0x40010e4au +#define CYDEV_UCFG_B0_P7_U0_CFG11 0x40010e4bu +#define CYDEV_UCFG_B0_P7_U0_CFG12 0x40010e4cu +#define CYDEV_UCFG_B0_P7_U0_CFG13 0x40010e4du +#define CYDEV_UCFG_B0_P7_U0_CFG14 0x40010e4eu +#define CYDEV_UCFG_B0_P7_U0_CFG15 0x40010e4fu +#define CYDEV_UCFG_B0_P7_U0_CFG16 0x40010e50u +#define CYDEV_UCFG_B0_P7_U0_CFG17 0x40010e51u +#define CYDEV_UCFG_B0_P7_U0_CFG18 0x40010e52u +#define CYDEV_UCFG_B0_P7_U0_CFG19 0x40010e53u +#define CYDEV_UCFG_B0_P7_U0_CFG20 0x40010e54u +#define CYDEV_UCFG_B0_P7_U0_CFG21 0x40010e55u +#define CYDEV_UCFG_B0_P7_U0_CFG22 0x40010e56u +#define CYDEV_UCFG_B0_P7_U0_CFG23 0x40010e57u +#define CYDEV_UCFG_B0_P7_U0_CFG24 0x40010e58u +#define CYDEV_UCFG_B0_P7_U0_CFG25 0x40010e59u +#define CYDEV_UCFG_B0_P7_U0_CFG26 0x40010e5au +#define CYDEV_UCFG_B0_P7_U0_CFG27 0x40010e5bu +#define CYDEV_UCFG_B0_P7_U0_CFG28 0x40010e5cu +#define CYDEV_UCFG_B0_P7_U0_CFG29 0x40010e5du +#define CYDEV_UCFG_B0_P7_U0_CFG30 0x40010e5eu +#define CYDEV_UCFG_B0_P7_U0_CFG31 0x40010e5fu +#define CYDEV_UCFG_B0_P7_U0_DCFG0 0x40010e60u +#define CYDEV_UCFG_B0_P7_U0_DCFG1 0x40010e62u +#define CYDEV_UCFG_B0_P7_U0_DCFG2 0x40010e64u +#define CYDEV_UCFG_B0_P7_U0_DCFG3 0x40010e66u +#define CYDEV_UCFG_B0_P7_U0_DCFG4 0x40010e68u +#define CYDEV_UCFG_B0_P7_U0_DCFG5 0x40010e6au +#define CYDEV_UCFG_B0_P7_U0_DCFG6 0x40010e6cu +#define CYDEV_UCFG_B0_P7_U0_DCFG7 0x40010e6eu +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT0 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT1 0x40010e84u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT2 0x40010e88u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT3 0x40010e8cu +#define CYDEV_UCFG_B0_P7_U1_PLD_IT4 0x40010e90u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT5 0x40010e94u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT6 0x40010e98u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT7 0x40010e9cu +#define CYDEV_UCFG_B0_P7_U1_PLD_IT8 0x40010ea0u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT9 0x40010ea4u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT10 0x40010ea8u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT11 0x40010eacu +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT0 0x40010eb0u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT1 0x40010eb2u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT2 0x40010eb4u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT3 0x40010eb6u +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8u +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB 0x40010ebau +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebcu +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebeu +#define CYDEV_UCFG_B0_P7_U1_CFG0 0x40010ec0u +#define CYDEV_UCFG_B0_P7_U1_CFG1 0x40010ec1u +#define CYDEV_UCFG_B0_P7_U1_CFG2 0x40010ec2u +#define CYDEV_UCFG_B0_P7_U1_CFG3 0x40010ec3u +#define CYDEV_UCFG_B0_P7_U1_CFG4 0x40010ec4u +#define CYDEV_UCFG_B0_P7_U1_CFG5 0x40010ec5u +#define CYDEV_UCFG_B0_P7_U1_CFG6 0x40010ec6u +#define CYDEV_UCFG_B0_P7_U1_CFG7 0x40010ec7u +#define CYDEV_UCFG_B0_P7_U1_CFG8 0x40010ec8u +#define CYDEV_UCFG_B0_P7_U1_CFG9 0x40010ec9u +#define CYDEV_UCFG_B0_P7_U1_CFG10 0x40010ecau +#define CYDEV_UCFG_B0_P7_U1_CFG11 0x40010ecbu +#define CYDEV_UCFG_B0_P7_U1_CFG12 0x40010eccu +#define CYDEV_UCFG_B0_P7_U1_CFG13 0x40010ecdu +#define CYDEV_UCFG_B0_P7_U1_CFG14 0x40010eceu +#define CYDEV_UCFG_B0_P7_U1_CFG15 0x40010ecfu +#define CYDEV_UCFG_B0_P7_U1_CFG16 0x40010ed0u +#define CYDEV_UCFG_B0_P7_U1_CFG17 0x40010ed1u +#define CYDEV_UCFG_B0_P7_U1_CFG18 0x40010ed2u +#define CYDEV_UCFG_B0_P7_U1_CFG19 0x40010ed3u +#define CYDEV_UCFG_B0_P7_U1_CFG20 0x40010ed4u +#define CYDEV_UCFG_B0_P7_U1_CFG21 0x40010ed5u +#define CYDEV_UCFG_B0_P7_U1_CFG22 0x40010ed6u +#define CYDEV_UCFG_B0_P7_U1_CFG23 0x40010ed7u +#define CYDEV_UCFG_B0_P7_U1_CFG24 0x40010ed8u +#define CYDEV_UCFG_B0_P7_U1_CFG25 0x40010ed9u +#define CYDEV_UCFG_B0_P7_U1_CFG26 0x40010edau +#define CYDEV_UCFG_B0_P7_U1_CFG27 0x40010edbu +#define CYDEV_UCFG_B0_P7_U1_CFG28 0x40010edcu +#define CYDEV_UCFG_B0_P7_U1_CFG29 0x40010eddu +#define CYDEV_UCFG_B0_P7_U1_CFG30 0x40010edeu +#define CYDEV_UCFG_B0_P7_U1_CFG31 0x40010edfu +#define CYDEV_UCFG_B0_P7_U1_DCFG0 0x40010ee0u +#define CYDEV_UCFG_B0_P7_U1_DCFG1 0x40010ee2u +#define CYDEV_UCFG_B0_P7_U1_DCFG2 0x40010ee4u +#define CYDEV_UCFG_B0_P7_U1_DCFG3 0x40010ee6u +#define CYDEV_UCFG_B0_P7_U1_DCFG4 0x40010ee8u +#define CYDEV_UCFG_B0_P7_U1_DCFG5 0x40010eeau +#define CYDEV_UCFG_B0_P7_U1_DCFG6 0x40010eecu +#define CYDEV_UCFG_B0_P7_U1_DCFG7 0x40010eeeu +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00u +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_BASE 0x40011000u +#define CYDEV_UCFG_B1_SIZE 0x00000fefu +#define CYDEV_UCFG_B1_P2_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT0 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT1 0x40011404u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT2 0x40011408u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT3 0x4001140cu +#define CYDEV_UCFG_B1_P2_U0_PLD_IT4 0x40011410u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT5 0x40011414u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT6 0x40011418u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT7 0x4001141cu +#define CYDEV_UCFG_B1_P2_U0_PLD_IT8 0x40011420u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT9 0x40011424u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT10 0x40011428u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT11 0x4001142cu +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT0 0x40011430u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT1 0x40011432u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT2 0x40011434u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT3 0x40011436u +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438u +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB 0x4001143au +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143cu +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS 0x4001143eu +#define CYDEV_UCFG_B1_P2_U0_CFG0 0x40011440u +#define CYDEV_UCFG_B1_P2_U0_CFG1 0x40011441u +#define CYDEV_UCFG_B1_P2_U0_CFG2 0x40011442u +#define CYDEV_UCFG_B1_P2_U0_CFG3 0x40011443u +#define CYDEV_UCFG_B1_P2_U0_CFG4 0x40011444u +#define CYDEV_UCFG_B1_P2_U0_CFG5 0x40011445u +#define CYDEV_UCFG_B1_P2_U0_CFG6 0x40011446u +#define CYDEV_UCFG_B1_P2_U0_CFG7 0x40011447u +#define CYDEV_UCFG_B1_P2_U0_CFG8 0x40011448u +#define CYDEV_UCFG_B1_P2_U0_CFG9 0x40011449u +#define CYDEV_UCFG_B1_P2_U0_CFG10 0x4001144au +#define CYDEV_UCFG_B1_P2_U0_CFG11 0x4001144bu +#define CYDEV_UCFG_B1_P2_U0_CFG12 0x4001144cu +#define CYDEV_UCFG_B1_P2_U0_CFG13 0x4001144du +#define CYDEV_UCFG_B1_P2_U0_CFG14 0x4001144eu +#define CYDEV_UCFG_B1_P2_U0_CFG15 0x4001144fu +#define CYDEV_UCFG_B1_P2_U0_CFG16 0x40011450u +#define CYDEV_UCFG_B1_P2_U0_CFG17 0x40011451u +#define CYDEV_UCFG_B1_P2_U0_CFG18 0x40011452u +#define CYDEV_UCFG_B1_P2_U0_CFG19 0x40011453u +#define CYDEV_UCFG_B1_P2_U0_CFG20 0x40011454u +#define CYDEV_UCFG_B1_P2_U0_CFG21 0x40011455u +#define CYDEV_UCFG_B1_P2_U0_CFG22 0x40011456u +#define CYDEV_UCFG_B1_P2_U0_CFG23 0x40011457u +#define CYDEV_UCFG_B1_P2_U0_CFG24 0x40011458u +#define CYDEV_UCFG_B1_P2_U0_CFG25 0x40011459u +#define CYDEV_UCFG_B1_P2_U0_CFG26 0x4001145au +#define CYDEV_UCFG_B1_P2_U0_CFG27 0x4001145bu +#define CYDEV_UCFG_B1_P2_U0_CFG28 0x4001145cu +#define CYDEV_UCFG_B1_P2_U0_CFG29 0x4001145du +#define CYDEV_UCFG_B1_P2_U0_CFG30 0x4001145eu +#define CYDEV_UCFG_B1_P2_U0_CFG31 0x4001145fu +#define CYDEV_UCFG_B1_P2_U0_DCFG0 0x40011460u +#define CYDEV_UCFG_B1_P2_U0_DCFG1 0x40011462u +#define CYDEV_UCFG_B1_P2_U0_DCFG2 0x40011464u +#define CYDEV_UCFG_B1_P2_U0_DCFG3 0x40011466u +#define CYDEV_UCFG_B1_P2_U0_DCFG4 0x40011468u +#define CYDEV_UCFG_B1_P2_U0_DCFG5 0x4001146au +#define CYDEV_UCFG_B1_P2_U0_DCFG6 0x4001146cu +#define CYDEV_UCFG_B1_P2_U0_DCFG7 0x4001146eu +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT0 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT1 0x40011484u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT2 0x40011488u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT3 0x4001148cu +#define CYDEV_UCFG_B1_P2_U1_PLD_IT4 0x40011490u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT5 0x40011494u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT6 0x40011498u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT7 0x4001149cu +#define CYDEV_UCFG_B1_P2_U1_PLD_IT8 0x400114a0u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT9 0x400114a4u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT10 0x400114a8u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT11 0x400114acu +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT0 0x400114b0u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT1 0x400114b2u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT2 0x400114b4u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT3 0x400114b6u +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8u +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB 0x400114bau +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bcu +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS 0x400114beu +#define CYDEV_UCFG_B1_P2_U1_CFG0 0x400114c0u +#define CYDEV_UCFG_B1_P2_U1_CFG1 0x400114c1u +#define CYDEV_UCFG_B1_P2_U1_CFG2 0x400114c2u +#define CYDEV_UCFG_B1_P2_U1_CFG3 0x400114c3u +#define CYDEV_UCFG_B1_P2_U1_CFG4 0x400114c4u +#define CYDEV_UCFG_B1_P2_U1_CFG5 0x400114c5u +#define CYDEV_UCFG_B1_P2_U1_CFG6 0x400114c6u +#define CYDEV_UCFG_B1_P2_U1_CFG7 0x400114c7u +#define CYDEV_UCFG_B1_P2_U1_CFG8 0x400114c8u +#define CYDEV_UCFG_B1_P2_U1_CFG9 0x400114c9u +#define CYDEV_UCFG_B1_P2_U1_CFG10 0x400114cau +#define CYDEV_UCFG_B1_P2_U1_CFG11 0x400114cbu +#define CYDEV_UCFG_B1_P2_U1_CFG12 0x400114ccu +#define CYDEV_UCFG_B1_P2_U1_CFG13 0x400114cdu +#define CYDEV_UCFG_B1_P2_U1_CFG14 0x400114ceu +#define CYDEV_UCFG_B1_P2_U1_CFG15 0x400114cfu +#define CYDEV_UCFG_B1_P2_U1_CFG16 0x400114d0u +#define CYDEV_UCFG_B1_P2_U1_CFG17 0x400114d1u +#define CYDEV_UCFG_B1_P2_U1_CFG18 0x400114d2u +#define CYDEV_UCFG_B1_P2_U1_CFG19 0x400114d3u +#define CYDEV_UCFG_B1_P2_U1_CFG20 0x400114d4u +#define CYDEV_UCFG_B1_P2_U1_CFG21 0x400114d5u +#define CYDEV_UCFG_B1_P2_U1_CFG22 0x400114d6u +#define CYDEV_UCFG_B1_P2_U1_CFG23 0x400114d7u +#define CYDEV_UCFG_B1_P2_U1_CFG24 0x400114d8u +#define CYDEV_UCFG_B1_P2_U1_CFG25 0x400114d9u +#define CYDEV_UCFG_B1_P2_U1_CFG26 0x400114dau +#define CYDEV_UCFG_B1_P2_U1_CFG27 0x400114dbu +#define CYDEV_UCFG_B1_P2_U1_CFG28 0x400114dcu +#define CYDEV_UCFG_B1_P2_U1_CFG29 0x400114ddu +#define CYDEV_UCFG_B1_P2_U1_CFG30 0x400114deu +#define CYDEV_UCFG_B1_P2_U1_CFG31 0x400114dfu +#define CYDEV_UCFG_B1_P2_U1_DCFG0 0x400114e0u +#define CYDEV_UCFG_B1_P2_U1_DCFG1 0x400114e2u +#define CYDEV_UCFG_B1_P2_U1_DCFG2 0x400114e4u +#define CYDEV_UCFG_B1_P2_U1_DCFG3 0x400114e6u +#define CYDEV_UCFG_B1_P2_U1_DCFG4 0x400114e8u +#define CYDEV_UCFG_B1_P2_U1_DCFG5 0x400114eau +#define CYDEV_UCFG_B1_P2_U1_DCFG6 0x400114ecu +#define CYDEV_UCFG_B1_P2_U1_DCFG7 0x400114eeu +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500u +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P3_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT0 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT1 0x40011604u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT2 0x40011608u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT3 0x4001160cu +#define CYDEV_UCFG_B1_P3_U0_PLD_IT4 0x40011610u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT5 0x40011614u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT6 0x40011618u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT7 0x4001161cu +#define CYDEV_UCFG_B1_P3_U0_PLD_IT8 0x40011620u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT9 0x40011624u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT10 0x40011628u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT11 0x4001162cu +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT0 0x40011630u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT1 0x40011632u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT2 0x40011634u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT3 0x40011636u +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638u +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB 0x4001163au +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163cu +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS 0x4001163eu +#define CYDEV_UCFG_B1_P3_U0_CFG0 0x40011640u +#define CYDEV_UCFG_B1_P3_U0_CFG1 0x40011641u +#define CYDEV_UCFG_B1_P3_U0_CFG2 0x40011642u +#define CYDEV_UCFG_B1_P3_U0_CFG3 0x40011643u +#define CYDEV_UCFG_B1_P3_U0_CFG4 0x40011644u +#define CYDEV_UCFG_B1_P3_U0_CFG5 0x40011645u +#define CYDEV_UCFG_B1_P3_U0_CFG6 0x40011646u +#define CYDEV_UCFG_B1_P3_U0_CFG7 0x40011647u +#define CYDEV_UCFG_B1_P3_U0_CFG8 0x40011648u +#define CYDEV_UCFG_B1_P3_U0_CFG9 0x40011649u +#define CYDEV_UCFG_B1_P3_U0_CFG10 0x4001164au +#define CYDEV_UCFG_B1_P3_U0_CFG11 0x4001164bu +#define CYDEV_UCFG_B1_P3_U0_CFG12 0x4001164cu +#define CYDEV_UCFG_B1_P3_U0_CFG13 0x4001164du +#define CYDEV_UCFG_B1_P3_U0_CFG14 0x4001164eu +#define CYDEV_UCFG_B1_P3_U0_CFG15 0x4001164fu +#define CYDEV_UCFG_B1_P3_U0_CFG16 0x40011650u +#define CYDEV_UCFG_B1_P3_U0_CFG17 0x40011651u +#define CYDEV_UCFG_B1_P3_U0_CFG18 0x40011652u +#define CYDEV_UCFG_B1_P3_U0_CFG19 0x40011653u +#define CYDEV_UCFG_B1_P3_U0_CFG20 0x40011654u +#define CYDEV_UCFG_B1_P3_U0_CFG21 0x40011655u +#define CYDEV_UCFG_B1_P3_U0_CFG22 0x40011656u +#define CYDEV_UCFG_B1_P3_U0_CFG23 0x40011657u +#define CYDEV_UCFG_B1_P3_U0_CFG24 0x40011658u +#define CYDEV_UCFG_B1_P3_U0_CFG25 0x40011659u +#define CYDEV_UCFG_B1_P3_U0_CFG26 0x4001165au +#define CYDEV_UCFG_B1_P3_U0_CFG27 0x4001165bu +#define CYDEV_UCFG_B1_P3_U0_CFG28 0x4001165cu +#define CYDEV_UCFG_B1_P3_U0_CFG29 0x4001165du +#define CYDEV_UCFG_B1_P3_U0_CFG30 0x4001165eu +#define CYDEV_UCFG_B1_P3_U0_CFG31 0x4001165fu +#define CYDEV_UCFG_B1_P3_U0_DCFG0 0x40011660u +#define CYDEV_UCFG_B1_P3_U0_DCFG1 0x40011662u +#define CYDEV_UCFG_B1_P3_U0_DCFG2 0x40011664u +#define CYDEV_UCFG_B1_P3_U0_DCFG3 0x40011666u +#define CYDEV_UCFG_B1_P3_U0_DCFG4 0x40011668u +#define CYDEV_UCFG_B1_P3_U0_DCFG5 0x4001166au +#define CYDEV_UCFG_B1_P3_U0_DCFG6 0x4001166cu +#define CYDEV_UCFG_B1_P3_U0_DCFG7 0x4001166eu +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT0 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT1 0x40011684u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT2 0x40011688u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT3 0x4001168cu +#define CYDEV_UCFG_B1_P3_U1_PLD_IT4 0x40011690u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT5 0x40011694u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT6 0x40011698u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT7 0x4001169cu +#define CYDEV_UCFG_B1_P3_U1_PLD_IT8 0x400116a0u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT9 0x400116a4u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT10 0x400116a8u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT11 0x400116acu +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT0 0x400116b0u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT1 0x400116b2u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT2 0x400116b4u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT3 0x400116b6u +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8u +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB 0x400116bau +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bcu +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS 0x400116beu +#define CYDEV_UCFG_B1_P3_U1_CFG0 0x400116c0u +#define CYDEV_UCFG_B1_P3_U1_CFG1 0x400116c1u +#define CYDEV_UCFG_B1_P3_U1_CFG2 0x400116c2u +#define CYDEV_UCFG_B1_P3_U1_CFG3 0x400116c3u +#define CYDEV_UCFG_B1_P3_U1_CFG4 0x400116c4u +#define CYDEV_UCFG_B1_P3_U1_CFG5 0x400116c5u +#define CYDEV_UCFG_B1_P3_U1_CFG6 0x400116c6u +#define CYDEV_UCFG_B1_P3_U1_CFG7 0x400116c7u +#define CYDEV_UCFG_B1_P3_U1_CFG8 0x400116c8u +#define CYDEV_UCFG_B1_P3_U1_CFG9 0x400116c9u +#define CYDEV_UCFG_B1_P3_U1_CFG10 0x400116cau +#define CYDEV_UCFG_B1_P3_U1_CFG11 0x400116cbu +#define CYDEV_UCFG_B1_P3_U1_CFG12 0x400116ccu +#define CYDEV_UCFG_B1_P3_U1_CFG13 0x400116cdu +#define CYDEV_UCFG_B1_P3_U1_CFG14 0x400116ceu +#define CYDEV_UCFG_B1_P3_U1_CFG15 0x400116cfu +#define CYDEV_UCFG_B1_P3_U1_CFG16 0x400116d0u +#define CYDEV_UCFG_B1_P3_U1_CFG17 0x400116d1u +#define CYDEV_UCFG_B1_P3_U1_CFG18 0x400116d2u +#define CYDEV_UCFG_B1_P3_U1_CFG19 0x400116d3u +#define CYDEV_UCFG_B1_P3_U1_CFG20 0x400116d4u +#define CYDEV_UCFG_B1_P3_U1_CFG21 0x400116d5u +#define CYDEV_UCFG_B1_P3_U1_CFG22 0x400116d6u +#define CYDEV_UCFG_B1_P3_U1_CFG23 0x400116d7u +#define CYDEV_UCFG_B1_P3_U1_CFG24 0x400116d8u +#define CYDEV_UCFG_B1_P3_U1_CFG25 0x400116d9u +#define CYDEV_UCFG_B1_P3_U1_CFG26 0x400116dau +#define CYDEV_UCFG_B1_P3_U1_CFG27 0x400116dbu +#define CYDEV_UCFG_B1_P3_U1_CFG28 0x400116dcu +#define CYDEV_UCFG_B1_P3_U1_CFG29 0x400116ddu +#define CYDEV_UCFG_B1_P3_U1_CFG30 0x400116deu +#define CYDEV_UCFG_B1_P3_U1_CFG31 0x400116dfu +#define CYDEV_UCFG_B1_P3_U1_DCFG0 0x400116e0u +#define CYDEV_UCFG_B1_P3_U1_DCFG1 0x400116e2u +#define CYDEV_UCFG_B1_P3_U1_DCFG2 0x400116e4u +#define CYDEV_UCFG_B1_P3_U1_DCFG3 0x400116e6u +#define CYDEV_UCFG_B1_P3_U1_DCFG4 0x400116e8u +#define CYDEV_UCFG_B1_P3_U1_DCFG5 0x400116eau +#define CYDEV_UCFG_B1_P3_U1_DCFG6 0x400116ecu +#define CYDEV_UCFG_B1_P3_U1_DCFG7 0x400116eeu +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700u +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P4_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT0 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT1 0x40011804u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT2 0x40011808u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT3 0x4001180cu +#define CYDEV_UCFG_B1_P4_U0_PLD_IT4 0x40011810u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT5 0x40011814u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT6 0x40011818u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT7 0x4001181cu +#define CYDEV_UCFG_B1_P4_U0_PLD_IT8 0x40011820u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT9 0x40011824u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT10 0x40011828u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT11 0x4001182cu +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT0 0x40011830u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT1 0x40011832u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT2 0x40011834u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT3 0x40011836u +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838u +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB 0x4001183au +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183cu +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS 0x4001183eu +#define CYDEV_UCFG_B1_P4_U0_CFG0 0x40011840u +#define CYDEV_UCFG_B1_P4_U0_CFG1 0x40011841u +#define CYDEV_UCFG_B1_P4_U0_CFG2 0x40011842u +#define CYDEV_UCFG_B1_P4_U0_CFG3 0x40011843u +#define CYDEV_UCFG_B1_P4_U0_CFG4 0x40011844u +#define CYDEV_UCFG_B1_P4_U0_CFG5 0x40011845u +#define CYDEV_UCFG_B1_P4_U0_CFG6 0x40011846u +#define CYDEV_UCFG_B1_P4_U0_CFG7 0x40011847u +#define CYDEV_UCFG_B1_P4_U0_CFG8 0x40011848u +#define CYDEV_UCFG_B1_P4_U0_CFG9 0x40011849u +#define CYDEV_UCFG_B1_P4_U0_CFG10 0x4001184au +#define CYDEV_UCFG_B1_P4_U0_CFG11 0x4001184bu +#define CYDEV_UCFG_B1_P4_U0_CFG12 0x4001184cu +#define CYDEV_UCFG_B1_P4_U0_CFG13 0x4001184du +#define CYDEV_UCFG_B1_P4_U0_CFG14 0x4001184eu +#define CYDEV_UCFG_B1_P4_U0_CFG15 0x4001184fu +#define CYDEV_UCFG_B1_P4_U0_CFG16 0x40011850u +#define CYDEV_UCFG_B1_P4_U0_CFG17 0x40011851u +#define CYDEV_UCFG_B1_P4_U0_CFG18 0x40011852u +#define CYDEV_UCFG_B1_P4_U0_CFG19 0x40011853u +#define CYDEV_UCFG_B1_P4_U0_CFG20 0x40011854u +#define CYDEV_UCFG_B1_P4_U0_CFG21 0x40011855u +#define CYDEV_UCFG_B1_P4_U0_CFG22 0x40011856u +#define CYDEV_UCFG_B1_P4_U0_CFG23 0x40011857u +#define CYDEV_UCFG_B1_P4_U0_CFG24 0x40011858u +#define CYDEV_UCFG_B1_P4_U0_CFG25 0x40011859u +#define CYDEV_UCFG_B1_P4_U0_CFG26 0x4001185au +#define CYDEV_UCFG_B1_P4_U0_CFG27 0x4001185bu +#define CYDEV_UCFG_B1_P4_U0_CFG28 0x4001185cu +#define CYDEV_UCFG_B1_P4_U0_CFG29 0x4001185du +#define CYDEV_UCFG_B1_P4_U0_CFG30 0x4001185eu +#define CYDEV_UCFG_B1_P4_U0_CFG31 0x4001185fu +#define CYDEV_UCFG_B1_P4_U0_DCFG0 0x40011860u +#define CYDEV_UCFG_B1_P4_U0_DCFG1 0x40011862u +#define CYDEV_UCFG_B1_P4_U0_DCFG2 0x40011864u +#define CYDEV_UCFG_B1_P4_U0_DCFG3 0x40011866u +#define CYDEV_UCFG_B1_P4_U0_DCFG4 0x40011868u +#define CYDEV_UCFG_B1_P4_U0_DCFG5 0x4001186au +#define CYDEV_UCFG_B1_P4_U0_DCFG6 0x4001186cu +#define CYDEV_UCFG_B1_P4_U0_DCFG7 0x4001186eu +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT0 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT1 0x40011884u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT2 0x40011888u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT3 0x4001188cu +#define CYDEV_UCFG_B1_P4_U1_PLD_IT4 0x40011890u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT5 0x40011894u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT6 0x40011898u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT7 0x4001189cu +#define CYDEV_UCFG_B1_P4_U1_PLD_IT8 0x400118a0u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT9 0x400118a4u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT10 0x400118a8u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT11 0x400118acu +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT0 0x400118b0u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT1 0x400118b2u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT2 0x400118b4u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT3 0x400118b6u +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8u +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB 0x400118bau +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bcu +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS 0x400118beu +#define CYDEV_UCFG_B1_P4_U1_CFG0 0x400118c0u +#define CYDEV_UCFG_B1_P4_U1_CFG1 0x400118c1u +#define CYDEV_UCFG_B1_P4_U1_CFG2 0x400118c2u +#define CYDEV_UCFG_B1_P4_U1_CFG3 0x400118c3u +#define CYDEV_UCFG_B1_P4_U1_CFG4 0x400118c4u +#define CYDEV_UCFG_B1_P4_U1_CFG5 0x400118c5u +#define CYDEV_UCFG_B1_P4_U1_CFG6 0x400118c6u +#define CYDEV_UCFG_B1_P4_U1_CFG7 0x400118c7u +#define CYDEV_UCFG_B1_P4_U1_CFG8 0x400118c8u +#define CYDEV_UCFG_B1_P4_U1_CFG9 0x400118c9u +#define CYDEV_UCFG_B1_P4_U1_CFG10 0x400118cau +#define CYDEV_UCFG_B1_P4_U1_CFG11 0x400118cbu +#define CYDEV_UCFG_B1_P4_U1_CFG12 0x400118ccu +#define CYDEV_UCFG_B1_P4_U1_CFG13 0x400118cdu +#define CYDEV_UCFG_B1_P4_U1_CFG14 0x400118ceu +#define CYDEV_UCFG_B1_P4_U1_CFG15 0x400118cfu +#define CYDEV_UCFG_B1_P4_U1_CFG16 0x400118d0u +#define CYDEV_UCFG_B1_P4_U1_CFG17 0x400118d1u +#define CYDEV_UCFG_B1_P4_U1_CFG18 0x400118d2u +#define CYDEV_UCFG_B1_P4_U1_CFG19 0x400118d3u +#define CYDEV_UCFG_B1_P4_U1_CFG20 0x400118d4u +#define CYDEV_UCFG_B1_P4_U1_CFG21 0x400118d5u +#define CYDEV_UCFG_B1_P4_U1_CFG22 0x400118d6u +#define CYDEV_UCFG_B1_P4_U1_CFG23 0x400118d7u +#define CYDEV_UCFG_B1_P4_U1_CFG24 0x400118d8u +#define CYDEV_UCFG_B1_P4_U1_CFG25 0x400118d9u +#define CYDEV_UCFG_B1_P4_U1_CFG26 0x400118dau +#define CYDEV_UCFG_B1_P4_U1_CFG27 0x400118dbu +#define CYDEV_UCFG_B1_P4_U1_CFG28 0x400118dcu +#define CYDEV_UCFG_B1_P4_U1_CFG29 0x400118ddu +#define CYDEV_UCFG_B1_P4_U1_CFG30 0x400118deu +#define CYDEV_UCFG_B1_P4_U1_CFG31 0x400118dfu +#define CYDEV_UCFG_B1_P4_U1_DCFG0 0x400118e0u +#define CYDEV_UCFG_B1_P4_U1_DCFG1 0x400118e2u +#define CYDEV_UCFG_B1_P4_U1_DCFG2 0x400118e4u +#define CYDEV_UCFG_B1_P4_U1_DCFG3 0x400118e6u +#define CYDEV_UCFG_B1_P4_U1_DCFG4 0x400118e8u +#define CYDEV_UCFG_B1_P4_U1_DCFG5 0x400118eau +#define CYDEV_UCFG_B1_P4_U1_DCFG6 0x400118ecu +#define CYDEV_UCFG_B1_P4_U1_DCFG7 0x400118eeu +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900u +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT0 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT1 0x40011a04u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT2 0x40011a08u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT3 0x40011a0cu +#define CYDEV_UCFG_B1_P5_U0_PLD_IT4 0x40011a10u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT5 0x40011a14u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT6 0x40011a18u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT7 0x40011a1cu +#define CYDEV_UCFG_B1_P5_U0_PLD_IT8 0x40011a20u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT9 0x40011a24u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT10 0x40011a28u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT11 0x40011a2cu +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT0 0x40011a30u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT1 0x40011a32u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT2 0x40011a34u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT3 0x40011a36u +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38u +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB 0x40011a3au +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3cu +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3eu +#define CYDEV_UCFG_B1_P5_U0_CFG0 0x40011a40u +#define CYDEV_UCFG_B1_P5_U0_CFG1 0x40011a41u +#define CYDEV_UCFG_B1_P5_U0_CFG2 0x40011a42u +#define CYDEV_UCFG_B1_P5_U0_CFG3 0x40011a43u +#define CYDEV_UCFG_B1_P5_U0_CFG4 0x40011a44u +#define CYDEV_UCFG_B1_P5_U0_CFG5 0x40011a45u +#define CYDEV_UCFG_B1_P5_U0_CFG6 0x40011a46u +#define CYDEV_UCFG_B1_P5_U0_CFG7 0x40011a47u +#define CYDEV_UCFG_B1_P5_U0_CFG8 0x40011a48u +#define CYDEV_UCFG_B1_P5_U0_CFG9 0x40011a49u +#define CYDEV_UCFG_B1_P5_U0_CFG10 0x40011a4au +#define CYDEV_UCFG_B1_P5_U0_CFG11 0x40011a4bu +#define CYDEV_UCFG_B1_P5_U0_CFG12 0x40011a4cu +#define CYDEV_UCFG_B1_P5_U0_CFG13 0x40011a4du +#define CYDEV_UCFG_B1_P5_U0_CFG14 0x40011a4eu +#define CYDEV_UCFG_B1_P5_U0_CFG15 0x40011a4fu +#define CYDEV_UCFG_B1_P5_U0_CFG16 0x40011a50u +#define CYDEV_UCFG_B1_P5_U0_CFG17 0x40011a51u +#define CYDEV_UCFG_B1_P5_U0_CFG18 0x40011a52u +#define CYDEV_UCFG_B1_P5_U0_CFG19 0x40011a53u +#define CYDEV_UCFG_B1_P5_U0_CFG20 0x40011a54u +#define CYDEV_UCFG_B1_P5_U0_CFG21 0x40011a55u +#define CYDEV_UCFG_B1_P5_U0_CFG22 0x40011a56u +#define CYDEV_UCFG_B1_P5_U0_CFG23 0x40011a57u +#define CYDEV_UCFG_B1_P5_U0_CFG24 0x40011a58u +#define CYDEV_UCFG_B1_P5_U0_CFG25 0x40011a59u +#define CYDEV_UCFG_B1_P5_U0_CFG26 0x40011a5au +#define CYDEV_UCFG_B1_P5_U0_CFG27 0x40011a5bu +#define CYDEV_UCFG_B1_P5_U0_CFG28 0x40011a5cu +#define CYDEV_UCFG_B1_P5_U0_CFG29 0x40011a5du +#define CYDEV_UCFG_B1_P5_U0_CFG30 0x40011a5eu +#define CYDEV_UCFG_B1_P5_U0_CFG31 0x40011a5fu +#define CYDEV_UCFG_B1_P5_U0_DCFG0 0x40011a60u +#define CYDEV_UCFG_B1_P5_U0_DCFG1 0x40011a62u +#define CYDEV_UCFG_B1_P5_U0_DCFG2 0x40011a64u +#define CYDEV_UCFG_B1_P5_U0_DCFG3 0x40011a66u +#define CYDEV_UCFG_B1_P5_U0_DCFG4 0x40011a68u +#define CYDEV_UCFG_B1_P5_U0_DCFG5 0x40011a6au +#define CYDEV_UCFG_B1_P5_U0_DCFG6 0x40011a6cu +#define CYDEV_UCFG_B1_P5_U0_DCFG7 0x40011a6eu +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT0 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT1 0x40011a84u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT2 0x40011a88u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT3 0x40011a8cu +#define CYDEV_UCFG_B1_P5_U1_PLD_IT4 0x40011a90u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT5 0x40011a94u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT6 0x40011a98u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT7 0x40011a9cu +#define CYDEV_UCFG_B1_P5_U1_PLD_IT8 0x40011aa0u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT9 0x40011aa4u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT10 0x40011aa8u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT11 0x40011aacu +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT0 0x40011ab0u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT1 0x40011ab2u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT2 0x40011ab4u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT3 0x40011ab6u +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8u +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB 0x40011abau +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abcu +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS 0x40011abeu +#define CYDEV_UCFG_B1_P5_U1_CFG0 0x40011ac0u +#define CYDEV_UCFG_B1_P5_U1_CFG1 0x40011ac1u +#define CYDEV_UCFG_B1_P5_U1_CFG2 0x40011ac2u +#define CYDEV_UCFG_B1_P5_U1_CFG3 0x40011ac3u +#define CYDEV_UCFG_B1_P5_U1_CFG4 0x40011ac4u +#define CYDEV_UCFG_B1_P5_U1_CFG5 0x40011ac5u +#define CYDEV_UCFG_B1_P5_U1_CFG6 0x40011ac6u +#define CYDEV_UCFG_B1_P5_U1_CFG7 0x40011ac7u +#define CYDEV_UCFG_B1_P5_U1_CFG8 0x40011ac8u +#define CYDEV_UCFG_B1_P5_U1_CFG9 0x40011ac9u +#define CYDEV_UCFG_B1_P5_U1_CFG10 0x40011acau +#define CYDEV_UCFG_B1_P5_U1_CFG11 0x40011acbu +#define CYDEV_UCFG_B1_P5_U1_CFG12 0x40011accu +#define CYDEV_UCFG_B1_P5_U1_CFG13 0x40011acdu +#define CYDEV_UCFG_B1_P5_U1_CFG14 0x40011aceu +#define CYDEV_UCFG_B1_P5_U1_CFG15 0x40011acfu +#define CYDEV_UCFG_B1_P5_U1_CFG16 0x40011ad0u +#define CYDEV_UCFG_B1_P5_U1_CFG17 0x40011ad1u +#define CYDEV_UCFG_B1_P5_U1_CFG18 0x40011ad2u +#define CYDEV_UCFG_B1_P5_U1_CFG19 0x40011ad3u +#define CYDEV_UCFG_B1_P5_U1_CFG20 0x40011ad4u +#define CYDEV_UCFG_B1_P5_U1_CFG21 0x40011ad5u +#define CYDEV_UCFG_B1_P5_U1_CFG22 0x40011ad6u +#define CYDEV_UCFG_B1_P5_U1_CFG23 0x40011ad7u +#define CYDEV_UCFG_B1_P5_U1_CFG24 0x40011ad8u +#define CYDEV_UCFG_B1_P5_U1_CFG25 0x40011ad9u +#define CYDEV_UCFG_B1_P5_U1_CFG26 0x40011adau +#define CYDEV_UCFG_B1_P5_U1_CFG27 0x40011adbu +#define CYDEV_UCFG_B1_P5_U1_CFG28 0x40011adcu +#define CYDEV_UCFG_B1_P5_U1_CFG29 0x40011addu +#define CYDEV_UCFG_B1_P5_U1_CFG30 0x40011adeu +#define CYDEV_UCFG_B1_P5_U1_CFG31 0x40011adfu +#define CYDEV_UCFG_B1_P5_U1_DCFG0 0x40011ae0u +#define CYDEV_UCFG_B1_P5_U1_DCFG1 0x40011ae2u +#define CYDEV_UCFG_B1_P5_U1_DCFG2 0x40011ae4u +#define CYDEV_UCFG_B1_P5_U1_DCFG3 0x40011ae6u +#define CYDEV_UCFG_B1_P5_U1_DCFG4 0x40011ae8u +#define CYDEV_UCFG_B1_P5_U1_DCFG5 0x40011aeau +#define CYDEV_UCFG_B1_P5_U1_DCFG6 0x40011aecu +#define CYDEV_UCFG_B1_P5_U1_DCFG7 0x40011aeeu +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00u +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_DSI0_BASE 0x40014000u +#define CYDEV_UCFG_DSI0_SIZE 0x000000efu +#define CYDEV_UCFG_DSI1_BASE 0x40014100u +#define CYDEV_UCFG_DSI1_SIZE 0x000000efu +#define CYDEV_UCFG_DSI2_BASE 0x40014200u +#define CYDEV_UCFG_DSI2_SIZE 0x000000efu +#define CYDEV_UCFG_DSI3_BASE 0x40014300u +#define CYDEV_UCFG_DSI3_SIZE 0x000000efu +#define CYDEV_UCFG_DSI4_BASE 0x40014400u +#define CYDEV_UCFG_DSI4_SIZE 0x000000efu +#define CYDEV_UCFG_DSI5_BASE 0x40014500u +#define CYDEV_UCFG_DSI5_SIZE 0x000000efu +#define CYDEV_UCFG_DSI6_BASE 0x40014600u +#define CYDEV_UCFG_DSI6_SIZE 0x000000efu +#define CYDEV_UCFG_DSI7_BASE 0x40014700u +#define CYDEV_UCFG_DSI7_SIZE 0x000000efu +#define CYDEV_UCFG_DSI8_BASE 0x40014800u +#define CYDEV_UCFG_DSI8_SIZE 0x000000efu +#define CYDEV_UCFG_DSI9_BASE 0x40014900u +#define CYDEV_UCFG_DSI9_SIZE 0x000000efu +#define CYDEV_UCFG_DSI12_BASE 0x40014c00u +#define CYDEV_UCFG_DSI12_SIZE 0x000000efu +#define CYDEV_UCFG_DSI13_BASE 0x40014d00u +#define CYDEV_UCFG_DSI13_SIZE 0x000000efu +#define CYDEV_UCFG_BCTL0_BASE 0x40015000u +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010u +#define CYDEV_UCFG_BCTL0_MDCLK_EN 0x40015000u +#define CYDEV_UCFG_BCTL0_MBCLK_EN 0x40015001u +#define CYDEV_UCFG_BCTL0_WAIT_CFG 0x40015002u +#define CYDEV_UCFG_BCTL0_BANK_CTL 0x40015003u +#define CYDEV_UCFG_BCTL0_UDB_TEST_3 0x40015007u +#define CYDEV_UCFG_BCTL0_DCLK_EN0 0x40015008u +#define CYDEV_UCFG_BCTL0_BCLK_EN0 0x40015009u +#define CYDEV_UCFG_BCTL0_DCLK_EN1 0x4001500au +#define CYDEV_UCFG_BCTL0_BCLK_EN1 0x4001500bu +#define CYDEV_UCFG_BCTL0_DCLK_EN2 0x4001500cu +#define CYDEV_UCFG_BCTL0_BCLK_EN2 0x4001500du +#define CYDEV_UCFG_BCTL0_DCLK_EN3 0x4001500eu +#define CYDEV_UCFG_BCTL0_BCLK_EN3 0x4001500fu +#define CYDEV_UCFG_BCTL1_BASE 0x40015010u +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010u +#define CYDEV_UCFG_BCTL1_MDCLK_EN 0x40015010u +#define CYDEV_UCFG_BCTL1_MBCLK_EN 0x40015011u +#define CYDEV_UCFG_BCTL1_WAIT_CFG 0x40015012u +#define CYDEV_UCFG_BCTL1_BANK_CTL 0x40015013u +#define CYDEV_UCFG_BCTL1_UDB_TEST_3 0x40015017u +#define CYDEV_UCFG_BCTL1_DCLK_EN0 0x40015018u +#define CYDEV_UCFG_BCTL1_BCLK_EN0 0x40015019u +#define CYDEV_UCFG_BCTL1_DCLK_EN1 0x4001501au +#define CYDEV_UCFG_BCTL1_BCLK_EN1 0x4001501bu +#define CYDEV_UCFG_BCTL1_DCLK_EN2 0x4001501cu +#define CYDEV_UCFG_BCTL1_BCLK_EN2 0x4001501du +#define CYDEV_UCFG_BCTL1_DCLK_EN3 0x4001501eu +#define CYDEV_UCFG_BCTL1_BCLK_EN3 0x4001501fu +#define CYDEV_IDMUX_BASE 0x40015100u +#define CYDEV_IDMUX_SIZE 0x00000016u +#define CYDEV_IDMUX_IRQ_CTL0 0x40015100u +#define CYDEV_IDMUX_IRQ_CTL1 0x40015101u +#define CYDEV_IDMUX_IRQ_CTL2 0x40015102u +#define CYDEV_IDMUX_IRQ_CTL3 0x40015103u +#define CYDEV_IDMUX_IRQ_CTL4 0x40015104u +#define CYDEV_IDMUX_IRQ_CTL5 0x40015105u +#define CYDEV_IDMUX_IRQ_CTL6 0x40015106u +#define CYDEV_IDMUX_IRQ_CTL7 0x40015107u +#define CYDEV_IDMUX_DRQ_CTL0 0x40015110u +#define CYDEV_IDMUX_DRQ_CTL1 0x40015111u +#define CYDEV_IDMUX_DRQ_CTL2 0x40015112u +#define CYDEV_IDMUX_DRQ_CTL3 0x40015113u +#define CYDEV_IDMUX_DRQ_CTL4 0x40015114u +#define CYDEV_IDMUX_DRQ_CTL5 0x40015115u +#define CYDEV_CACHERAM_BASE 0x40030000u +#define CYDEV_CACHERAM_SIZE 0x00000400u +#define CYDEV_CACHERAM_DATA_MBASE 0x40030000u +#define CYDEV_CACHERAM_DATA_MSIZE 0x00000400u +#define CYDEV_SFR_BASE 0x40050100u +#define CYDEV_SFR_SIZE 0x000000fbu +#define CYDEV_SFR_GPIO0 0x40050180u +#define CYDEV_SFR_GPIRD0 0x40050189u +#define CYDEV_SFR_GPIO0_SEL 0x4005018au +#define CYDEV_SFR_GPIO1 0x40050190u +#define CYDEV_SFR_GPIRD1 0x40050191u +#define CYDEV_SFR_GPIO2 0x40050198u +#define CYDEV_SFR_GPIRD2 0x40050199u +#define CYDEV_SFR_GPIO2_SEL 0x4005019au +#define CYDEV_SFR_GPIO1_SEL 0x400501a2u +#define CYDEV_SFR_GPIO3 0x400501b0u +#define CYDEV_SFR_GPIRD3 0x400501b1u +#define CYDEV_SFR_GPIO3_SEL 0x400501b2u +#define CYDEV_SFR_GPIO4 0x400501c0u +#define CYDEV_SFR_GPIRD4 0x400501c1u +#define CYDEV_SFR_GPIO4_SEL 0x400501c2u +#define CYDEV_SFR_GPIO5 0x400501c8u +#define CYDEV_SFR_GPIRD5 0x400501c9u +#define CYDEV_SFR_GPIO5_SEL 0x400501cau +#define CYDEV_SFR_GPIO6 0x400501d8u +#define CYDEV_SFR_GPIRD6 0x400501d9u +#define CYDEV_SFR_GPIO6_SEL 0x400501dau +#define CYDEV_SFR_GPIO12 0x400501e8u +#define CYDEV_SFR_GPIRD12 0x400501e9u +#define CYDEV_SFR_GPIO12_SEL 0x400501f2u +#define CYDEV_SFR_GPIO15 0x400501f8u +#define CYDEV_SFR_GPIRD15 0x400501f9u +#define CYDEV_SFR_GPIO15_SEL 0x400501fau +#define CYDEV_P3BA_BASE 0x40050300u +#define CYDEV_P3BA_SIZE 0x0000002bu +#define CYDEV_P3BA_Y_START 0x40050300u +#define CYDEV_P3BA_YROLL 0x40050301u +#define CYDEV_P3BA_YCFG 0x40050302u +#define CYDEV_P3BA_X_START1 0x40050303u +#define CYDEV_P3BA_X_START2 0x40050304u +#define CYDEV_P3BA_XROLL1 0x40050305u +#define CYDEV_P3BA_XROLL2 0x40050306u +#define CYDEV_P3BA_XINC 0x40050307u +#define CYDEV_P3BA_XCFG 0x40050308u +#define CYDEV_P3BA_OFFSETADDR1 0x40050309u +#define CYDEV_P3BA_OFFSETADDR2 0x4005030au +#define CYDEV_P3BA_OFFSETADDR3 0x4005030bu +#define CYDEV_P3BA_ABSADDR1 0x4005030cu +#define CYDEV_P3BA_ABSADDR2 0x4005030du +#define CYDEV_P3BA_ABSADDR3 0x4005030eu +#define CYDEV_P3BA_ABSADDR4 0x4005030fu +#define CYDEV_P3BA_DATCFG1 0x40050310u +#define CYDEV_P3BA_DATCFG2 0x40050311u +#define CYDEV_P3BA_CMP_RSLT1 0x40050314u +#define CYDEV_P3BA_CMP_RSLT2 0x40050315u +#define CYDEV_P3BA_CMP_RSLT3 0x40050316u +#define CYDEV_P3BA_CMP_RSLT4 0x40050317u +#define CYDEV_P3BA_DATA_REG1 0x40050318u +#define CYDEV_P3BA_DATA_REG2 0x40050319u +#define CYDEV_P3BA_DATA_REG3 0x4005031au +#define CYDEV_P3BA_DATA_REG4 0x4005031bu +#define CYDEV_P3BA_EXP_DATA1 0x4005031cu +#define CYDEV_P3BA_EXP_DATA2 0x4005031du +#define CYDEV_P3BA_EXP_DATA3 0x4005031eu +#define CYDEV_P3BA_EXP_DATA4 0x4005031fu +#define CYDEV_P3BA_MSTR_HRDATA1 0x40050320u +#define CYDEV_P3BA_MSTR_HRDATA2 0x40050321u +#define CYDEV_P3BA_MSTR_HRDATA3 0x40050322u +#define CYDEV_P3BA_MSTR_HRDATA4 0x40050323u +#define CYDEV_P3BA_BIST_EN 0x40050324u +#define CYDEV_P3BA_PHUB_MASTER_SSR 0x40050325u +#define CYDEV_P3BA_SEQCFG1 0x40050326u +#define CYDEV_P3BA_SEQCFG2 0x40050327u +#define CYDEV_P3BA_Y_CURR 0x40050328u +#define CYDEV_P3BA_X_CURR1 0x40050329u +#define CYDEV_P3BA_X_CURR2 0x4005032au +#define CYDEV_PANTHER_BASE 0x40080000u +#define CYDEV_PANTHER_SIZE 0x00000020u +#define CYDEV_PANTHER_STCALIB_CFG 0x40080000u +#define CYDEV_PANTHER_WAITPIPE 0x40080004u +#define CYDEV_PANTHER_TRACE_CFG 0x40080008u +#define CYDEV_PANTHER_DBG_CFG 0x4008000cu +#define CYDEV_PANTHER_CM3_LCKRST_STAT 0x40080018u +#define CYDEV_PANTHER_DEVICE_ID 0x4008001cu +#define CYDEV_FLSECC_BASE 0x48000000u +#define CYDEV_FLSECC_SIZE 0x00008000u +#define CYDEV_FLSECC_DATA_MBASE 0x48000000u +#define CYDEV_FLSECC_DATA_MSIZE 0x00008000u +#define CYDEV_FLSHID_BASE 0x49000000u +#define CYDEV_FLSHID_SIZE 0x00000200u +#define CYDEV_FLSHID_RSVD_MBASE 0x49000000u +#define CYDEV_FLSHID_RSVD_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_MDATA_MBASE 0x49000080u +#define CYDEV_FLSHID_CUST_MDATA_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040u +#define CYDEV_FLSHID_CUST_TABLES_Y_LOC 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_X_LOC 0x49000101u +#define CYDEV_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102u +#define CYDEV_FLSHID_CUST_TABLES_LOT_LSB 0x49000103u +#define CYDEV_FLSHID_CUST_TABLES_LOT_MSB 0x49000104u +#define CYDEV_FLSHID_CUST_TABLES_WRK_WK 0x49000105u +#define CYDEV_FLSHID_CUST_TABLES_FAB_YR 0x49000106u +#define CYDEV_FLSHID_CUST_TABLES_MINOR 0x49000107u +#define CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108u +#define CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109u +#define CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010au +#define CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010bu +#define CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010cu +#define CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010du +#define CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010eu +#define CYDEV_FLSHID_CUST_TABLES_IMO_USB 0x4900010fu +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110u +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111u +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112u +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113u +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114u +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115u +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116u +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M1 0x49000118u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M2 0x49000119u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M3 0x4900011au +#define CYDEV_FLSHID_CUST_TABLES_DEC_M4 0x4900011bu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M5 0x4900011cu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M6 0x4900011du +#define CYDEV_FLSHID_CUST_TABLES_DEC_M7 0x4900011eu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M8 0x4900011fu +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M1 0x49000120u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M2 0x49000121u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M3 0x49000122u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M4 0x49000123u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M5 0x49000124u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M6 0x49000125u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M7 0x49000126u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M8 0x49000127u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M1 0x49000128u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M2 0x49000129u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M3 0x4900012au +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M4 0x4900012bu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M5 0x4900012cu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M6 0x4900012du +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M7 0x4900012eu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M8 0x4900012fu +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M1 0x49000130u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M2 0x49000131u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M3 0x49000132u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M4 0x49000133u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M5 0x49000134u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M6 0x49000135u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M7 0x49000136u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M8 0x49000137u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M1 0x49000138u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M2 0x49000139u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M3 0x4900013au +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M4 0x4900013bu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M5 0x4900013cu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M6 0x4900013du +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M7 0x4900013eu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M8 0x4900013fu +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180u +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080u +#define CYDEV_FLSHID_MFG_CFG_IMO_TR1 0x49000188u +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR0 0x490001acu +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR0 0x490001aeu +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0u +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2u +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4u +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6u +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8u +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR1 0x490001bau +#define CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ceu +#define CYDEV_EXTMEM_BASE 0x60000000u +#define CYDEV_EXTMEM_SIZE 0x00800000u +#define CYDEV_EXTMEM_DATA_MBASE 0x60000000u +#define CYDEV_EXTMEM_DATA_MSIZE 0x00800000u +#define CYDEV_ITM_BASE 0xe0000000u +#define CYDEV_ITM_SIZE 0x00001000u +#define CYDEV_ITM_TRACE_EN 0xe0000e00u +#define CYDEV_ITM_TRACE_PRIVILEGE 0xe0000e40u +#define CYDEV_ITM_TRACE_CTRL 0xe0000e80u +#define CYDEV_ITM_LOCK_ACCESS 0xe0000fb0u +#define CYDEV_ITM_LOCK_STATUS 0xe0000fb4u +#define CYDEV_ITM_PID4 0xe0000fd0u +#define CYDEV_ITM_PID5 0xe0000fd4u +#define CYDEV_ITM_PID6 0xe0000fd8u +#define CYDEV_ITM_PID7 0xe0000fdcu +#define CYDEV_ITM_PID0 0xe0000fe0u +#define CYDEV_ITM_PID1 0xe0000fe4u +#define CYDEV_ITM_PID2 0xe0000fe8u +#define CYDEV_ITM_PID3 0xe0000fecu +#define CYDEV_ITM_CID0 0xe0000ff0u +#define CYDEV_ITM_CID1 0xe0000ff4u +#define CYDEV_ITM_CID2 0xe0000ff8u +#define CYDEV_ITM_CID3 0xe0000ffcu +#define CYDEV_DWT_BASE 0xe0001000u +#define CYDEV_DWT_SIZE 0x0000005cu +#define CYDEV_DWT_CTRL 0xe0001000u +#define CYDEV_DWT_CYCLE_COUNT 0xe0001004u +#define CYDEV_DWT_CPI_COUNT 0xe0001008u +#define CYDEV_DWT_EXC_OVHD_COUNT 0xe000100cu +#define CYDEV_DWT_SLEEP_COUNT 0xe0001010u +#define CYDEV_DWT_LSU_COUNT 0xe0001014u +#define CYDEV_DWT_FOLD_COUNT 0xe0001018u +#define CYDEV_DWT_PC_SAMPLE 0xe000101cu +#define CYDEV_DWT_COMP_0 0xe0001020u +#define CYDEV_DWT_MASK_0 0xe0001024u +#define CYDEV_DWT_FUNCTION_0 0xe0001028u +#define CYDEV_DWT_COMP_1 0xe0001030u +#define CYDEV_DWT_MASK_1 0xe0001034u +#define CYDEV_DWT_FUNCTION_1 0xe0001038u +#define CYDEV_DWT_COMP_2 0xe0001040u +#define CYDEV_DWT_MASK_2 0xe0001044u +#define CYDEV_DWT_FUNCTION_2 0xe0001048u +#define CYDEV_DWT_COMP_3 0xe0001050u +#define CYDEV_DWT_MASK_3 0xe0001054u +#define CYDEV_DWT_FUNCTION_3 0xe0001058u +#define CYDEV_FPB_BASE 0xe0002000u +#define CYDEV_FPB_SIZE 0x00001000u +#define CYDEV_FPB_CTRL 0xe0002000u +#define CYDEV_FPB_REMAP 0xe0002004u +#define CYDEV_FPB_FP_COMP_0 0xe0002008u +#define CYDEV_FPB_FP_COMP_1 0xe000200cu +#define CYDEV_FPB_FP_COMP_2 0xe0002010u +#define CYDEV_FPB_FP_COMP_3 0xe0002014u +#define CYDEV_FPB_FP_COMP_4 0xe0002018u +#define CYDEV_FPB_FP_COMP_5 0xe000201cu +#define CYDEV_FPB_FP_COMP_6 0xe0002020u +#define CYDEV_FPB_FP_COMP_7 0xe0002024u +#define CYDEV_FPB_PID4 0xe0002fd0u +#define CYDEV_FPB_PID5 0xe0002fd4u +#define CYDEV_FPB_PID6 0xe0002fd8u +#define CYDEV_FPB_PID7 0xe0002fdcu +#define CYDEV_FPB_PID0 0xe0002fe0u +#define CYDEV_FPB_PID1 0xe0002fe4u +#define CYDEV_FPB_PID2 0xe0002fe8u +#define CYDEV_FPB_PID3 0xe0002fecu +#define CYDEV_FPB_CID0 0xe0002ff0u +#define CYDEV_FPB_CID1 0xe0002ff4u +#define CYDEV_FPB_CID2 0xe0002ff8u +#define CYDEV_FPB_CID3 0xe0002ffcu +#define CYDEV_NVIC_BASE 0xe000e000u +#define CYDEV_NVIC_SIZE 0x00000d3cu +#define CYDEV_NVIC_INT_CTL_TYPE 0xe000e004u +#define CYDEV_NVIC_SYSTICK_CTL 0xe000e010u +#define CYDEV_NVIC_SYSTICK_RELOAD 0xe000e014u +#define CYDEV_NVIC_SYSTICK_CURRENT 0xe000e018u +#define CYDEV_NVIC_SYSTICK_CAL 0xe000e01cu +#define CYDEV_NVIC_SETENA0 0xe000e100u +#define CYDEV_NVIC_CLRENA0 0xe000e180u +#define CYDEV_NVIC_SETPEND0 0xe000e200u +#define CYDEV_NVIC_CLRPEND0 0xe000e280u +#define CYDEV_NVIC_ACTIVE0 0xe000e300u +#define CYDEV_NVIC_PRI_0 0xe000e400u +#define CYDEV_NVIC_PRI_1 0xe000e401u +#define CYDEV_NVIC_PRI_2 0xe000e402u +#define CYDEV_NVIC_PRI_3 0xe000e403u +#define CYDEV_NVIC_PRI_4 0xe000e404u +#define CYDEV_NVIC_PRI_5 0xe000e405u +#define CYDEV_NVIC_PRI_6 0xe000e406u +#define CYDEV_NVIC_PRI_7 0xe000e407u +#define CYDEV_NVIC_PRI_8 0xe000e408u +#define CYDEV_NVIC_PRI_9 0xe000e409u +#define CYDEV_NVIC_PRI_10 0xe000e40au +#define CYDEV_NVIC_PRI_11 0xe000e40bu +#define CYDEV_NVIC_PRI_12 0xe000e40cu +#define CYDEV_NVIC_PRI_13 0xe000e40du +#define CYDEV_NVIC_PRI_14 0xe000e40eu +#define CYDEV_NVIC_PRI_15 0xe000e40fu +#define CYDEV_NVIC_PRI_16 0xe000e410u +#define CYDEV_NVIC_PRI_17 0xe000e411u +#define CYDEV_NVIC_PRI_18 0xe000e412u +#define CYDEV_NVIC_PRI_19 0xe000e413u +#define CYDEV_NVIC_PRI_20 0xe000e414u +#define CYDEV_NVIC_PRI_21 0xe000e415u +#define CYDEV_NVIC_PRI_22 0xe000e416u +#define CYDEV_NVIC_PRI_23 0xe000e417u +#define CYDEV_NVIC_PRI_24 0xe000e418u +#define CYDEV_NVIC_PRI_25 0xe000e419u +#define CYDEV_NVIC_PRI_26 0xe000e41au +#define CYDEV_NVIC_PRI_27 0xe000e41bu +#define CYDEV_NVIC_PRI_28 0xe000e41cu +#define CYDEV_NVIC_PRI_29 0xe000e41du +#define CYDEV_NVIC_PRI_30 0xe000e41eu +#define CYDEV_NVIC_PRI_31 0xe000e41fu +#define CYDEV_NVIC_CPUID_BASE 0xe000ed00u +#define CYDEV_NVIC_INTR_CTRL_STATE 0xe000ed04u +#define CYDEV_NVIC_VECT_OFFSET 0xe000ed08u +#define CYDEV_NVIC_APPLN_INTR 0xe000ed0cu +#define CYDEV_NVIC_SYSTEM_CONTROL 0xe000ed10u +#define CYDEV_NVIC_CFG_CONTROL 0xe000ed14u +#define CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18u +#define CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1cu +#define CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20u +#define CYDEV_NVIC_SYS_HANDLER_CSR 0xe000ed24u +#define CYDEV_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28u +#define CYDEV_NVIC_BUS_FAULT_STATUS 0xe000ed29u +#define CYDEV_NVIC_USAGE_FAULT_STATUS 0xe000ed2au +#define CYDEV_NVIC_HARD_FAULT_STATUS 0xe000ed2cu +#define CYDEV_NVIC_DEBUG_FAULT_STATUS 0xe000ed30u +#define CYDEV_NVIC_MEMMAN_FAULT_ADD 0xe000ed34u +#define CYDEV_NVIC_BUS_FAULT_ADD 0xe000ed38u +#define CYDEV_CORE_DBG_BASE 0xe000edf0u +#define CYDEV_CORE_DBG_SIZE 0x00000010u +#define CYDEV_CORE_DBG_DBG_HLT_CS 0xe000edf0u +#define CYDEV_CORE_DBG_DBG_REG_SEL 0xe000edf4u +#define CYDEV_CORE_DBG_DBG_REG_DATA 0xe000edf8u +#define CYDEV_CORE_DBG_EXC_MON_CTL 0xe000edfcu +#define CYDEV_TPIU_BASE 0xe0040000u +#define CYDEV_TPIU_SIZE 0x00001000u +#define CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000u +#define CYDEV_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004u +#define CYDEV_TPIU_ASYNC_CLK_PRESCALER 0xe0040010u +#define CYDEV_TPIU_PROTOCOL 0xe00400f0u +#define CYDEV_TPIU_FORM_FLUSH_STAT 0xe0040300u +#define CYDEV_TPIU_FORM_FLUSH_CTRL 0xe0040304u +#define CYDEV_TPIU_TRIGGER 0xe0040ee8u +#define CYDEV_TPIU_ITETMDATA 0xe0040eecu +#define CYDEV_TPIU_ITATBCTR2 0xe0040ef0u +#define CYDEV_TPIU_ITATBCTR0 0xe0040ef8u +#define CYDEV_TPIU_ITITMDATA 0xe0040efcu +#define CYDEV_TPIU_ITCTRL 0xe0040f00u +#define CYDEV_TPIU_DEVID 0xe0040fc8u +#define CYDEV_TPIU_DEVTYPE 0xe0040fccu +#define CYDEV_TPIU_PID4 0xe0040fd0u +#define CYDEV_TPIU_PID5 0xe0040fd4u +#define CYDEV_TPIU_PID6 0xe0040fd8u +#define CYDEV_TPIU_PID7 0xe0040fdcu +#define CYDEV_TPIU_PID0 0xe0040fe0u +#define CYDEV_TPIU_PID1 0xe0040fe4u +#define CYDEV_TPIU_PID2 0xe0040fe8u +#define CYDEV_TPIU_PID3 0xe0040fecu +#define CYDEV_TPIU_CID0 0xe0040ff0u +#define CYDEV_TPIU_CID1 0xe0040ff4u +#define CYDEV_TPIU_CID2 0xe0040ff8u +#define CYDEV_TPIU_CID3 0xe0040ffcu +#define CYDEV_ETM_BASE 0xe0041000u +#define CYDEV_ETM_SIZE 0x00001000u +#define CYDEV_ETM_CTL 0xe0041000u +#define CYDEV_ETM_CFG_CODE 0xe0041004u +#define CYDEV_ETM_TRIG_EVENT 0xe0041008u +#define CYDEV_ETM_STATUS 0xe0041010u +#define CYDEV_ETM_SYS_CFG 0xe0041014u +#define CYDEV_ETM_TRACE_ENB_EVENT 0xe0041020u +#define CYDEV_ETM_TRACE_EN_CTRL1 0xe0041024u +#define CYDEV_ETM_FIFOFULL_LEVEL 0xe004102cu +#define CYDEV_ETM_SYNC_FREQ 0xe00411e0u +#define CYDEV_ETM_ETM_ID 0xe00411e4u +#define CYDEV_ETM_CFG_CODE_EXT 0xe00411e8u +#define CYDEV_ETM_TR_SS_EMBICE_CTRL 0xe00411f0u +#define CYDEV_ETM_CS_TRACE_ID 0xe0041200u +#define CYDEV_ETM_OS_LOCK_ACCESS 0xe0041300u +#define CYDEV_ETM_OS_LOCK_STATUS 0xe0041304u +#define CYDEV_ETM_PDSR 0xe0041314u +#define CYDEV_ETM_ITMISCIN 0xe0041ee0u +#define CYDEV_ETM_ITTRIGOUT 0xe0041ee8u +#define CYDEV_ETM_ITATBCTR2 0xe0041ef0u +#define CYDEV_ETM_ITATBCTR0 0xe0041ef8u +#define CYDEV_ETM_INT_MODE_CTRL 0xe0041f00u +#define CYDEV_ETM_CLM_TAG_SET 0xe0041fa0u +#define CYDEV_ETM_CLM_TAG_CLR 0xe0041fa4u +#define CYDEV_ETM_LOCK_ACCESS 0xe0041fb0u +#define CYDEV_ETM_LOCK_STATUS 0xe0041fb4u +#define CYDEV_ETM_AUTH_STATUS 0xe0041fb8u +#define CYDEV_ETM_DEV_TYPE 0xe0041fccu +#define CYDEV_ETM_PID4 0xe0041fd0u +#define CYDEV_ETM_PID5 0xe0041fd4u +#define CYDEV_ETM_PID6 0xe0041fd8u +#define CYDEV_ETM_PID7 0xe0041fdcu +#define CYDEV_ETM_PID0 0xe0041fe0u +#define CYDEV_ETM_PID1 0xe0041fe4u +#define CYDEV_ETM_PID2 0xe0041fe8u +#define CYDEV_ETM_PID3 0xe0041fecu +#define CYDEV_ETM_CID0 0xe0041ff0u +#define CYDEV_ETM_CID1 0xe0041ff4u +#define CYDEV_ETM_CID2 0xe0041ff8u +#define CYDEV_ETM_CID3 0xe0041ffcu +#define CYDEV_ROM_TABLE_BASE 0xe00ff000u +#define CYDEV_ROM_TABLE_SIZE 0x00001000u +#define CYDEV_ROM_TABLE_NVIC 0xe00ff000u +#define CYDEV_ROM_TABLE_DWT 0xe00ff004u +#define CYDEV_ROM_TABLE_FPB 0xe00ff008u +#define CYDEV_ROM_TABLE_ITM 0xe00ff00cu +#define CYDEV_ROM_TABLE_TPIU 0xe00ff010u +#define CYDEV_ROM_TABLE_ETM 0xe00ff014u +#define CYDEV_ROM_TABLE_END 0xe00ff018u +#define CYDEV_ROM_TABLE_MEMTYPE 0xe00fffccu +#define CYDEV_ROM_TABLE_PID4 0xe00fffd0u +#define CYDEV_ROM_TABLE_PID5 0xe00fffd4u +#define CYDEV_ROM_TABLE_PID6 0xe00fffd8u +#define CYDEV_ROM_TABLE_PID7 0xe00fffdcu +#define CYDEV_ROM_TABLE_PID0 0xe00fffe0u +#define CYDEV_ROM_TABLE_PID1 0xe00fffe4u +#define CYDEV_ROM_TABLE_PID2 0xe00fffe8u +#define CYDEV_ROM_TABLE_PID3 0xe00fffecu +#define CYDEV_ROM_TABLE_CID0 0xe00ffff0u +#define CYDEV_ROM_TABLE_CID1 0xe00ffff4u +#define CYDEV_ROM_TABLE_CID2 0xe00ffff8u +#define CYDEV_ROM_TABLE_CID3 0xe00ffffcu +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000u +#define CYDEV_FLS_ROW_SIZE 0x00000100u +#define CYDEV_ECC_SECTOR_SIZE 0x00002000u +#define CYDEV_ECC_ROW_SIZE 0x00000020u +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u +#define CYDEV_EEPROM_ROW_SIZE 0x00000010u +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004u +#define CYCLK_LD_SYNC_EN 0x00000002u +#define CYCLK_LD_LOAD 0x00000001u +#define CYCLK_PIPE 0x00000080u +#define CYCLK_SSS 0x00000040u +#define CYCLK_EARLY 0x00000020u +#define CYCLK_DUTY 0x00000010u +#define CYCLK_SYNC 0x00000008u +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 +#endif /* CYDEVICE_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h new file mode 100644 index 0000000..08d77aa --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h @@ -0,0 +1,5360 @@ +/******************************************************************************* +* FILENAME: cydevice_trm.h +* +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#if !defined(CYDEVICE_TRM_H) +#define CYDEVICE_TRM_H +#define CYDEV_FLASH_BASE 0x00000000u +#define CYDEV_FLASH_SIZE 0x00020000u +#define CYREG_FLASH_DATA_MBASE 0x00000000u +#define CYREG_FLASH_DATA_MSIZE 0x00020000u +#define CYDEV_SRAM_BASE 0x1fffc000u +#define CYDEV_SRAM_SIZE 0x00008000u +#define CYREG_SRAM_CODE64K_MBASE 0x1fff8000u +#define CYREG_SRAM_CODE64K_MSIZE 0x00004000u +#define CYREG_SRAM_CODE32K_MBASE 0x1fffc000u +#define CYREG_SRAM_CODE32K_MSIZE 0x00002000u +#define CYREG_SRAM_CODE16K_MBASE 0x1fffe000u +#define CYREG_SRAM_CODE16K_MSIZE 0x00001000u +#define CYREG_SRAM_CODE_MBASE 0x1fffc000u +#define CYREG_SRAM_CODE_MSIZE 0x00004000u +#define CYREG_SRAM_DATA_MBASE 0x20000000u +#define CYREG_SRAM_DATA_MSIZE 0x00004000u +#define CYREG_SRAM_DATA16K_MBASE 0x20001000u +#define CYREG_SRAM_DATA16K_MSIZE 0x00001000u +#define CYREG_SRAM_DATA32K_MBASE 0x20002000u +#define CYREG_SRAM_DATA32K_MSIZE 0x00002000u +#define CYREG_SRAM_DATA64K_MBASE 0x20004000u +#define CYREG_SRAM_DATA64K_MSIZE 0x00004000u +#define CYDEV_DMA_BASE 0x20008000u +#define CYDEV_DMA_SIZE 0x00008000u +#define CYREG_DMA_SRAM64K_MBASE 0x20008000u +#define CYREG_DMA_SRAM64K_MSIZE 0x00004000u +#define CYREG_DMA_SRAM32K_MBASE 0x2000c000u +#define CYREG_DMA_SRAM32K_MSIZE 0x00002000u +#define CYREG_DMA_SRAM16K_MBASE 0x2000e000u +#define CYREG_DMA_SRAM16K_MSIZE 0x00001000u +#define CYREG_DMA_SRAM_MBASE 0x2000f000u +#define CYREG_DMA_SRAM_MSIZE 0x00001000u +#define CYDEV_CLKDIST_BASE 0x40004000u +#define CYDEV_CLKDIST_SIZE 0x00000110u +#define CYREG_CLKDIST_CR 0x40004000u +#define CYREG_CLKDIST_LD 0x40004001u +#define CYREG_CLKDIST_WRK0 0x40004002u +#define CYREG_CLKDIST_WRK1 0x40004003u +#define CYREG_CLKDIST_MSTR0 0x40004004u +#define CYREG_CLKDIST_MSTR1 0x40004005u +#define CYREG_CLKDIST_BCFG0 0x40004006u +#define CYREG_CLKDIST_BCFG1 0x40004007u +#define CYREG_CLKDIST_BCFG2 0x40004008u +#define CYREG_CLKDIST_UCFG 0x40004009u +#define CYREG_CLKDIST_DLY0 0x4000400au +#define CYREG_CLKDIST_DLY1 0x4000400bu +#define CYREG_CLKDIST_DMASK 0x40004010u +#define CYREG_CLKDIST_AMASK 0x40004014u +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080u +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG0_CFG0 0x40004080u +#define CYREG_CLKDIST_DCFG0_CFG1 0x40004081u +#define CYREG_CLKDIST_DCFG0_CFG2 0x40004082u +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084u +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG1_CFG0 0x40004084u +#define CYREG_CLKDIST_DCFG1_CFG1 0x40004085u +#define CYREG_CLKDIST_DCFG1_CFG2 0x40004086u +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088u +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG2_CFG0 0x40004088u +#define CYREG_CLKDIST_DCFG2_CFG1 0x40004089u +#define CYREG_CLKDIST_DCFG2_CFG2 0x4000408au +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG3_CFG0 0x4000408cu +#define CYREG_CLKDIST_DCFG3_CFG1 0x4000408du +#define CYREG_CLKDIST_DCFG3_CFG2 0x4000408eu +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090u +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG4_CFG0 0x40004090u +#define CYREG_CLKDIST_DCFG4_CFG1 0x40004091u +#define CYREG_CLKDIST_DCFG4_CFG2 0x40004092u +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094u +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG5_CFG0 0x40004094u +#define CYREG_CLKDIST_DCFG5_CFG1 0x40004095u +#define CYREG_CLKDIST_DCFG5_CFG2 0x40004096u +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098u +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG6_CFG0 0x40004098u +#define CYREG_CLKDIST_DCFG6_CFG1 0x40004099u +#define CYREG_CLKDIST_DCFG6_CFG2 0x4000409au +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG7_CFG0 0x4000409cu +#define CYREG_CLKDIST_DCFG7_CFG1 0x4000409du +#define CYREG_CLKDIST_DCFG7_CFG2 0x4000409eu +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100u +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG0_CFG0 0x40004100u +#define CYREG_CLKDIST_ACFG0_CFG1 0x40004101u +#define CYREG_CLKDIST_ACFG0_CFG2 0x40004102u +#define CYREG_CLKDIST_ACFG0_CFG3 0x40004103u +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104u +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG1_CFG0 0x40004104u +#define CYREG_CLKDIST_ACFG1_CFG1 0x40004105u +#define CYREG_CLKDIST_ACFG1_CFG2 0x40004106u +#define CYREG_CLKDIST_ACFG1_CFG3 0x40004107u +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108u +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG2_CFG0 0x40004108u +#define CYREG_CLKDIST_ACFG2_CFG1 0x40004109u +#define CYREG_CLKDIST_ACFG2_CFG2 0x4000410au +#define CYREG_CLKDIST_ACFG2_CFG3 0x4000410bu +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG3_CFG0 0x4000410cu +#define CYREG_CLKDIST_ACFG3_CFG1 0x4000410du +#define CYREG_CLKDIST_ACFG3_CFG2 0x4000410eu +#define CYREG_CLKDIST_ACFG3_CFG3 0x4000410fu +#define CYDEV_FASTCLK_BASE 0x40004200u +#define CYDEV_FASTCLK_SIZE 0x00000026u +#define CYDEV_FASTCLK_IMO_BASE 0x40004200u +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001u +#define CYREG_FASTCLK_IMO_CR 0x40004200u +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210u +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004u +#define CYREG_FASTCLK_XMHZ_CSR 0x40004210u +#define CYREG_FASTCLK_XMHZ_CFG0 0x40004212u +#define CYREG_FASTCLK_XMHZ_CFG1 0x40004213u +#define CYDEV_FASTCLK_PLL_BASE 0x40004220u +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006u +#define CYREG_FASTCLK_PLL_CFG0 0x40004220u +#define CYREG_FASTCLK_PLL_CFG1 0x40004221u +#define CYREG_FASTCLK_PLL_P 0x40004222u +#define CYREG_FASTCLK_PLL_Q 0x40004223u +#define CYREG_FASTCLK_PLL_SR 0x40004225u +#define CYDEV_SLOWCLK_BASE 0x40004300u +#define CYDEV_SLOWCLK_SIZE 0x0000000bu +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300u +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002u +#define CYREG_SLOWCLK_ILO_CR0 0x40004300u +#define CYREG_SLOWCLK_ILO_CR1 0x40004301u +#define CYDEV_SLOWCLK_X32_BASE 0x40004308u +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003u +#define CYREG_SLOWCLK_X32_CR 0x40004308u +#define CYREG_SLOWCLK_X32_CFG 0x40004309u +#define CYREG_SLOWCLK_X32_TST 0x4000430au +#define CYDEV_BOOST_BASE 0x40004320u +#define CYDEV_BOOST_SIZE 0x00000007u +#define CYREG_BOOST_CR0 0x40004320u +#define CYREG_BOOST_CR1 0x40004321u +#define CYREG_BOOST_CR2 0x40004322u +#define CYREG_BOOST_CR3 0x40004323u +#define CYREG_BOOST_SR 0x40004324u +#define CYREG_BOOST_CR4 0x40004325u +#define CYREG_BOOST_SR2 0x40004326u +#define CYDEV_PWRSYS_BASE 0x40004330u +#define CYDEV_PWRSYS_SIZE 0x00000002u +#define CYREG_PWRSYS_CR0 0x40004330u +#define CYREG_PWRSYS_CR1 0x40004331u +#define CYDEV_PM_BASE 0x40004380u +#define CYDEV_PM_SIZE 0x00000057u +#define CYREG_PM_TW_CFG0 0x40004380u +#define CYREG_PM_TW_CFG1 0x40004381u +#define CYREG_PM_TW_CFG2 0x40004382u +#define CYREG_PM_WDT_CFG 0x40004383u +#define CYREG_PM_WDT_CR 0x40004384u +#define CYREG_PM_INT_SR 0x40004390u +#define CYREG_PM_MODE_CFG0 0x40004391u +#define CYREG_PM_MODE_CFG1 0x40004392u +#define CYREG_PM_MODE_CSR 0x40004393u +#define CYREG_PM_USB_CR0 0x40004394u +#define CYREG_PM_WAKEUP_CFG0 0x40004398u +#define CYREG_PM_WAKEUP_CFG1 0x40004399u +#define CYREG_PM_WAKEUP_CFG2 0x4000439au +#define CYDEV_PM_ACT_BASE 0x400043a0u +#define CYDEV_PM_ACT_SIZE 0x0000000eu +#define CYREG_PM_ACT_CFG0 0x400043a0u +#define CYREG_PM_ACT_CFG1 0x400043a1u +#define CYREG_PM_ACT_CFG2 0x400043a2u +#define CYREG_PM_ACT_CFG3 0x400043a3u +#define CYREG_PM_ACT_CFG4 0x400043a4u +#define CYREG_PM_ACT_CFG5 0x400043a5u +#define CYREG_PM_ACT_CFG6 0x400043a6u +#define CYREG_PM_ACT_CFG7 0x400043a7u +#define CYREG_PM_ACT_CFG8 0x400043a8u +#define CYREG_PM_ACT_CFG9 0x400043a9u +#define CYREG_PM_ACT_CFG10 0x400043aau +#define CYREG_PM_ACT_CFG11 0x400043abu +#define CYREG_PM_ACT_CFG12 0x400043acu +#define CYREG_PM_ACT_CFG13 0x400043adu +#define CYDEV_PM_STBY_BASE 0x400043b0u +#define CYDEV_PM_STBY_SIZE 0x0000000eu +#define CYREG_PM_STBY_CFG0 0x400043b0u +#define CYREG_PM_STBY_CFG1 0x400043b1u +#define CYREG_PM_STBY_CFG2 0x400043b2u +#define CYREG_PM_STBY_CFG3 0x400043b3u +#define CYREG_PM_STBY_CFG4 0x400043b4u +#define CYREG_PM_STBY_CFG5 0x400043b5u +#define CYREG_PM_STBY_CFG6 0x400043b6u +#define CYREG_PM_STBY_CFG7 0x400043b7u +#define CYREG_PM_STBY_CFG8 0x400043b8u +#define CYREG_PM_STBY_CFG9 0x400043b9u +#define CYREG_PM_STBY_CFG10 0x400043bau +#define CYREG_PM_STBY_CFG11 0x400043bbu +#define CYREG_PM_STBY_CFG12 0x400043bcu +#define CYREG_PM_STBY_CFG13 0x400043bdu +#define CYDEV_PM_AVAIL_BASE 0x400043c0u +#define CYDEV_PM_AVAIL_SIZE 0x00000017u +#define CYREG_PM_AVAIL_CR0 0x400043c0u +#define CYREG_PM_AVAIL_CR1 0x400043c1u +#define CYREG_PM_AVAIL_CR2 0x400043c2u +#define CYREG_PM_AVAIL_CR3 0x400043c3u +#define CYREG_PM_AVAIL_CR4 0x400043c4u +#define CYREG_PM_AVAIL_CR5 0x400043c5u +#define CYREG_PM_AVAIL_CR6 0x400043c6u +#define CYREG_PM_AVAIL_SR0 0x400043d0u +#define CYREG_PM_AVAIL_SR1 0x400043d1u +#define CYREG_PM_AVAIL_SR2 0x400043d2u +#define CYREG_PM_AVAIL_SR3 0x400043d3u +#define CYREG_PM_AVAIL_SR4 0x400043d4u +#define CYREG_PM_AVAIL_SR5 0x400043d5u +#define CYREG_PM_AVAIL_SR6 0x400043d6u +#define CYDEV_PICU_BASE 0x40004500u +#define CYDEV_PICU_SIZE 0x000000b0u +#define CYDEV_PICU_INTTYPE_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080u +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008u +#define CYREG_PICU0_INTTYPE0 0x40004500u +#define CYREG_PICU0_INTTYPE1 0x40004501u +#define CYREG_PICU0_INTTYPE2 0x40004502u +#define CYREG_PICU0_INTTYPE3 0x40004503u +#define CYREG_PICU0_INTTYPE4 0x40004504u +#define CYREG_PICU0_INTTYPE5 0x40004505u +#define CYREG_PICU0_INTTYPE6 0x40004506u +#define CYREG_PICU0_INTTYPE7 0x40004507u +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008u +#define CYREG_PICU1_INTTYPE0 0x40004508u +#define CYREG_PICU1_INTTYPE1 0x40004509u +#define CYREG_PICU1_INTTYPE2 0x4000450au +#define CYREG_PICU1_INTTYPE3 0x4000450bu +#define CYREG_PICU1_INTTYPE4 0x4000450cu +#define CYREG_PICU1_INTTYPE5 0x4000450du +#define CYREG_PICU1_INTTYPE6 0x4000450eu +#define CYREG_PICU1_INTTYPE7 0x4000450fu +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008u +#define CYREG_PICU2_INTTYPE0 0x40004510u +#define CYREG_PICU2_INTTYPE1 0x40004511u +#define CYREG_PICU2_INTTYPE2 0x40004512u +#define CYREG_PICU2_INTTYPE3 0x40004513u +#define CYREG_PICU2_INTTYPE4 0x40004514u +#define CYREG_PICU2_INTTYPE5 0x40004515u +#define CYREG_PICU2_INTTYPE6 0x40004516u +#define CYREG_PICU2_INTTYPE7 0x40004517u +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008u +#define CYREG_PICU3_INTTYPE0 0x40004518u +#define CYREG_PICU3_INTTYPE1 0x40004519u +#define CYREG_PICU3_INTTYPE2 0x4000451au +#define CYREG_PICU3_INTTYPE3 0x4000451bu +#define CYREG_PICU3_INTTYPE4 0x4000451cu +#define CYREG_PICU3_INTTYPE5 0x4000451du +#define CYREG_PICU3_INTTYPE6 0x4000451eu +#define CYREG_PICU3_INTTYPE7 0x4000451fu +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008u +#define CYREG_PICU4_INTTYPE0 0x40004520u +#define CYREG_PICU4_INTTYPE1 0x40004521u +#define CYREG_PICU4_INTTYPE2 0x40004522u +#define CYREG_PICU4_INTTYPE3 0x40004523u +#define CYREG_PICU4_INTTYPE4 0x40004524u +#define CYREG_PICU4_INTTYPE5 0x40004525u +#define CYREG_PICU4_INTTYPE6 0x40004526u +#define CYREG_PICU4_INTTYPE7 0x40004527u +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008u +#define CYREG_PICU5_INTTYPE0 0x40004528u +#define CYREG_PICU5_INTTYPE1 0x40004529u +#define CYREG_PICU5_INTTYPE2 0x4000452au +#define CYREG_PICU5_INTTYPE3 0x4000452bu +#define CYREG_PICU5_INTTYPE4 0x4000452cu +#define CYREG_PICU5_INTTYPE5 0x4000452du +#define CYREG_PICU5_INTTYPE6 0x4000452eu +#define CYREG_PICU5_INTTYPE7 0x4000452fu +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008u +#define CYREG_PICU6_INTTYPE0 0x40004530u +#define CYREG_PICU6_INTTYPE1 0x40004531u +#define CYREG_PICU6_INTTYPE2 0x40004532u +#define CYREG_PICU6_INTTYPE3 0x40004533u +#define CYREG_PICU6_INTTYPE4 0x40004534u +#define CYREG_PICU6_INTTYPE5 0x40004535u +#define CYREG_PICU6_INTTYPE6 0x40004536u +#define CYREG_PICU6_INTTYPE7 0x40004537u +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008u +#define CYREG_PICU12_INTTYPE0 0x40004560u +#define CYREG_PICU12_INTTYPE1 0x40004561u +#define CYREG_PICU12_INTTYPE2 0x40004562u +#define CYREG_PICU12_INTTYPE3 0x40004563u +#define CYREG_PICU12_INTTYPE4 0x40004564u +#define CYREG_PICU12_INTTYPE5 0x40004565u +#define CYREG_PICU12_INTTYPE6 0x40004566u +#define CYREG_PICU12_INTTYPE7 0x40004567u +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008u +#define CYREG_PICU15_INTTYPE0 0x40004578u +#define CYREG_PICU15_INTTYPE1 0x40004579u +#define CYREG_PICU15_INTTYPE2 0x4000457au +#define CYREG_PICU15_INTTYPE3 0x4000457bu +#define CYREG_PICU15_INTTYPE4 0x4000457cu +#define CYREG_PICU15_INTTYPE5 0x4000457du +#define CYREG_PICU15_INTTYPE6 0x4000457eu +#define CYREG_PICU15_INTTYPE7 0x4000457fu +#define CYDEV_PICU_STAT_BASE 0x40004580u +#define CYDEV_PICU_STAT_SIZE 0x00000010u +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580u +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_INTSTAT 0x40004580u +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581u +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_INTSTAT 0x40004581u +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582u +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_INTSTAT 0x40004582u +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583u +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_INTSTAT 0x40004583u +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584u +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_INTSTAT 0x40004584u +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585u +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_INTSTAT 0x40004585u +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586u +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_INTSTAT 0x40004586u +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458cu +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_INTSTAT 0x4000458cu +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458fu +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001u +#define CYREG_PICU15_INTSTAT 0x4000458fu +#define CYDEV_PICU_SNAP_BASE 0x40004590u +#define CYDEV_PICU_SNAP_SIZE 0x00000010u +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590u +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_SNAP 0x40004590u +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591u +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_SNAP 0x40004591u +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592u +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_SNAP 0x40004592u +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593u +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_SNAP 0x40004593u +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594u +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_SNAP 0x40004594u +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595u +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_SNAP 0x40004595u +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596u +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_SNAP 0x40004596u +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459cu +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_SNAP 0x4000459cu +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459fu +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001u +#define CYREG_PICU_15_SNAP_15 0x4000459fu +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010u +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_DISABLE_COR 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_DISABLE_COR 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_DISABLE_COR 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_DISABLE_COR 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_DISABLE_COR 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_DISABLE_COR 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_DISABLE_COR 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_DISABLE_COR 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045afu +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001u +#define CYREG_PICU15_DISABLE_COR 0x400045afu +#define CYDEV_MFGCFG_BASE 0x40004600u +#define CYDEV_MFGCFG_SIZE 0x000000edu +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600u +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038u +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001u +#define CYREG_DAC0_TR 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001u +#define CYREG_DAC1_TR 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001u +#define CYREG_DAC2_TR 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001u +#define CYREG_DAC3_TR 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001u +#define CYREG_NPUMP_DSM_TR0 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001u +#define CYREG_NPUMP_SC_TR0 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001u +#define CYREG_NPUMP_OPAMP_TR0 0x40004612u +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001u +#define CYREG_SAR0_TR0 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616u +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001u +#define CYREG_SAR1_TR0 0x40004616u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_TR0 0x40004620u +#define CYREG_OPAMP0_TR1 0x40004621u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_TR0 0x40004622u +#define CYREG_OPAMP1_TR1 0x40004623u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_TR0 0x40004624u +#define CYREG_OPAMP2_TR1 0x40004625u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_TR0 0x40004626u +#define CYREG_OPAMP3_TR1 0x40004627u +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002u +#define CYREG_CMP0_TR0 0x40004630u +#define CYREG_CMP0_TR1 0x40004631u +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002u +#define CYREG_CMP1_TR0 0x40004632u +#define CYREG_CMP1_TR1 0x40004633u +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002u +#define CYREG_CMP2_TR0 0x40004634u +#define CYREG_CMP2_TR1 0x40004635u +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002u +#define CYREG_CMP3_TR0 0x40004636u +#define CYREG_CMP3_TR1 0x40004637u +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000bu +#define CYREG_PWRSYS_HIB_TR0 0x40004680u +#define CYREG_PWRSYS_HIB_TR1 0x40004681u +#define CYREG_PWRSYS_I2C_TR 0x40004682u +#define CYREG_PWRSYS_SLP_TR 0x40004683u +#define CYREG_PWRSYS_BUZZ_TR 0x40004684u +#define CYREG_PWRSYS_WAKE_TR0 0x40004685u +#define CYREG_PWRSYS_WAKE_TR1 0x40004686u +#define CYREG_PWRSYS_BREF_TR 0x40004687u +#define CYREG_PWRSYS_BG_TR 0x40004688u +#define CYREG_PWRSYS_WAKE_TR2 0x40004689u +#define CYREG_PWRSYS_WAKE_TR3 0x4000468au +#define CYDEV_MFGCFG_ILO_BASE 0x40004690u +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002u +#define CYREG_ILO_TR0 0x40004690u +#define CYREG_ILO_TR1 0x40004691u +#define CYDEV_MFGCFG_X32_BASE 0x40004698u +#define CYDEV_MFGCFG_X32_SIZE 0x00000001u +#define CYREG_X32_TR 0x40004698u +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0u +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005u +#define CYREG_IMO_TR0 0x400046a0u +#define CYREG_IMO_TR1 0x400046a1u +#define CYREG_IMO_GAIN 0x400046a2u +#define CYREG_IMO_C36M 0x400046a3u +#define CYREG_IMO_TR2 0x400046a4u +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8u +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001u +#define CYREG_XMHZ_TR 0x400046a8u +#define CYREG_MFGCFG_DLY 0x400046c0u +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0u +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000du +#define CYREG_MLOGIC_DMPSTR 0x400046e2u +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002u +#define CYREG_MLOGIC_SEG_CR 0x400046e4u +#define CYREG_MLOGIC_SEG_CFG0 0x400046e5u +#define CYREG_MLOGIC_DEBUG 0x400046e8u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001u +#define CYREG_MLOGIC_CPU_SCR_CPU_SCR 0x400046eau +#define CYREG_MLOGIC_REV_ID 0x400046ecu +#define CYDEV_RESET_BASE 0x400046f0u +#define CYDEV_RESET_SIZE 0x0000000fu +#define CYREG_RESET_IPOR_CR0 0x400046f0u +#define CYREG_RESET_IPOR_CR1 0x400046f1u +#define CYREG_RESET_IPOR_CR2 0x400046f2u +#define CYREG_RESET_IPOR_CR3 0x400046f3u +#define CYREG_RESET_CR0 0x400046f4u +#define CYREG_RESET_CR1 0x400046f5u +#define CYREG_RESET_CR2 0x400046f6u +#define CYREG_RESET_CR3 0x400046f7u +#define CYREG_RESET_CR4 0x400046f8u +#define CYREG_RESET_CR5 0x400046f9u +#define CYREG_RESET_SR0 0x400046fau +#define CYREG_RESET_SR1 0x400046fbu +#define CYREG_RESET_SR2 0x400046fcu +#define CYREG_RESET_SR3 0x400046fdu +#define CYREG_RESET_TR 0x400046feu +#define CYDEV_SPC_BASE 0x40004700u +#define CYDEV_SPC_SIZE 0x00000100u +#define CYREG_SPC_FM_EE_CR 0x40004700u +#define CYREG_SPC_FM_EE_WAKE_CNT 0x40004701u +#define CYREG_SPC_EE_SCR 0x40004702u +#define CYREG_SPC_EE_ERR 0x40004703u +#define CYREG_SPC_CPU_DATA 0x40004720u +#define CYREG_SPC_DMA_DATA 0x40004721u +#define CYREG_SPC_SR 0x40004722u +#define CYREG_SPC_CR 0x40004723u +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080u +#define CYREG_SPC_DMM_MAP_SRAM_MBASE 0x40004780u +#define CYREG_SPC_DMM_MAP_SRAM_MSIZE 0x00000080u +#define CYDEV_CACHE_BASE 0x40004800u +#define CYDEV_CACHE_SIZE 0x0000009cu +#define CYREG_CACHE_CC_CTL 0x40004800u +#define CYREG_CACHE_ECC_CORR 0x40004880u +#define CYREG_CACHE_ECC_ERR 0x40004888u +#define CYREG_CACHE_FLASH_ERR 0x40004890u +#define CYREG_CACHE_HITMISS 0x40004898u +#define CYDEV_I2C_BASE 0x40004900u +#define CYDEV_I2C_SIZE 0x000000e1u +#define CYREG_I2C_XCFG 0x400049c8u +#define CYREG_I2C_ADR 0x400049cau +#define CYREG_I2C_CFG 0x400049d6u +#define CYREG_I2C_CSR 0x400049d7u +#define CYREG_I2C_D 0x400049d8u +#define CYREG_I2C_MCSR 0x400049d9u +#define CYREG_I2C_CLK_DIV1 0x400049dbu +#define CYREG_I2C_CLK_DIV2 0x400049dcu +#define CYREG_I2C_TMOUT_CSR 0x400049ddu +#define CYREG_I2C_TMOUT_SR 0x400049deu +#define CYREG_I2C_TMOUT_CFG0 0x400049dfu +#define CYREG_I2C_TMOUT_CFG1 0x400049e0u +#define CYDEV_DEC_BASE 0x40004e00u +#define CYDEV_DEC_SIZE 0x00000015u +#define CYREG_DEC_CR 0x40004e00u +#define CYREG_DEC_SR 0x40004e01u +#define CYREG_DEC_SHIFT1 0x40004e02u +#define CYREG_DEC_SHIFT2 0x40004e03u +#define CYREG_DEC_DR2 0x40004e04u +#define CYREG_DEC_DR2H 0x40004e05u +#define CYREG_DEC_DR1 0x40004e06u +#define CYREG_DEC_OCOR 0x40004e08u +#define CYREG_DEC_OCORM 0x40004e09u +#define CYREG_DEC_OCORH 0x40004e0au +#define CYREG_DEC_GCOR 0x40004e0cu +#define CYREG_DEC_GCORH 0x40004e0du +#define CYREG_DEC_GVAL 0x40004e0eu +#define CYREG_DEC_OUTSAMP 0x40004e10u +#define CYREG_DEC_OUTSAMPM 0x40004e11u +#define CYREG_DEC_OUTSAMPH 0x40004e12u +#define CYREG_DEC_OUTSAMPS 0x40004e13u +#define CYREG_DEC_COHER 0x40004e14u +#define CYDEV_TMR0_BASE 0x40004f00u +#define CYDEV_TMR0_SIZE 0x0000000cu +#define CYREG_TMR0_CFG0 0x40004f00u +#define CYREG_TMR0_CFG1 0x40004f01u +#define CYREG_TMR0_CFG2 0x40004f02u +#define CYREG_TMR0_SR0 0x40004f03u +#define CYREG_TMR0_PER0 0x40004f04u +#define CYREG_TMR0_PER1 0x40004f05u +#define CYREG_TMR0_CNT_CMP0 0x40004f06u +#define CYREG_TMR0_CNT_CMP1 0x40004f07u +#define CYREG_TMR0_CAP0 0x40004f08u +#define CYREG_TMR0_CAP1 0x40004f09u +#define CYREG_TMR0_RT0 0x40004f0au +#define CYREG_TMR0_RT1 0x40004f0bu +#define CYDEV_TMR1_BASE 0x40004f0cu +#define CYDEV_TMR1_SIZE 0x0000000cu +#define CYREG_TMR1_CFG0 0x40004f0cu +#define CYREG_TMR1_CFG1 0x40004f0du +#define CYREG_TMR1_CFG2 0x40004f0eu +#define CYREG_TMR1_SR0 0x40004f0fu +#define CYREG_TMR1_PER0 0x40004f10u +#define CYREG_TMR1_PER1 0x40004f11u +#define CYREG_TMR1_CNT_CMP0 0x40004f12u +#define CYREG_TMR1_CNT_CMP1 0x40004f13u +#define CYREG_TMR1_CAP0 0x40004f14u +#define CYREG_TMR1_CAP1 0x40004f15u +#define CYREG_TMR1_RT0 0x40004f16u +#define CYREG_TMR1_RT1 0x40004f17u +#define CYDEV_TMR2_BASE 0x40004f18u +#define CYDEV_TMR2_SIZE 0x0000000cu +#define CYREG_TMR2_CFG0 0x40004f18u +#define CYREG_TMR2_CFG1 0x40004f19u +#define CYREG_TMR2_CFG2 0x40004f1au +#define CYREG_TMR2_SR0 0x40004f1bu +#define CYREG_TMR2_PER0 0x40004f1cu +#define CYREG_TMR2_PER1 0x40004f1du +#define CYREG_TMR2_CNT_CMP0 0x40004f1eu +#define CYREG_TMR2_CNT_CMP1 0x40004f1fu +#define CYREG_TMR2_CAP0 0x40004f20u +#define CYREG_TMR2_CAP1 0x40004f21u +#define CYREG_TMR2_RT0 0x40004f22u +#define CYREG_TMR2_RT1 0x40004f23u +#define CYDEV_TMR3_BASE 0x40004f24u +#define CYDEV_TMR3_SIZE 0x0000000cu +#define CYREG_TMR3_CFG0 0x40004f24u +#define CYREG_TMR3_CFG1 0x40004f25u +#define CYREG_TMR3_CFG2 0x40004f26u +#define CYREG_TMR3_SR0 0x40004f27u +#define CYREG_TMR3_PER0 0x40004f28u +#define CYREG_TMR3_PER1 0x40004f29u +#define CYREG_TMR3_CNT_CMP0 0x40004f2au +#define CYREG_TMR3_CNT_CMP1 0x40004f2bu +#define CYREG_TMR3_CAP0 0x40004f2cu +#define CYREG_TMR3_CAP1 0x40004f2du +#define CYREG_TMR3_RT0 0x40004f2eu +#define CYREG_TMR3_RT1 0x40004f2fu +#define CYDEV_IO_BASE 0x40005000u +#define CYDEV_IO_SIZE 0x00000200u +#define CYDEV_IO_PC_BASE 0x40005000u +#define CYDEV_IO_PC_SIZE 0x00000080u +#define CYDEV_IO_PC_PRT0_BASE 0x40005000u +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008u +#define CYREG_PRT0_PC0 0x40005000u +#define CYREG_PRT0_PC1 0x40005001u +#define CYREG_PRT0_PC2 0x40005002u +#define CYREG_PRT0_PC3 0x40005003u +#define CYREG_PRT0_PC4 0x40005004u +#define CYREG_PRT0_PC5 0x40005005u +#define CYREG_PRT0_PC6 0x40005006u +#define CYREG_PRT0_PC7 0x40005007u +#define CYDEV_IO_PC_PRT1_BASE 0x40005008u +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008u +#define CYREG_PRT1_PC0 0x40005008u +#define CYREG_PRT1_PC1 0x40005009u +#define CYREG_PRT1_PC2 0x4000500au +#define CYREG_PRT1_PC3 0x4000500bu +#define CYREG_PRT1_PC4 0x4000500cu +#define CYREG_PRT1_PC5 0x4000500du +#define CYREG_PRT1_PC6 0x4000500eu +#define CYREG_PRT1_PC7 0x4000500fu +#define CYDEV_IO_PC_PRT2_BASE 0x40005010u +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008u +#define CYREG_PRT2_PC0 0x40005010u +#define CYREG_PRT2_PC1 0x40005011u +#define CYREG_PRT2_PC2 0x40005012u +#define CYREG_PRT2_PC3 0x40005013u +#define CYREG_PRT2_PC4 0x40005014u +#define CYREG_PRT2_PC5 0x40005015u +#define CYREG_PRT2_PC6 0x40005016u +#define CYREG_PRT2_PC7 0x40005017u +#define CYDEV_IO_PC_PRT3_BASE 0x40005018u +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008u +#define CYREG_PRT3_PC0 0x40005018u +#define CYREG_PRT3_PC1 0x40005019u +#define CYREG_PRT3_PC2 0x4000501au +#define CYREG_PRT3_PC3 0x4000501bu +#define CYREG_PRT3_PC4 0x4000501cu +#define CYREG_PRT3_PC5 0x4000501du +#define CYREG_PRT3_PC6 0x4000501eu +#define CYREG_PRT3_PC7 0x4000501fu +#define CYDEV_IO_PC_PRT4_BASE 0x40005020u +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008u +#define CYREG_PRT4_PC0 0x40005020u +#define CYREG_PRT4_PC1 0x40005021u +#define CYREG_PRT4_PC2 0x40005022u +#define CYREG_PRT4_PC3 0x40005023u +#define CYREG_PRT4_PC4 0x40005024u +#define CYREG_PRT4_PC5 0x40005025u +#define CYREG_PRT4_PC6 0x40005026u +#define CYREG_PRT4_PC7 0x40005027u +#define CYDEV_IO_PC_PRT5_BASE 0x40005028u +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008u +#define CYREG_PRT5_PC0 0x40005028u +#define CYREG_PRT5_PC1 0x40005029u +#define CYREG_PRT5_PC2 0x4000502au +#define CYREG_PRT5_PC3 0x4000502bu +#define CYREG_PRT5_PC4 0x4000502cu +#define CYREG_PRT5_PC5 0x4000502du +#define CYREG_PRT5_PC6 0x4000502eu +#define CYREG_PRT5_PC7 0x4000502fu +#define CYDEV_IO_PC_PRT6_BASE 0x40005030u +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008u +#define CYREG_PRT6_PC0 0x40005030u +#define CYREG_PRT6_PC1 0x40005031u +#define CYREG_PRT6_PC2 0x40005032u +#define CYREG_PRT6_PC3 0x40005033u +#define CYREG_PRT6_PC4 0x40005034u +#define CYREG_PRT6_PC5 0x40005035u +#define CYREG_PRT6_PC6 0x40005036u +#define CYREG_PRT6_PC7 0x40005037u +#define CYDEV_IO_PC_PRT12_BASE 0x40005060u +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008u +#define CYREG_PRT12_PC0 0x40005060u +#define CYREG_PRT12_PC1 0x40005061u +#define CYREG_PRT12_PC2 0x40005062u +#define CYREG_PRT12_PC3 0x40005063u +#define CYREG_PRT12_PC4 0x40005064u +#define CYREG_PRT12_PC5 0x40005065u +#define CYREG_PRT12_PC6 0x40005066u +#define CYREG_PRT12_PC7 0x40005067u +#define CYDEV_IO_PC_PRT15_BASE 0x40005078u +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006u +#define CYREG_IO_PC_PRT15_PC0 0x40005078u +#define CYREG_IO_PC_PRT15_PC1 0x40005079u +#define CYREG_IO_PC_PRT15_PC2 0x4000507au +#define CYREG_IO_PC_PRT15_PC3 0x4000507bu +#define CYREG_IO_PC_PRT15_PC4 0x4000507cu +#define CYREG_IO_PC_PRT15_PC5 0x4000507du +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002u +#define CYREG_IO_PC_PRT15_7_6_PC0 0x4000507eu +#define CYREG_IO_PC_PRT15_7_6_PC1 0x4000507fu +#define CYDEV_IO_DR_BASE 0x40005080u +#define CYDEV_IO_DR_SIZE 0x00000010u +#define CYDEV_IO_DR_PRT0_BASE 0x40005080u +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001u +#define CYREG_PRT0_DR_ALIAS 0x40005080u +#define CYDEV_IO_DR_PRT1_BASE 0x40005081u +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001u +#define CYREG_PRT1_DR_ALIAS 0x40005081u +#define CYDEV_IO_DR_PRT2_BASE 0x40005082u +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001u +#define CYREG_PRT2_DR_ALIAS 0x40005082u +#define CYDEV_IO_DR_PRT3_BASE 0x40005083u +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001u +#define CYREG_PRT3_DR_ALIAS 0x40005083u +#define CYDEV_IO_DR_PRT4_BASE 0x40005084u +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001u +#define CYREG_PRT4_DR_ALIAS 0x40005084u +#define CYDEV_IO_DR_PRT5_BASE 0x40005085u +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001u +#define CYREG_PRT5_DR_ALIAS 0x40005085u +#define CYDEV_IO_DR_PRT6_BASE 0x40005086u +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001u +#define CYREG_PRT6_DR_ALIAS 0x40005086u +#define CYDEV_IO_DR_PRT12_BASE 0x4000508cu +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001u +#define CYREG_PRT12_DR_ALIAS 0x4000508cu +#define CYDEV_IO_DR_PRT15_BASE 0x4000508fu +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001u +#define CYREG_PRT15_DR_15_ALIAS 0x4000508fu +#define CYDEV_IO_PS_BASE 0x40005090u +#define CYDEV_IO_PS_SIZE 0x00000010u +#define CYDEV_IO_PS_PRT0_BASE 0x40005090u +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001u +#define CYREG_PRT0_PS_ALIAS 0x40005090u +#define CYDEV_IO_PS_PRT1_BASE 0x40005091u +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001u +#define CYREG_PRT1_PS_ALIAS 0x40005091u +#define CYDEV_IO_PS_PRT2_BASE 0x40005092u +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001u +#define CYREG_PRT2_PS_ALIAS 0x40005092u +#define CYDEV_IO_PS_PRT3_BASE 0x40005093u +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001u +#define CYREG_PRT3_PS_ALIAS 0x40005093u +#define CYDEV_IO_PS_PRT4_BASE 0x40005094u +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001u +#define CYREG_PRT4_PS_ALIAS 0x40005094u +#define CYDEV_IO_PS_PRT5_BASE 0x40005095u +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001u +#define CYREG_PRT5_PS_ALIAS 0x40005095u +#define CYDEV_IO_PS_PRT6_BASE 0x40005096u +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001u +#define CYREG_PRT6_PS_ALIAS 0x40005096u +#define CYDEV_IO_PS_PRT12_BASE 0x4000509cu +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001u +#define CYREG_PRT12_PS_ALIAS 0x4000509cu +#define CYDEV_IO_PS_PRT15_BASE 0x4000509fu +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001u +#define CYREG_PRT15_PS15_ALIAS 0x4000509fu +#define CYDEV_IO_PRT_BASE 0x40005100u +#define CYDEV_IO_PRT_SIZE 0x00000100u +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100u +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010u +#define CYREG_PRT0_DR 0x40005100u +#define CYREG_PRT0_PS 0x40005101u +#define CYREG_PRT0_DM0 0x40005102u +#define CYREG_PRT0_DM1 0x40005103u +#define CYREG_PRT0_DM2 0x40005104u +#define CYREG_PRT0_SLW 0x40005105u +#define CYREG_PRT0_BYP 0x40005106u +#define CYREG_PRT0_BIE 0x40005107u +#define CYREG_PRT0_INP_DIS 0x40005108u +#define CYREG_PRT0_CTL 0x40005109u +#define CYREG_PRT0_PRT 0x4000510au +#define CYREG_PRT0_BIT_MASK 0x4000510bu +#define CYREG_PRT0_AMUX 0x4000510cu +#define CYREG_PRT0_AG 0x4000510du +#define CYREG_PRT0_LCD_COM_SEG 0x4000510eu +#define CYREG_PRT0_LCD_EN 0x4000510fu +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110u +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010u +#define CYREG_PRT1_DR 0x40005110u +#define CYREG_PRT1_PS 0x40005111u +#define CYREG_PRT1_DM0 0x40005112u +#define CYREG_PRT1_DM1 0x40005113u +#define CYREG_PRT1_DM2 0x40005114u +#define CYREG_PRT1_SLW 0x40005115u +#define CYREG_PRT1_BYP 0x40005116u +#define CYREG_PRT1_BIE 0x40005117u +#define CYREG_PRT1_INP_DIS 0x40005118u +#define CYREG_PRT1_CTL 0x40005119u +#define CYREG_PRT1_PRT 0x4000511au +#define CYREG_PRT1_BIT_MASK 0x4000511bu +#define CYREG_PRT1_AMUX 0x4000511cu +#define CYREG_PRT1_AG 0x4000511du +#define CYREG_PRT1_LCD_COM_SEG 0x4000511eu +#define CYREG_PRT1_LCD_EN 0x4000511fu +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120u +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010u +#define CYREG_PRT2_DR 0x40005120u +#define CYREG_PRT2_PS 0x40005121u +#define CYREG_PRT2_DM0 0x40005122u +#define CYREG_PRT2_DM1 0x40005123u +#define CYREG_PRT2_DM2 0x40005124u +#define CYREG_PRT2_SLW 0x40005125u +#define CYREG_PRT2_BYP 0x40005126u +#define CYREG_PRT2_BIE 0x40005127u +#define CYREG_PRT2_INP_DIS 0x40005128u +#define CYREG_PRT2_CTL 0x40005129u +#define CYREG_PRT2_PRT 0x4000512au +#define CYREG_PRT2_BIT_MASK 0x4000512bu +#define CYREG_PRT2_AMUX 0x4000512cu +#define CYREG_PRT2_AG 0x4000512du +#define CYREG_PRT2_LCD_COM_SEG 0x4000512eu +#define CYREG_PRT2_LCD_EN 0x4000512fu +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130u +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010u +#define CYREG_PRT3_DR 0x40005130u +#define CYREG_PRT3_PS 0x40005131u +#define CYREG_PRT3_DM0 0x40005132u +#define CYREG_PRT3_DM1 0x40005133u +#define CYREG_PRT3_DM2 0x40005134u +#define CYREG_PRT3_SLW 0x40005135u +#define CYREG_PRT3_BYP 0x40005136u +#define CYREG_PRT3_BIE 0x40005137u +#define CYREG_PRT3_INP_DIS 0x40005138u +#define CYREG_PRT3_CTL 0x40005139u +#define CYREG_PRT3_PRT 0x4000513au +#define CYREG_PRT3_BIT_MASK 0x4000513bu +#define CYREG_PRT3_AMUX 0x4000513cu +#define CYREG_PRT3_AG 0x4000513du +#define CYREG_PRT3_LCD_COM_SEG 0x4000513eu +#define CYREG_PRT3_LCD_EN 0x4000513fu +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140u +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010u +#define CYREG_PRT4_DR 0x40005140u +#define CYREG_PRT4_PS 0x40005141u +#define CYREG_PRT4_DM0 0x40005142u +#define CYREG_PRT4_DM1 0x40005143u +#define CYREG_PRT4_DM2 0x40005144u +#define CYREG_PRT4_SLW 0x40005145u +#define CYREG_PRT4_BYP 0x40005146u +#define CYREG_PRT4_BIE 0x40005147u +#define CYREG_PRT4_INP_DIS 0x40005148u +#define CYREG_PRT4_CTL 0x40005149u +#define CYREG_PRT4_PRT 0x4000514au +#define CYREG_PRT4_BIT_MASK 0x4000514bu +#define CYREG_PRT4_AMUX 0x4000514cu +#define CYREG_PRT4_AG 0x4000514du +#define CYREG_PRT4_LCD_COM_SEG 0x4000514eu +#define CYREG_PRT4_LCD_EN 0x4000514fu +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150u +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010u +#define CYREG_PRT5_DR 0x40005150u +#define CYREG_PRT5_PS 0x40005151u +#define CYREG_PRT5_DM0 0x40005152u +#define CYREG_PRT5_DM1 0x40005153u +#define CYREG_PRT5_DM2 0x40005154u +#define CYREG_PRT5_SLW 0x40005155u +#define CYREG_PRT5_BYP 0x40005156u +#define CYREG_PRT5_BIE 0x40005157u +#define CYREG_PRT5_INP_DIS 0x40005158u +#define CYREG_PRT5_CTL 0x40005159u +#define CYREG_PRT5_PRT 0x4000515au +#define CYREG_PRT5_BIT_MASK 0x4000515bu +#define CYREG_PRT5_AMUX 0x4000515cu +#define CYREG_PRT5_AG 0x4000515du +#define CYREG_PRT5_LCD_COM_SEG 0x4000515eu +#define CYREG_PRT5_LCD_EN 0x4000515fu +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160u +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010u +#define CYREG_PRT6_DR 0x40005160u +#define CYREG_PRT6_PS 0x40005161u +#define CYREG_PRT6_DM0 0x40005162u +#define CYREG_PRT6_DM1 0x40005163u +#define CYREG_PRT6_DM2 0x40005164u +#define CYREG_PRT6_SLW 0x40005165u +#define CYREG_PRT6_BYP 0x40005166u +#define CYREG_PRT6_BIE 0x40005167u +#define CYREG_PRT6_INP_DIS 0x40005168u +#define CYREG_PRT6_CTL 0x40005169u +#define CYREG_PRT6_PRT 0x4000516au +#define CYREG_PRT6_BIT_MASK 0x4000516bu +#define CYREG_PRT6_AMUX 0x4000516cu +#define CYREG_PRT6_AG 0x4000516du +#define CYREG_PRT6_LCD_COM_SEG 0x4000516eu +#define CYREG_PRT6_LCD_EN 0x4000516fu +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0u +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010u +#define CYREG_PRT12_DR 0x400051c0u +#define CYREG_PRT12_PS 0x400051c1u +#define CYREG_PRT12_DM0 0x400051c2u +#define CYREG_PRT12_DM1 0x400051c3u +#define CYREG_PRT12_DM2 0x400051c4u +#define CYREG_PRT12_SLW 0x400051c5u +#define CYREG_PRT12_BYP 0x400051c6u +#define CYREG_PRT12_BIE 0x400051c7u +#define CYREG_PRT12_INP_DIS 0x400051c8u +#define CYREG_PRT12_SIO_HYST_EN 0x400051c9u +#define CYREG_PRT12_PRT 0x400051cau +#define CYREG_PRT12_BIT_MASK 0x400051cbu +#define CYREG_PRT12_SIO_REG_HIFREQ 0x400051ccu +#define CYREG_PRT12_AG 0x400051cdu +#define CYREG_PRT12_SIO_CFG 0x400051ceu +#define CYREG_PRT12_SIO_DIFF 0x400051cfu +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0u +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010u +#define CYREG_PRT15_DR 0x400051f0u +#define CYREG_PRT15_PS 0x400051f1u +#define CYREG_PRT15_DM0 0x400051f2u +#define CYREG_PRT15_DM1 0x400051f3u +#define CYREG_PRT15_DM2 0x400051f4u +#define CYREG_PRT15_SLW 0x400051f5u +#define CYREG_PRT15_BYP 0x400051f6u +#define CYREG_PRT15_BIE 0x400051f7u +#define CYREG_PRT15_INP_DIS 0x400051f8u +#define CYREG_PRT15_CTL 0x400051f9u +#define CYREG_PRT15_PRT 0x400051fau +#define CYREG_PRT15_BIT_MASK 0x400051fbu +#define CYREG_PRT15_AMUX 0x400051fcu +#define CYREG_PRT15_AG 0x400051fdu +#define CYREG_PRT15_LCD_COM_SEG 0x400051feu +#define CYREG_PRT15_LCD_EN 0x400051ffu +#define CYDEV_PRTDSI_BASE 0x40005200u +#define CYDEV_PRTDSI_SIZE 0x0000007fu +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200u +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007u +#define CYREG_PRT0_OUT_SEL0 0x40005200u +#define CYREG_PRT0_OUT_SEL1 0x40005201u +#define CYREG_PRT0_OE_SEL0 0x40005202u +#define CYREG_PRT0_OE_SEL1 0x40005203u +#define CYREG_PRT0_DBL_SYNC_IN 0x40005204u +#define CYREG_PRT0_SYNC_OUT 0x40005205u +#define CYREG_PRT0_CAPS_SEL 0x40005206u +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208u +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007u +#define CYREG_PRT1_OUT_SEL0 0x40005208u +#define CYREG_PRT1_OUT_SEL1 0x40005209u +#define CYREG_PRT1_OE_SEL0 0x4000520au +#define CYREG_PRT1_OE_SEL1 0x4000520bu +#define CYREG_PRT1_DBL_SYNC_IN 0x4000520cu +#define CYREG_PRT1_SYNC_OUT 0x4000520du +#define CYREG_PRT1_CAPS_SEL 0x4000520eu +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210u +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007u +#define CYREG_PRT2_OUT_SEL0 0x40005210u +#define CYREG_PRT2_OUT_SEL1 0x40005211u +#define CYREG_PRT2_OE_SEL0 0x40005212u +#define CYREG_PRT2_OE_SEL1 0x40005213u +#define CYREG_PRT2_DBL_SYNC_IN 0x40005214u +#define CYREG_PRT2_SYNC_OUT 0x40005215u +#define CYREG_PRT2_CAPS_SEL 0x40005216u +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218u +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007u +#define CYREG_PRT3_OUT_SEL0 0x40005218u +#define CYREG_PRT3_OUT_SEL1 0x40005219u +#define CYREG_PRT3_OE_SEL0 0x4000521au +#define CYREG_PRT3_OE_SEL1 0x4000521bu +#define CYREG_PRT3_DBL_SYNC_IN 0x4000521cu +#define CYREG_PRT3_SYNC_OUT 0x4000521du +#define CYREG_PRT3_CAPS_SEL 0x4000521eu +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220u +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007u +#define CYREG_PRT4_OUT_SEL0 0x40005220u +#define CYREG_PRT4_OUT_SEL1 0x40005221u +#define CYREG_PRT4_OE_SEL0 0x40005222u +#define CYREG_PRT4_OE_SEL1 0x40005223u +#define CYREG_PRT4_DBL_SYNC_IN 0x40005224u +#define CYREG_PRT4_SYNC_OUT 0x40005225u +#define CYREG_PRT4_CAPS_SEL 0x40005226u +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228u +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007u +#define CYREG_PRT5_OUT_SEL0 0x40005228u +#define CYREG_PRT5_OUT_SEL1 0x40005229u +#define CYREG_PRT5_OE_SEL0 0x4000522au +#define CYREG_PRT5_OE_SEL1 0x4000522bu +#define CYREG_PRT5_DBL_SYNC_IN 0x4000522cu +#define CYREG_PRT5_SYNC_OUT 0x4000522du +#define CYREG_PRT5_CAPS_SEL 0x4000522eu +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230u +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007u +#define CYREG_PRT6_OUT_SEL0 0x40005230u +#define CYREG_PRT6_OUT_SEL1 0x40005231u +#define CYREG_PRT6_OE_SEL0 0x40005232u +#define CYREG_PRT6_OE_SEL1 0x40005233u +#define CYREG_PRT6_DBL_SYNC_IN 0x40005234u +#define CYREG_PRT6_SYNC_OUT 0x40005235u +#define CYREG_PRT6_CAPS_SEL 0x40005236u +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260u +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006u +#define CYREG_PRT12_OUT_SEL0 0x40005260u +#define CYREG_PRT12_OUT_SEL1 0x40005261u +#define CYREG_PRT12_OE_SEL0 0x40005262u +#define CYREG_PRT12_OE_SEL1 0x40005263u +#define CYREG_PRT12_DBL_SYNC_IN 0x40005264u +#define CYREG_PRT12_SYNC_OUT 0x40005265u +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278u +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007u +#define CYREG_PRT15_OUT_SEL0 0x40005278u +#define CYREG_PRT15_OUT_SEL1 0x40005279u +#define CYREG_PRT15_OE_SEL0 0x4000527au +#define CYREG_PRT15_OE_SEL1 0x4000527bu +#define CYREG_PRT15_DBL_SYNC_IN 0x4000527cu +#define CYREG_PRT15_SYNC_OUT 0x4000527du +#define CYREG_PRT15_CAPS_SEL 0x4000527eu +#define CYDEV_EMIF_BASE 0x40005400u +#define CYDEV_EMIF_SIZE 0x00000007u +#define CYREG_EMIF_NO_UDB 0x40005400u +#define CYREG_EMIF_RP_WAIT_STATES 0x40005401u +#define CYREG_EMIF_MEM_DWN 0x40005402u +#define CYREG_EMIF_MEMCLK_DIV 0x40005403u +#define CYREG_EMIF_CLOCK_EN 0x40005404u +#define CYREG_EMIF_EM_TYPE 0x40005405u +#define CYREG_EMIF_WP_WAIT_STATES 0x40005406u +#define CYDEV_ANAIF_BASE 0x40005800u +#define CYDEV_ANAIF_SIZE 0x000003a9u +#define CYDEV_ANAIF_CFG_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SIZE 0x0000010fu +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003u +#define CYREG_SC0_CR0 0x40005800u +#define CYREG_SC0_CR1 0x40005801u +#define CYREG_SC0_CR2 0x40005802u +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003u +#define CYREG_SC1_CR0 0x40005804u +#define CYREG_SC1_CR1 0x40005805u +#define CYREG_SC1_CR2 0x40005806u +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003u +#define CYREG_SC2_CR0 0x40005808u +#define CYREG_SC2_CR1 0x40005809u +#define CYREG_SC2_CR2 0x4000580au +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003u +#define CYREG_SC3_CR0 0x4000580cu +#define CYREG_SC3_CR1 0x4000580du +#define CYREG_SC3_CR2 0x4000580eu +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003u +#define CYREG_DAC0_CR0 0x40005820u +#define CYREG_DAC0_CR1 0x40005821u +#define CYREG_DAC0_TST 0x40005822u +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003u +#define CYREG_DAC1_CR0 0x40005824u +#define CYREG_DAC1_CR1 0x40005825u +#define CYREG_DAC1_TST 0x40005826u +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003u +#define CYREG_DAC2_CR0 0x40005828u +#define CYREG_DAC2_CR1 0x40005829u +#define CYREG_DAC2_TST 0x4000582au +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003u +#define CYREG_DAC3_CR0 0x4000582cu +#define CYREG_DAC3_CR1 0x4000582du +#define CYREG_DAC3_TST 0x4000582eu +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840u +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001u +#define CYREG_CMP0_CR 0x40005840u +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841u +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001u +#define CYREG_CMP1_CR 0x40005841u +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842u +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001u +#define CYREG_CMP2_CR 0x40005842u +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843u +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001u +#define CYREG_CMP3_CR 0x40005843u +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002u +#define CYREG_LUT0_CR 0x40005848u +#define CYREG_LUT0_MX 0x40005849u +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002u +#define CYREG_LUT1_CR 0x4000584au +#define CYREG_LUT1_MX 0x4000584bu +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002u +#define CYREG_LUT2_CR 0x4000584cu +#define CYREG_LUT2_MX 0x4000584du +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002u +#define CYREG_LUT3_CR 0x4000584eu +#define CYREG_LUT3_MX 0x4000584fu +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_CR 0x40005858u +#define CYREG_OPAMP0_RSVD 0x40005859u +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_CR 0x4000585au +#define CYREG_OPAMP1_RSVD 0x4000585bu +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_CR 0x4000585cu +#define CYREG_OPAMP2_RSVD 0x4000585du +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_CR 0x4000585eu +#define CYREG_OPAMP3_RSVD 0x4000585fu +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002u +#define CYREG_LCDDAC_CR0 0x40005868u +#define CYREG_LCDDAC_CR1 0x40005869u +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586au +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001u +#define CYREG_LCDDRV_CR 0x4000586au +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586bu +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001u +#define CYREG_LCDTMR_CFG 0x4000586bu +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004u +#define CYREG_BG_CR0 0x4000586cu +#define CYREG_BG_RSVD 0x4000586du +#define CYREG_BG_DFT0 0x4000586eu +#define CYREG_BG_DFT1 0x4000586fu +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002u +#define CYREG_CAPSL_CFG0 0x40005870u +#define CYREG_CAPSL_CFG1 0x40005871u +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002u +#define CYREG_CAPSR_CFG0 0x40005872u +#define CYREG_CAPSR_CFG1 0x40005873u +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002u +#define CYREG_PUMP_CR0 0x40005876u +#define CYREG_PUMP_CR1 0x40005877u +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002u +#define CYREG_LPF0_CR0 0x40005878u +#define CYREG_LPF0_RSVD 0x40005879u +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002u +#define CYREG_LPF1_CR0 0x4000587au +#define CYREG_LPF1_RSVD 0x4000587bu +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587cu +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001u +#define CYREG_ANAIF_CFG_MISC_CR0 0x4000587cu +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020u +#define CYREG_DSM0_CR0 0x40005880u +#define CYREG_DSM0_CR1 0x40005881u +#define CYREG_DSM0_CR2 0x40005882u +#define CYREG_DSM0_CR3 0x40005883u +#define CYREG_DSM0_CR4 0x40005884u +#define CYREG_DSM0_CR5 0x40005885u +#define CYREG_DSM0_CR6 0x40005886u +#define CYREG_DSM0_CR7 0x40005887u +#define CYREG_DSM0_CR8 0x40005888u +#define CYREG_DSM0_CR9 0x40005889u +#define CYREG_DSM0_CR10 0x4000588au +#define CYREG_DSM0_CR11 0x4000588bu +#define CYREG_DSM0_CR12 0x4000588cu +#define CYREG_DSM0_CR13 0x4000588du +#define CYREG_DSM0_CR14 0x4000588eu +#define CYREG_DSM0_CR15 0x4000588fu +#define CYREG_DSM0_CR16 0x40005890u +#define CYREG_DSM0_CR17 0x40005891u +#define CYREG_DSM0_REF0 0x40005892u +#define CYREG_DSM0_REF1 0x40005893u +#define CYREG_DSM0_REF2 0x40005894u +#define CYREG_DSM0_REF3 0x40005895u +#define CYREG_DSM0_DEM0 0x40005896u +#define CYREG_DSM0_DEM1 0x40005897u +#define CYREG_DSM0_TST0 0x40005898u +#define CYREG_DSM0_TST1 0x40005899u +#define CYREG_DSM0_BUF0 0x4000589au +#define CYREG_DSM0_BUF1 0x4000589bu +#define CYREG_DSM0_BUF2 0x4000589cu +#define CYREG_DSM0_BUF3 0x4000589du +#define CYREG_DSM0_MISC 0x4000589eu +#define CYREG_DSM0_RSVD1 0x4000589fu +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007u +#define CYREG_SAR0_CSR0 0x40005900u +#define CYREG_SAR0_CSR1 0x40005901u +#define CYREG_SAR0_CSR2 0x40005902u +#define CYREG_SAR0_CSR3 0x40005903u +#define CYREG_SAR0_CSR4 0x40005904u +#define CYREG_SAR0_CSR5 0x40005905u +#define CYREG_SAR0_CSR6 0x40005906u +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007u +#define CYREG_SAR1_CSR0 0x40005908u +#define CYREG_SAR1_CSR1 0x40005909u +#define CYREG_SAR1_CSR2 0x4000590au +#define CYREG_SAR1_CSR3 0x4000590bu +#define CYREG_SAR1_CSR4 0x4000590cu +#define CYREG_SAR1_CSR5 0x4000590du +#define CYREG_SAR1_CSR6 0x4000590eu +#define CYDEV_ANAIF_RT_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SIZE 0x00000162u +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000du +#define CYREG_SC0_SW0 0x40005a00u +#define CYREG_SC0_SW2 0x40005a02u +#define CYREG_SC0_SW3 0x40005a03u +#define CYREG_SC0_SW4 0x40005a04u +#define CYREG_SC0_SW6 0x40005a06u +#define CYREG_SC0_SW7 0x40005a07u +#define CYREG_SC0_SW8 0x40005a08u +#define CYREG_SC0_SW10 0x40005a0au +#define CYREG_SC0_CLK 0x40005a0bu +#define CYREG_SC0_BST 0x40005a0cu +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000du +#define CYREG_SC1_SW0 0x40005a10u +#define CYREG_SC1_SW2 0x40005a12u +#define CYREG_SC1_SW3 0x40005a13u +#define CYREG_SC1_SW4 0x40005a14u +#define CYREG_SC1_SW6 0x40005a16u +#define CYREG_SC1_SW7 0x40005a17u +#define CYREG_SC1_SW8 0x40005a18u +#define CYREG_SC1_SW10 0x40005a1au +#define CYREG_SC1_CLK 0x40005a1bu +#define CYREG_SC1_BST 0x40005a1cu +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000du +#define CYREG_SC2_SW0 0x40005a20u +#define CYREG_SC2_SW2 0x40005a22u +#define CYREG_SC2_SW3 0x40005a23u +#define CYREG_SC2_SW4 0x40005a24u +#define CYREG_SC2_SW6 0x40005a26u +#define CYREG_SC2_SW7 0x40005a27u +#define CYREG_SC2_SW8 0x40005a28u +#define CYREG_SC2_SW10 0x40005a2au +#define CYREG_SC2_CLK 0x40005a2bu +#define CYREG_SC2_BST 0x40005a2cu +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000du +#define CYREG_SC3_SW0 0x40005a30u +#define CYREG_SC3_SW2 0x40005a32u +#define CYREG_SC3_SW3 0x40005a33u +#define CYREG_SC3_SW4 0x40005a34u +#define CYREG_SC3_SW6 0x40005a36u +#define CYREG_SC3_SW7 0x40005a37u +#define CYREG_SC3_SW8 0x40005a38u +#define CYREG_SC3_SW10 0x40005a3au +#define CYREG_SC3_CLK 0x40005a3bu +#define CYREG_SC3_BST 0x40005a3cu +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008u +#define CYREG_DAC0_SW0 0x40005a80u +#define CYREG_DAC0_SW2 0x40005a82u +#define CYREG_DAC0_SW3 0x40005a83u +#define CYREG_DAC0_SW4 0x40005a84u +#define CYREG_DAC0_STROBE 0x40005a87u +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008u +#define CYREG_DAC1_SW0 0x40005a88u +#define CYREG_DAC1_SW2 0x40005a8au +#define CYREG_DAC1_SW3 0x40005a8bu +#define CYREG_DAC1_SW4 0x40005a8cu +#define CYREG_DAC1_STROBE 0x40005a8fu +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008u +#define CYREG_DAC2_SW0 0x40005a90u +#define CYREG_DAC2_SW2 0x40005a92u +#define CYREG_DAC2_SW3 0x40005a93u +#define CYREG_DAC2_SW4 0x40005a94u +#define CYREG_DAC2_STROBE 0x40005a97u +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008u +#define CYREG_DAC3_SW0 0x40005a98u +#define CYREG_DAC3_SW2 0x40005a9au +#define CYREG_DAC3_SW3 0x40005a9bu +#define CYREG_DAC3_SW4 0x40005a9cu +#define CYREG_DAC3_STROBE 0x40005a9fu +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008u +#define CYREG_CMP0_SW0 0x40005ac0u +#define CYREG_CMP0_SW2 0x40005ac2u +#define CYREG_CMP0_SW3 0x40005ac3u +#define CYREG_CMP0_SW4 0x40005ac4u +#define CYREG_CMP0_SW6 0x40005ac6u +#define CYREG_CMP0_CLK 0x40005ac7u +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008u +#define CYREG_CMP1_SW0 0x40005ac8u +#define CYREG_CMP1_SW2 0x40005acau +#define CYREG_CMP1_SW3 0x40005acbu +#define CYREG_CMP1_SW4 0x40005accu +#define CYREG_CMP1_SW6 0x40005aceu +#define CYREG_CMP1_CLK 0x40005acfu +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008u +#define CYREG_CMP2_SW0 0x40005ad0u +#define CYREG_CMP2_SW2 0x40005ad2u +#define CYREG_CMP2_SW3 0x40005ad3u +#define CYREG_CMP2_SW4 0x40005ad4u +#define CYREG_CMP2_SW6 0x40005ad6u +#define CYREG_CMP2_CLK 0x40005ad7u +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008u +#define CYREG_CMP3_SW0 0x40005ad8u +#define CYREG_CMP3_SW2 0x40005adau +#define CYREG_CMP3_SW3 0x40005adbu +#define CYREG_CMP3_SW4 0x40005adcu +#define CYREG_CMP3_SW6 0x40005adeu +#define CYREG_CMP3_CLK 0x40005adfu +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008u +#define CYREG_DSM0_SW0 0x40005b00u +#define CYREG_DSM0_SW2 0x40005b02u +#define CYREG_DSM0_SW3 0x40005b03u +#define CYREG_DSM0_SW4 0x40005b04u +#define CYREG_DSM0_SW6 0x40005b06u +#define CYREG_DSM0_CLK 0x40005b07u +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008u +#define CYREG_SAR0_SW0 0x40005b20u +#define CYREG_SAR0_SW2 0x40005b22u +#define CYREG_SAR0_SW3 0x40005b23u +#define CYREG_SAR0_SW4 0x40005b24u +#define CYREG_SAR0_SW6 0x40005b26u +#define CYREG_SAR0_CLK 0x40005b27u +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008u +#define CYREG_SAR1_SW0 0x40005b28u +#define CYREG_SAR1_SW2 0x40005b2au +#define CYREG_SAR1_SW3 0x40005b2bu +#define CYREG_SAR1_SW4 0x40005b2cu +#define CYREG_SAR1_SW6 0x40005b2eu +#define CYREG_SAR1_CLK 0x40005b2fu +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_MX 0x40005b40u +#define CYREG_OPAMP0_SW 0x40005b41u +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_MX 0x40005b42u +#define CYREG_OPAMP1_SW 0x40005b43u +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_MX 0x40005b44u +#define CYREG_OPAMP2_SW 0x40005b45u +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_MX 0x40005b46u +#define CYREG_OPAMP3_SW 0x40005b47u +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005u +#define CYREG_LCDDAC_SW0 0x40005b50u +#define CYREG_LCDDAC_SW1 0x40005b51u +#define CYREG_LCDDAC_SW2 0x40005b52u +#define CYREG_LCDDAC_SW3 0x40005b53u +#define CYREG_LCDDAC_SW4 0x40005b54u +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56u +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001u +#define CYREG_SC_MISC 0x40005b56u +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004u +#define CYREG_BUS_SW0 0x40005b58u +#define CYREG_BUS_SW2 0x40005b5au +#define CYREG_BUS_SW3 0x40005b5bu +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006u +#define CYREG_DFT_CR0 0x40005b5cu +#define CYREG_DFT_CR1 0x40005b5du +#define CYREG_DFT_CR2 0x40005b5eu +#define CYREG_DFT_CR3 0x40005b5fu +#define CYREG_DFT_CR4 0x40005b60u +#define CYREG_DFT_CR5 0x40005b61u +#define CYDEV_ANAIF_WRK_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_SIZE 0x00000029u +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001u +#define CYREG_DAC0_D 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001u +#define CYREG_DAC1_D 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001u +#define CYREG_DAC2_D 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83u +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001u +#define CYREG_DAC3_D 0x40005b83u +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002u +#define CYREG_DSM0_OUT0 0x40005b88u +#define CYREG_DSM0_OUT1 0x40005b89u +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005u +#define CYREG_LUT_SR 0x40005b90u +#define CYREG_LUT_WRK1 0x40005b91u +#define CYREG_LUT_MSK 0x40005b92u +#define CYREG_LUT_CLK 0x40005b93u +#define CYREG_LUT_CPTR 0x40005b94u +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002u +#define CYREG_CMP_WRK 0x40005b96u +#define CYREG_CMP_TST 0x40005b97u +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005u +#define CYREG_SC_SR 0x40005b98u +#define CYREG_SC_WRK1 0x40005b99u +#define CYREG_SC_MSK 0x40005b9au +#define CYREG_SC_CMPINV 0x40005b9bu +#define CYREG_SC_CPTR 0x40005b9cu +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002u +#define CYREG_SAR0_WRK0 0x40005ba0u +#define CYREG_SAR0_WRK1 0x40005ba1u +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002u +#define CYREG_SAR1_WRK0 0x40005ba2u +#define CYREG_SAR1_WRK1 0x40005ba3u +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8u +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001u +#define CYREG_ANAIF_WRK_SARS_SOF 0x40005ba8u +#define CYDEV_USB_BASE 0x40006000u +#define CYDEV_USB_SIZE 0x00000300u +#define CYREG_USB_EP0_DR0 0x40006000u +#define CYREG_USB_EP0_DR1 0x40006001u +#define CYREG_USB_EP0_DR2 0x40006002u +#define CYREG_USB_EP0_DR3 0x40006003u +#define CYREG_USB_EP0_DR4 0x40006004u +#define CYREG_USB_EP0_DR5 0x40006005u +#define CYREG_USB_EP0_DR6 0x40006006u +#define CYREG_USB_EP0_DR7 0x40006007u +#define CYREG_USB_CR0 0x40006008u +#define CYREG_USB_CR1 0x40006009u +#define CYREG_USB_SIE_EP_INT_EN 0x4000600au +#define CYREG_USB_SIE_EP_INT_SR 0x4000600bu +#define CYDEV_USB_SIE_EP1_BASE 0x4000600cu +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003u +#define CYREG_USB_SIE_EP1_CNT0 0x4000600cu +#define CYREG_USB_SIE_EP1_CNT1 0x4000600du +#define CYREG_USB_SIE_EP1_CR0 0x4000600eu +#define CYREG_USB_USBIO_CR0 0x40006010u +#define CYREG_USB_USBIO_CR1 0x40006012u +#define CYREG_USB_DYN_RECONFIG 0x40006014u +#define CYREG_USB_SOF0 0x40006018u +#define CYREG_USB_SOF1 0x40006019u +#define CYDEV_USB_SIE_EP2_BASE 0x4000601cu +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003u +#define CYREG_USB_SIE_EP2_CNT0 0x4000601cu +#define CYREG_USB_SIE_EP2_CNT1 0x4000601du +#define CYREG_USB_SIE_EP2_CR0 0x4000601eu +#define CYREG_USB_EP0_CR 0x40006028u +#define CYREG_USB_EP0_CNT 0x40006029u +#define CYDEV_USB_SIE_EP3_BASE 0x4000602cu +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003u +#define CYREG_USB_SIE_EP3_CNT0 0x4000602cu +#define CYREG_USB_SIE_EP3_CNT1 0x4000602du +#define CYREG_USB_SIE_EP3_CR0 0x4000602eu +#define CYDEV_USB_SIE_EP4_BASE 0x4000603cu +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003u +#define CYREG_USB_SIE_EP4_CNT0 0x4000603cu +#define CYREG_USB_SIE_EP4_CNT1 0x4000603du +#define CYREG_USB_SIE_EP4_CR0 0x4000603eu +#define CYDEV_USB_SIE_EP5_BASE 0x4000604cu +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003u +#define CYREG_USB_SIE_EP5_CNT0 0x4000604cu +#define CYREG_USB_SIE_EP5_CNT1 0x4000604du +#define CYREG_USB_SIE_EP5_CR0 0x4000604eu +#define CYDEV_USB_SIE_EP6_BASE 0x4000605cu +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003u +#define CYREG_USB_SIE_EP6_CNT0 0x4000605cu +#define CYREG_USB_SIE_EP6_CNT1 0x4000605du +#define CYREG_USB_SIE_EP6_CR0 0x4000605eu +#define CYDEV_USB_SIE_EP7_BASE 0x4000606cu +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003u +#define CYREG_USB_SIE_EP7_CNT0 0x4000606cu +#define CYREG_USB_SIE_EP7_CNT1 0x4000606du +#define CYREG_USB_SIE_EP7_CR0 0x4000606eu +#define CYDEV_USB_SIE_EP8_BASE 0x4000607cu +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003u +#define CYREG_USB_SIE_EP8_CNT0 0x4000607cu +#define CYREG_USB_SIE_EP8_CNT1 0x4000607du +#define CYREG_USB_SIE_EP8_CR0 0x4000607eu +#define CYDEV_USB_ARB_EP1_BASE 0x40006080u +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003u +#define CYREG_USB_ARB_EP1_CFG 0x40006080u +#define CYREG_USB_ARB_EP1_INT_EN 0x40006081u +#define CYREG_USB_ARB_EP1_SR 0x40006082u +#define CYDEV_USB_ARB_RW1_BASE 0x40006084u +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005u +#define CYREG_USB_ARB_RW1_WA 0x40006084u +#define CYREG_USB_ARB_RW1_WA_MSB 0x40006085u +#define CYREG_USB_ARB_RW1_RA 0x40006086u +#define CYREG_USB_ARB_RW1_RA_MSB 0x40006087u +#define CYREG_USB_ARB_RW1_DR 0x40006088u +#define CYREG_USB_BUF_SIZE 0x4000608cu +#define CYREG_USB_EP_ACTIVE 0x4000608eu +#define CYREG_USB_EP_TYPE 0x4000608fu +#define CYDEV_USB_ARB_EP2_BASE 0x40006090u +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003u +#define CYREG_USB_ARB_EP2_CFG 0x40006090u +#define CYREG_USB_ARB_EP2_INT_EN 0x40006091u +#define CYREG_USB_ARB_EP2_SR 0x40006092u +#define CYDEV_USB_ARB_RW2_BASE 0x40006094u +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005u +#define CYREG_USB_ARB_RW2_WA 0x40006094u +#define CYREG_USB_ARB_RW2_WA_MSB 0x40006095u +#define CYREG_USB_ARB_RW2_RA 0x40006096u +#define CYREG_USB_ARB_RW2_RA_MSB 0x40006097u +#define CYREG_USB_ARB_RW2_DR 0x40006098u +#define CYREG_USB_ARB_CFG 0x4000609cu +#define CYREG_USB_USB_CLK_EN 0x4000609du +#define CYREG_USB_ARB_INT_EN 0x4000609eu +#define CYREG_USB_ARB_INT_SR 0x4000609fu +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0u +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003u +#define CYREG_USB_ARB_EP3_CFG 0x400060a0u +#define CYREG_USB_ARB_EP3_INT_EN 0x400060a1u +#define CYREG_USB_ARB_EP3_SR 0x400060a2u +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4u +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005u +#define CYREG_USB_ARB_RW3_WA 0x400060a4u +#define CYREG_USB_ARB_RW3_WA_MSB 0x400060a5u +#define CYREG_USB_ARB_RW3_RA 0x400060a6u +#define CYREG_USB_ARB_RW3_RA_MSB 0x400060a7u +#define CYREG_USB_ARB_RW3_DR 0x400060a8u +#define CYREG_USB_CWA 0x400060acu +#define CYREG_USB_CWA_MSB 0x400060adu +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0u +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003u +#define CYREG_USB_ARB_EP4_CFG 0x400060b0u +#define CYREG_USB_ARB_EP4_INT_EN 0x400060b1u +#define CYREG_USB_ARB_EP4_SR 0x400060b2u +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4u +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005u +#define CYREG_USB_ARB_RW4_WA 0x400060b4u +#define CYREG_USB_ARB_RW4_WA_MSB 0x400060b5u +#define CYREG_USB_ARB_RW4_RA 0x400060b6u +#define CYREG_USB_ARB_RW4_RA_MSB 0x400060b7u +#define CYREG_USB_ARB_RW4_DR 0x400060b8u +#define CYREG_USB_DMA_THRES 0x400060bcu +#define CYREG_USB_DMA_THRES_MSB 0x400060bdu +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0u +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003u +#define CYREG_USB_ARB_EP5_CFG 0x400060c0u +#define CYREG_USB_ARB_EP5_INT_EN 0x400060c1u +#define CYREG_USB_ARB_EP5_SR 0x400060c2u +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4u +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005u +#define CYREG_USB_ARB_RW5_WA 0x400060c4u +#define CYREG_USB_ARB_RW5_WA_MSB 0x400060c5u +#define CYREG_USB_ARB_RW5_RA 0x400060c6u +#define CYREG_USB_ARB_RW5_RA_MSB 0x400060c7u +#define CYREG_USB_ARB_RW5_DR 0x400060c8u +#define CYREG_USB_BUS_RST_CNT 0x400060ccu +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0u +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003u +#define CYREG_USB_ARB_EP6_CFG 0x400060d0u +#define CYREG_USB_ARB_EP6_INT_EN 0x400060d1u +#define CYREG_USB_ARB_EP6_SR 0x400060d2u +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4u +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005u +#define CYREG_USB_ARB_RW6_WA 0x400060d4u +#define CYREG_USB_ARB_RW6_WA_MSB 0x400060d5u +#define CYREG_USB_ARB_RW6_RA 0x400060d6u +#define CYREG_USB_ARB_RW6_RA_MSB 0x400060d7u +#define CYREG_USB_ARB_RW6_DR 0x400060d8u +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0u +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003u +#define CYREG_USB_ARB_EP7_CFG 0x400060e0u +#define CYREG_USB_ARB_EP7_INT_EN 0x400060e1u +#define CYREG_USB_ARB_EP7_SR 0x400060e2u +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4u +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005u +#define CYREG_USB_ARB_RW7_WA 0x400060e4u +#define CYREG_USB_ARB_RW7_WA_MSB 0x400060e5u +#define CYREG_USB_ARB_RW7_RA 0x400060e6u +#define CYREG_USB_ARB_RW7_RA_MSB 0x400060e7u +#define CYREG_USB_ARB_RW7_DR 0x400060e8u +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0u +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003u +#define CYREG_USB_ARB_EP8_CFG 0x400060f0u +#define CYREG_USB_ARB_EP8_INT_EN 0x400060f1u +#define CYREG_USB_ARB_EP8_SR 0x400060f2u +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4u +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005u +#define CYREG_USB_ARB_RW8_WA 0x400060f4u +#define CYREG_USB_ARB_RW8_WA_MSB 0x400060f5u +#define CYREG_USB_ARB_RW8_RA 0x400060f6u +#define CYREG_USB_ARB_RW8_RA_MSB 0x400060f7u +#define CYREG_USB_ARB_RW8_DR 0x400060f8u +#define CYDEV_USB_MEM_BASE 0x40006100u +#define CYDEV_USB_MEM_SIZE 0x00000200u +#define CYREG_USB_MEM_DATA_MBASE 0x40006100u +#define CYREG_USB_MEM_DATA_MSIZE 0x00000200u +#define CYDEV_UWRK_BASE 0x40006400u +#define CYDEV_UWRK_SIZE 0x00000b60u +#define CYDEV_UWRK_UWRK8_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0u +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0u +#define CYREG_B0_UDB00_A0 0x40006400u +#define CYREG_B0_UDB01_A0 0x40006401u +#define CYREG_B0_UDB02_A0 0x40006402u +#define CYREG_B0_UDB03_A0 0x40006403u +#define CYREG_B0_UDB04_A0 0x40006404u +#define CYREG_B0_UDB05_A0 0x40006405u +#define CYREG_B0_UDB06_A0 0x40006406u +#define CYREG_B0_UDB07_A0 0x40006407u +#define CYREG_B0_UDB08_A0 0x40006408u +#define CYREG_B0_UDB09_A0 0x40006409u +#define CYREG_B0_UDB10_A0 0x4000640au +#define CYREG_B0_UDB11_A0 0x4000640bu +#define CYREG_B0_UDB12_A0 0x4000640cu +#define CYREG_B0_UDB13_A0 0x4000640du +#define CYREG_B0_UDB14_A0 0x4000640eu +#define CYREG_B0_UDB15_A0 0x4000640fu +#define CYREG_B0_UDB00_A1 0x40006410u +#define CYREG_B0_UDB01_A1 0x40006411u +#define CYREG_B0_UDB02_A1 0x40006412u +#define CYREG_B0_UDB03_A1 0x40006413u +#define CYREG_B0_UDB04_A1 0x40006414u +#define CYREG_B0_UDB05_A1 0x40006415u +#define CYREG_B0_UDB06_A1 0x40006416u +#define CYREG_B0_UDB07_A1 0x40006417u +#define CYREG_B0_UDB08_A1 0x40006418u +#define CYREG_B0_UDB09_A1 0x40006419u +#define CYREG_B0_UDB10_A1 0x4000641au +#define CYREG_B0_UDB11_A1 0x4000641bu +#define CYREG_B0_UDB12_A1 0x4000641cu +#define CYREG_B0_UDB13_A1 0x4000641du +#define CYREG_B0_UDB14_A1 0x4000641eu +#define CYREG_B0_UDB15_A1 0x4000641fu +#define CYREG_B0_UDB00_D0 0x40006420u +#define CYREG_B0_UDB01_D0 0x40006421u +#define CYREG_B0_UDB02_D0 0x40006422u +#define CYREG_B0_UDB03_D0 0x40006423u +#define CYREG_B0_UDB04_D0 0x40006424u +#define CYREG_B0_UDB05_D0 0x40006425u +#define CYREG_B0_UDB06_D0 0x40006426u +#define CYREG_B0_UDB07_D0 0x40006427u +#define CYREG_B0_UDB08_D0 0x40006428u +#define CYREG_B0_UDB09_D0 0x40006429u +#define CYREG_B0_UDB10_D0 0x4000642au +#define CYREG_B0_UDB11_D0 0x4000642bu +#define CYREG_B0_UDB12_D0 0x4000642cu +#define CYREG_B0_UDB13_D0 0x4000642du +#define CYREG_B0_UDB14_D0 0x4000642eu +#define CYREG_B0_UDB15_D0 0x4000642fu +#define CYREG_B0_UDB00_D1 0x40006430u +#define CYREG_B0_UDB01_D1 0x40006431u +#define CYREG_B0_UDB02_D1 0x40006432u +#define CYREG_B0_UDB03_D1 0x40006433u +#define CYREG_B0_UDB04_D1 0x40006434u +#define CYREG_B0_UDB05_D1 0x40006435u +#define CYREG_B0_UDB06_D1 0x40006436u +#define CYREG_B0_UDB07_D1 0x40006437u +#define CYREG_B0_UDB08_D1 0x40006438u +#define CYREG_B0_UDB09_D1 0x40006439u +#define CYREG_B0_UDB10_D1 0x4000643au +#define CYREG_B0_UDB11_D1 0x4000643bu +#define CYREG_B0_UDB12_D1 0x4000643cu +#define CYREG_B0_UDB13_D1 0x4000643du +#define CYREG_B0_UDB14_D1 0x4000643eu +#define CYREG_B0_UDB15_D1 0x4000643fu +#define CYREG_B0_UDB00_F0 0x40006440u +#define CYREG_B0_UDB01_F0 0x40006441u +#define CYREG_B0_UDB02_F0 0x40006442u +#define CYREG_B0_UDB03_F0 0x40006443u +#define CYREG_B0_UDB04_F0 0x40006444u +#define CYREG_B0_UDB05_F0 0x40006445u +#define CYREG_B0_UDB06_F0 0x40006446u +#define CYREG_B0_UDB07_F0 0x40006447u +#define CYREG_B0_UDB08_F0 0x40006448u +#define CYREG_B0_UDB09_F0 0x40006449u +#define CYREG_B0_UDB10_F0 0x4000644au +#define CYREG_B0_UDB11_F0 0x4000644bu +#define CYREG_B0_UDB12_F0 0x4000644cu +#define CYREG_B0_UDB13_F0 0x4000644du +#define CYREG_B0_UDB14_F0 0x4000644eu +#define CYREG_B0_UDB15_F0 0x4000644fu +#define CYREG_B0_UDB00_F1 0x40006450u +#define CYREG_B0_UDB01_F1 0x40006451u +#define CYREG_B0_UDB02_F1 0x40006452u +#define CYREG_B0_UDB03_F1 0x40006453u +#define CYREG_B0_UDB04_F1 0x40006454u +#define CYREG_B0_UDB05_F1 0x40006455u +#define CYREG_B0_UDB06_F1 0x40006456u +#define CYREG_B0_UDB07_F1 0x40006457u +#define CYREG_B0_UDB08_F1 0x40006458u +#define CYREG_B0_UDB09_F1 0x40006459u +#define CYREG_B0_UDB10_F1 0x4000645au +#define CYREG_B0_UDB11_F1 0x4000645bu +#define CYREG_B0_UDB12_F1 0x4000645cu +#define CYREG_B0_UDB13_F1 0x4000645du +#define CYREG_B0_UDB14_F1 0x4000645eu +#define CYREG_B0_UDB15_F1 0x4000645fu +#define CYREG_B0_UDB00_ST 0x40006460u +#define CYREG_B0_UDB01_ST 0x40006461u +#define CYREG_B0_UDB02_ST 0x40006462u +#define CYREG_B0_UDB03_ST 0x40006463u +#define CYREG_B0_UDB04_ST 0x40006464u +#define CYREG_B0_UDB05_ST 0x40006465u +#define CYREG_B0_UDB06_ST 0x40006466u +#define CYREG_B0_UDB07_ST 0x40006467u +#define CYREG_B0_UDB08_ST 0x40006468u +#define CYREG_B0_UDB09_ST 0x40006469u +#define CYREG_B0_UDB10_ST 0x4000646au +#define CYREG_B0_UDB11_ST 0x4000646bu +#define CYREG_B0_UDB12_ST 0x4000646cu +#define CYREG_B0_UDB13_ST 0x4000646du +#define CYREG_B0_UDB14_ST 0x4000646eu +#define CYREG_B0_UDB15_ST 0x4000646fu +#define CYREG_B0_UDB00_CTL 0x40006470u +#define CYREG_B0_UDB01_CTL 0x40006471u +#define CYREG_B0_UDB02_CTL 0x40006472u +#define CYREG_B0_UDB03_CTL 0x40006473u +#define CYREG_B0_UDB04_CTL 0x40006474u +#define CYREG_B0_UDB05_CTL 0x40006475u +#define CYREG_B0_UDB06_CTL 0x40006476u +#define CYREG_B0_UDB07_CTL 0x40006477u +#define CYREG_B0_UDB08_CTL 0x40006478u +#define CYREG_B0_UDB09_CTL 0x40006479u +#define CYREG_B0_UDB10_CTL 0x4000647au +#define CYREG_B0_UDB11_CTL 0x4000647bu +#define CYREG_B0_UDB12_CTL 0x4000647cu +#define CYREG_B0_UDB13_CTL 0x4000647du +#define CYREG_B0_UDB14_CTL 0x4000647eu +#define CYREG_B0_UDB15_CTL 0x4000647fu +#define CYREG_B0_UDB00_MSK 0x40006480u +#define CYREG_B0_UDB01_MSK 0x40006481u +#define CYREG_B0_UDB02_MSK 0x40006482u +#define CYREG_B0_UDB03_MSK 0x40006483u +#define CYREG_B0_UDB04_MSK 0x40006484u +#define CYREG_B0_UDB05_MSK 0x40006485u +#define CYREG_B0_UDB06_MSK 0x40006486u +#define CYREG_B0_UDB07_MSK 0x40006487u +#define CYREG_B0_UDB08_MSK 0x40006488u +#define CYREG_B0_UDB09_MSK 0x40006489u +#define CYREG_B0_UDB10_MSK 0x4000648au +#define CYREG_B0_UDB11_MSK 0x4000648bu +#define CYREG_B0_UDB12_MSK 0x4000648cu +#define CYREG_B0_UDB13_MSK 0x4000648du +#define CYREG_B0_UDB14_MSK 0x4000648eu +#define CYREG_B0_UDB15_MSK 0x4000648fu +#define CYREG_B0_UDB00_ACTL 0x40006490u +#define CYREG_B0_UDB01_ACTL 0x40006491u +#define CYREG_B0_UDB02_ACTL 0x40006492u +#define CYREG_B0_UDB03_ACTL 0x40006493u +#define CYREG_B0_UDB04_ACTL 0x40006494u +#define CYREG_B0_UDB05_ACTL 0x40006495u +#define CYREG_B0_UDB06_ACTL 0x40006496u +#define CYREG_B0_UDB07_ACTL 0x40006497u +#define CYREG_B0_UDB08_ACTL 0x40006498u +#define CYREG_B0_UDB09_ACTL 0x40006499u +#define CYREG_B0_UDB10_ACTL 0x4000649au +#define CYREG_B0_UDB11_ACTL 0x4000649bu +#define CYREG_B0_UDB12_ACTL 0x4000649cu +#define CYREG_B0_UDB13_ACTL 0x4000649du +#define CYREG_B0_UDB14_ACTL 0x4000649eu +#define CYREG_B0_UDB15_ACTL 0x4000649fu +#define CYREG_B0_UDB00_MC 0x400064a0u +#define CYREG_B0_UDB01_MC 0x400064a1u +#define CYREG_B0_UDB02_MC 0x400064a2u +#define CYREG_B0_UDB03_MC 0x400064a3u +#define CYREG_B0_UDB04_MC 0x400064a4u +#define CYREG_B0_UDB05_MC 0x400064a5u +#define CYREG_B0_UDB06_MC 0x400064a6u +#define CYREG_B0_UDB07_MC 0x400064a7u +#define CYREG_B0_UDB08_MC 0x400064a8u +#define CYREG_B0_UDB09_MC 0x400064a9u +#define CYREG_B0_UDB10_MC 0x400064aau +#define CYREG_B0_UDB11_MC 0x400064abu +#define CYREG_B0_UDB12_MC 0x400064acu +#define CYREG_B0_UDB13_MC 0x400064adu +#define CYREG_B0_UDB14_MC 0x400064aeu +#define CYREG_B0_UDB15_MC 0x400064afu +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500u +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0u +#define CYREG_B1_UDB04_A0 0x40006504u +#define CYREG_B1_UDB05_A0 0x40006505u +#define CYREG_B1_UDB06_A0 0x40006506u +#define CYREG_B1_UDB07_A0 0x40006507u +#define CYREG_B1_UDB08_A0 0x40006508u +#define CYREG_B1_UDB09_A0 0x40006509u +#define CYREG_B1_UDB10_A0 0x4000650au +#define CYREG_B1_UDB11_A0 0x4000650bu +#define CYREG_B1_UDB04_A1 0x40006514u +#define CYREG_B1_UDB05_A1 0x40006515u +#define CYREG_B1_UDB06_A1 0x40006516u +#define CYREG_B1_UDB07_A1 0x40006517u +#define CYREG_B1_UDB08_A1 0x40006518u +#define CYREG_B1_UDB09_A1 0x40006519u +#define CYREG_B1_UDB10_A1 0x4000651au +#define CYREG_B1_UDB11_A1 0x4000651bu +#define CYREG_B1_UDB04_D0 0x40006524u +#define CYREG_B1_UDB05_D0 0x40006525u +#define CYREG_B1_UDB06_D0 0x40006526u +#define CYREG_B1_UDB07_D0 0x40006527u +#define CYREG_B1_UDB08_D0 0x40006528u +#define CYREG_B1_UDB09_D0 0x40006529u +#define CYREG_B1_UDB10_D0 0x4000652au +#define CYREG_B1_UDB11_D0 0x4000652bu +#define CYREG_B1_UDB04_D1 0x40006534u +#define CYREG_B1_UDB05_D1 0x40006535u +#define CYREG_B1_UDB06_D1 0x40006536u +#define CYREG_B1_UDB07_D1 0x40006537u +#define CYREG_B1_UDB08_D1 0x40006538u +#define CYREG_B1_UDB09_D1 0x40006539u +#define CYREG_B1_UDB10_D1 0x4000653au +#define CYREG_B1_UDB11_D1 0x4000653bu +#define CYREG_B1_UDB04_F0 0x40006544u +#define CYREG_B1_UDB05_F0 0x40006545u +#define CYREG_B1_UDB06_F0 0x40006546u +#define CYREG_B1_UDB07_F0 0x40006547u +#define CYREG_B1_UDB08_F0 0x40006548u +#define CYREG_B1_UDB09_F0 0x40006549u +#define CYREG_B1_UDB10_F0 0x4000654au +#define CYREG_B1_UDB11_F0 0x4000654bu +#define CYREG_B1_UDB04_F1 0x40006554u +#define CYREG_B1_UDB05_F1 0x40006555u +#define CYREG_B1_UDB06_F1 0x40006556u +#define CYREG_B1_UDB07_F1 0x40006557u +#define CYREG_B1_UDB08_F1 0x40006558u +#define CYREG_B1_UDB09_F1 0x40006559u +#define CYREG_B1_UDB10_F1 0x4000655au +#define CYREG_B1_UDB11_F1 0x4000655bu +#define CYREG_B1_UDB04_ST 0x40006564u +#define CYREG_B1_UDB05_ST 0x40006565u +#define CYREG_B1_UDB06_ST 0x40006566u +#define CYREG_B1_UDB07_ST 0x40006567u +#define CYREG_B1_UDB08_ST 0x40006568u +#define CYREG_B1_UDB09_ST 0x40006569u +#define CYREG_B1_UDB10_ST 0x4000656au +#define CYREG_B1_UDB11_ST 0x4000656bu +#define CYREG_B1_UDB04_CTL 0x40006574u +#define CYREG_B1_UDB05_CTL 0x40006575u +#define CYREG_B1_UDB06_CTL 0x40006576u +#define CYREG_B1_UDB07_CTL 0x40006577u +#define CYREG_B1_UDB08_CTL 0x40006578u +#define CYREG_B1_UDB09_CTL 0x40006579u +#define CYREG_B1_UDB10_CTL 0x4000657au +#define CYREG_B1_UDB11_CTL 0x4000657bu +#define CYREG_B1_UDB04_MSK 0x40006584u +#define CYREG_B1_UDB05_MSK 0x40006585u +#define CYREG_B1_UDB06_MSK 0x40006586u +#define CYREG_B1_UDB07_MSK 0x40006587u +#define CYREG_B1_UDB08_MSK 0x40006588u +#define CYREG_B1_UDB09_MSK 0x40006589u +#define CYREG_B1_UDB10_MSK 0x4000658au +#define CYREG_B1_UDB11_MSK 0x4000658bu +#define CYREG_B1_UDB04_ACTL 0x40006594u +#define CYREG_B1_UDB05_ACTL 0x40006595u +#define CYREG_B1_UDB06_ACTL 0x40006596u +#define CYREG_B1_UDB07_ACTL 0x40006597u +#define CYREG_B1_UDB08_ACTL 0x40006598u +#define CYREG_B1_UDB09_ACTL 0x40006599u +#define CYREG_B1_UDB10_ACTL 0x4000659au +#define CYREG_B1_UDB11_ACTL 0x4000659bu +#define CYREG_B1_UDB04_MC 0x400065a4u +#define CYREG_B1_UDB05_MC 0x400065a5u +#define CYREG_B1_UDB06_MC 0x400065a6u +#define CYREG_B1_UDB07_MC 0x400065a7u +#define CYREG_B1_UDB08_MC 0x400065a8u +#define CYREG_B1_UDB09_MC 0x400065a9u +#define CYREG_B1_UDB10_MC 0x400065aau +#define CYREG_B1_UDB11_MC 0x400065abu +#define CYDEV_UWRK_UWRK16_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160u +#define CYREG_B0_UDB00_A0_A1 0x40006800u +#define CYREG_B0_UDB01_A0_A1 0x40006802u +#define CYREG_B0_UDB02_A0_A1 0x40006804u +#define CYREG_B0_UDB03_A0_A1 0x40006806u +#define CYREG_B0_UDB04_A0_A1 0x40006808u +#define CYREG_B0_UDB05_A0_A1 0x4000680au +#define CYREG_B0_UDB06_A0_A1 0x4000680cu +#define CYREG_B0_UDB07_A0_A1 0x4000680eu +#define CYREG_B0_UDB08_A0_A1 0x40006810u +#define CYREG_B0_UDB09_A0_A1 0x40006812u +#define CYREG_B0_UDB10_A0_A1 0x40006814u +#define CYREG_B0_UDB11_A0_A1 0x40006816u +#define CYREG_B0_UDB12_A0_A1 0x40006818u +#define CYREG_B0_UDB13_A0_A1 0x4000681au +#define CYREG_B0_UDB14_A0_A1 0x4000681cu +#define CYREG_B0_UDB15_A0_A1 0x4000681eu +#define CYREG_B0_UDB00_D0_D1 0x40006840u +#define CYREG_B0_UDB01_D0_D1 0x40006842u +#define CYREG_B0_UDB02_D0_D1 0x40006844u +#define CYREG_B0_UDB03_D0_D1 0x40006846u +#define CYREG_B0_UDB04_D0_D1 0x40006848u +#define CYREG_B0_UDB05_D0_D1 0x4000684au +#define CYREG_B0_UDB06_D0_D1 0x4000684cu +#define CYREG_B0_UDB07_D0_D1 0x4000684eu +#define CYREG_B0_UDB08_D0_D1 0x40006850u +#define CYREG_B0_UDB09_D0_D1 0x40006852u +#define CYREG_B0_UDB10_D0_D1 0x40006854u +#define CYREG_B0_UDB11_D0_D1 0x40006856u +#define CYREG_B0_UDB12_D0_D1 0x40006858u +#define CYREG_B0_UDB13_D0_D1 0x4000685au +#define CYREG_B0_UDB14_D0_D1 0x4000685cu +#define CYREG_B0_UDB15_D0_D1 0x4000685eu +#define CYREG_B0_UDB00_F0_F1 0x40006880u +#define CYREG_B0_UDB01_F0_F1 0x40006882u +#define CYREG_B0_UDB02_F0_F1 0x40006884u +#define CYREG_B0_UDB03_F0_F1 0x40006886u +#define CYREG_B0_UDB04_F0_F1 0x40006888u +#define CYREG_B0_UDB05_F0_F1 0x4000688au +#define CYREG_B0_UDB06_F0_F1 0x4000688cu +#define CYREG_B0_UDB07_F0_F1 0x4000688eu +#define CYREG_B0_UDB08_F0_F1 0x40006890u +#define CYREG_B0_UDB09_F0_F1 0x40006892u +#define CYREG_B0_UDB10_F0_F1 0x40006894u +#define CYREG_B0_UDB11_F0_F1 0x40006896u +#define CYREG_B0_UDB12_F0_F1 0x40006898u +#define CYREG_B0_UDB13_F0_F1 0x4000689au +#define CYREG_B0_UDB14_F0_F1 0x4000689cu +#define CYREG_B0_UDB15_F0_F1 0x4000689eu +#define CYREG_B0_UDB00_ST_CTL 0x400068c0u +#define CYREG_B0_UDB01_ST_CTL 0x400068c2u +#define CYREG_B0_UDB02_ST_CTL 0x400068c4u +#define CYREG_B0_UDB03_ST_CTL 0x400068c6u +#define CYREG_B0_UDB04_ST_CTL 0x400068c8u +#define CYREG_B0_UDB05_ST_CTL 0x400068cau +#define CYREG_B0_UDB06_ST_CTL 0x400068ccu +#define CYREG_B0_UDB07_ST_CTL 0x400068ceu +#define CYREG_B0_UDB08_ST_CTL 0x400068d0u +#define CYREG_B0_UDB09_ST_CTL 0x400068d2u +#define CYREG_B0_UDB10_ST_CTL 0x400068d4u +#define CYREG_B0_UDB11_ST_CTL 0x400068d6u +#define CYREG_B0_UDB12_ST_CTL 0x400068d8u +#define CYREG_B0_UDB13_ST_CTL 0x400068dau +#define CYREG_B0_UDB14_ST_CTL 0x400068dcu +#define CYREG_B0_UDB15_ST_CTL 0x400068deu +#define CYREG_B0_UDB00_MSK_ACTL 0x40006900u +#define CYREG_B0_UDB01_MSK_ACTL 0x40006902u +#define CYREG_B0_UDB02_MSK_ACTL 0x40006904u +#define CYREG_B0_UDB03_MSK_ACTL 0x40006906u +#define CYREG_B0_UDB04_MSK_ACTL 0x40006908u +#define CYREG_B0_UDB05_MSK_ACTL 0x4000690au +#define CYREG_B0_UDB06_MSK_ACTL 0x4000690cu +#define CYREG_B0_UDB07_MSK_ACTL 0x4000690eu +#define CYREG_B0_UDB08_MSK_ACTL 0x40006910u +#define CYREG_B0_UDB09_MSK_ACTL 0x40006912u +#define CYREG_B0_UDB10_MSK_ACTL 0x40006914u +#define CYREG_B0_UDB11_MSK_ACTL 0x40006916u +#define CYREG_B0_UDB12_MSK_ACTL 0x40006918u +#define CYREG_B0_UDB13_MSK_ACTL 0x4000691au +#define CYREG_B0_UDB14_MSK_ACTL 0x4000691cu +#define CYREG_B0_UDB15_MSK_ACTL 0x4000691eu +#define CYREG_B0_UDB00_MC_00 0x40006940u +#define CYREG_B0_UDB01_MC_00 0x40006942u +#define CYREG_B0_UDB02_MC_00 0x40006944u +#define CYREG_B0_UDB03_MC_00 0x40006946u +#define CYREG_B0_UDB04_MC_00 0x40006948u +#define CYREG_B0_UDB05_MC_00 0x4000694au +#define CYREG_B0_UDB06_MC_00 0x4000694cu +#define CYREG_B0_UDB07_MC_00 0x4000694eu +#define CYREG_B0_UDB08_MC_00 0x40006950u +#define CYREG_B0_UDB09_MC_00 0x40006952u +#define CYREG_B0_UDB10_MC_00 0x40006954u +#define CYREG_B0_UDB11_MC_00 0x40006956u +#define CYREG_B0_UDB12_MC_00 0x40006958u +#define CYREG_B0_UDB13_MC_00 0x4000695au +#define CYREG_B0_UDB14_MC_00 0x4000695cu +#define CYREG_B0_UDB15_MC_00 0x4000695eu +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160u +#define CYREG_B1_UDB04_A0_A1 0x40006a08u +#define CYREG_B1_UDB05_A0_A1 0x40006a0au +#define CYREG_B1_UDB06_A0_A1 0x40006a0cu +#define CYREG_B1_UDB07_A0_A1 0x40006a0eu +#define CYREG_B1_UDB08_A0_A1 0x40006a10u +#define CYREG_B1_UDB09_A0_A1 0x40006a12u +#define CYREG_B1_UDB10_A0_A1 0x40006a14u +#define CYREG_B1_UDB11_A0_A1 0x40006a16u +#define CYREG_B1_UDB04_D0_D1 0x40006a48u +#define CYREG_B1_UDB05_D0_D1 0x40006a4au +#define CYREG_B1_UDB06_D0_D1 0x40006a4cu +#define CYREG_B1_UDB07_D0_D1 0x40006a4eu +#define CYREG_B1_UDB08_D0_D1 0x40006a50u +#define CYREG_B1_UDB09_D0_D1 0x40006a52u +#define CYREG_B1_UDB10_D0_D1 0x40006a54u +#define CYREG_B1_UDB11_D0_D1 0x40006a56u +#define CYREG_B1_UDB04_F0_F1 0x40006a88u +#define CYREG_B1_UDB05_F0_F1 0x40006a8au +#define CYREG_B1_UDB06_F0_F1 0x40006a8cu +#define CYREG_B1_UDB07_F0_F1 0x40006a8eu +#define CYREG_B1_UDB08_F0_F1 0x40006a90u +#define CYREG_B1_UDB09_F0_F1 0x40006a92u +#define CYREG_B1_UDB10_F0_F1 0x40006a94u +#define CYREG_B1_UDB11_F0_F1 0x40006a96u +#define CYREG_B1_UDB04_ST_CTL 0x40006ac8u +#define CYREG_B1_UDB05_ST_CTL 0x40006acau +#define CYREG_B1_UDB06_ST_CTL 0x40006accu +#define CYREG_B1_UDB07_ST_CTL 0x40006aceu +#define CYREG_B1_UDB08_ST_CTL 0x40006ad0u +#define CYREG_B1_UDB09_ST_CTL 0x40006ad2u +#define CYREG_B1_UDB10_ST_CTL 0x40006ad4u +#define CYREG_B1_UDB11_ST_CTL 0x40006ad6u +#define CYREG_B1_UDB04_MSK_ACTL 0x40006b08u +#define CYREG_B1_UDB05_MSK_ACTL 0x40006b0au +#define CYREG_B1_UDB06_MSK_ACTL 0x40006b0cu +#define CYREG_B1_UDB07_MSK_ACTL 0x40006b0eu +#define CYREG_B1_UDB08_MSK_ACTL 0x40006b10u +#define CYREG_B1_UDB09_MSK_ACTL 0x40006b12u +#define CYREG_B1_UDB10_MSK_ACTL 0x40006b14u +#define CYREG_B1_UDB11_MSK_ACTL 0x40006b16u +#define CYREG_B1_UDB04_MC_00 0x40006b48u +#define CYREG_B1_UDB05_MC_00 0x40006b4au +#define CYREG_B1_UDB06_MC_00 0x40006b4cu +#define CYREG_B1_UDB07_MC_00 0x40006b4eu +#define CYREG_B1_UDB08_MC_00 0x40006b50u +#define CYREG_B1_UDB09_MC_00 0x40006b52u +#define CYREG_B1_UDB10_MC_00 0x40006b54u +#define CYREG_B1_UDB11_MC_00 0x40006b56u +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075eu +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015eu +#define CYREG_B0_UDB00_01_A0 0x40006800u +#define CYREG_B0_UDB01_02_A0 0x40006802u +#define CYREG_B0_UDB02_03_A0 0x40006804u +#define CYREG_B0_UDB03_04_A0 0x40006806u +#define CYREG_B0_UDB04_05_A0 0x40006808u +#define CYREG_B0_UDB05_06_A0 0x4000680au +#define CYREG_B0_UDB06_07_A0 0x4000680cu +#define CYREG_B0_UDB07_08_A0 0x4000680eu +#define CYREG_B0_UDB08_09_A0 0x40006810u +#define CYREG_B0_UDB09_10_A0 0x40006812u +#define CYREG_B0_UDB10_11_A0 0x40006814u +#define CYREG_B0_UDB11_12_A0 0x40006816u +#define CYREG_B0_UDB12_13_A0 0x40006818u +#define CYREG_B0_UDB13_14_A0 0x4000681au +#define CYREG_B0_UDB14_15_A0 0x4000681cu +#define CYREG_B0_UDB00_01_A1 0x40006820u +#define CYREG_B0_UDB01_02_A1 0x40006822u +#define CYREG_B0_UDB02_03_A1 0x40006824u +#define CYREG_B0_UDB03_04_A1 0x40006826u +#define CYREG_B0_UDB04_05_A1 0x40006828u +#define CYREG_B0_UDB05_06_A1 0x4000682au +#define CYREG_B0_UDB06_07_A1 0x4000682cu +#define CYREG_B0_UDB07_08_A1 0x4000682eu +#define CYREG_B0_UDB08_09_A1 0x40006830u +#define CYREG_B0_UDB09_10_A1 0x40006832u +#define CYREG_B0_UDB10_11_A1 0x40006834u +#define CYREG_B0_UDB11_12_A1 0x40006836u +#define CYREG_B0_UDB12_13_A1 0x40006838u +#define CYREG_B0_UDB13_14_A1 0x4000683au +#define CYREG_B0_UDB14_15_A1 0x4000683cu +#define CYREG_B0_UDB00_01_D0 0x40006840u +#define CYREG_B0_UDB01_02_D0 0x40006842u +#define CYREG_B0_UDB02_03_D0 0x40006844u +#define CYREG_B0_UDB03_04_D0 0x40006846u +#define CYREG_B0_UDB04_05_D0 0x40006848u +#define CYREG_B0_UDB05_06_D0 0x4000684au +#define CYREG_B0_UDB06_07_D0 0x4000684cu +#define CYREG_B0_UDB07_08_D0 0x4000684eu +#define CYREG_B0_UDB08_09_D0 0x40006850u +#define CYREG_B0_UDB09_10_D0 0x40006852u +#define CYREG_B0_UDB10_11_D0 0x40006854u +#define CYREG_B0_UDB11_12_D0 0x40006856u +#define CYREG_B0_UDB12_13_D0 0x40006858u +#define CYREG_B0_UDB13_14_D0 0x4000685au +#define CYREG_B0_UDB14_15_D0 0x4000685cu +#define CYREG_B0_UDB00_01_D1 0x40006860u +#define CYREG_B0_UDB01_02_D1 0x40006862u +#define CYREG_B0_UDB02_03_D1 0x40006864u +#define CYREG_B0_UDB03_04_D1 0x40006866u +#define CYREG_B0_UDB04_05_D1 0x40006868u +#define CYREG_B0_UDB05_06_D1 0x4000686au +#define CYREG_B0_UDB06_07_D1 0x4000686cu +#define CYREG_B0_UDB07_08_D1 0x4000686eu +#define CYREG_B0_UDB08_09_D1 0x40006870u +#define CYREG_B0_UDB09_10_D1 0x40006872u +#define CYREG_B0_UDB10_11_D1 0x40006874u +#define CYREG_B0_UDB11_12_D1 0x40006876u +#define CYREG_B0_UDB12_13_D1 0x40006878u +#define CYREG_B0_UDB13_14_D1 0x4000687au +#define CYREG_B0_UDB14_15_D1 0x4000687cu +#define CYREG_B0_UDB00_01_F0 0x40006880u +#define CYREG_B0_UDB01_02_F0 0x40006882u +#define CYREG_B0_UDB02_03_F0 0x40006884u +#define CYREG_B0_UDB03_04_F0 0x40006886u +#define CYREG_B0_UDB04_05_F0 0x40006888u +#define CYREG_B0_UDB05_06_F0 0x4000688au +#define CYREG_B0_UDB06_07_F0 0x4000688cu +#define CYREG_B0_UDB07_08_F0 0x4000688eu +#define CYREG_B0_UDB08_09_F0 0x40006890u +#define CYREG_B0_UDB09_10_F0 0x40006892u +#define CYREG_B0_UDB10_11_F0 0x40006894u +#define CYREG_B0_UDB11_12_F0 0x40006896u +#define CYREG_B0_UDB12_13_F0 0x40006898u +#define CYREG_B0_UDB13_14_F0 0x4000689au +#define CYREG_B0_UDB14_15_F0 0x4000689cu +#define CYREG_B0_UDB00_01_F1 0x400068a0u +#define CYREG_B0_UDB01_02_F1 0x400068a2u +#define CYREG_B0_UDB02_03_F1 0x400068a4u +#define CYREG_B0_UDB03_04_F1 0x400068a6u +#define CYREG_B0_UDB04_05_F1 0x400068a8u +#define CYREG_B0_UDB05_06_F1 0x400068aau +#define CYREG_B0_UDB06_07_F1 0x400068acu +#define CYREG_B0_UDB07_08_F1 0x400068aeu +#define CYREG_B0_UDB08_09_F1 0x400068b0u +#define CYREG_B0_UDB09_10_F1 0x400068b2u +#define CYREG_B0_UDB10_11_F1 0x400068b4u +#define CYREG_B0_UDB11_12_F1 0x400068b6u +#define CYREG_B0_UDB12_13_F1 0x400068b8u +#define CYREG_B0_UDB13_14_F1 0x400068bau +#define CYREG_B0_UDB14_15_F1 0x400068bcu +#define CYREG_B0_UDB00_01_ST 0x400068c0u +#define CYREG_B0_UDB01_02_ST 0x400068c2u +#define CYREG_B0_UDB02_03_ST 0x400068c4u +#define CYREG_B0_UDB03_04_ST 0x400068c6u +#define CYREG_B0_UDB04_05_ST 0x400068c8u +#define CYREG_B0_UDB05_06_ST 0x400068cau +#define CYREG_B0_UDB06_07_ST 0x400068ccu +#define CYREG_B0_UDB07_08_ST 0x400068ceu +#define CYREG_B0_UDB08_09_ST 0x400068d0u +#define CYREG_B0_UDB09_10_ST 0x400068d2u +#define CYREG_B0_UDB10_11_ST 0x400068d4u +#define CYREG_B0_UDB11_12_ST 0x400068d6u +#define CYREG_B0_UDB12_13_ST 0x400068d8u +#define CYREG_B0_UDB13_14_ST 0x400068dau +#define CYREG_B0_UDB14_15_ST 0x400068dcu +#define CYREG_B0_UDB00_01_CTL 0x400068e0u +#define CYREG_B0_UDB01_02_CTL 0x400068e2u +#define CYREG_B0_UDB02_03_CTL 0x400068e4u +#define CYREG_B0_UDB03_04_CTL 0x400068e6u +#define CYREG_B0_UDB04_05_CTL 0x400068e8u +#define CYREG_B0_UDB05_06_CTL 0x400068eau +#define CYREG_B0_UDB06_07_CTL 0x400068ecu +#define CYREG_B0_UDB07_08_CTL 0x400068eeu +#define CYREG_B0_UDB08_09_CTL 0x400068f0u +#define CYREG_B0_UDB09_10_CTL 0x400068f2u +#define CYREG_B0_UDB10_11_CTL 0x400068f4u +#define CYREG_B0_UDB11_12_CTL 0x400068f6u +#define CYREG_B0_UDB12_13_CTL 0x400068f8u +#define CYREG_B0_UDB13_14_CTL 0x400068fau +#define CYREG_B0_UDB14_15_CTL 0x400068fcu +#define CYREG_B0_UDB00_01_MSK 0x40006900u +#define CYREG_B0_UDB01_02_MSK 0x40006902u +#define CYREG_B0_UDB02_03_MSK 0x40006904u +#define CYREG_B0_UDB03_04_MSK 0x40006906u +#define CYREG_B0_UDB04_05_MSK 0x40006908u +#define CYREG_B0_UDB05_06_MSK 0x4000690au +#define CYREG_B0_UDB06_07_MSK 0x4000690cu +#define CYREG_B0_UDB07_08_MSK 0x4000690eu +#define CYREG_B0_UDB08_09_MSK 0x40006910u +#define CYREG_B0_UDB09_10_MSK 0x40006912u +#define CYREG_B0_UDB10_11_MSK 0x40006914u +#define CYREG_B0_UDB11_12_MSK 0x40006916u +#define CYREG_B0_UDB12_13_MSK 0x40006918u +#define CYREG_B0_UDB13_14_MSK 0x4000691au +#define CYREG_B0_UDB14_15_MSK 0x4000691cu +#define CYREG_B0_UDB00_01_ACTL 0x40006920u +#define CYREG_B0_UDB01_02_ACTL 0x40006922u +#define CYREG_B0_UDB02_03_ACTL 0x40006924u +#define CYREG_B0_UDB03_04_ACTL 0x40006926u +#define CYREG_B0_UDB04_05_ACTL 0x40006928u +#define CYREG_B0_UDB05_06_ACTL 0x4000692au +#define CYREG_B0_UDB06_07_ACTL 0x4000692cu +#define CYREG_B0_UDB07_08_ACTL 0x4000692eu +#define CYREG_B0_UDB08_09_ACTL 0x40006930u +#define CYREG_B0_UDB09_10_ACTL 0x40006932u +#define CYREG_B0_UDB10_11_ACTL 0x40006934u +#define CYREG_B0_UDB11_12_ACTL 0x40006936u +#define CYREG_B0_UDB12_13_ACTL 0x40006938u +#define CYREG_B0_UDB13_14_ACTL 0x4000693au +#define CYREG_B0_UDB14_15_ACTL 0x4000693cu +#define CYREG_B0_UDB00_01_MC 0x40006940u +#define CYREG_B0_UDB01_02_MC 0x40006942u +#define CYREG_B0_UDB02_03_MC 0x40006944u +#define CYREG_B0_UDB03_04_MC 0x40006946u +#define CYREG_B0_UDB04_05_MC 0x40006948u +#define CYREG_B0_UDB05_06_MC 0x4000694au +#define CYREG_B0_UDB06_07_MC 0x4000694cu +#define CYREG_B0_UDB07_08_MC 0x4000694eu +#define CYREG_B0_UDB08_09_MC 0x40006950u +#define CYREG_B0_UDB09_10_MC 0x40006952u +#define CYREG_B0_UDB10_11_MC 0x40006954u +#define CYREG_B0_UDB11_12_MC 0x40006956u +#define CYREG_B0_UDB12_13_MC 0x40006958u +#define CYREG_B0_UDB13_14_MC 0x4000695au +#define CYREG_B0_UDB14_15_MC 0x4000695cu +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015eu +#define CYREG_B1_UDB04_05_A0 0x40006a08u +#define CYREG_B1_UDB05_06_A0 0x40006a0au +#define CYREG_B1_UDB06_07_A0 0x40006a0cu +#define CYREG_B1_UDB07_08_A0 0x40006a0eu +#define CYREG_B1_UDB08_09_A0 0x40006a10u +#define CYREG_B1_UDB09_10_A0 0x40006a12u +#define CYREG_B1_UDB10_11_A0 0x40006a14u +#define CYREG_B1_UDB11_12_A0 0x40006a16u +#define CYREG_B1_UDB04_05_A1 0x40006a28u +#define CYREG_B1_UDB05_06_A1 0x40006a2au +#define CYREG_B1_UDB06_07_A1 0x40006a2cu +#define CYREG_B1_UDB07_08_A1 0x40006a2eu +#define CYREG_B1_UDB08_09_A1 0x40006a30u +#define CYREG_B1_UDB09_10_A1 0x40006a32u +#define CYREG_B1_UDB10_11_A1 0x40006a34u +#define CYREG_B1_UDB11_12_A1 0x40006a36u +#define CYREG_B1_UDB04_05_D0 0x40006a48u +#define CYREG_B1_UDB05_06_D0 0x40006a4au +#define CYREG_B1_UDB06_07_D0 0x40006a4cu +#define CYREG_B1_UDB07_08_D0 0x40006a4eu +#define CYREG_B1_UDB08_09_D0 0x40006a50u +#define CYREG_B1_UDB09_10_D0 0x40006a52u +#define CYREG_B1_UDB10_11_D0 0x40006a54u +#define CYREG_B1_UDB11_12_D0 0x40006a56u +#define CYREG_B1_UDB04_05_D1 0x40006a68u +#define CYREG_B1_UDB05_06_D1 0x40006a6au +#define CYREG_B1_UDB06_07_D1 0x40006a6cu +#define CYREG_B1_UDB07_08_D1 0x40006a6eu +#define CYREG_B1_UDB08_09_D1 0x40006a70u +#define CYREG_B1_UDB09_10_D1 0x40006a72u +#define CYREG_B1_UDB10_11_D1 0x40006a74u +#define CYREG_B1_UDB11_12_D1 0x40006a76u +#define CYREG_B1_UDB04_05_F0 0x40006a88u +#define CYREG_B1_UDB05_06_F0 0x40006a8au +#define CYREG_B1_UDB06_07_F0 0x40006a8cu +#define CYREG_B1_UDB07_08_F0 0x40006a8eu +#define CYREG_B1_UDB08_09_F0 0x40006a90u +#define CYREG_B1_UDB09_10_F0 0x40006a92u +#define CYREG_B1_UDB10_11_F0 0x40006a94u +#define CYREG_B1_UDB11_12_F0 0x40006a96u +#define CYREG_B1_UDB04_05_F1 0x40006aa8u +#define CYREG_B1_UDB05_06_F1 0x40006aaau +#define CYREG_B1_UDB06_07_F1 0x40006aacu +#define CYREG_B1_UDB07_08_F1 0x40006aaeu +#define CYREG_B1_UDB08_09_F1 0x40006ab0u +#define CYREG_B1_UDB09_10_F1 0x40006ab2u +#define CYREG_B1_UDB10_11_F1 0x40006ab4u +#define CYREG_B1_UDB11_12_F1 0x40006ab6u +#define CYREG_B1_UDB04_05_ST 0x40006ac8u +#define CYREG_B1_UDB05_06_ST 0x40006acau +#define CYREG_B1_UDB06_07_ST 0x40006accu +#define CYREG_B1_UDB07_08_ST 0x40006aceu +#define CYREG_B1_UDB08_09_ST 0x40006ad0u +#define CYREG_B1_UDB09_10_ST 0x40006ad2u +#define CYREG_B1_UDB10_11_ST 0x40006ad4u +#define CYREG_B1_UDB11_12_ST 0x40006ad6u +#define CYREG_B1_UDB04_05_CTL 0x40006ae8u +#define CYREG_B1_UDB05_06_CTL 0x40006aeau +#define CYREG_B1_UDB06_07_CTL 0x40006aecu +#define CYREG_B1_UDB07_08_CTL 0x40006aeeu +#define CYREG_B1_UDB08_09_CTL 0x40006af0u +#define CYREG_B1_UDB09_10_CTL 0x40006af2u +#define CYREG_B1_UDB10_11_CTL 0x40006af4u +#define CYREG_B1_UDB11_12_CTL 0x40006af6u +#define CYREG_B1_UDB04_05_MSK 0x40006b08u +#define CYREG_B1_UDB05_06_MSK 0x40006b0au +#define CYREG_B1_UDB06_07_MSK 0x40006b0cu +#define CYREG_B1_UDB07_08_MSK 0x40006b0eu +#define CYREG_B1_UDB08_09_MSK 0x40006b10u +#define CYREG_B1_UDB09_10_MSK 0x40006b12u +#define CYREG_B1_UDB10_11_MSK 0x40006b14u +#define CYREG_B1_UDB11_12_MSK 0x40006b16u +#define CYREG_B1_UDB04_05_ACTL 0x40006b28u +#define CYREG_B1_UDB05_06_ACTL 0x40006b2au +#define CYREG_B1_UDB06_07_ACTL 0x40006b2cu +#define CYREG_B1_UDB07_08_ACTL 0x40006b2eu +#define CYREG_B1_UDB08_09_ACTL 0x40006b30u +#define CYREG_B1_UDB09_10_ACTL 0x40006b32u +#define CYREG_B1_UDB10_11_ACTL 0x40006b34u +#define CYREG_B1_UDB11_12_ACTL 0x40006b36u +#define CYREG_B1_UDB04_05_MC 0x40006b48u +#define CYREG_B1_UDB05_06_MC 0x40006b4au +#define CYREG_B1_UDB06_07_MC 0x40006b4cu +#define CYREG_B1_UDB07_08_MC 0x40006b4eu +#define CYREG_B1_UDB08_09_MC 0x40006b50u +#define CYREG_B1_UDB09_10_MC 0x40006b52u +#define CYREG_B1_UDB10_11_MC 0x40006b54u +#define CYREG_B1_UDB11_12_MC 0x40006b56u +#define CYDEV_PHUB_BASE 0x40007000u +#define CYDEV_PHUB_SIZE 0x00000c00u +#define CYREG_PHUB_CFG 0x40007000u +#define CYREG_PHUB_ERR 0x40007004u +#define CYREG_PHUB_ERR_ADR 0x40007008u +#define CYDEV_PHUB_CH0_BASE 0x40007010u +#define CYDEV_PHUB_CH0_SIZE 0x0000000cu +#define CYREG_PHUB_CH0_BASIC_CFG 0x40007010u +#define CYREG_PHUB_CH0_ACTION 0x40007014u +#define CYREG_PHUB_CH0_BASIC_STATUS 0x40007018u +#define CYDEV_PHUB_CH1_BASE 0x40007020u +#define CYDEV_PHUB_CH1_SIZE 0x0000000cu +#define CYREG_PHUB_CH1_BASIC_CFG 0x40007020u +#define CYREG_PHUB_CH1_ACTION 0x40007024u +#define CYREG_PHUB_CH1_BASIC_STATUS 0x40007028u +#define CYDEV_PHUB_CH2_BASE 0x40007030u +#define CYDEV_PHUB_CH2_SIZE 0x0000000cu +#define CYREG_PHUB_CH2_BASIC_CFG 0x40007030u +#define CYREG_PHUB_CH2_ACTION 0x40007034u +#define CYREG_PHUB_CH2_BASIC_STATUS 0x40007038u +#define CYDEV_PHUB_CH3_BASE 0x40007040u +#define CYDEV_PHUB_CH3_SIZE 0x0000000cu +#define CYREG_PHUB_CH3_BASIC_CFG 0x40007040u +#define CYREG_PHUB_CH3_ACTION 0x40007044u +#define CYREG_PHUB_CH3_BASIC_STATUS 0x40007048u +#define CYDEV_PHUB_CH4_BASE 0x40007050u +#define CYDEV_PHUB_CH4_SIZE 0x0000000cu +#define CYREG_PHUB_CH4_BASIC_CFG 0x40007050u +#define CYREG_PHUB_CH4_ACTION 0x40007054u +#define CYREG_PHUB_CH4_BASIC_STATUS 0x40007058u +#define CYDEV_PHUB_CH5_BASE 0x40007060u +#define CYDEV_PHUB_CH5_SIZE 0x0000000cu +#define CYREG_PHUB_CH5_BASIC_CFG 0x40007060u +#define CYREG_PHUB_CH5_ACTION 0x40007064u +#define CYREG_PHUB_CH5_BASIC_STATUS 0x40007068u +#define CYDEV_PHUB_CH6_BASE 0x40007070u +#define CYDEV_PHUB_CH6_SIZE 0x0000000cu +#define CYREG_PHUB_CH6_BASIC_CFG 0x40007070u +#define CYREG_PHUB_CH6_ACTION 0x40007074u +#define CYREG_PHUB_CH6_BASIC_STATUS 0x40007078u +#define CYDEV_PHUB_CH7_BASE 0x40007080u +#define CYDEV_PHUB_CH7_SIZE 0x0000000cu +#define CYREG_PHUB_CH7_BASIC_CFG 0x40007080u +#define CYREG_PHUB_CH7_ACTION 0x40007084u +#define CYREG_PHUB_CH7_BASIC_STATUS 0x40007088u +#define CYDEV_PHUB_CH8_BASE 0x40007090u +#define CYDEV_PHUB_CH8_SIZE 0x0000000cu +#define CYREG_PHUB_CH8_BASIC_CFG 0x40007090u +#define CYREG_PHUB_CH8_ACTION 0x40007094u +#define CYREG_PHUB_CH8_BASIC_STATUS 0x40007098u +#define CYDEV_PHUB_CH9_BASE 0x400070a0u +#define CYDEV_PHUB_CH9_SIZE 0x0000000cu +#define CYREG_PHUB_CH9_BASIC_CFG 0x400070a0u +#define CYREG_PHUB_CH9_ACTION 0x400070a4u +#define CYREG_PHUB_CH9_BASIC_STATUS 0x400070a8u +#define CYDEV_PHUB_CH10_BASE 0x400070b0u +#define CYDEV_PHUB_CH10_SIZE 0x0000000cu +#define CYREG_PHUB_CH10_BASIC_CFG 0x400070b0u +#define CYREG_PHUB_CH10_ACTION 0x400070b4u +#define CYREG_PHUB_CH10_BASIC_STATUS 0x400070b8u +#define CYDEV_PHUB_CH11_BASE 0x400070c0u +#define CYDEV_PHUB_CH11_SIZE 0x0000000cu +#define CYREG_PHUB_CH11_BASIC_CFG 0x400070c0u +#define CYREG_PHUB_CH11_ACTION 0x400070c4u +#define CYREG_PHUB_CH11_BASIC_STATUS 0x400070c8u +#define CYDEV_PHUB_CH12_BASE 0x400070d0u +#define CYDEV_PHUB_CH12_SIZE 0x0000000cu +#define CYREG_PHUB_CH12_BASIC_CFG 0x400070d0u +#define CYREG_PHUB_CH12_ACTION 0x400070d4u +#define CYREG_PHUB_CH12_BASIC_STATUS 0x400070d8u +#define CYDEV_PHUB_CH13_BASE 0x400070e0u +#define CYDEV_PHUB_CH13_SIZE 0x0000000cu +#define CYREG_PHUB_CH13_BASIC_CFG 0x400070e0u +#define CYREG_PHUB_CH13_ACTION 0x400070e4u +#define CYREG_PHUB_CH13_BASIC_STATUS 0x400070e8u +#define CYDEV_PHUB_CH14_BASE 0x400070f0u +#define CYDEV_PHUB_CH14_SIZE 0x0000000cu +#define CYREG_PHUB_CH14_BASIC_CFG 0x400070f0u +#define CYREG_PHUB_CH14_ACTION 0x400070f4u +#define CYREG_PHUB_CH14_BASIC_STATUS 0x400070f8u +#define CYDEV_PHUB_CH15_BASE 0x40007100u +#define CYDEV_PHUB_CH15_SIZE 0x0000000cu +#define CYREG_PHUB_CH15_BASIC_CFG 0x40007100u +#define CYREG_PHUB_CH15_ACTION 0x40007104u +#define CYREG_PHUB_CH15_BASIC_STATUS 0x40007108u +#define CYDEV_PHUB_CH16_BASE 0x40007110u +#define CYDEV_PHUB_CH16_SIZE 0x0000000cu +#define CYREG_PHUB_CH16_BASIC_CFG 0x40007110u +#define CYREG_PHUB_CH16_ACTION 0x40007114u +#define CYREG_PHUB_CH16_BASIC_STATUS 0x40007118u +#define CYDEV_PHUB_CH17_BASE 0x40007120u +#define CYDEV_PHUB_CH17_SIZE 0x0000000cu +#define CYREG_PHUB_CH17_BASIC_CFG 0x40007120u +#define CYREG_PHUB_CH17_ACTION 0x40007124u +#define CYREG_PHUB_CH17_BASIC_STATUS 0x40007128u +#define CYDEV_PHUB_CH18_BASE 0x40007130u +#define CYDEV_PHUB_CH18_SIZE 0x0000000cu +#define CYREG_PHUB_CH18_BASIC_CFG 0x40007130u +#define CYREG_PHUB_CH18_ACTION 0x40007134u +#define CYREG_PHUB_CH18_BASIC_STATUS 0x40007138u +#define CYDEV_PHUB_CH19_BASE 0x40007140u +#define CYDEV_PHUB_CH19_SIZE 0x0000000cu +#define CYREG_PHUB_CH19_BASIC_CFG 0x40007140u +#define CYREG_PHUB_CH19_ACTION 0x40007144u +#define CYREG_PHUB_CH19_BASIC_STATUS 0x40007148u +#define CYDEV_PHUB_CH20_BASE 0x40007150u +#define CYDEV_PHUB_CH20_SIZE 0x0000000cu +#define CYREG_PHUB_CH20_BASIC_CFG 0x40007150u +#define CYREG_PHUB_CH20_ACTION 0x40007154u +#define CYREG_PHUB_CH20_BASIC_STATUS 0x40007158u +#define CYDEV_PHUB_CH21_BASE 0x40007160u +#define CYDEV_PHUB_CH21_SIZE 0x0000000cu +#define CYREG_PHUB_CH21_BASIC_CFG 0x40007160u +#define CYREG_PHUB_CH21_ACTION 0x40007164u +#define CYREG_PHUB_CH21_BASIC_STATUS 0x40007168u +#define CYDEV_PHUB_CH22_BASE 0x40007170u +#define CYDEV_PHUB_CH22_SIZE 0x0000000cu +#define CYREG_PHUB_CH22_BASIC_CFG 0x40007170u +#define CYREG_PHUB_CH22_ACTION 0x40007174u +#define CYREG_PHUB_CH22_BASIC_STATUS 0x40007178u +#define CYDEV_PHUB_CH23_BASE 0x40007180u +#define CYDEV_PHUB_CH23_SIZE 0x0000000cu +#define CYREG_PHUB_CH23_BASIC_CFG 0x40007180u +#define CYREG_PHUB_CH23_ACTION 0x40007184u +#define CYREG_PHUB_CH23_BASIC_STATUS 0x40007188u +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600u +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM0_CFG0 0x40007600u +#define CYREG_PHUB_CFGMEM0_CFG1 0x40007604u +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608u +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM1_CFG0 0x40007608u +#define CYREG_PHUB_CFGMEM1_CFG1 0x4000760cu +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610u +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM2_CFG0 0x40007610u +#define CYREG_PHUB_CFGMEM2_CFG1 0x40007614u +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618u +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM3_CFG0 0x40007618u +#define CYREG_PHUB_CFGMEM3_CFG1 0x4000761cu +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620u +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM4_CFG0 0x40007620u +#define CYREG_PHUB_CFGMEM4_CFG1 0x40007624u +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628u +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM5_CFG0 0x40007628u +#define CYREG_PHUB_CFGMEM5_CFG1 0x4000762cu +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630u +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM6_CFG0 0x40007630u +#define CYREG_PHUB_CFGMEM6_CFG1 0x40007634u +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638u +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM7_CFG0 0x40007638u +#define CYREG_PHUB_CFGMEM7_CFG1 0x4000763cu +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640u +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM8_CFG0 0x40007640u +#define CYREG_PHUB_CFGMEM8_CFG1 0x40007644u +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648u +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM9_CFG0 0x40007648u +#define CYREG_PHUB_CFGMEM9_CFG1 0x4000764cu +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650u +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM10_CFG0 0x40007650u +#define CYREG_PHUB_CFGMEM10_CFG1 0x40007654u +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658u +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM11_CFG0 0x40007658u +#define CYREG_PHUB_CFGMEM11_CFG1 0x4000765cu +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660u +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM12_CFG0 0x40007660u +#define CYREG_PHUB_CFGMEM12_CFG1 0x40007664u +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668u +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM13_CFG0 0x40007668u +#define CYREG_PHUB_CFGMEM13_CFG1 0x4000766cu +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670u +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM14_CFG0 0x40007670u +#define CYREG_PHUB_CFGMEM14_CFG1 0x40007674u +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678u +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM15_CFG0 0x40007678u +#define CYREG_PHUB_CFGMEM15_CFG1 0x4000767cu +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680u +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM16_CFG0 0x40007680u +#define CYREG_PHUB_CFGMEM16_CFG1 0x40007684u +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688u +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM17_CFG0 0x40007688u +#define CYREG_PHUB_CFGMEM17_CFG1 0x4000768cu +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690u +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM18_CFG0 0x40007690u +#define CYREG_PHUB_CFGMEM18_CFG1 0x40007694u +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698u +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM19_CFG0 0x40007698u +#define CYREG_PHUB_CFGMEM19_CFG1 0x4000769cu +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM20_CFG0 0x400076a0u +#define CYREG_PHUB_CFGMEM20_CFG1 0x400076a4u +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM21_CFG0 0x400076a8u +#define CYREG_PHUB_CFGMEM21_CFG1 0x400076acu +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM22_CFG0 0x400076b0u +#define CYREG_PHUB_CFGMEM22_CFG1 0x400076b4u +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM23_CFG0 0x400076b8u +#define CYREG_PHUB_CFGMEM23_CFG1 0x400076bcu +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800u +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM0_ORIG_TD0 0x40007800u +#define CYREG_PHUB_TDMEM0_ORIG_TD1 0x40007804u +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808u +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM1_ORIG_TD0 0x40007808u +#define CYREG_PHUB_TDMEM1_ORIG_TD1 0x4000780cu +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810u +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM2_ORIG_TD0 0x40007810u +#define CYREG_PHUB_TDMEM2_ORIG_TD1 0x40007814u +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818u +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM3_ORIG_TD0 0x40007818u +#define CYREG_PHUB_TDMEM3_ORIG_TD1 0x4000781cu +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820u +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM4_ORIG_TD0 0x40007820u +#define CYREG_PHUB_TDMEM4_ORIG_TD1 0x40007824u +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828u +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM5_ORIG_TD0 0x40007828u +#define CYREG_PHUB_TDMEM5_ORIG_TD1 0x4000782cu +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830u +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM6_ORIG_TD0 0x40007830u +#define CYREG_PHUB_TDMEM6_ORIG_TD1 0x40007834u +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838u +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM7_ORIG_TD0 0x40007838u +#define CYREG_PHUB_TDMEM7_ORIG_TD1 0x4000783cu +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840u +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM8_ORIG_TD0 0x40007840u +#define CYREG_PHUB_TDMEM8_ORIG_TD1 0x40007844u +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848u +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM9_ORIG_TD0 0x40007848u +#define CYREG_PHUB_TDMEM9_ORIG_TD1 0x4000784cu +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850u +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM10_ORIG_TD0 0x40007850u +#define CYREG_PHUB_TDMEM10_ORIG_TD1 0x40007854u +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858u +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM11_ORIG_TD0 0x40007858u +#define CYREG_PHUB_TDMEM11_ORIG_TD1 0x4000785cu +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860u +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM12_ORIG_TD0 0x40007860u +#define CYREG_PHUB_TDMEM12_ORIG_TD1 0x40007864u +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868u +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM13_ORIG_TD0 0x40007868u +#define CYREG_PHUB_TDMEM13_ORIG_TD1 0x4000786cu +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870u +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM14_ORIG_TD0 0x40007870u +#define CYREG_PHUB_TDMEM14_ORIG_TD1 0x40007874u +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878u +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM15_ORIG_TD0 0x40007878u +#define CYREG_PHUB_TDMEM15_ORIG_TD1 0x4000787cu +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880u +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM16_ORIG_TD0 0x40007880u +#define CYREG_PHUB_TDMEM16_ORIG_TD1 0x40007884u +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888u +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM17_ORIG_TD0 0x40007888u +#define CYREG_PHUB_TDMEM17_ORIG_TD1 0x4000788cu +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890u +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM18_ORIG_TD0 0x40007890u +#define CYREG_PHUB_TDMEM18_ORIG_TD1 0x40007894u +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898u +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM19_ORIG_TD0 0x40007898u +#define CYREG_PHUB_TDMEM19_ORIG_TD1 0x4000789cu +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0u +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM20_ORIG_TD0 0x400078a0u +#define CYREG_PHUB_TDMEM20_ORIG_TD1 0x400078a4u +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8u +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM21_ORIG_TD0 0x400078a8u +#define CYREG_PHUB_TDMEM21_ORIG_TD1 0x400078acu +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0u +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM22_ORIG_TD0 0x400078b0u +#define CYREG_PHUB_TDMEM22_ORIG_TD1 0x400078b4u +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8u +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM23_ORIG_TD0 0x400078b8u +#define CYREG_PHUB_TDMEM23_ORIG_TD1 0x400078bcu +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0u +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM24_ORIG_TD0 0x400078c0u +#define CYREG_PHUB_TDMEM24_ORIG_TD1 0x400078c4u +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8u +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM25_ORIG_TD0 0x400078c8u +#define CYREG_PHUB_TDMEM25_ORIG_TD1 0x400078ccu +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0u +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM26_ORIG_TD0 0x400078d0u +#define CYREG_PHUB_TDMEM26_ORIG_TD1 0x400078d4u +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8u +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM27_ORIG_TD0 0x400078d8u +#define CYREG_PHUB_TDMEM27_ORIG_TD1 0x400078dcu +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0u +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM28_ORIG_TD0 0x400078e0u +#define CYREG_PHUB_TDMEM28_ORIG_TD1 0x400078e4u +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8u +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM29_ORIG_TD0 0x400078e8u +#define CYREG_PHUB_TDMEM29_ORIG_TD1 0x400078ecu +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0u +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM30_ORIG_TD0 0x400078f0u +#define CYREG_PHUB_TDMEM30_ORIG_TD1 0x400078f4u +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8u +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM31_ORIG_TD0 0x400078f8u +#define CYREG_PHUB_TDMEM31_ORIG_TD1 0x400078fcu +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900u +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM32_ORIG_TD0 0x40007900u +#define CYREG_PHUB_TDMEM32_ORIG_TD1 0x40007904u +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908u +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM33_ORIG_TD0 0x40007908u +#define CYREG_PHUB_TDMEM33_ORIG_TD1 0x4000790cu +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910u +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM34_ORIG_TD0 0x40007910u +#define CYREG_PHUB_TDMEM34_ORIG_TD1 0x40007914u +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918u +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM35_ORIG_TD0 0x40007918u +#define CYREG_PHUB_TDMEM35_ORIG_TD1 0x4000791cu +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920u +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM36_ORIG_TD0 0x40007920u +#define CYREG_PHUB_TDMEM36_ORIG_TD1 0x40007924u +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928u +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM37_ORIG_TD0 0x40007928u +#define CYREG_PHUB_TDMEM37_ORIG_TD1 0x4000792cu +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930u +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM38_ORIG_TD0 0x40007930u +#define CYREG_PHUB_TDMEM38_ORIG_TD1 0x40007934u +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938u +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM39_ORIG_TD0 0x40007938u +#define CYREG_PHUB_TDMEM39_ORIG_TD1 0x4000793cu +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940u +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM40_ORIG_TD0 0x40007940u +#define CYREG_PHUB_TDMEM40_ORIG_TD1 0x40007944u +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948u +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM41_ORIG_TD0 0x40007948u +#define CYREG_PHUB_TDMEM41_ORIG_TD1 0x4000794cu +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950u +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM42_ORIG_TD0 0x40007950u +#define CYREG_PHUB_TDMEM42_ORIG_TD1 0x40007954u +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958u +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM43_ORIG_TD0 0x40007958u +#define CYREG_PHUB_TDMEM43_ORIG_TD1 0x4000795cu +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960u +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM44_ORIG_TD0 0x40007960u +#define CYREG_PHUB_TDMEM44_ORIG_TD1 0x40007964u +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968u +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM45_ORIG_TD0 0x40007968u +#define CYREG_PHUB_TDMEM45_ORIG_TD1 0x4000796cu +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970u +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM46_ORIG_TD0 0x40007970u +#define CYREG_PHUB_TDMEM46_ORIG_TD1 0x40007974u +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978u +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM47_ORIG_TD0 0x40007978u +#define CYREG_PHUB_TDMEM47_ORIG_TD1 0x4000797cu +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980u +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM48_ORIG_TD0 0x40007980u +#define CYREG_PHUB_TDMEM48_ORIG_TD1 0x40007984u +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988u +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM49_ORIG_TD0 0x40007988u +#define CYREG_PHUB_TDMEM49_ORIG_TD1 0x4000798cu +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990u +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM50_ORIG_TD0 0x40007990u +#define CYREG_PHUB_TDMEM50_ORIG_TD1 0x40007994u +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998u +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM51_ORIG_TD0 0x40007998u +#define CYREG_PHUB_TDMEM51_ORIG_TD1 0x4000799cu +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0u +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM52_ORIG_TD0 0x400079a0u +#define CYREG_PHUB_TDMEM52_ORIG_TD1 0x400079a4u +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8u +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM53_ORIG_TD0 0x400079a8u +#define CYREG_PHUB_TDMEM53_ORIG_TD1 0x400079acu +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0u +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM54_ORIG_TD0 0x400079b0u +#define CYREG_PHUB_TDMEM54_ORIG_TD1 0x400079b4u +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8u +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM55_ORIG_TD0 0x400079b8u +#define CYREG_PHUB_TDMEM55_ORIG_TD1 0x400079bcu +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0u +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM56_ORIG_TD0 0x400079c0u +#define CYREG_PHUB_TDMEM56_ORIG_TD1 0x400079c4u +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8u +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM57_ORIG_TD0 0x400079c8u +#define CYREG_PHUB_TDMEM57_ORIG_TD1 0x400079ccu +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0u +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM58_ORIG_TD0 0x400079d0u +#define CYREG_PHUB_TDMEM58_ORIG_TD1 0x400079d4u +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8u +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM59_ORIG_TD0 0x400079d8u +#define CYREG_PHUB_TDMEM59_ORIG_TD1 0x400079dcu +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0u +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM60_ORIG_TD0 0x400079e0u +#define CYREG_PHUB_TDMEM60_ORIG_TD1 0x400079e4u +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8u +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM61_ORIG_TD0 0x400079e8u +#define CYREG_PHUB_TDMEM61_ORIG_TD1 0x400079ecu +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0u +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM62_ORIG_TD0 0x400079f0u +#define CYREG_PHUB_TDMEM62_ORIG_TD1 0x400079f4u +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8u +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM63_ORIG_TD0 0x400079f8u +#define CYREG_PHUB_TDMEM63_ORIG_TD1 0x400079fcu +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00u +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM64_ORIG_TD0 0x40007a00u +#define CYREG_PHUB_TDMEM64_ORIG_TD1 0x40007a04u +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08u +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM65_ORIG_TD0 0x40007a08u +#define CYREG_PHUB_TDMEM65_ORIG_TD1 0x40007a0cu +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10u +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM66_ORIG_TD0 0x40007a10u +#define CYREG_PHUB_TDMEM66_ORIG_TD1 0x40007a14u +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18u +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM67_ORIG_TD0 0x40007a18u +#define CYREG_PHUB_TDMEM67_ORIG_TD1 0x40007a1cu +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20u +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM68_ORIG_TD0 0x40007a20u +#define CYREG_PHUB_TDMEM68_ORIG_TD1 0x40007a24u +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28u +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM69_ORIG_TD0 0x40007a28u +#define CYREG_PHUB_TDMEM69_ORIG_TD1 0x40007a2cu +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30u +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM70_ORIG_TD0 0x40007a30u +#define CYREG_PHUB_TDMEM70_ORIG_TD1 0x40007a34u +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38u +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM71_ORIG_TD0 0x40007a38u +#define CYREG_PHUB_TDMEM71_ORIG_TD1 0x40007a3cu +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40u +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM72_ORIG_TD0 0x40007a40u +#define CYREG_PHUB_TDMEM72_ORIG_TD1 0x40007a44u +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48u +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM73_ORIG_TD0 0x40007a48u +#define CYREG_PHUB_TDMEM73_ORIG_TD1 0x40007a4cu +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50u +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM74_ORIG_TD0 0x40007a50u +#define CYREG_PHUB_TDMEM74_ORIG_TD1 0x40007a54u +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58u +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM75_ORIG_TD0 0x40007a58u +#define CYREG_PHUB_TDMEM75_ORIG_TD1 0x40007a5cu +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60u +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM76_ORIG_TD0 0x40007a60u +#define CYREG_PHUB_TDMEM76_ORIG_TD1 0x40007a64u +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68u +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM77_ORIG_TD0 0x40007a68u +#define CYREG_PHUB_TDMEM77_ORIG_TD1 0x40007a6cu +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70u +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM78_ORIG_TD0 0x40007a70u +#define CYREG_PHUB_TDMEM78_ORIG_TD1 0x40007a74u +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78u +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM79_ORIG_TD0 0x40007a78u +#define CYREG_PHUB_TDMEM79_ORIG_TD1 0x40007a7cu +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80u +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM80_ORIG_TD0 0x40007a80u +#define CYREG_PHUB_TDMEM80_ORIG_TD1 0x40007a84u +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88u +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM81_ORIG_TD0 0x40007a88u +#define CYREG_PHUB_TDMEM81_ORIG_TD1 0x40007a8cu +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90u +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM82_ORIG_TD0 0x40007a90u +#define CYREG_PHUB_TDMEM82_ORIG_TD1 0x40007a94u +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98u +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM83_ORIG_TD0 0x40007a98u +#define CYREG_PHUB_TDMEM83_ORIG_TD1 0x40007a9cu +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM84_ORIG_TD0 0x40007aa0u +#define CYREG_PHUB_TDMEM84_ORIG_TD1 0x40007aa4u +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM85_ORIG_TD0 0x40007aa8u +#define CYREG_PHUB_TDMEM85_ORIG_TD1 0x40007aacu +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM86_ORIG_TD0 0x40007ab0u +#define CYREG_PHUB_TDMEM86_ORIG_TD1 0x40007ab4u +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM87_ORIG_TD0 0x40007ab8u +#define CYREG_PHUB_TDMEM87_ORIG_TD1 0x40007abcu +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM88_ORIG_TD0 0x40007ac0u +#define CYREG_PHUB_TDMEM88_ORIG_TD1 0x40007ac4u +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM89_ORIG_TD0 0x40007ac8u +#define CYREG_PHUB_TDMEM89_ORIG_TD1 0x40007accu +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM90_ORIG_TD0 0x40007ad0u +#define CYREG_PHUB_TDMEM90_ORIG_TD1 0x40007ad4u +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM91_ORIG_TD0 0x40007ad8u +#define CYREG_PHUB_TDMEM91_ORIG_TD1 0x40007adcu +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM92_ORIG_TD0 0x40007ae0u +#define CYREG_PHUB_TDMEM92_ORIG_TD1 0x40007ae4u +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM93_ORIG_TD0 0x40007ae8u +#define CYREG_PHUB_TDMEM93_ORIG_TD1 0x40007aecu +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0u +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM94_ORIG_TD0 0x40007af0u +#define CYREG_PHUB_TDMEM94_ORIG_TD1 0x40007af4u +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8u +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM95_ORIG_TD0 0x40007af8u +#define CYREG_PHUB_TDMEM95_ORIG_TD1 0x40007afcu +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00u +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM96_ORIG_TD0 0x40007b00u +#define CYREG_PHUB_TDMEM96_ORIG_TD1 0x40007b04u +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08u +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM97_ORIG_TD0 0x40007b08u +#define CYREG_PHUB_TDMEM97_ORIG_TD1 0x40007b0cu +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10u +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM98_ORIG_TD0 0x40007b10u +#define CYREG_PHUB_TDMEM98_ORIG_TD1 0x40007b14u +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18u +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM99_ORIG_TD0 0x40007b18u +#define CYREG_PHUB_TDMEM99_ORIG_TD1 0x40007b1cu +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20u +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM100_ORIG_TD0 0x40007b20u +#define CYREG_PHUB_TDMEM100_ORIG_TD1 0x40007b24u +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28u +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM101_ORIG_TD0 0x40007b28u +#define CYREG_PHUB_TDMEM101_ORIG_TD1 0x40007b2cu +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30u +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM102_ORIG_TD0 0x40007b30u +#define CYREG_PHUB_TDMEM102_ORIG_TD1 0x40007b34u +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38u +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM103_ORIG_TD0 0x40007b38u +#define CYREG_PHUB_TDMEM103_ORIG_TD1 0x40007b3cu +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40u +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM104_ORIG_TD0 0x40007b40u +#define CYREG_PHUB_TDMEM104_ORIG_TD1 0x40007b44u +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48u +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM105_ORIG_TD0 0x40007b48u +#define CYREG_PHUB_TDMEM105_ORIG_TD1 0x40007b4cu +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50u +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM106_ORIG_TD0 0x40007b50u +#define CYREG_PHUB_TDMEM106_ORIG_TD1 0x40007b54u +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58u +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM107_ORIG_TD0 0x40007b58u +#define CYREG_PHUB_TDMEM107_ORIG_TD1 0x40007b5cu +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60u +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM108_ORIG_TD0 0x40007b60u +#define CYREG_PHUB_TDMEM108_ORIG_TD1 0x40007b64u +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68u +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM109_ORIG_TD0 0x40007b68u +#define CYREG_PHUB_TDMEM109_ORIG_TD1 0x40007b6cu +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70u +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM110_ORIG_TD0 0x40007b70u +#define CYREG_PHUB_TDMEM110_ORIG_TD1 0x40007b74u +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78u +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM111_ORIG_TD0 0x40007b78u +#define CYREG_PHUB_TDMEM111_ORIG_TD1 0x40007b7cu +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80u +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM112_ORIG_TD0 0x40007b80u +#define CYREG_PHUB_TDMEM112_ORIG_TD1 0x40007b84u +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88u +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM113_ORIG_TD0 0x40007b88u +#define CYREG_PHUB_TDMEM113_ORIG_TD1 0x40007b8cu +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90u +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM114_ORIG_TD0 0x40007b90u +#define CYREG_PHUB_TDMEM114_ORIG_TD1 0x40007b94u +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98u +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM115_ORIG_TD0 0x40007b98u +#define CYREG_PHUB_TDMEM115_ORIG_TD1 0x40007b9cu +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM116_ORIG_TD0 0x40007ba0u +#define CYREG_PHUB_TDMEM116_ORIG_TD1 0x40007ba4u +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM117_ORIG_TD0 0x40007ba8u +#define CYREG_PHUB_TDMEM117_ORIG_TD1 0x40007bacu +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM118_ORIG_TD0 0x40007bb0u +#define CYREG_PHUB_TDMEM118_ORIG_TD1 0x40007bb4u +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM119_ORIG_TD0 0x40007bb8u +#define CYREG_PHUB_TDMEM119_ORIG_TD1 0x40007bbcu +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM120_ORIG_TD0 0x40007bc0u +#define CYREG_PHUB_TDMEM120_ORIG_TD1 0x40007bc4u +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM121_ORIG_TD0 0x40007bc8u +#define CYREG_PHUB_TDMEM121_ORIG_TD1 0x40007bccu +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM122_ORIG_TD0 0x40007bd0u +#define CYREG_PHUB_TDMEM122_ORIG_TD1 0x40007bd4u +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM123_ORIG_TD0 0x40007bd8u +#define CYREG_PHUB_TDMEM123_ORIG_TD1 0x40007bdcu +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0u +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM124_ORIG_TD0 0x40007be0u +#define CYREG_PHUB_TDMEM124_ORIG_TD1 0x40007be4u +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8u +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM125_ORIG_TD0 0x40007be8u +#define CYREG_PHUB_TDMEM125_ORIG_TD1 0x40007becu +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM126_ORIG_TD0 0x40007bf0u +#define CYREG_PHUB_TDMEM126_ORIG_TD1 0x40007bf4u +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM127_ORIG_TD0 0x40007bf8u +#define CYREG_PHUB_TDMEM127_ORIG_TD1 0x40007bfcu +#define CYDEV_EE_BASE 0x40008000u +#define CYDEV_EE_SIZE 0x00000800u +#define CYREG_EE_DATA_MBASE 0x40008000u +#define CYREG_EE_DATA_MSIZE 0x00000800u +#define CYDEV_CAN0_BASE 0x4000a000u +#define CYDEV_CAN0_SIZE 0x000002a0u +#define CYDEV_CAN0_CSR_BASE 0x4000a000u +#define CYDEV_CAN0_CSR_SIZE 0x00000018u +#define CYREG_CAN0_CSR_INT_SR 0x4000a000u +#define CYREG_CAN0_CSR_INT_EN 0x4000a004u +#define CYREG_CAN0_CSR_BUF_SR 0x4000a008u +#define CYREG_CAN0_CSR_ERR_SR 0x4000a00cu +#define CYREG_CAN0_CSR_CMD 0x4000a010u +#define CYREG_CAN0_CSR_CFG 0x4000a014u +#define CYDEV_CAN0_TX0_BASE 0x4000a020u +#define CYDEV_CAN0_TX0_SIZE 0x00000010u +#define CYREG_CAN0_TX0_CMD 0x4000a020u +#define CYREG_CAN0_TX0_ID 0x4000a024u +#define CYREG_CAN0_TX0_DH 0x4000a028u +#define CYREG_CAN0_TX0_DL 0x4000a02cu +#define CYDEV_CAN0_TX1_BASE 0x4000a030u +#define CYDEV_CAN0_TX1_SIZE 0x00000010u +#define CYREG_CAN0_TX1_CMD 0x4000a030u +#define CYREG_CAN0_TX1_ID 0x4000a034u +#define CYREG_CAN0_TX1_DH 0x4000a038u +#define CYREG_CAN0_TX1_DL 0x4000a03cu +#define CYDEV_CAN0_TX2_BASE 0x4000a040u +#define CYDEV_CAN0_TX2_SIZE 0x00000010u +#define CYREG_CAN0_TX2_CMD 0x4000a040u +#define CYREG_CAN0_TX2_ID 0x4000a044u +#define CYREG_CAN0_TX2_DH 0x4000a048u +#define CYREG_CAN0_TX2_DL 0x4000a04cu +#define CYDEV_CAN0_TX3_BASE 0x4000a050u +#define CYDEV_CAN0_TX3_SIZE 0x00000010u +#define CYREG_CAN0_TX3_CMD 0x4000a050u +#define CYREG_CAN0_TX3_ID 0x4000a054u +#define CYREG_CAN0_TX3_DH 0x4000a058u +#define CYREG_CAN0_TX3_DL 0x4000a05cu +#define CYDEV_CAN0_TX4_BASE 0x4000a060u +#define CYDEV_CAN0_TX4_SIZE 0x00000010u +#define CYREG_CAN0_TX4_CMD 0x4000a060u +#define CYREG_CAN0_TX4_ID 0x4000a064u +#define CYREG_CAN0_TX4_DH 0x4000a068u +#define CYREG_CAN0_TX4_DL 0x4000a06cu +#define CYDEV_CAN0_TX5_BASE 0x4000a070u +#define CYDEV_CAN0_TX5_SIZE 0x00000010u +#define CYREG_CAN0_TX5_CMD 0x4000a070u +#define CYREG_CAN0_TX5_ID 0x4000a074u +#define CYREG_CAN0_TX5_DH 0x4000a078u +#define CYREG_CAN0_TX5_DL 0x4000a07cu +#define CYDEV_CAN0_TX6_BASE 0x4000a080u +#define CYDEV_CAN0_TX6_SIZE 0x00000010u +#define CYREG_CAN0_TX6_CMD 0x4000a080u +#define CYREG_CAN0_TX6_ID 0x4000a084u +#define CYREG_CAN0_TX6_DH 0x4000a088u +#define CYREG_CAN0_TX6_DL 0x4000a08cu +#define CYDEV_CAN0_TX7_BASE 0x4000a090u +#define CYDEV_CAN0_TX7_SIZE 0x00000010u +#define CYREG_CAN0_TX7_CMD 0x4000a090u +#define CYREG_CAN0_TX7_ID 0x4000a094u +#define CYREG_CAN0_TX7_DH 0x4000a098u +#define CYREG_CAN0_TX7_DL 0x4000a09cu +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0u +#define CYDEV_CAN0_RX0_SIZE 0x00000020u +#define CYREG_CAN0_RX0_CMD 0x4000a0a0u +#define CYREG_CAN0_RX0_ID 0x4000a0a4u +#define CYREG_CAN0_RX0_DH 0x4000a0a8u +#define CYREG_CAN0_RX0_DL 0x4000a0acu +#define CYREG_CAN0_RX0_AMR 0x4000a0b0u +#define CYREG_CAN0_RX0_ACR 0x4000a0b4u +#define CYREG_CAN0_RX0_AMRD 0x4000a0b8u +#define CYREG_CAN0_RX0_ACRD 0x4000a0bcu +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0u +#define CYDEV_CAN0_RX1_SIZE 0x00000020u +#define CYREG_CAN0_RX1_CMD 0x4000a0c0u +#define CYREG_CAN0_RX1_ID 0x4000a0c4u +#define CYREG_CAN0_RX1_DH 0x4000a0c8u +#define CYREG_CAN0_RX1_DL 0x4000a0ccu +#define CYREG_CAN0_RX1_AMR 0x4000a0d0u +#define CYREG_CAN0_RX1_ACR 0x4000a0d4u +#define CYREG_CAN0_RX1_AMRD 0x4000a0d8u +#define CYREG_CAN0_RX1_ACRD 0x4000a0dcu +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0u +#define CYDEV_CAN0_RX2_SIZE 0x00000020u +#define CYREG_CAN0_RX2_CMD 0x4000a0e0u +#define CYREG_CAN0_RX2_ID 0x4000a0e4u +#define CYREG_CAN0_RX2_DH 0x4000a0e8u +#define CYREG_CAN0_RX2_DL 0x4000a0ecu +#define CYREG_CAN0_RX2_AMR 0x4000a0f0u +#define CYREG_CAN0_RX2_ACR 0x4000a0f4u +#define CYREG_CAN0_RX2_AMRD 0x4000a0f8u +#define CYREG_CAN0_RX2_ACRD 0x4000a0fcu +#define CYDEV_CAN0_RX3_BASE 0x4000a100u +#define CYDEV_CAN0_RX3_SIZE 0x00000020u +#define CYREG_CAN0_RX3_CMD 0x4000a100u +#define CYREG_CAN0_RX3_ID 0x4000a104u +#define CYREG_CAN0_RX3_DH 0x4000a108u +#define CYREG_CAN0_RX3_DL 0x4000a10cu +#define CYREG_CAN0_RX3_AMR 0x4000a110u +#define CYREG_CAN0_RX3_ACR 0x4000a114u +#define CYREG_CAN0_RX3_AMRD 0x4000a118u +#define CYREG_CAN0_RX3_ACRD 0x4000a11cu +#define CYDEV_CAN0_RX4_BASE 0x4000a120u +#define CYDEV_CAN0_RX4_SIZE 0x00000020u +#define CYREG_CAN0_RX4_CMD 0x4000a120u +#define CYREG_CAN0_RX4_ID 0x4000a124u +#define CYREG_CAN0_RX4_DH 0x4000a128u +#define CYREG_CAN0_RX4_DL 0x4000a12cu +#define CYREG_CAN0_RX4_AMR 0x4000a130u +#define CYREG_CAN0_RX4_ACR 0x4000a134u +#define CYREG_CAN0_RX4_AMRD 0x4000a138u +#define CYREG_CAN0_RX4_ACRD 0x4000a13cu +#define CYDEV_CAN0_RX5_BASE 0x4000a140u +#define CYDEV_CAN0_RX5_SIZE 0x00000020u +#define CYREG_CAN0_RX5_CMD 0x4000a140u +#define CYREG_CAN0_RX5_ID 0x4000a144u +#define CYREG_CAN0_RX5_DH 0x4000a148u +#define CYREG_CAN0_RX5_DL 0x4000a14cu +#define CYREG_CAN0_RX5_AMR 0x4000a150u +#define CYREG_CAN0_RX5_ACR 0x4000a154u +#define CYREG_CAN0_RX5_AMRD 0x4000a158u +#define CYREG_CAN0_RX5_ACRD 0x4000a15cu +#define CYDEV_CAN0_RX6_BASE 0x4000a160u +#define CYDEV_CAN0_RX6_SIZE 0x00000020u +#define CYREG_CAN0_RX6_CMD 0x4000a160u +#define CYREG_CAN0_RX6_ID 0x4000a164u +#define CYREG_CAN0_RX6_DH 0x4000a168u +#define CYREG_CAN0_RX6_DL 0x4000a16cu +#define CYREG_CAN0_RX6_AMR 0x4000a170u +#define CYREG_CAN0_RX6_ACR 0x4000a174u +#define CYREG_CAN0_RX6_AMRD 0x4000a178u +#define CYREG_CAN0_RX6_ACRD 0x4000a17cu +#define CYDEV_CAN0_RX7_BASE 0x4000a180u +#define CYDEV_CAN0_RX7_SIZE 0x00000020u +#define CYREG_CAN0_RX7_CMD 0x4000a180u +#define CYREG_CAN0_RX7_ID 0x4000a184u +#define CYREG_CAN0_RX7_DH 0x4000a188u +#define CYREG_CAN0_RX7_DL 0x4000a18cu +#define CYREG_CAN0_RX7_AMR 0x4000a190u +#define CYREG_CAN0_RX7_ACR 0x4000a194u +#define CYREG_CAN0_RX7_AMRD 0x4000a198u +#define CYREG_CAN0_RX7_ACRD 0x4000a19cu +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0u +#define CYDEV_CAN0_RX8_SIZE 0x00000020u +#define CYREG_CAN0_RX8_CMD 0x4000a1a0u +#define CYREG_CAN0_RX8_ID 0x4000a1a4u +#define CYREG_CAN0_RX8_DH 0x4000a1a8u +#define CYREG_CAN0_RX8_DL 0x4000a1acu +#define CYREG_CAN0_RX8_AMR 0x4000a1b0u +#define CYREG_CAN0_RX8_ACR 0x4000a1b4u +#define CYREG_CAN0_RX8_AMRD 0x4000a1b8u +#define CYREG_CAN0_RX8_ACRD 0x4000a1bcu +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0u +#define CYDEV_CAN0_RX9_SIZE 0x00000020u +#define CYREG_CAN0_RX9_CMD 0x4000a1c0u +#define CYREG_CAN0_RX9_ID 0x4000a1c4u +#define CYREG_CAN0_RX9_DH 0x4000a1c8u +#define CYREG_CAN0_RX9_DL 0x4000a1ccu +#define CYREG_CAN0_RX9_AMR 0x4000a1d0u +#define CYREG_CAN0_RX9_ACR 0x4000a1d4u +#define CYREG_CAN0_RX9_AMRD 0x4000a1d8u +#define CYREG_CAN0_RX9_ACRD 0x4000a1dcu +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0u +#define CYDEV_CAN0_RX10_SIZE 0x00000020u +#define CYREG_CAN0_RX10_CMD 0x4000a1e0u +#define CYREG_CAN0_RX10_ID 0x4000a1e4u +#define CYREG_CAN0_RX10_DH 0x4000a1e8u +#define CYREG_CAN0_RX10_DL 0x4000a1ecu +#define CYREG_CAN0_RX10_AMR 0x4000a1f0u +#define CYREG_CAN0_RX10_ACR 0x4000a1f4u +#define CYREG_CAN0_RX10_AMRD 0x4000a1f8u +#define CYREG_CAN0_RX10_ACRD 0x4000a1fcu +#define CYDEV_CAN0_RX11_BASE 0x4000a200u +#define CYDEV_CAN0_RX11_SIZE 0x00000020u +#define CYREG_CAN0_RX11_CMD 0x4000a200u +#define CYREG_CAN0_RX11_ID 0x4000a204u +#define CYREG_CAN0_RX11_DH 0x4000a208u +#define CYREG_CAN0_RX11_DL 0x4000a20cu +#define CYREG_CAN0_RX11_AMR 0x4000a210u +#define CYREG_CAN0_RX11_ACR 0x4000a214u +#define CYREG_CAN0_RX11_AMRD 0x4000a218u +#define CYREG_CAN0_RX11_ACRD 0x4000a21cu +#define CYDEV_CAN0_RX12_BASE 0x4000a220u +#define CYDEV_CAN0_RX12_SIZE 0x00000020u +#define CYREG_CAN0_RX12_CMD 0x4000a220u +#define CYREG_CAN0_RX12_ID 0x4000a224u +#define CYREG_CAN0_RX12_DH 0x4000a228u +#define CYREG_CAN0_RX12_DL 0x4000a22cu +#define CYREG_CAN0_RX12_AMR 0x4000a230u +#define CYREG_CAN0_RX12_ACR 0x4000a234u +#define CYREG_CAN0_RX12_AMRD 0x4000a238u +#define CYREG_CAN0_RX12_ACRD 0x4000a23cu +#define CYDEV_CAN0_RX13_BASE 0x4000a240u +#define CYDEV_CAN0_RX13_SIZE 0x00000020u +#define CYREG_CAN0_RX13_CMD 0x4000a240u +#define CYREG_CAN0_RX13_ID 0x4000a244u +#define CYREG_CAN0_RX13_DH 0x4000a248u +#define CYREG_CAN0_RX13_DL 0x4000a24cu +#define CYREG_CAN0_RX13_AMR 0x4000a250u +#define CYREG_CAN0_RX13_ACR 0x4000a254u +#define CYREG_CAN0_RX13_AMRD 0x4000a258u +#define CYREG_CAN0_RX13_ACRD 0x4000a25cu +#define CYDEV_CAN0_RX14_BASE 0x4000a260u +#define CYDEV_CAN0_RX14_SIZE 0x00000020u +#define CYREG_CAN0_RX14_CMD 0x4000a260u +#define CYREG_CAN0_RX14_ID 0x4000a264u +#define CYREG_CAN0_RX14_DH 0x4000a268u +#define CYREG_CAN0_RX14_DL 0x4000a26cu +#define CYREG_CAN0_RX14_AMR 0x4000a270u +#define CYREG_CAN0_RX14_ACR 0x4000a274u +#define CYREG_CAN0_RX14_AMRD 0x4000a278u +#define CYREG_CAN0_RX14_ACRD 0x4000a27cu +#define CYDEV_CAN0_RX15_BASE 0x4000a280u +#define CYDEV_CAN0_RX15_SIZE 0x00000020u +#define CYREG_CAN0_RX15_CMD 0x4000a280u +#define CYREG_CAN0_RX15_ID 0x4000a284u +#define CYREG_CAN0_RX15_DH 0x4000a288u +#define CYREG_CAN0_RX15_DL 0x4000a28cu +#define CYREG_CAN0_RX15_AMR 0x4000a290u +#define CYREG_CAN0_RX15_ACR 0x4000a294u +#define CYREG_CAN0_RX15_AMRD 0x4000a298u +#define CYREG_CAN0_RX15_ACRD 0x4000a29cu +#define CYDEV_DFB0_BASE 0x4000c000u +#define CYDEV_DFB0_SIZE 0x000007b5u +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200u +#define CYREG_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000u +#define CYREG_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200u +#define CYREG_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200u +#define CYREG_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400u +#define CYREG_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500u +#define CYREG_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600u +#define CYREG_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040u +#define CYREG_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700u +#define CYREG_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040u +#define CYREG_DFB0_CR 0x4000c780u +#define CYREG_DFB0_SR 0x4000c784u +#define CYREG_DFB0_RAM_EN 0x4000c788u +#define CYREG_DFB0_RAM_DIR 0x4000c78cu +#define CYREG_DFB0_SEMA 0x4000c790u +#define CYREG_DFB0_DSI_CTRL 0x4000c794u +#define CYREG_DFB0_INT_CTRL 0x4000c798u +#define CYREG_DFB0_DMA_CTRL 0x4000c79cu +#define CYREG_DFB0_STAGEA 0x4000c7a0u +#define CYREG_DFB0_STAGEAM 0x4000c7a1u +#define CYREG_DFB0_STAGEAH 0x4000c7a2u +#define CYREG_DFB0_STAGEB 0x4000c7a4u +#define CYREG_DFB0_STAGEBM 0x4000c7a5u +#define CYREG_DFB0_STAGEBH 0x4000c7a6u +#define CYREG_DFB0_HOLDA 0x4000c7a8u +#define CYREG_DFB0_HOLDAM 0x4000c7a9u +#define CYREG_DFB0_HOLDAH 0x4000c7aau +#define CYREG_DFB0_HOLDAS 0x4000c7abu +#define CYREG_DFB0_HOLDB 0x4000c7acu +#define CYREG_DFB0_HOLDBM 0x4000c7adu +#define CYREG_DFB0_HOLDBH 0x4000c7aeu +#define CYREG_DFB0_HOLDBS 0x4000c7afu +#define CYREG_DFB0_COHER 0x4000c7b0u +#define CYREG_DFB0_DALIGN 0x4000c7b4u +#define CYDEV_UCFG_BASE 0x40010000u +#define CYDEV_UCFG_SIZE 0x00005040u +#define CYDEV_UCFG_B0_BASE 0x40010000u +#define CYDEV_UCFG_B0_SIZE 0x00000fefu +#define CYDEV_UCFG_B0_P0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070u +#define CYREG_B0_P0_U0_PLD_IT0 0x40010000u +#define CYREG_B0_P0_U0_PLD_IT1 0x40010004u +#define CYREG_B0_P0_U0_PLD_IT2 0x40010008u +#define CYREG_B0_P0_U0_PLD_IT3 0x4001000cu +#define CYREG_B0_P0_U0_PLD_IT4 0x40010010u +#define CYREG_B0_P0_U0_PLD_IT5 0x40010014u +#define CYREG_B0_P0_U0_PLD_IT6 0x40010018u +#define CYREG_B0_P0_U0_PLD_IT7 0x4001001cu +#define CYREG_B0_P0_U0_PLD_IT8 0x40010020u +#define CYREG_B0_P0_U0_PLD_IT9 0x40010024u +#define CYREG_B0_P0_U0_PLD_IT10 0x40010028u +#define CYREG_B0_P0_U0_PLD_IT11 0x4001002cu +#define CYREG_B0_P0_U0_PLD_ORT0 0x40010030u +#define CYREG_B0_P0_U0_PLD_ORT1 0x40010032u +#define CYREG_B0_P0_U0_PLD_ORT2 0x40010034u +#define CYREG_B0_P0_U0_PLD_ORT3 0x40010036u +#define CYREG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038u +#define CYREG_B0_P0_U0_MC_CFG_XORFB 0x4001003au +#define CYREG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003cu +#define CYREG_B0_P0_U0_MC_CFG_BYPASS 0x4001003eu +#define CYREG_B0_P0_U0_CFG0 0x40010040u +#define CYREG_B0_P0_U0_CFG1 0x40010041u +#define CYREG_B0_P0_U0_CFG2 0x40010042u +#define CYREG_B0_P0_U0_CFG3 0x40010043u +#define CYREG_B0_P0_U0_CFG4 0x40010044u +#define CYREG_B0_P0_U0_CFG5 0x40010045u +#define CYREG_B0_P0_U0_CFG6 0x40010046u +#define CYREG_B0_P0_U0_CFG7 0x40010047u +#define CYREG_B0_P0_U0_CFG8 0x40010048u +#define CYREG_B0_P0_U0_CFG9 0x40010049u +#define CYREG_B0_P0_U0_CFG10 0x4001004au +#define CYREG_B0_P0_U0_CFG11 0x4001004bu +#define CYREG_B0_P0_U0_CFG12 0x4001004cu +#define CYREG_B0_P0_U0_CFG13 0x4001004du +#define CYREG_B0_P0_U0_CFG14 0x4001004eu +#define CYREG_B0_P0_U0_CFG15 0x4001004fu +#define CYREG_B0_P0_U0_CFG16 0x40010050u +#define CYREG_B0_P0_U0_CFG17 0x40010051u +#define CYREG_B0_P0_U0_CFG18 0x40010052u +#define CYREG_B0_P0_U0_CFG19 0x40010053u +#define CYREG_B0_P0_U0_CFG20 0x40010054u +#define CYREG_B0_P0_U0_CFG21 0x40010055u +#define CYREG_B0_P0_U0_CFG22 0x40010056u +#define CYREG_B0_P0_U0_CFG23 0x40010057u +#define CYREG_B0_P0_U0_CFG24 0x40010058u +#define CYREG_B0_P0_U0_CFG25 0x40010059u +#define CYREG_B0_P0_U0_CFG26 0x4001005au +#define CYREG_B0_P0_U0_CFG27 0x4001005bu +#define CYREG_B0_P0_U0_CFG28 0x4001005cu +#define CYREG_B0_P0_U0_CFG29 0x4001005du +#define CYREG_B0_P0_U0_CFG30 0x4001005eu +#define CYREG_B0_P0_U0_CFG31 0x4001005fu +#define CYREG_B0_P0_U0_DCFG0 0x40010060u +#define CYREG_B0_P0_U0_DCFG1 0x40010062u +#define CYREG_B0_P0_U0_DCFG2 0x40010064u +#define CYREG_B0_P0_U0_DCFG3 0x40010066u +#define CYREG_B0_P0_U0_DCFG4 0x40010068u +#define CYREG_B0_P0_U0_DCFG5 0x4001006au +#define CYREG_B0_P0_U0_DCFG6 0x4001006cu +#define CYREG_B0_P0_U0_DCFG7 0x4001006eu +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070u +#define CYREG_B0_P0_U1_PLD_IT0 0x40010080u +#define CYREG_B0_P0_U1_PLD_IT1 0x40010084u +#define CYREG_B0_P0_U1_PLD_IT2 0x40010088u +#define CYREG_B0_P0_U1_PLD_IT3 0x4001008cu +#define CYREG_B0_P0_U1_PLD_IT4 0x40010090u +#define CYREG_B0_P0_U1_PLD_IT5 0x40010094u +#define CYREG_B0_P0_U1_PLD_IT6 0x40010098u +#define CYREG_B0_P0_U1_PLD_IT7 0x4001009cu +#define CYREG_B0_P0_U1_PLD_IT8 0x400100a0u +#define CYREG_B0_P0_U1_PLD_IT9 0x400100a4u +#define CYREG_B0_P0_U1_PLD_IT10 0x400100a8u +#define CYREG_B0_P0_U1_PLD_IT11 0x400100acu +#define CYREG_B0_P0_U1_PLD_ORT0 0x400100b0u +#define CYREG_B0_P0_U1_PLD_ORT1 0x400100b2u +#define CYREG_B0_P0_U1_PLD_ORT2 0x400100b4u +#define CYREG_B0_P0_U1_PLD_ORT3 0x400100b6u +#define CYREG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8u +#define CYREG_B0_P0_U1_MC_CFG_XORFB 0x400100bau +#define CYREG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bcu +#define CYREG_B0_P0_U1_MC_CFG_BYPASS 0x400100beu +#define CYREG_B0_P0_U1_CFG0 0x400100c0u +#define CYREG_B0_P0_U1_CFG1 0x400100c1u +#define CYREG_B0_P0_U1_CFG2 0x400100c2u +#define CYREG_B0_P0_U1_CFG3 0x400100c3u +#define CYREG_B0_P0_U1_CFG4 0x400100c4u +#define CYREG_B0_P0_U1_CFG5 0x400100c5u +#define CYREG_B0_P0_U1_CFG6 0x400100c6u +#define CYREG_B0_P0_U1_CFG7 0x400100c7u +#define CYREG_B0_P0_U1_CFG8 0x400100c8u +#define CYREG_B0_P0_U1_CFG9 0x400100c9u +#define CYREG_B0_P0_U1_CFG10 0x400100cau +#define CYREG_B0_P0_U1_CFG11 0x400100cbu +#define CYREG_B0_P0_U1_CFG12 0x400100ccu +#define CYREG_B0_P0_U1_CFG13 0x400100cdu +#define CYREG_B0_P0_U1_CFG14 0x400100ceu +#define CYREG_B0_P0_U1_CFG15 0x400100cfu +#define CYREG_B0_P0_U1_CFG16 0x400100d0u +#define CYREG_B0_P0_U1_CFG17 0x400100d1u +#define CYREG_B0_P0_U1_CFG18 0x400100d2u +#define CYREG_B0_P0_U1_CFG19 0x400100d3u +#define CYREG_B0_P0_U1_CFG20 0x400100d4u +#define CYREG_B0_P0_U1_CFG21 0x400100d5u +#define CYREG_B0_P0_U1_CFG22 0x400100d6u +#define CYREG_B0_P0_U1_CFG23 0x400100d7u +#define CYREG_B0_P0_U1_CFG24 0x400100d8u +#define CYREG_B0_P0_U1_CFG25 0x400100d9u +#define CYREG_B0_P0_U1_CFG26 0x400100dau +#define CYREG_B0_P0_U1_CFG27 0x400100dbu +#define CYREG_B0_P0_U1_CFG28 0x400100dcu +#define CYREG_B0_P0_U1_CFG29 0x400100ddu +#define CYREG_B0_P0_U1_CFG30 0x400100deu +#define CYREG_B0_P0_U1_CFG31 0x400100dfu +#define CYREG_B0_P0_U1_DCFG0 0x400100e0u +#define CYREG_B0_P0_U1_DCFG1 0x400100e2u +#define CYREG_B0_P0_U1_DCFG2 0x400100e4u +#define CYREG_B0_P0_U1_DCFG3 0x400100e6u +#define CYREG_B0_P0_U1_DCFG4 0x400100e8u +#define CYREG_B0_P0_U1_DCFG5 0x400100eau +#define CYREG_B0_P0_U1_DCFG6 0x400100ecu +#define CYREG_B0_P0_U1_DCFG7 0x400100eeu +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100u +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P1_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070u +#define CYREG_B0_P1_U0_PLD_IT0 0x40010200u +#define CYREG_B0_P1_U0_PLD_IT1 0x40010204u +#define CYREG_B0_P1_U0_PLD_IT2 0x40010208u +#define CYREG_B0_P1_U0_PLD_IT3 0x4001020cu +#define CYREG_B0_P1_U0_PLD_IT4 0x40010210u +#define CYREG_B0_P1_U0_PLD_IT5 0x40010214u +#define CYREG_B0_P1_U0_PLD_IT6 0x40010218u +#define CYREG_B0_P1_U0_PLD_IT7 0x4001021cu +#define CYREG_B0_P1_U0_PLD_IT8 0x40010220u +#define CYREG_B0_P1_U0_PLD_IT9 0x40010224u +#define CYREG_B0_P1_U0_PLD_IT10 0x40010228u +#define CYREG_B0_P1_U0_PLD_IT11 0x4001022cu +#define CYREG_B0_P1_U0_PLD_ORT0 0x40010230u +#define CYREG_B0_P1_U0_PLD_ORT1 0x40010232u +#define CYREG_B0_P1_U0_PLD_ORT2 0x40010234u +#define CYREG_B0_P1_U0_PLD_ORT3 0x40010236u +#define CYREG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238u +#define CYREG_B0_P1_U0_MC_CFG_XORFB 0x4001023au +#define CYREG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023cu +#define CYREG_B0_P1_U0_MC_CFG_BYPASS 0x4001023eu +#define CYREG_B0_P1_U0_CFG0 0x40010240u +#define CYREG_B0_P1_U0_CFG1 0x40010241u +#define CYREG_B0_P1_U0_CFG2 0x40010242u +#define CYREG_B0_P1_U0_CFG3 0x40010243u +#define CYREG_B0_P1_U0_CFG4 0x40010244u +#define CYREG_B0_P1_U0_CFG5 0x40010245u +#define CYREG_B0_P1_U0_CFG6 0x40010246u +#define CYREG_B0_P1_U0_CFG7 0x40010247u +#define CYREG_B0_P1_U0_CFG8 0x40010248u +#define CYREG_B0_P1_U0_CFG9 0x40010249u +#define CYREG_B0_P1_U0_CFG10 0x4001024au +#define CYREG_B0_P1_U0_CFG11 0x4001024bu +#define CYREG_B0_P1_U0_CFG12 0x4001024cu +#define CYREG_B0_P1_U0_CFG13 0x4001024du +#define CYREG_B0_P1_U0_CFG14 0x4001024eu +#define CYREG_B0_P1_U0_CFG15 0x4001024fu +#define CYREG_B0_P1_U0_CFG16 0x40010250u +#define CYREG_B0_P1_U0_CFG17 0x40010251u +#define CYREG_B0_P1_U0_CFG18 0x40010252u +#define CYREG_B0_P1_U0_CFG19 0x40010253u +#define CYREG_B0_P1_U0_CFG20 0x40010254u +#define CYREG_B0_P1_U0_CFG21 0x40010255u +#define CYREG_B0_P1_U0_CFG22 0x40010256u +#define CYREG_B0_P1_U0_CFG23 0x40010257u +#define CYREG_B0_P1_U0_CFG24 0x40010258u +#define CYREG_B0_P1_U0_CFG25 0x40010259u +#define CYREG_B0_P1_U0_CFG26 0x4001025au +#define CYREG_B0_P1_U0_CFG27 0x4001025bu +#define CYREG_B0_P1_U0_CFG28 0x4001025cu +#define CYREG_B0_P1_U0_CFG29 0x4001025du +#define CYREG_B0_P1_U0_CFG30 0x4001025eu +#define CYREG_B0_P1_U0_CFG31 0x4001025fu +#define CYREG_B0_P1_U0_DCFG0 0x40010260u +#define CYREG_B0_P1_U0_DCFG1 0x40010262u +#define CYREG_B0_P1_U0_DCFG2 0x40010264u +#define CYREG_B0_P1_U0_DCFG3 0x40010266u +#define CYREG_B0_P1_U0_DCFG4 0x40010268u +#define CYREG_B0_P1_U0_DCFG5 0x4001026au +#define CYREG_B0_P1_U0_DCFG6 0x4001026cu +#define CYREG_B0_P1_U0_DCFG7 0x4001026eu +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070u +#define CYREG_B0_P1_U1_PLD_IT0 0x40010280u +#define CYREG_B0_P1_U1_PLD_IT1 0x40010284u +#define CYREG_B0_P1_U1_PLD_IT2 0x40010288u +#define CYREG_B0_P1_U1_PLD_IT3 0x4001028cu +#define CYREG_B0_P1_U1_PLD_IT4 0x40010290u +#define CYREG_B0_P1_U1_PLD_IT5 0x40010294u +#define CYREG_B0_P1_U1_PLD_IT6 0x40010298u +#define CYREG_B0_P1_U1_PLD_IT7 0x4001029cu +#define CYREG_B0_P1_U1_PLD_IT8 0x400102a0u +#define CYREG_B0_P1_U1_PLD_IT9 0x400102a4u +#define CYREG_B0_P1_U1_PLD_IT10 0x400102a8u +#define CYREG_B0_P1_U1_PLD_IT11 0x400102acu +#define CYREG_B0_P1_U1_PLD_ORT0 0x400102b0u +#define CYREG_B0_P1_U1_PLD_ORT1 0x400102b2u +#define CYREG_B0_P1_U1_PLD_ORT2 0x400102b4u +#define CYREG_B0_P1_U1_PLD_ORT3 0x400102b6u +#define CYREG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8u +#define CYREG_B0_P1_U1_MC_CFG_XORFB 0x400102bau +#define CYREG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bcu +#define CYREG_B0_P1_U1_MC_CFG_BYPASS 0x400102beu +#define CYREG_B0_P1_U1_CFG0 0x400102c0u +#define CYREG_B0_P1_U1_CFG1 0x400102c1u +#define CYREG_B0_P1_U1_CFG2 0x400102c2u +#define CYREG_B0_P1_U1_CFG3 0x400102c3u +#define CYREG_B0_P1_U1_CFG4 0x400102c4u +#define CYREG_B0_P1_U1_CFG5 0x400102c5u +#define CYREG_B0_P1_U1_CFG6 0x400102c6u +#define CYREG_B0_P1_U1_CFG7 0x400102c7u +#define CYREG_B0_P1_U1_CFG8 0x400102c8u +#define CYREG_B0_P1_U1_CFG9 0x400102c9u +#define CYREG_B0_P1_U1_CFG10 0x400102cau +#define CYREG_B0_P1_U1_CFG11 0x400102cbu +#define CYREG_B0_P1_U1_CFG12 0x400102ccu +#define CYREG_B0_P1_U1_CFG13 0x400102cdu +#define CYREG_B0_P1_U1_CFG14 0x400102ceu +#define CYREG_B0_P1_U1_CFG15 0x400102cfu +#define CYREG_B0_P1_U1_CFG16 0x400102d0u +#define CYREG_B0_P1_U1_CFG17 0x400102d1u +#define CYREG_B0_P1_U1_CFG18 0x400102d2u +#define CYREG_B0_P1_U1_CFG19 0x400102d3u +#define CYREG_B0_P1_U1_CFG20 0x400102d4u +#define CYREG_B0_P1_U1_CFG21 0x400102d5u +#define CYREG_B0_P1_U1_CFG22 0x400102d6u +#define CYREG_B0_P1_U1_CFG23 0x400102d7u +#define CYREG_B0_P1_U1_CFG24 0x400102d8u +#define CYREG_B0_P1_U1_CFG25 0x400102d9u +#define CYREG_B0_P1_U1_CFG26 0x400102dau +#define CYREG_B0_P1_U1_CFG27 0x400102dbu +#define CYREG_B0_P1_U1_CFG28 0x400102dcu +#define CYREG_B0_P1_U1_CFG29 0x400102ddu +#define CYREG_B0_P1_U1_CFG30 0x400102deu +#define CYREG_B0_P1_U1_CFG31 0x400102dfu +#define CYREG_B0_P1_U1_DCFG0 0x400102e0u +#define CYREG_B0_P1_U1_DCFG1 0x400102e2u +#define CYREG_B0_P1_U1_DCFG2 0x400102e4u +#define CYREG_B0_P1_U1_DCFG3 0x400102e6u +#define CYREG_B0_P1_U1_DCFG4 0x400102e8u +#define CYREG_B0_P1_U1_DCFG5 0x400102eau +#define CYREG_B0_P1_U1_DCFG6 0x400102ecu +#define CYREG_B0_P1_U1_DCFG7 0x400102eeu +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300u +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P2_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070u +#define CYREG_B0_P2_U0_PLD_IT0 0x40010400u +#define CYREG_B0_P2_U0_PLD_IT1 0x40010404u +#define CYREG_B0_P2_U0_PLD_IT2 0x40010408u +#define CYREG_B0_P2_U0_PLD_IT3 0x4001040cu +#define CYREG_B0_P2_U0_PLD_IT4 0x40010410u +#define CYREG_B0_P2_U0_PLD_IT5 0x40010414u +#define CYREG_B0_P2_U0_PLD_IT6 0x40010418u +#define CYREG_B0_P2_U0_PLD_IT7 0x4001041cu +#define CYREG_B0_P2_U0_PLD_IT8 0x40010420u +#define CYREG_B0_P2_U0_PLD_IT9 0x40010424u +#define CYREG_B0_P2_U0_PLD_IT10 0x40010428u +#define CYREG_B0_P2_U0_PLD_IT11 0x4001042cu +#define CYREG_B0_P2_U0_PLD_ORT0 0x40010430u +#define CYREG_B0_P2_U0_PLD_ORT1 0x40010432u +#define CYREG_B0_P2_U0_PLD_ORT2 0x40010434u +#define CYREG_B0_P2_U0_PLD_ORT3 0x40010436u +#define CYREG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438u +#define CYREG_B0_P2_U0_MC_CFG_XORFB 0x4001043au +#define CYREG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043cu +#define CYREG_B0_P2_U0_MC_CFG_BYPASS 0x4001043eu +#define CYREG_B0_P2_U0_CFG0 0x40010440u +#define CYREG_B0_P2_U0_CFG1 0x40010441u +#define CYREG_B0_P2_U0_CFG2 0x40010442u +#define CYREG_B0_P2_U0_CFG3 0x40010443u +#define CYREG_B0_P2_U0_CFG4 0x40010444u +#define CYREG_B0_P2_U0_CFG5 0x40010445u +#define CYREG_B0_P2_U0_CFG6 0x40010446u +#define CYREG_B0_P2_U0_CFG7 0x40010447u +#define CYREG_B0_P2_U0_CFG8 0x40010448u +#define CYREG_B0_P2_U0_CFG9 0x40010449u +#define CYREG_B0_P2_U0_CFG10 0x4001044au +#define CYREG_B0_P2_U0_CFG11 0x4001044bu +#define CYREG_B0_P2_U0_CFG12 0x4001044cu +#define CYREG_B0_P2_U0_CFG13 0x4001044du +#define CYREG_B0_P2_U0_CFG14 0x4001044eu +#define CYREG_B0_P2_U0_CFG15 0x4001044fu +#define CYREG_B0_P2_U0_CFG16 0x40010450u +#define CYREG_B0_P2_U0_CFG17 0x40010451u +#define CYREG_B0_P2_U0_CFG18 0x40010452u +#define CYREG_B0_P2_U0_CFG19 0x40010453u +#define CYREG_B0_P2_U0_CFG20 0x40010454u +#define CYREG_B0_P2_U0_CFG21 0x40010455u +#define CYREG_B0_P2_U0_CFG22 0x40010456u +#define CYREG_B0_P2_U0_CFG23 0x40010457u +#define CYREG_B0_P2_U0_CFG24 0x40010458u +#define CYREG_B0_P2_U0_CFG25 0x40010459u +#define CYREG_B0_P2_U0_CFG26 0x4001045au +#define CYREG_B0_P2_U0_CFG27 0x4001045bu +#define CYREG_B0_P2_U0_CFG28 0x4001045cu +#define CYREG_B0_P2_U0_CFG29 0x4001045du +#define CYREG_B0_P2_U0_CFG30 0x4001045eu +#define CYREG_B0_P2_U0_CFG31 0x4001045fu +#define CYREG_B0_P2_U0_DCFG0 0x40010460u +#define CYREG_B0_P2_U0_DCFG1 0x40010462u +#define CYREG_B0_P2_U0_DCFG2 0x40010464u +#define CYREG_B0_P2_U0_DCFG3 0x40010466u +#define CYREG_B0_P2_U0_DCFG4 0x40010468u +#define CYREG_B0_P2_U0_DCFG5 0x4001046au +#define CYREG_B0_P2_U0_DCFG6 0x4001046cu +#define CYREG_B0_P2_U0_DCFG7 0x4001046eu +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070u +#define CYREG_B0_P2_U1_PLD_IT0 0x40010480u +#define CYREG_B0_P2_U1_PLD_IT1 0x40010484u +#define CYREG_B0_P2_U1_PLD_IT2 0x40010488u +#define CYREG_B0_P2_U1_PLD_IT3 0x4001048cu +#define CYREG_B0_P2_U1_PLD_IT4 0x40010490u +#define CYREG_B0_P2_U1_PLD_IT5 0x40010494u +#define CYREG_B0_P2_U1_PLD_IT6 0x40010498u +#define CYREG_B0_P2_U1_PLD_IT7 0x4001049cu +#define CYREG_B0_P2_U1_PLD_IT8 0x400104a0u +#define CYREG_B0_P2_U1_PLD_IT9 0x400104a4u +#define CYREG_B0_P2_U1_PLD_IT10 0x400104a8u +#define CYREG_B0_P2_U1_PLD_IT11 0x400104acu +#define CYREG_B0_P2_U1_PLD_ORT0 0x400104b0u +#define CYREG_B0_P2_U1_PLD_ORT1 0x400104b2u +#define CYREG_B0_P2_U1_PLD_ORT2 0x400104b4u +#define CYREG_B0_P2_U1_PLD_ORT3 0x400104b6u +#define CYREG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8u +#define CYREG_B0_P2_U1_MC_CFG_XORFB 0x400104bau +#define CYREG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bcu +#define CYREG_B0_P2_U1_MC_CFG_BYPASS 0x400104beu +#define CYREG_B0_P2_U1_CFG0 0x400104c0u +#define CYREG_B0_P2_U1_CFG1 0x400104c1u +#define CYREG_B0_P2_U1_CFG2 0x400104c2u +#define CYREG_B0_P2_U1_CFG3 0x400104c3u +#define CYREG_B0_P2_U1_CFG4 0x400104c4u +#define CYREG_B0_P2_U1_CFG5 0x400104c5u +#define CYREG_B0_P2_U1_CFG6 0x400104c6u +#define CYREG_B0_P2_U1_CFG7 0x400104c7u +#define CYREG_B0_P2_U1_CFG8 0x400104c8u +#define CYREG_B0_P2_U1_CFG9 0x400104c9u +#define CYREG_B0_P2_U1_CFG10 0x400104cau +#define CYREG_B0_P2_U1_CFG11 0x400104cbu +#define CYREG_B0_P2_U1_CFG12 0x400104ccu +#define CYREG_B0_P2_U1_CFG13 0x400104cdu +#define CYREG_B0_P2_U1_CFG14 0x400104ceu +#define CYREG_B0_P2_U1_CFG15 0x400104cfu +#define CYREG_B0_P2_U1_CFG16 0x400104d0u +#define CYREG_B0_P2_U1_CFG17 0x400104d1u +#define CYREG_B0_P2_U1_CFG18 0x400104d2u +#define CYREG_B0_P2_U1_CFG19 0x400104d3u +#define CYREG_B0_P2_U1_CFG20 0x400104d4u +#define CYREG_B0_P2_U1_CFG21 0x400104d5u +#define CYREG_B0_P2_U1_CFG22 0x400104d6u +#define CYREG_B0_P2_U1_CFG23 0x400104d7u +#define CYREG_B0_P2_U1_CFG24 0x400104d8u +#define CYREG_B0_P2_U1_CFG25 0x400104d9u +#define CYREG_B0_P2_U1_CFG26 0x400104dau +#define CYREG_B0_P2_U1_CFG27 0x400104dbu +#define CYREG_B0_P2_U1_CFG28 0x400104dcu +#define CYREG_B0_P2_U1_CFG29 0x400104ddu +#define CYREG_B0_P2_U1_CFG30 0x400104deu +#define CYREG_B0_P2_U1_CFG31 0x400104dfu +#define CYREG_B0_P2_U1_DCFG0 0x400104e0u +#define CYREG_B0_P2_U1_DCFG1 0x400104e2u +#define CYREG_B0_P2_U1_DCFG2 0x400104e4u +#define CYREG_B0_P2_U1_DCFG3 0x400104e6u +#define CYREG_B0_P2_U1_DCFG4 0x400104e8u +#define CYREG_B0_P2_U1_DCFG5 0x400104eau +#define CYREG_B0_P2_U1_DCFG6 0x400104ecu +#define CYREG_B0_P2_U1_DCFG7 0x400104eeu +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500u +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P3_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070u +#define CYREG_B0_P3_U0_PLD_IT0 0x40010600u +#define CYREG_B0_P3_U0_PLD_IT1 0x40010604u +#define CYREG_B0_P3_U0_PLD_IT2 0x40010608u +#define CYREG_B0_P3_U0_PLD_IT3 0x4001060cu +#define CYREG_B0_P3_U0_PLD_IT4 0x40010610u +#define CYREG_B0_P3_U0_PLD_IT5 0x40010614u +#define CYREG_B0_P3_U0_PLD_IT6 0x40010618u +#define CYREG_B0_P3_U0_PLD_IT7 0x4001061cu +#define CYREG_B0_P3_U0_PLD_IT8 0x40010620u +#define CYREG_B0_P3_U0_PLD_IT9 0x40010624u +#define CYREG_B0_P3_U0_PLD_IT10 0x40010628u +#define CYREG_B0_P3_U0_PLD_IT11 0x4001062cu +#define CYREG_B0_P3_U0_PLD_ORT0 0x40010630u +#define CYREG_B0_P3_U0_PLD_ORT1 0x40010632u +#define CYREG_B0_P3_U0_PLD_ORT2 0x40010634u +#define CYREG_B0_P3_U0_PLD_ORT3 0x40010636u +#define CYREG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638u +#define CYREG_B0_P3_U0_MC_CFG_XORFB 0x4001063au +#define CYREG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063cu +#define CYREG_B0_P3_U0_MC_CFG_BYPASS 0x4001063eu +#define CYREG_B0_P3_U0_CFG0 0x40010640u +#define CYREG_B0_P3_U0_CFG1 0x40010641u +#define CYREG_B0_P3_U0_CFG2 0x40010642u +#define CYREG_B0_P3_U0_CFG3 0x40010643u +#define CYREG_B0_P3_U0_CFG4 0x40010644u +#define CYREG_B0_P3_U0_CFG5 0x40010645u +#define CYREG_B0_P3_U0_CFG6 0x40010646u +#define CYREG_B0_P3_U0_CFG7 0x40010647u +#define CYREG_B0_P3_U0_CFG8 0x40010648u +#define CYREG_B0_P3_U0_CFG9 0x40010649u +#define CYREG_B0_P3_U0_CFG10 0x4001064au +#define CYREG_B0_P3_U0_CFG11 0x4001064bu +#define CYREG_B0_P3_U0_CFG12 0x4001064cu +#define CYREG_B0_P3_U0_CFG13 0x4001064du +#define CYREG_B0_P3_U0_CFG14 0x4001064eu +#define CYREG_B0_P3_U0_CFG15 0x4001064fu +#define CYREG_B0_P3_U0_CFG16 0x40010650u +#define CYREG_B0_P3_U0_CFG17 0x40010651u +#define CYREG_B0_P3_U0_CFG18 0x40010652u +#define CYREG_B0_P3_U0_CFG19 0x40010653u +#define CYREG_B0_P3_U0_CFG20 0x40010654u +#define CYREG_B0_P3_U0_CFG21 0x40010655u +#define CYREG_B0_P3_U0_CFG22 0x40010656u +#define CYREG_B0_P3_U0_CFG23 0x40010657u +#define CYREG_B0_P3_U0_CFG24 0x40010658u +#define CYREG_B0_P3_U0_CFG25 0x40010659u +#define CYREG_B0_P3_U0_CFG26 0x4001065au +#define CYREG_B0_P3_U0_CFG27 0x4001065bu +#define CYREG_B0_P3_U0_CFG28 0x4001065cu +#define CYREG_B0_P3_U0_CFG29 0x4001065du +#define CYREG_B0_P3_U0_CFG30 0x4001065eu +#define CYREG_B0_P3_U0_CFG31 0x4001065fu +#define CYREG_B0_P3_U0_DCFG0 0x40010660u +#define CYREG_B0_P3_U0_DCFG1 0x40010662u +#define CYREG_B0_P3_U0_DCFG2 0x40010664u +#define CYREG_B0_P3_U0_DCFG3 0x40010666u +#define CYREG_B0_P3_U0_DCFG4 0x40010668u +#define CYREG_B0_P3_U0_DCFG5 0x4001066au +#define CYREG_B0_P3_U0_DCFG6 0x4001066cu +#define CYREG_B0_P3_U0_DCFG7 0x4001066eu +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070u +#define CYREG_B0_P3_U1_PLD_IT0 0x40010680u +#define CYREG_B0_P3_U1_PLD_IT1 0x40010684u +#define CYREG_B0_P3_U1_PLD_IT2 0x40010688u +#define CYREG_B0_P3_U1_PLD_IT3 0x4001068cu +#define CYREG_B0_P3_U1_PLD_IT4 0x40010690u +#define CYREG_B0_P3_U1_PLD_IT5 0x40010694u +#define CYREG_B0_P3_U1_PLD_IT6 0x40010698u +#define CYREG_B0_P3_U1_PLD_IT7 0x4001069cu +#define CYREG_B0_P3_U1_PLD_IT8 0x400106a0u +#define CYREG_B0_P3_U1_PLD_IT9 0x400106a4u +#define CYREG_B0_P3_U1_PLD_IT10 0x400106a8u +#define CYREG_B0_P3_U1_PLD_IT11 0x400106acu +#define CYREG_B0_P3_U1_PLD_ORT0 0x400106b0u +#define CYREG_B0_P3_U1_PLD_ORT1 0x400106b2u +#define CYREG_B0_P3_U1_PLD_ORT2 0x400106b4u +#define CYREG_B0_P3_U1_PLD_ORT3 0x400106b6u +#define CYREG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8u +#define CYREG_B0_P3_U1_MC_CFG_XORFB 0x400106bau +#define CYREG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bcu +#define CYREG_B0_P3_U1_MC_CFG_BYPASS 0x400106beu +#define CYREG_B0_P3_U1_CFG0 0x400106c0u +#define CYREG_B0_P3_U1_CFG1 0x400106c1u +#define CYREG_B0_P3_U1_CFG2 0x400106c2u +#define CYREG_B0_P3_U1_CFG3 0x400106c3u +#define CYREG_B0_P3_U1_CFG4 0x400106c4u +#define CYREG_B0_P3_U1_CFG5 0x400106c5u +#define CYREG_B0_P3_U1_CFG6 0x400106c6u +#define CYREG_B0_P3_U1_CFG7 0x400106c7u +#define CYREG_B0_P3_U1_CFG8 0x400106c8u +#define CYREG_B0_P3_U1_CFG9 0x400106c9u +#define CYREG_B0_P3_U1_CFG10 0x400106cau +#define CYREG_B0_P3_U1_CFG11 0x400106cbu +#define CYREG_B0_P3_U1_CFG12 0x400106ccu +#define CYREG_B0_P3_U1_CFG13 0x400106cdu +#define CYREG_B0_P3_U1_CFG14 0x400106ceu +#define CYREG_B0_P3_U1_CFG15 0x400106cfu +#define CYREG_B0_P3_U1_CFG16 0x400106d0u +#define CYREG_B0_P3_U1_CFG17 0x400106d1u +#define CYREG_B0_P3_U1_CFG18 0x400106d2u +#define CYREG_B0_P3_U1_CFG19 0x400106d3u +#define CYREG_B0_P3_U1_CFG20 0x400106d4u +#define CYREG_B0_P3_U1_CFG21 0x400106d5u +#define CYREG_B0_P3_U1_CFG22 0x400106d6u +#define CYREG_B0_P3_U1_CFG23 0x400106d7u +#define CYREG_B0_P3_U1_CFG24 0x400106d8u +#define CYREG_B0_P3_U1_CFG25 0x400106d9u +#define CYREG_B0_P3_U1_CFG26 0x400106dau +#define CYREG_B0_P3_U1_CFG27 0x400106dbu +#define CYREG_B0_P3_U1_CFG28 0x400106dcu +#define CYREG_B0_P3_U1_CFG29 0x400106ddu +#define CYREG_B0_P3_U1_CFG30 0x400106deu +#define CYREG_B0_P3_U1_CFG31 0x400106dfu +#define CYREG_B0_P3_U1_DCFG0 0x400106e0u +#define CYREG_B0_P3_U1_DCFG1 0x400106e2u +#define CYREG_B0_P3_U1_DCFG2 0x400106e4u +#define CYREG_B0_P3_U1_DCFG3 0x400106e6u +#define CYREG_B0_P3_U1_DCFG4 0x400106e8u +#define CYREG_B0_P3_U1_DCFG5 0x400106eau +#define CYREG_B0_P3_U1_DCFG6 0x400106ecu +#define CYREG_B0_P3_U1_DCFG7 0x400106eeu +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700u +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P4_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070u +#define CYREG_B0_P4_U0_PLD_IT0 0x40010800u +#define CYREG_B0_P4_U0_PLD_IT1 0x40010804u +#define CYREG_B0_P4_U0_PLD_IT2 0x40010808u +#define CYREG_B0_P4_U0_PLD_IT3 0x4001080cu +#define CYREG_B0_P4_U0_PLD_IT4 0x40010810u +#define CYREG_B0_P4_U0_PLD_IT5 0x40010814u +#define CYREG_B0_P4_U0_PLD_IT6 0x40010818u +#define CYREG_B0_P4_U0_PLD_IT7 0x4001081cu +#define CYREG_B0_P4_U0_PLD_IT8 0x40010820u +#define CYREG_B0_P4_U0_PLD_IT9 0x40010824u +#define CYREG_B0_P4_U0_PLD_IT10 0x40010828u +#define CYREG_B0_P4_U0_PLD_IT11 0x4001082cu +#define CYREG_B0_P4_U0_PLD_ORT0 0x40010830u +#define CYREG_B0_P4_U0_PLD_ORT1 0x40010832u +#define CYREG_B0_P4_U0_PLD_ORT2 0x40010834u +#define CYREG_B0_P4_U0_PLD_ORT3 0x40010836u +#define CYREG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838u +#define CYREG_B0_P4_U0_MC_CFG_XORFB 0x4001083au +#define CYREG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083cu +#define CYREG_B0_P4_U0_MC_CFG_BYPASS 0x4001083eu +#define CYREG_B0_P4_U0_CFG0 0x40010840u +#define CYREG_B0_P4_U0_CFG1 0x40010841u +#define CYREG_B0_P4_U0_CFG2 0x40010842u +#define CYREG_B0_P4_U0_CFG3 0x40010843u +#define CYREG_B0_P4_U0_CFG4 0x40010844u +#define CYREG_B0_P4_U0_CFG5 0x40010845u +#define CYREG_B0_P4_U0_CFG6 0x40010846u +#define CYREG_B0_P4_U0_CFG7 0x40010847u +#define CYREG_B0_P4_U0_CFG8 0x40010848u +#define CYREG_B0_P4_U0_CFG9 0x40010849u +#define CYREG_B0_P4_U0_CFG10 0x4001084au +#define CYREG_B0_P4_U0_CFG11 0x4001084bu +#define CYREG_B0_P4_U0_CFG12 0x4001084cu +#define CYREG_B0_P4_U0_CFG13 0x4001084du +#define CYREG_B0_P4_U0_CFG14 0x4001084eu +#define CYREG_B0_P4_U0_CFG15 0x4001084fu +#define CYREG_B0_P4_U0_CFG16 0x40010850u +#define CYREG_B0_P4_U0_CFG17 0x40010851u +#define CYREG_B0_P4_U0_CFG18 0x40010852u +#define CYREG_B0_P4_U0_CFG19 0x40010853u +#define CYREG_B0_P4_U0_CFG20 0x40010854u +#define CYREG_B0_P4_U0_CFG21 0x40010855u +#define CYREG_B0_P4_U0_CFG22 0x40010856u +#define CYREG_B0_P4_U0_CFG23 0x40010857u +#define CYREG_B0_P4_U0_CFG24 0x40010858u +#define CYREG_B0_P4_U0_CFG25 0x40010859u +#define CYREG_B0_P4_U0_CFG26 0x4001085au +#define CYREG_B0_P4_U0_CFG27 0x4001085bu +#define CYREG_B0_P4_U0_CFG28 0x4001085cu +#define CYREG_B0_P4_U0_CFG29 0x4001085du +#define CYREG_B0_P4_U0_CFG30 0x4001085eu +#define CYREG_B0_P4_U0_CFG31 0x4001085fu +#define CYREG_B0_P4_U0_DCFG0 0x40010860u +#define CYREG_B0_P4_U0_DCFG1 0x40010862u +#define CYREG_B0_P4_U0_DCFG2 0x40010864u +#define CYREG_B0_P4_U0_DCFG3 0x40010866u +#define CYREG_B0_P4_U0_DCFG4 0x40010868u +#define CYREG_B0_P4_U0_DCFG5 0x4001086au +#define CYREG_B0_P4_U0_DCFG6 0x4001086cu +#define CYREG_B0_P4_U0_DCFG7 0x4001086eu +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070u +#define CYREG_B0_P4_U1_PLD_IT0 0x40010880u +#define CYREG_B0_P4_U1_PLD_IT1 0x40010884u +#define CYREG_B0_P4_U1_PLD_IT2 0x40010888u +#define CYREG_B0_P4_U1_PLD_IT3 0x4001088cu +#define CYREG_B0_P4_U1_PLD_IT4 0x40010890u +#define CYREG_B0_P4_U1_PLD_IT5 0x40010894u +#define CYREG_B0_P4_U1_PLD_IT6 0x40010898u +#define CYREG_B0_P4_U1_PLD_IT7 0x4001089cu +#define CYREG_B0_P4_U1_PLD_IT8 0x400108a0u +#define CYREG_B0_P4_U1_PLD_IT9 0x400108a4u +#define CYREG_B0_P4_U1_PLD_IT10 0x400108a8u +#define CYREG_B0_P4_U1_PLD_IT11 0x400108acu +#define CYREG_B0_P4_U1_PLD_ORT0 0x400108b0u +#define CYREG_B0_P4_U1_PLD_ORT1 0x400108b2u +#define CYREG_B0_P4_U1_PLD_ORT2 0x400108b4u +#define CYREG_B0_P4_U1_PLD_ORT3 0x400108b6u +#define CYREG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8u +#define CYREG_B0_P4_U1_MC_CFG_XORFB 0x400108bau +#define CYREG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bcu +#define CYREG_B0_P4_U1_MC_CFG_BYPASS 0x400108beu +#define CYREG_B0_P4_U1_CFG0 0x400108c0u +#define CYREG_B0_P4_U1_CFG1 0x400108c1u +#define CYREG_B0_P4_U1_CFG2 0x400108c2u +#define CYREG_B0_P4_U1_CFG3 0x400108c3u +#define CYREG_B0_P4_U1_CFG4 0x400108c4u +#define CYREG_B0_P4_U1_CFG5 0x400108c5u +#define CYREG_B0_P4_U1_CFG6 0x400108c6u +#define CYREG_B0_P4_U1_CFG7 0x400108c7u +#define CYREG_B0_P4_U1_CFG8 0x400108c8u +#define CYREG_B0_P4_U1_CFG9 0x400108c9u +#define CYREG_B0_P4_U1_CFG10 0x400108cau +#define CYREG_B0_P4_U1_CFG11 0x400108cbu +#define CYREG_B0_P4_U1_CFG12 0x400108ccu +#define CYREG_B0_P4_U1_CFG13 0x400108cdu +#define CYREG_B0_P4_U1_CFG14 0x400108ceu +#define CYREG_B0_P4_U1_CFG15 0x400108cfu +#define CYREG_B0_P4_U1_CFG16 0x400108d0u +#define CYREG_B0_P4_U1_CFG17 0x400108d1u +#define CYREG_B0_P4_U1_CFG18 0x400108d2u +#define CYREG_B0_P4_U1_CFG19 0x400108d3u +#define CYREG_B0_P4_U1_CFG20 0x400108d4u +#define CYREG_B0_P4_U1_CFG21 0x400108d5u +#define CYREG_B0_P4_U1_CFG22 0x400108d6u +#define CYREG_B0_P4_U1_CFG23 0x400108d7u +#define CYREG_B0_P4_U1_CFG24 0x400108d8u +#define CYREG_B0_P4_U1_CFG25 0x400108d9u +#define CYREG_B0_P4_U1_CFG26 0x400108dau +#define CYREG_B0_P4_U1_CFG27 0x400108dbu +#define CYREG_B0_P4_U1_CFG28 0x400108dcu +#define CYREG_B0_P4_U1_CFG29 0x400108ddu +#define CYREG_B0_P4_U1_CFG30 0x400108deu +#define CYREG_B0_P4_U1_CFG31 0x400108dfu +#define CYREG_B0_P4_U1_DCFG0 0x400108e0u +#define CYREG_B0_P4_U1_DCFG1 0x400108e2u +#define CYREG_B0_P4_U1_DCFG2 0x400108e4u +#define CYREG_B0_P4_U1_DCFG3 0x400108e6u +#define CYREG_B0_P4_U1_DCFG4 0x400108e8u +#define CYREG_B0_P4_U1_DCFG5 0x400108eau +#define CYREG_B0_P4_U1_DCFG6 0x400108ecu +#define CYREG_B0_P4_U1_DCFG7 0x400108eeu +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900u +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070u +#define CYREG_B0_P5_U0_PLD_IT0 0x40010a00u +#define CYREG_B0_P5_U0_PLD_IT1 0x40010a04u +#define CYREG_B0_P5_U0_PLD_IT2 0x40010a08u +#define CYREG_B0_P5_U0_PLD_IT3 0x40010a0cu +#define CYREG_B0_P5_U0_PLD_IT4 0x40010a10u +#define CYREG_B0_P5_U0_PLD_IT5 0x40010a14u +#define CYREG_B0_P5_U0_PLD_IT6 0x40010a18u +#define CYREG_B0_P5_U0_PLD_IT7 0x40010a1cu +#define CYREG_B0_P5_U0_PLD_IT8 0x40010a20u +#define CYREG_B0_P5_U0_PLD_IT9 0x40010a24u +#define CYREG_B0_P5_U0_PLD_IT10 0x40010a28u +#define CYREG_B0_P5_U0_PLD_IT11 0x40010a2cu +#define CYREG_B0_P5_U0_PLD_ORT0 0x40010a30u +#define CYREG_B0_P5_U0_PLD_ORT1 0x40010a32u +#define CYREG_B0_P5_U0_PLD_ORT2 0x40010a34u +#define CYREG_B0_P5_U0_PLD_ORT3 0x40010a36u +#define CYREG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38u +#define CYREG_B0_P5_U0_MC_CFG_XORFB 0x40010a3au +#define CYREG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3cu +#define CYREG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3eu +#define CYREG_B0_P5_U0_CFG0 0x40010a40u +#define CYREG_B0_P5_U0_CFG1 0x40010a41u +#define CYREG_B0_P5_U0_CFG2 0x40010a42u +#define CYREG_B0_P5_U0_CFG3 0x40010a43u +#define CYREG_B0_P5_U0_CFG4 0x40010a44u +#define CYREG_B0_P5_U0_CFG5 0x40010a45u +#define CYREG_B0_P5_U0_CFG6 0x40010a46u +#define CYREG_B0_P5_U0_CFG7 0x40010a47u +#define CYREG_B0_P5_U0_CFG8 0x40010a48u +#define CYREG_B0_P5_U0_CFG9 0x40010a49u +#define CYREG_B0_P5_U0_CFG10 0x40010a4au +#define CYREG_B0_P5_U0_CFG11 0x40010a4bu +#define CYREG_B0_P5_U0_CFG12 0x40010a4cu +#define CYREG_B0_P5_U0_CFG13 0x40010a4du +#define CYREG_B0_P5_U0_CFG14 0x40010a4eu +#define CYREG_B0_P5_U0_CFG15 0x40010a4fu +#define CYREG_B0_P5_U0_CFG16 0x40010a50u +#define CYREG_B0_P5_U0_CFG17 0x40010a51u +#define CYREG_B0_P5_U0_CFG18 0x40010a52u +#define CYREG_B0_P5_U0_CFG19 0x40010a53u +#define CYREG_B0_P5_U0_CFG20 0x40010a54u +#define CYREG_B0_P5_U0_CFG21 0x40010a55u +#define CYREG_B0_P5_U0_CFG22 0x40010a56u +#define CYREG_B0_P5_U0_CFG23 0x40010a57u +#define CYREG_B0_P5_U0_CFG24 0x40010a58u +#define CYREG_B0_P5_U0_CFG25 0x40010a59u +#define CYREG_B0_P5_U0_CFG26 0x40010a5au +#define CYREG_B0_P5_U0_CFG27 0x40010a5bu +#define CYREG_B0_P5_U0_CFG28 0x40010a5cu +#define CYREG_B0_P5_U0_CFG29 0x40010a5du +#define CYREG_B0_P5_U0_CFG30 0x40010a5eu +#define CYREG_B0_P5_U0_CFG31 0x40010a5fu +#define CYREG_B0_P5_U0_DCFG0 0x40010a60u +#define CYREG_B0_P5_U0_DCFG1 0x40010a62u +#define CYREG_B0_P5_U0_DCFG2 0x40010a64u +#define CYREG_B0_P5_U0_DCFG3 0x40010a66u +#define CYREG_B0_P5_U0_DCFG4 0x40010a68u +#define CYREG_B0_P5_U0_DCFG5 0x40010a6au +#define CYREG_B0_P5_U0_DCFG6 0x40010a6cu +#define CYREG_B0_P5_U0_DCFG7 0x40010a6eu +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070u +#define CYREG_B0_P5_U1_PLD_IT0 0x40010a80u +#define CYREG_B0_P5_U1_PLD_IT1 0x40010a84u +#define CYREG_B0_P5_U1_PLD_IT2 0x40010a88u +#define CYREG_B0_P5_U1_PLD_IT3 0x40010a8cu +#define CYREG_B0_P5_U1_PLD_IT4 0x40010a90u +#define CYREG_B0_P5_U1_PLD_IT5 0x40010a94u +#define CYREG_B0_P5_U1_PLD_IT6 0x40010a98u +#define CYREG_B0_P5_U1_PLD_IT7 0x40010a9cu +#define CYREG_B0_P5_U1_PLD_IT8 0x40010aa0u +#define CYREG_B0_P5_U1_PLD_IT9 0x40010aa4u +#define CYREG_B0_P5_U1_PLD_IT10 0x40010aa8u +#define CYREG_B0_P5_U1_PLD_IT11 0x40010aacu +#define CYREG_B0_P5_U1_PLD_ORT0 0x40010ab0u +#define CYREG_B0_P5_U1_PLD_ORT1 0x40010ab2u +#define CYREG_B0_P5_U1_PLD_ORT2 0x40010ab4u +#define CYREG_B0_P5_U1_PLD_ORT3 0x40010ab6u +#define CYREG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8u +#define CYREG_B0_P5_U1_MC_CFG_XORFB 0x40010abau +#define CYREG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abcu +#define CYREG_B0_P5_U1_MC_CFG_BYPASS 0x40010abeu +#define CYREG_B0_P5_U1_CFG0 0x40010ac0u +#define CYREG_B0_P5_U1_CFG1 0x40010ac1u +#define CYREG_B0_P5_U1_CFG2 0x40010ac2u +#define CYREG_B0_P5_U1_CFG3 0x40010ac3u +#define CYREG_B0_P5_U1_CFG4 0x40010ac4u +#define CYREG_B0_P5_U1_CFG5 0x40010ac5u +#define CYREG_B0_P5_U1_CFG6 0x40010ac6u +#define CYREG_B0_P5_U1_CFG7 0x40010ac7u +#define CYREG_B0_P5_U1_CFG8 0x40010ac8u +#define CYREG_B0_P5_U1_CFG9 0x40010ac9u +#define CYREG_B0_P5_U1_CFG10 0x40010acau +#define CYREG_B0_P5_U1_CFG11 0x40010acbu +#define CYREG_B0_P5_U1_CFG12 0x40010accu +#define CYREG_B0_P5_U1_CFG13 0x40010acdu +#define CYREG_B0_P5_U1_CFG14 0x40010aceu +#define CYREG_B0_P5_U1_CFG15 0x40010acfu +#define CYREG_B0_P5_U1_CFG16 0x40010ad0u +#define CYREG_B0_P5_U1_CFG17 0x40010ad1u +#define CYREG_B0_P5_U1_CFG18 0x40010ad2u +#define CYREG_B0_P5_U1_CFG19 0x40010ad3u +#define CYREG_B0_P5_U1_CFG20 0x40010ad4u +#define CYREG_B0_P5_U1_CFG21 0x40010ad5u +#define CYREG_B0_P5_U1_CFG22 0x40010ad6u +#define CYREG_B0_P5_U1_CFG23 0x40010ad7u +#define CYREG_B0_P5_U1_CFG24 0x40010ad8u +#define CYREG_B0_P5_U1_CFG25 0x40010ad9u +#define CYREG_B0_P5_U1_CFG26 0x40010adau +#define CYREG_B0_P5_U1_CFG27 0x40010adbu +#define CYREG_B0_P5_U1_CFG28 0x40010adcu +#define CYREG_B0_P5_U1_CFG29 0x40010addu +#define CYREG_B0_P5_U1_CFG30 0x40010adeu +#define CYREG_B0_P5_U1_CFG31 0x40010adfu +#define CYREG_B0_P5_U1_DCFG0 0x40010ae0u +#define CYREG_B0_P5_U1_DCFG1 0x40010ae2u +#define CYREG_B0_P5_U1_DCFG2 0x40010ae4u +#define CYREG_B0_P5_U1_DCFG3 0x40010ae6u +#define CYREG_B0_P5_U1_DCFG4 0x40010ae8u +#define CYREG_B0_P5_U1_DCFG5 0x40010aeau +#define CYREG_B0_P5_U1_DCFG6 0x40010aecu +#define CYREG_B0_P5_U1_DCFG7 0x40010aeeu +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00u +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070u +#define CYREG_B0_P6_U0_PLD_IT0 0x40010c00u +#define CYREG_B0_P6_U0_PLD_IT1 0x40010c04u +#define CYREG_B0_P6_U0_PLD_IT2 0x40010c08u +#define CYREG_B0_P6_U0_PLD_IT3 0x40010c0cu +#define CYREG_B0_P6_U0_PLD_IT4 0x40010c10u +#define CYREG_B0_P6_U0_PLD_IT5 0x40010c14u +#define CYREG_B0_P6_U0_PLD_IT6 0x40010c18u +#define CYREG_B0_P6_U0_PLD_IT7 0x40010c1cu +#define CYREG_B0_P6_U0_PLD_IT8 0x40010c20u +#define CYREG_B0_P6_U0_PLD_IT9 0x40010c24u +#define CYREG_B0_P6_U0_PLD_IT10 0x40010c28u +#define CYREG_B0_P6_U0_PLD_IT11 0x40010c2cu +#define CYREG_B0_P6_U0_PLD_ORT0 0x40010c30u +#define CYREG_B0_P6_U0_PLD_ORT1 0x40010c32u +#define CYREG_B0_P6_U0_PLD_ORT2 0x40010c34u +#define CYREG_B0_P6_U0_PLD_ORT3 0x40010c36u +#define CYREG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38u +#define CYREG_B0_P6_U0_MC_CFG_XORFB 0x40010c3au +#define CYREG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3cu +#define CYREG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3eu +#define CYREG_B0_P6_U0_CFG0 0x40010c40u +#define CYREG_B0_P6_U0_CFG1 0x40010c41u +#define CYREG_B0_P6_U0_CFG2 0x40010c42u +#define CYREG_B0_P6_U0_CFG3 0x40010c43u +#define CYREG_B0_P6_U0_CFG4 0x40010c44u +#define CYREG_B0_P6_U0_CFG5 0x40010c45u +#define CYREG_B0_P6_U0_CFG6 0x40010c46u +#define CYREG_B0_P6_U0_CFG7 0x40010c47u +#define CYREG_B0_P6_U0_CFG8 0x40010c48u +#define CYREG_B0_P6_U0_CFG9 0x40010c49u +#define CYREG_B0_P6_U0_CFG10 0x40010c4au +#define CYREG_B0_P6_U0_CFG11 0x40010c4bu +#define CYREG_B0_P6_U0_CFG12 0x40010c4cu +#define CYREG_B0_P6_U0_CFG13 0x40010c4du +#define CYREG_B0_P6_U0_CFG14 0x40010c4eu +#define CYREG_B0_P6_U0_CFG15 0x40010c4fu +#define CYREG_B0_P6_U0_CFG16 0x40010c50u +#define CYREG_B0_P6_U0_CFG17 0x40010c51u +#define CYREG_B0_P6_U0_CFG18 0x40010c52u +#define CYREG_B0_P6_U0_CFG19 0x40010c53u +#define CYREG_B0_P6_U0_CFG20 0x40010c54u +#define CYREG_B0_P6_U0_CFG21 0x40010c55u +#define CYREG_B0_P6_U0_CFG22 0x40010c56u +#define CYREG_B0_P6_U0_CFG23 0x40010c57u +#define CYREG_B0_P6_U0_CFG24 0x40010c58u +#define CYREG_B0_P6_U0_CFG25 0x40010c59u +#define CYREG_B0_P6_U0_CFG26 0x40010c5au +#define CYREG_B0_P6_U0_CFG27 0x40010c5bu +#define CYREG_B0_P6_U0_CFG28 0x40010c5cu +#define CYREG_B0_P6_U0_CFG29 0x40010c5du +#define CYREG_B0_P6_U0_CFG30 0x40010c5eu +#define CYREG_B0_P6_U0_CFG31 0x40010c5fu +#define CYREG_B0_P6_U0_DCFG0 0x40010c60u +#define CYREG_B0_P6_U0_DCFG1 0x40010c62u +#define CYREG_B0_P6_U0_DCFG2 0x40010c64u +#define CYREG_B0_P6_U0_DCFG3 0x40010c66u +#define CYREG_B0_P6_U0_DCFG4 0x40010c68u +#define CYREG_B0_P6_U0_DCFG5 0x40010c6au +#define CYREG_B0_P6_U0_DCFG6 0x40010c6cu +#define CYREG_B0_P6_U0_DCFG7 0x40010c6eu +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070u +#define CYREG_B0_P6_U1_PLD_IT0 0x40010c80u +#define CYREG_B0_P6_U1_PLD_IT1 0x40010c84u +#define CYREG_B0_P6_U1_PLD_IT2 0x40010c88u +#define CYREG_B0_P6_U1_PLD_IT3 0x40010c8cu +#define CYREG_B0_P6_U1_PLD_IT4 0x40010c90u +#define CYREG_B0_P6_U1_PLD_IT5 0x40010c94u +#define CYREG_B0_P6_U1_PLD_IT6 0x40010c98u +#define CYREG_B0_P6_U1_PLD_IT7 0x40010c9cu +#define CYREG_B0_P6_U1_PLD_IT8 0x40010ca0u +#define CYREG_B0_P6_U1_PLD_IT9 0x40010ca4u +#define CYREG_B0_P6_U1_PLD_IT10 0x40010ca8u +#define CYREG_B0_P6_U1_PLD_IT11 0x40010cacu +#define CYREG_B0_P6_U1_PLD_ORT0 0x40010cb0u +#define CYREG_B0_P6_U1_PLD_ORT1 0x40010cb2u +#define CYREG_B0_P6_U1_PLD_ORT2 0x40010cb4u +#define CYREG_B0_P6_U1_PLD_ORT3 0x40010cb6u +#define CYREG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8u +#define CYREG_B0_P6_U1_MC_CFG_XORFB 0x40010cbau +#define CYREG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbcu +#define CYREG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbeu +#define CYREG_B0_P6_U1_CFG0 0x40010cc0u +#define CYREG_B0_P6_U1_CFG1 0x40010cc1u +#define CYREG_B0_P6_U1_CFG2 0x40010cc2u +#define CYREG_B0_P6_U1_CFG3 0x40010cc3u +#define CYREG_B0_P6_U1_CFG4 0x40010cc4u +#define CYREG_B0_P6_U1_CFG5 0x40010cc5u +#define CYREG_B0_P6_U1_CFG6 0x40010cc6u +#define CYREG_B0_P6_U1_CFG7 0x40010cc7u +#define CYREG_B0_P6_U1_CFG8 0x40010cc8u +#define CYREG_B0_P6_U1_CFG9 0x40010cc9u +#define CYREG_B0_P6_U1_CFG10 0x40010ccau +#define CYREG_B0_P6_U1_CFG11 0x40010ccbu +#define CYREG_B0_P6_U1_CFG12 0x40010cccu +#define CYREG_B0_P6_U1_CFG13 0x40010ccdu +#define CYREG_B0_P6_U1_CFG14 0x40010cceu +#define CYREG_B0_P6_U1_CFG15 0x40010ccfu +#define CYREG_B0_P6_U1_CFG16 0x40010cd0u +#define CYREG_B0_P6_U1_CFG17 0x40010cd1u +#define CYREG_B0_P6_U1_CFG18 0x40010cd2u +#define CYREG_B0_P6_U1_CFG19 0x40010cd3u +#define CYREG_B0_P6_U1_CFG20 0x40010cd4u +#define CYREG_B0_P6_U1_CFG21 0x40010cd5u +#define CYREG_B0_P6_U1_CFG22 0x40010cd6u +#define CYREG_B0_P6_U1_CFG23 0x40010cd7u +#define CYREG_B0_P6_U1_CFG24 0x40010cd8u +#define CYREG_B0_P6_U1_CFG25 0x40010cd9u +#define CYREG_B0_P6_U1_CFG26 0x40010cdau +#define CYREG_B0_P6_U1_CFG27 0x40010cdbu +#define CYREG_B0_P6_U1_CFG28 0x40010cdcu +#define CYREG_B0_P6_U1_CFG29 0x40010cddu +#define CYREG_B0_P6_U1_CFG30 0x40010cdeu +#define CYREG_B0_P6_U1_CFG31 0x40010cdfu +#define CYREG_B0_P6_U1_DCFG0 0x40010ce0u +#define CYREG_B0_P6_U1_DCFG1 0x40010ce2u +#define CYREG_B0_P6_U1_DCFG2 0x40010ce4u +#define CYREG_B0_P6_U1_DCFG3 0x40010ce6u +#define CYREG_B0_P6_U1_DCFG4 0x40010ce8u +#define CYREG_B0_P6_U1_DCFG5 0x40010ceau +#define CYREG_B0_P6_U1_DCFG6 0x40010cecu +#define CYREG_B0_P6_U1_DCFG7 0x40010ceeu +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00u +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070u +#define CYREG_B0_P7_U0_PLD_IT0 0x40010e00u +#define CYREG_B0_P7_U0_PLD_IT1 0x40010e04u +#define CYREG_B0_P7_U0_PLD_IT2 0x40010e08u +#define CYREG_B0_P7_U0_PLD_IT3 0x40010e0cu +#define CYREG_B0_P7_U0_PLD_IT4 0x40010e10u +#define CYREG_B0_P7_U0_PLD_IT5 0x40010e14u +#define CYREG_B0_P7_U0_PLD_IT6 0x40010e18u +#define CYREG_B0_P7_U0_PLD_IT7 0x40010e1cu +#define CYREG_B0_P7_U0_PLD_IT8 0x40010e20u +#define CYREG_B0_P7_U0_PLD_IT9 0x40010e24u +#define CYREG_B0_P7_U0_PLD_IT10 0x40010e28u +#define CYREG_B0_P7_U0_PLD_IT11 0x40010e2cu +#define CYREG_B0_P7_U0_PLD_ORT0 0x40010e30u +#define CYREG_B0_P7_U0_PLD_ORT1 0x40010e32u +#define CYREG_B0_P7_U0_PLD_ORT2 0x40010e34u +#define CYREG_B0_P7_U0_PLD_ORT3 0x40010e36u +#define CYREG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38u +#define CYREG_B0_P7_U0_MC_CFG_XORFB 0x40010e3au +#define CYREG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3cu +#define CYREG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3eu +#define CYREG_B0_P7_U0_CFG0 0x40010e40u +#define CYREG_B0_P7_U0_CFG1 0x40010e41u +#define CYREG_B0_P7_U0_CFG2 0x40010e42u +#define CYREG_B0_P7_U0_CFG3 0x40010e43u +#define CYREG_B0_P7_U0_CFG4 0x40010e44u +#define CYREG_B0_P7_U0_CFG5 0x40010e45u +#define CYREG_B0_P7_U0_CFG6 0x40010e46u +#define CYREG_B0_P7_U0_CFG7 0x40010e47u +#define CYREG_B0_P7_U0_CFG8 0x40010e48u +#define CYREG_B0_P7_U0_CFG9 0x40010e49u +#define CYREG_B0_P7_U0_CFG10 0x40010e4au +#define CYREG_B0_P7_U0_CFG11 0x40010e4bu +#define CYREG_B0_P7_U0_CFG12 0x40010e4cu +#define CYREG_B0_P7_U0_CFG13 0x40010e4du +#define CYREG_B0_P7_U0_CFG14 0x40010e4eu +#define CYREG_B0_P7_U0_CFG15 0x40010e4fu +#define CYREG_B0_P7_U0_CFG16 0x40010e50u +#define CYREG_B0_P7_U0_CFG17 0x40010e51u +#define CYREG_B0_P7_U0_CFG18 0x40010e52u +#define CYREG_B0_P7_U0_CFG19 0x40010e53u +#define CYREG_B0_P7_U0_CFG20 0x40010e54u +#define CYREG_B0_P7_U0_CFG21 0x40010e55u +#define CYREG_B0_P7_U0_CFG22 0x40010e56u +#define CYREG_B0_P7_U0_CFG23 0x40010e57u +#define CYREG_B0_P7_U0_CFG24 0x40010e58u +#define CYREG_B0_P7_U0_CFG25 0x40010e59u +#define CYREG_B0_P7_U0_CFG26 0x40010e5au +#define CYREG_B0_P7_U0_CFG27 0x40010e5bu +#define CYREG_B0_P7_U0_CFG28 0x40010e5cu +#define CYREG_B0_P7_U0_CFG29 0x40010e5du +#define CYREG_B0_P7_U0_CFG30 0x40010e5eu +#define CYREG_B0_P7_U0_CFG31 0x40010e5fu +#define CYREG_B0_P7_U0_DCFG0 0x40010e60u +#define CYREG_B0_P7_U0_DCFG1 0x40010e62u +#define CYREG_B0_P7_U0_DCFG2 0x40010e64u +#define CYREG_B0_P7_U0_DCFG3 0x40010e66u +#define CYREG_B0_P7_U0_DCFG4 0x40010e68u +#define CYREG_B0_P7_U0_DCFG5 0x40010e6au +#define CYREG_B0_P7_U0_DCFG6 0x40010e6cu +#define CYREG_B0_P7_U0_DCFG7 0x40010e6eu +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070u +#define CYREG_B0_P7_U1_PLD_IT0 0x40010e80u +#define CYREG_B0_P7_U1_PLD_IT1 0x40010e84u +#define CYREG_B0_P7_U1_PLD_IT2 0x40010e88u +#define CYREG_B0_P7_U1_PLD_IT3 0x40010e8cu +#define CYREG_B0_P7_U1_PLD_IT4 0x40010e90u +#define CYREG_B0_P7_U1_PLD_IT5 0x40010e94u +#define CYREG_B0_P7_U1_PLD_IT6 0x40010e98u +#define CYREG_B0_P7_U1_PLD_IT7 0x40010e9cu +#define CYREG_B0_P7_U1_PLD_IT8 0x40010ea0u +#define CYREG_B0_P7_U1_PLD_IT9 0x40010ea4u +#define CYREG_B0_P7_U1_PLD_IT10 0x40010ea8u +#define CYREG_B0_P7_U1_PLD_IT11 0x40010eacu +#define CYREG_B0_P7_U1_PLD_ORT0 0x40010eb0u +#define CYREG_B0_P7_U1_PLD_ORT1 0x40010eb2u +#define CYREG_B0_P7_U1_PLD_ORT2 0x40010eb4u +#define CYREG_B0_P7_U1_PLD_ORT3 0x40010eb6u +#define CYREG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8u +#define CYREG_B0_P7_U1_MC_CFG_XORFB 0x40010ebau +#define CYREG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebcu +#define CYREG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebeu +#define CYREG_B0_P7_U1_CFG0 0x40010ec0u +#define CYREG_B0_P7_U1_CFG1 0x40010ec1u +#define CYREG_B0_P7_U1_CFG2 0x40010ec2u +#define CYREG_B0_P7_U1_CFG3 0x40010ec3u +#define CYREG_B0_P7_U1_CFG4 0x40010ec4u +#define CYREG_B0_P7_U1_CFG5 0x40010ec5u +#define CYREG_B0_P7_U1_CFG6 0x40010ec6u +#define CYREG_B0_P7_U1_CFG7 0x40010ec7u +#define CYREG_B0_P7_U1_CFG8 0x40010ec8u +#define CYREG_B0_P7_U1_CFG9 0x40010ec9u +#define CYREG_B0_P7_U1_CFG10 0x40010ecau +#define CYREG_B0_P7_U1_CFG11 0x40010ecbu +#define CYREG_B0_P7_U1_CFG12 0x40010eccu +#define CYREG_B0_P7_U1_CFG13 0x40010ecdu +#define CYREG_B0_P7_U1_CFG14 0x40010eceu +#define CYREG_B0_P7_U1_CFG15 0x40010ecfu +#define CYREG_B0_P7_U1_CFG16 0x40010ed0u +#define CYREG_B0_P7_U1_CFG17 0x40010ed1u +#define CYREG_B0_P7_U1_CFG18 0x40010ed2u +#define CYREG_B0_P7_U1_CFG19 0x40010ed3u +#define CYREG_B0_P7_U1_CFG20 0x40010ed4u +#define CYREG_B0_P7_U1_CFG21 0x40010ed5u +#define CYREG_B0_P7_U1_CFG22 0x40010ed6u +#define CYREG_B0_P7_U1_CFG23 0x40010ed7u +#define CYREG_B0_P7_U1_CFG24 0x40010ed8u +#define CYREG_B0_P7_U1_CFG25 0x40010ed9u +#define CYREG_B0_P7_U1_CFG26 0x40010edau +#define CYREG_B0_P7_U1_CFG27 0x40010edbu +#define CYREG_B0_P7_U1_CFG28 0x40010edcu +#define CYREG_B0_P7_U1_CFG29 0x40010eddu +#define CYREG_B0_P7_U1_CFG30 0x40010edeu +#define CYREG_B0_P7_U1_CFG31 0x40010edfu +#define CYREG_B0_P7_U1_DCFG0 0x40010ee0u +#define CYREG_B0_P7_U1_DCFG1 0x40010ee2u +#define CYREG_B0_P7_U1_DCFG2 0x40010ee4u +#define CYREG_B0_P7_U1_DCFG3 0x40010ee6u +#define CYREG_B0_P7_U1_DCFG4 0x40010ee8u +#define CYREG_B0_P7_U1_DCFG5 0x40010eeau +#define CYREG_B0_P7_U1_DCFG6 0x40010eecu +#define CYREG_B0_P7_U1_DCFG7 0x40010eeeu +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00u +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_BASE 0x40011000u +#define CYDEV_UCFG_B1_SIZE 0x00000fefu +#define CYDEV_UCFG_B1_P2_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070u +#define CYREG_B1_P2_U0_PLD_IT0 0x40011400u +#define CYREG_B1_P2_U0_PLD_IT1 0x40011404u +#define CYREG_B1_P2_U0_PLD_IT2 0x40011408u +#define CYREG_B1_P2_U0_PLD_IT3 0x4001140cu +#define CYREG_B1_P2_U0_PLD_IT4 0x40011410u +#define CYREG_B1_P2_U0_PLD_IT5 0x40011414u +#define CYREG_B1_P2_U0_PLD_IT6 0x40011418u +#define CYREG_B1_P2_U0_PLD_IT7 0x4001141cu +#define CYREG_B1_P2_U0_PLD_IT8 0x40011420u +#define CYREG_B1_P2_U0_PLD_IT9 0x40011424u +#define CYREG_B1_P2_U0_PLD_IT10 0x40011428u +#define CYREG_B1_P2_U0_PLD_IT11 0x4001142cu +#define CYREG_B1_P2_U0_PLD_ORT0 0x40011430u +#define CYREG_B1_P2_U0_PLD_ORT1 0x40011432u +#define CYREG_B1_P2_U0_PLD_ORT2 0x40011434u +#define CYREG_B1_P2_U0_PLD_ORT3 0x40011436u +#define CYREG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438u +#define CYREG_B1_P2_U0_MC_CFG_XORFB 0x4001143au +#define CYREG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143cu +#define CYREG_B1_P2_U0_MC_CFG_BYPASS 0x4001143eu +#define CYREG_B1_P2_U0_CFG0 0x40011440u +#define CYREG_B1_P2_U0_CFG1 0x40011441u +#define CYREG_B1_P2_U0_CFG2 0x40011442u +#define CYREG_B1_P2_U0_CFG3 0x40011443u +#define CYREG_B1_P2_U0_CFG4 0x40011444u +#define CYREG_B1_P2_U0_CFG5 0x40011445u +#define CYREG_B1_P2_U0_CFG6 0x40011446u +#define CYREG_B1_P2_U0_CFG7 0x40011447u +#define CYREG_B1_P2_U0_CFG8 0x40011448u +#define CYREG_B1_P2_U0_CFG9 0x40011449u +#define CYREG_B1_P2_U0_CFG10 0x4001144au +#define CYREG_B1_P2_U0_CFG11 0x4001144bu +#define CYREG_B1_P2_U0_CFG12 0x4001144cu +#define CYREG_B1_P2_U0_CFG13 0x4001144du +#define CYREG_B1_P2_U0_CFG14 0x4001144eu +#define CYREG_B1_P2_U0_CFG15 0x4001144fu +#define CYREG_B1_P2_U0_CFG16 0x40011450u +#define CYREG_B1_P2_U0_CFG17 0x40011451u +#define CYREG_B1_P2_U0_CFG18 0x40011452u +#define CYREG_B1_P2_U0_CFG19 0x40011453u +#define CYREG_B1_P2_U0_CFG20 0x40011454u +#define CYREG_B1_P2_U0_CFG21 0x40011455u +#define CYREG_B1_P2_U0_CFG22 0x40011456u +#define CYREG_B1_P2_U0_CFG23 0x40011457u +#define CYREG_B1_P2_U0_CFG24 0x40011458u +#define CYREG_B1_P2_U0_CFG25 0x40011459u +#define CYREG_B1_P2_U0_CFG26 0x4001145au +#define CYREG_B1_P2_U0_CFG27 0x4001145bu +#define CYREG_B1_P2_U0_CFG28 0x4001145cu +#define CYREG_B1_P2_U0_CFG29 0x4001145du +#define CYREG_B1_P2_U0_CFG30 0x4001145eu +#define CYREG_B1_P2_U0_CFG31 0x4001145fu +#define CYREG_B1_P2_U0_DCFG0 0x40011460u +#define CYREG_B1_P2_U0_DCFG1 0x40011462u +#define CYREG_B1_P2_U0_DCFG2 0x40011464u +#define CYREG_B1_P2_U0_DCFG3 0x40011466u +#define CYREG_B1_P2_U0_DCFG4 0x40011468u +#define CYREG_B1_P2_U0_DCFG5 0x4001146au +#define CYREG_B1_P2_U0_DCFG6 0x4001146cu +#define CYREG_B1_P2_U0_DCFG7 0x4001146eu +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070u +#define CYREG_B1_P2_U1_PLD_IT0 0x40011480u +#define CYREG_B1_P2_U1_PLD_IT1 0x40011484u +#define CYREG_B1_P2_U1_PLD_IT2 0x40011488u +#define CYREG_B1_P2_U1_PLD_IT3 0x4001148cu +#define CYREG_B1_P2_U1_PLD_IT4 0x40011490u +#define CYREG_B1_P2_U1_PLD_IT5 0x40011494u +#define CYREG_B1_P2_U1_PLD_IT6 0x40011498u +#define CYREG_B1_P2_U1_PLD_IT7 0x4001149cu +#define CYREG_B1_P2_U1_PLD_IT8 0x400114a0u +#define CYREG_B1_P2_U1_PLD_IT9 0x400114a4u +#define CYREG_B1_P2_U1_PLD_IT10 0x400114a8u +#define CYREG_B1_P2_U1_PLD_IT11 0x400114acu +#define CYREG_B1_P2_U1_PLD_ORT0 0x400114b0u +#define CYREG_B1_P2_U1_PLD_ORT1 0x400114b2u +#define CYREG_B1_P2_U1_PLD_ORT2 0x400114b4u +#define CYREG_B1_P2_U1_PLD_ORT3 0x400114b6u +#define CYREG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8u +#define CYREG_B1_P2_U1_MC_CFG_XORFB 0x400114bau +#define CYREG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bcu +#define CYREG_B1_P2_U1_MC_CFG_BYPASS 0x400114beu +#define CYREG_B1_P2_U1_CFG0 0x400114c0u +#define CYREG_B1_P2_U1_CFG1 0x400114c1u +#define CYREG_B1_P2_U1_CFG2 0x400114c2u +#define CYREG_B1_P2_U1_CFG3 0x400114c3u +#define CYREG_B1_P2_U1_CFG4 0x400114c4u +#define CYREG_B1_P2_U1_CFG5 0x400114c5u +#define CYREG_B1_P2_U1_CFG6 0x400114c6u +#define CYREG_B1_P2_U1_CFG7 0x400114c7u +#define CYREG_B1_P2_U1_CFG8 0x400114c8u +#define CYREG_B1_P2_U1_CFG9 0x400114c9u +#define CYREG_B1_P2_U1_CFG10 0x400114cau +#define CYREG_B1_P2_U1_CFG11 0x400114cbu +#define CYREG_B1_P2_U1_CFG12 0x400114ccu +#define CYREG_B1_P2_U1_CFG13 0x400114cdu +#define CYREG_B1_P2_U1_CFG14 0x400114ceu +#define CYREG_B1_P2_U1_CFG15 0x400114cfu +#define CYREG_B1_P2_U1_CFG16 0x400114d0u +#define CYREG_B1_P2_U1_CFG17 0x400114d1u +#define CYREG_B1_P2_U1_CFG18 0x400114d2u +#define CYREG_B1_P2_U1_CFG19 0x400114d3u +#define CYREG_B1_P2_U1_CFG20 0x400114d4u +#define CYREG_B1_P2_U1_CFG21 0x400114d5u +#define CYREG_B1_P2_U1_CFG22 0x400114d6u +#define CYREG_B1_P2_U1_CFG23 0x400114d7u +#define CYREG_B1_P2_U1_CFG24 0x400114d8u +#define CYREG_B1_P2_U1_CFG25 0x400114d9u +#define CYREG_B1_P2_U1_CFG26 0x400114dau +#define CYREG_B1_P2_U1_CFG27 0x400114dbu +#define CYREG_B1_P2_U1_CFG28 0x400114dcu +#define CYREG_B1_P2_U1_CFG29 0x400114ddu +#define CYREG_B1_P2_U1_CFG30 0x400114deu +#define CYREG_B1_P2_U1_CFG31 0x400114dfu +#define CYREG_B1_P2_U1_DCFG0 0x400114e0u +#define CYREG_B1_P2_U1_DCFG1 0x400114e2u +#define CYREG_B1_P2_U1_DCFG2 0x400114e4u +#define CYREG_B1_P2_U1_DCFG3 0x400114e6u +#define CYREG_B1_P2_U1_DCFG4 0x400114e8u +#define CYREG_B1_P2_U1_DCFG5 0x400114eau +#define CYREG_B1_P2_U1_DCFG6 0x400114ecu +#define CYREG_B1_P2_U1_DCFG7 0x400114eeu +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500u +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P3_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070u +#define CYREG_B1_P3_U0_PLD_IT0 0x40011600u +#define CYREG_B1_P3_U0_PLD_IT1 0x40011604u +#define CYREG_B1_P3_U0_PLD_IT2 0x40011608u +#define CYREG_B1_P3_U0_PLD_IT3 0x4001160cu +#define CYREG_B1_P3_U0_PLD_IT4 0x40011610u +#define CYREG_B1_P3_U0_PLD_IT5 0x40011614u +#define CYREG_B1_P3_U0_PLD_IT6 0x40011618u +#define CYREG_B1_P3_U0_PLD_IT7 0x4001161cu +#define CYREG_B1_P3_U0_PLD_IT8 0x40011620u +#define CYREG_B1_P3_U0_PLD_IT9 0x40011624u +#define CYREG_B1_P3_U0_PLD_IT10 0x40011628u +#define CYREG_B1_P3_U0_PLD_IT11 0x4001162cu +#define CYREG_B1_P3_U0_PLD_ORT0 0x40011630u +#define CYREG_B1_P3_U0_PLD_ORT1 0x40011632u +#define CYREG_B1_P3_U0_PLD_ORT2 0x40011634u +#define CYREG_B1_P3_U0_PLD_ORT3 0x40011636u +#define CYREG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638u +#define CYREG_B1_P3_U0_MC_CFG_XORFB 0x4001163au +#define CYREG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163cu +#define CYREG_B1_P3_U0_MC_CFG_BYPASS 0x4001163eu +#define CYREG_B1_P3_U0_CFG0 0x40011640u +#define CYREG_B1_P3_U0_CFG1 0x40011641u +#define CYREG_B1_P3_U0_CFG2 0x40011642u +#define CYREG_B1_P3_U0_CFG3 0x40011643u +#define CYREG_B1_P3_U0_CFG4 0x40011644u +#define CYREG_B1_P3_U0_CFG5 0x40011645u +#define CYREG_B1_P3_U0_CFG6 0x40011646u +#define CYREG_B1_P3_U0_CFG7 0x40011647u +#define CYREG_B1_P3_U0_CFG8 0x40011648u +#define CYREG_B1_P3_U0_CFG9 0x40011649u +#define CYREG_B1_P3_U0_CFG10 0x4001164au +#define CYREG_B1_P3_U0_CFG11 0x4001164bu +#define CYREG_B1_P3_U0_CFG12 0x4001164cu +#define CYREG_B1_P3_U0_CFG13 0x4001164du +#define CYREG_B1_P3_U0_CFG14 0x4001164eu +#define CYREG_B1_P3_U0_CFG15 0x4001164fu +#define CYREG_B1_P3_U0_CFG16 0x40011650u +#define CYREG_B1_P3_U0_CFG17 0x40011651u +#define CYREG_B1_P3_U0_CFG18 0x40011652u +#define CYREG_B1_P3_U0_CFG19 0x40011653u +#define CYREG_B1_P3_U0_CFG20 0x40011654u +#define CYREG_B1_P3_U0_CFG21 0x40011655u +#define CYREG_B1_P3_U0_CFG22 0x40011656u +#define CYREG_B1_P3_U0_CFG23 0x40011657u +#define CYREG_B1_P3_U0_CFG24 0x40011658u +#define CYREG_B1_P3_U0_CFG25 0x40011659u +#define CYREG_B1_P3_U0_CFG26 0x4001165au +#define CYREG_B1_P3_U0_CFG27 0x4001165bu +#define CYREG_B1_P3_U0_CFG28 0x4001165cu +#define CYREG_B1_P3_U0_CFG29 0x4001165du +#define CYREG_B1_P3_U0_CFG30 0x4001165eu +#define CYREG_B1_P3_U0_CFG31 0x4001165fu +#define CYREG_B1_P3_U0_DCFG0 0x40011660u +#define CYREG_B1_P3_U0_DCFG1 0x40011662u +#define CYREG_B1_P3_U0_DCFG2 0x40011664u +#define CYREG_B1_P3_U0_DCFG3 0x40011666u +#define CYREG_B1_P3_U0_DCFG4 0x40011668u +#define CYREG_B1_P3_U0_DCFG5 0x4001166au +#define CYREG_B1_P3_U0_DCFG6 0x4001166cu +#define CYREG_B1_P3_U0_DCFG7 0x4001166eu +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070u +#define CYREG_B1_P3_U1_PLD_IT0 0x40011680u +#define CYREG_B1_P3_U1_PLD_IT1 0x40011684u +#define CYREG_B1_P3_U1_PLD_IT2 0x40011688u +#define CYREG_B1_P3_U1_PLD_IT3 0x4001168cu +#define CYREG_B1_P3_U1_PLD_IT4 0x40011690u +#define CYREG_B1_P3_U1_PLD_IT5 0x40011694u +#define CYREG_B1_P3_U1_PLD_IT6 0x40011698u +#define CYREG_B1_P3_U1_PLD_IT7 0x4001169cu +#define CYREG_B1_P3_U1_PLD_IT8 0x400116a0u +#define CYREG_B1_P3_U1_PLD_IT9 0x400116a4u +#define CYREG_B1_P3_U1_PLD_IT10 0x400116a8u +#define CYREG_B1_P3_U1_PLD_IT11 0x400116acu +#define CYREG_B1_P3_U1_PLD_ORT0 0x400116b0u +#define CYREG_B1_P3_U1_PLD_ORT1 0x400116b2u +#define CYREG_B1_P3_U1_PLD_ORT2 0x400116b4u +#define CYREG_B1_P3_U1_PLD_ORT3 0x400116b6u +#define CYREG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8u +#define CYREG_B1_P3_U1_MC_CFG_XORFB 0x400116bau +#define CYREG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bcu +#define CYREG_B1_P3_U1_MC_CFG_BYPASS 0x400116beu +#define CYREG_B1_P3_U1_CFG0 0x400116c0u +#define CYREG_B1_P3_U1_CFG1 0x400116c1u +#define CYREG_B1_P3_U1_CFG2 0x400116c2u +#define CYREG_B1_P3_U1_CFG3 0x400116c3u +#define CYREG_B1_P3_U1_CFG4 0x400116c4u +#define CYREG_B1_P3_U1_CFG5 0x400116c5u +#define CYREG_B1_P3_U1_CFG6 0x400116c6u +#define CYREG_B1_P3_U1_CFG7 0x400116c7u +#define CYREG_B1_P3_U1_CFG8 0x400116c8u +#define CYREG_B1_P3_U1_CFG9 0x400116c9u +#define CYREG_B1_P3_U1_CFG10 0x400116cau +#define CYREG_B1_P3_U1_CFG11 0x400116cbu +#define CYREG_B1_P3_U1_CFG12 0x400116ccu +#define CYREG_B1_P3_U1_CFG13 0x400116cdu +#define CYREG_B1_P3_U1_CFG14 0x400116ceu +#define CYREG_B1_P3_U1_CFG15 0x400116cfu +#define CYREG_B1_P3_U1_CFG16 0x400116d0u +#define CYREG_B1_P3_U1_CFG17 0x400116d1u +#define CYREG_B1_P3_U1_CFG18 0x400116d2u +#define CYREG_B1_P3_U1_CFG19 0x400116d3u +#define CYREG_B1_P3_U1_CFG20 0x400116d4u +#define CYREG_B1_P3_U1_CFG21 0x400116d5u +#define CYREG_B1_P3_U1_CFG22 0x400116d6u +#define CYREG_B1_P3_U1_CFG23 0x400116d7u +#define CYREG_B1_P3_U1_CFG24 0x400116d8u +#define CYREG_B1_P3_U1_CFG25 0x400116d9u +#define CYREG_B1_P3_U1_CFG26 0x400116dau +#define CYREG_B1_P3_U1_CFG27 0x400116dbu +#define CYREG_B1_P3_U1_CFG28 0x400116dcu +#define CYREG_B1_P3_U1_CFG29 0x400116ddu +#define CYREG_B1_P3_U1_CFG30 0x400116deu +#define CYREG_B1_P3_U1_CFG31 0x400116dfu +#define CYREG_B1_P3_U1_DCFG0 0x400116e0u +#define CYREG_B1_P3_U1_DCFG1 0x400116e2u +#define CYREG_B1_P3_U1_DCFG2 0x400116e4u +#define CYREG_B1_P3_U1_DCFG3 0x400116e6u +#define CYREG_B1_P3_U1_DCFG4 0x400116e8u +#define CYREG_B1_P3_U1_DCFG5 0x400116eau +#define CYREG_B1_P3_U1_DCFG6 0x400116ecu +#define CYREG_B1_P3_U1_DCFG7 0x400116eeu +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700u +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P4_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070u +#define CYREG_B1_P4_U0_PLD_IT0 0x40011800u +#define CYREG_B1_P4_U0_PLD_IT1 0x40011804u +#define CYREG_B1_P4_U0_PLD_IT2 0x40011808u +#define CYREG_B1_P4_U0_PLD_IT3 0x4001180cu +#define CYREG_B1_P4_U0_PLD_IT4 0x40011810u +#define CYREG_B1_P4_U0_PLD_IT5 0x40011814u +#define CYREG_B1_P4_U0_PLD_IT6 0x40011818u +#define CYREG_B1_P4_U0_PLD_IT7 0x4001181cu +#define CYREG_B1_P4_U0_PLD_IT8 0x40011820u +#define CYREG_B1_P4_U0_PLD_IT9 0x40011824u +#define CYREG_B1_P4_U0_PLD_IT10 0x40011828u +#define CYREG_B1_P4_U0_PLD_IT11 0x4001182cu +#define CYREG_B1_P4_U0_PLD_ORT0 0x40011830u +#define CYREG_B1_P4_U0_PLD_ORT1 0x40011832u +#define CYREG_B1_P4_U0_PLD_ORT2 0x40011834u +#define CYREG_B1_P4_U0_PLD_ORT3 0x40011836u +#define CYREG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838u +#define CYREG_B1_P4_U0_MC_CFG_XORFB 0x4001183au +#define CYREG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183cu +#define CYREG_B1_P4_U0_MC_CFG_BYPASS 0x4001183eu +#define CYREG_B1_P4_U0_CFG0 0x40011840u +#define CYREG_B1_P4_U0_CFG1 0x40011841u +#define CYREG_B1_P4_U0_CFG2 0x40011842u +#define CYREG_B1_P4_U0_CFG3 0x40011843u +#define CYREG_B1_P4_U0_CFG4 0x40011844u +#define CYREG_B1_P4_U0_CFG5 0x40011845u +#define CYREG_B1_P4_U0_CFG6 0x40011846u +#define CYREG_B1_P4_U0_CFG7 0x40011847u +#define CYREG_B1_P4_U0_CFG8 0x40011848u +#define CYREG_B1_P4_U0_CFG9 0x40011849u +#define CYREG_B1_P4_U0_CFG10 0x4001184au +#define CYREG_B1_P4_U0_CFG11 0x4001184bu +#define CYREG_B1_P4_U0_CFG12 0x4001184cu +#define CYREG_B1_P4_U0_CFG13 0x4001184du +#define CYREG_B1_P4_U0_CFG14 0x4001184eu +#define CYREG_B1_P4_U0_CFG15 0x4001184fu +#define CYREG_B1_P4_U0_CFG16 0x40011850u +#define CYREG_B1_P4_U0_CFG17 0x40011851u +#define CYREG_B1_P4_U0_CFG18 0x40011852u +#define CYREG_B1_P4_U0_CFG19 0x40011853u +#define CYREG_B1_P4_U0_CFG20 0x40011854u +#define CYREG_B1_P4_U0_CFG21 0x40011855u +#define CYREG_B1_P4_U0_CFG22 0x40011856u +#define CYREG_B1_P4_U0_CFG23 0x40011857u +#define CYREG_B1_P4_U0_CFG24 0x40011858u +#define CYREG_B1_P4_U0_CFG25 0x40011859u +#define CYREG_B1_P4_U0_CFG26 0x4001185au +#define CYREG_B1_P4_U0_CFG27 0x4001185bu +#define CYREG_B1_P4_U0_CFG28 0x4001185cu +#define CYREG_B1_P4_U0_CFG29 0x4001185du +#define CYREG_B1_P4_U0_CFG30 0x4001185eu +#define CYREG_B1_P4_U0_CFG31 0x4001185fu +#define CYREG_B1_P4_U0_DCFG0 0x40011860u +#define CYREG_B1_P4_U0_DCFG1 0x40011862u +#define CYREG_B1_P4_U0_DCFG2 0x40011864u +#define CYREG_B1_P4_U0_DCFG3 0x40011866u +#define CYREG_B1_P4_U0_DCFG4 0x40011868u +#define CYREG_B1_P4_U0_DCFG5 0x4001186au +#define CYREG_B1_P4_U0_DCFG6 0x4001186cu +#define CYREG_B1_P4_U0_DCFG7 0x4001186eu +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070u +#define CYREG_B1_P4_U1_PLD_IT0 0x40011880u +#define CYREG_B1_P4_U1_PLD_IT1 0x40011884u +#define CYREG_B1_P4_U1_PLD_IT2 0x40011888u +#define CYREG_B1_P4_U1_PLD_IT3 0x4001188cu +#define CYREG_B1_P4_U1_PLD_IT4 0x40011890u +#define CYREG_B1_P4_U1_PLD_IT5 0x40011894u +#define CYREG_B1_P4_U1_PLD_IT6 0x40011898u +#define CYREG_B1_P4_U1_PLD_IT7 0x4001189cu +#define CYREG_B1_P4_U1_PLD_IT8 0x400118a0u +#define CYREG_B1_P4_U1_PLD_IT9 0x400118a4u +#define CYREG_B1_P4_U1_PLD_IT10 0x400118a8u +#define CYREG_B1_P4_U1_PLD_IT11 0x400118acu +#define CYREG_B1_P4_U1_PLD_ORT0 0x400118b0u +#define CYREG_B1_P4_U1_PLD_ORT1 0x400118b2u +#define CYREG_B1_P4_U1_PLD_ORT2 0x400118b4u +#define CYREG_B1_P4_U1_PLD_ORT3 0x400118b6u +#define CYREG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8u +#define CYREG_B1_P4_U1_MC_CFG_XORFB 0x400118bau +#define CYREG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bcu +#define CYREG_B1_P4_U1_MC_CFG_BYPASS 0x400118beu +#define CYREG_B1_P4_U1_CFG0 0x400118c0u +#define CYREG_B1_P4_U1_CFG1 0x400118c1u +#define CYREG_B1_P4_U1_CFG2 0x400118c2u +#define CYREG_B1_P4_U1_CFG3 0x400118c3u +#define CYREG_B1_P4_U1_CFG4 0x400118c4u +#define CYREG_B1_P4_U1_CFG5 0x400118c5u +#define CYREG_B1_P4_U1_CFG6 0x400118c6u +#define CYREG_B1_P4_U1_CFG7 0x400118c7u +#define CYREG_B1_P4_U1_CFG8 0x400118c8u +#define CYREG_B1_P4_U1_CFG9 0x400118c9u +#define CYREG_B1_P4_U1_CFG10 0x400118cau +#define CYREG_B1_P4_U1_CFG11 0x400118cbu +#define CYREG_B1_P4_U1_CFG12 0x400118ccu +#define CYREG_B1_P4_U1_CFG13 0x400118cdu +#define CYREG_B1_P4_U1_CFG14 0x400118ceu +#define CYREG_B1_P4_U1_CFG15 0x400118cfu +#define CYREG_B1_P4_U1_CFG16 0x400118d0u +#define CYREG_B1_P4_U1_CFG17 0x400118d1u +#define CYREG_B1_P4_U1_CFG18 0x400118d2u +#define CYREG_B1_P4_U1_CFG19 0x400118d3u +#define CYREG_B1_P4_U1_CFG20 0x400118d4u +#define CYREG_B1_P4_U1_CFG21 0x400118d5u +#define CYREG_B1_P4_U1_CFG22 0x400118d6u +#define CYREG_B1_P4_U1_CFG23 0x400118d7u +#define CYREG_B1_P4_U1_CFG24 0x400118d8u +#define CYREG_B1_P4_U1_CFG25 0x400118d9u +#define CYREG_B1_P4_U1_CFG26 0x400118dau +#define CYREG_B1_P4_U1_CFG27 0x400118dbu +#define CYREG_B1_P4_U1_CFG28 0x400118dcu +#define CYREG_B1_P4_U1_CFG29 0x400118ddu +#define CYREG_B1_P4_U1_CFG30 0x400118deu +#define CYREG_B1_P4_U1_CFG31 0x400118dfu +#define CYREG_B1_P4_U1_DCFG0 0x400118e0u +#define CYREG_B1_P4_U1_DCFG1 0x400118e2u +#define CYREG_B1_P4_U1_DCFG2 0x400118e4u +#define CYREG_B1_P4_U1_DCFG3 0x400118e6u +#define CYREG_B1_P4_U1_DCFG4 0x400118e8u +#define CYREG_B1_P4_U1_DCFG5 0x400118eau +#define CYREG_B1_P4_U1_DCFG6 0x400118ecu +#define CYREG_B1_P4_U1_DCFG7 0x400118eeu +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900u +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070u +#define CYREG_B1_P5_U0_PLD_IT0 0x40011a00u +#define CYREG_B1_P5_U0_PLD_IT1 0x40011a04u +#define CYREG_B1_P5_U0_PLD_IT2 0x40011a08u +#define CYREG_B1_P5_U0_PLD_IT3 0x40011a0cu +#define CYREG_B1_P5_U0_PLD_IT4 0x40011a10u +#define CYREG_B1_P5_U0_PLD_IT5 0x40011a14u +#define CYREG_B1_P5_U0_PLD_IT6 0x40011a18u +#define CYREG_B1_P5_U0_PLD_IT7 0x40011a1cu +#define CYREG_B1_P5_U0_PLD_IT8 0x40011a20u +#define CYREG_B1_P5_U0_PLD_IT9 0x40011a24u +#define CYREG_B1_P5_U0_PLD_IT10 0x40011a28u +#define CYREG_B1_P5_U0_PLD_IT11 0x40011a2cu +#define CYREG_B1_P5_U0_PLD_ORT0 0x40011a30u +#define CYREG_B1_P5_U0_PLD_ORT1 0x40011a32u +#define CYREG_B1_P5_U0_PLD_ORT2 0x40011a34u +#define CYREG_B1_P5_U0_PLD_ORT3 0x40011a36u +#define CYREG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38u +#define CYREG_B1_P5_U0_MC_CFG_XORFB 0x40011a3au +#define CYREG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3cu +#define CYREG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3eu +#define CYREG_B1_P5_U0_CFG0 0x40011a40u +#define CYREG_B1_P5_U0_CFG1 0x40011a41u +#define CYREG_B1_P5_U0_CFG2 0x40011a42u +#define CYREG_B1_P5_U0_CFG3 0x40011a43u +#define CYREG_B1_P5_U0_CFG4 0x40011a44u +#define CYREG_B1_P5_U0_CFG5 0x40011a45u +#define CYREG_B1_P5_U0_CFG6 0x40011a46u +#define CYREG_B1_P5_U0_CFG7 0x40011a47u +#define CYREG_B1_P5_U0_CFG8 0x40011a48u +#define CYREG_B1_P5_U0_CFG9 0x40011a49u +#define CYREG_B1_P5_U0_CFG10 0x40011a4au +#define CYREG_B1_P5_U0_CFG11 0x40011a4bu +#define CYREG_B1_P5_U0_CFG12 0x40011a4cu +#define CYREG_B1_P5_U0_CFG13 0x40011a4du +#define CYREG_B1_P5_U0_CFG14 0x40011a4eu +#define CYREG_B1_P5_U0_CFG15 0x40011a4fu +#define CYREG_B1_P5_U0_CFG16 0x40011a50u +#define CYREG_B1_P5_U0_CFG17 0x40011a51u +#define CYREG_B1_P5_U0_CFG18 0x40011a52u +#define CYREG_B1_P5_U0_CFG19 0x40011a53u +#define CYREG_B1_P5_U0_CFG20 0x40011a54u +#define CYREG_B1_P5_U0_CFG21 0x40011a55u +#define CYREG_B1_P5_U0_CFG22 0x40011a56u +#define CYREG_B1_P5_U0_CFG23 0x40011a57u +#define CYREG_B1_P5_U0_CFG24 0x40011a58u +#define CYREG_B1_P5_U0_CFG25 0x40011a59u +#define CYREG_B1_P5_U0_CFG26 0x40011a5au +#define CYREG_B1_P5_U0_CFG27 0x40011a5bu +#define CYREG_B1_P5_U0_CFG28 0x40011a5cu +#define CYREG_B1_P5_U0_CFG29 0x40011a5du +#define CYREG_B1_P5_U0_CFG30 0x40011a5eu +#define CYREG_B1_P5_U0_CFG31 0x40011a5fu +#define CYREG_B1_P5_U0_DCFG0 0x40011a60u +#define CYREG_B1_P5_U0_DCFG1 0x40011a62u +#define CYREG_B1_P5_U0_DCFG2 0x40011a64u +#define CYREG_B1_P5_U0_DCFG3 0x40011a66u +#define CYREG_B1_P5_U0_DCFG4 0x40011a68u +#define CYREG_B1_P5_U0_DCFG5 0x40011a6au +#define CYREG_B1_P5_U0_DCFG6 0x40011a6cu +#define CYREG_B1_P5_U0_DCFG7 0x40011a6eu +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070u +#define CYREG_B1_P5_U1_PLD_IT0 0x40011a80u +#define CYREG_B1_P5_U1_PLD_IT1 0x40011a84u +#define CYREG_B1_P5_U1_PLD_IT2 0x40011a88u +#define CYREG_B1_P5_U1_PLD_IT3 0x40011a8cu +#define CYREG_B1_P5_U1_PLD_IT4 0x40011a90u +#define CYREG_B1_P5_U1_PLD_IT5 0x40011a94u +#define CYREG_B1_P5_U1_PLD_IT6 0x40011a98u +#define CYREG_B1_P5_U1_PLD_IT7 0x40011a9cu +#define CYREG_B1_P5_U1_PLD_IT8 0x40011aa0u +#define CYREG_B1_P5_U1_PLD_IT9 0x40011aa4u +#define CYREG_B1_P5_U1_PLD_IT10 0x40011aa8u +#define CYREG_B1_P5_U1_PLD_IT11 0x40011aacu +#define CYREG_B1_P5_U1_PLD_ORT0 0x40011ab0u +#define CYREG_B1_P5_U1_PLD_ORT1 0x40011ab2u +#define CYREG_B1_P5_U1_PLD_ORT2 0x40011ab4u +#define CYREG_B1_P5_U1_PLD_ORT3 0x40011ab6u +#define CYREG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8u +#define CYREG_B1_P5_U1_MC_CFG_XORFB 0x40011abau +#define CYREG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abcu +#define CYREG_B1_P5_U1_MC_CFG_BYPASS 0x40011abeu +#define CYREG_B1_P5_U1_CFG0 0x40011ac0u +#define CYREG_B1_P5_U1_CFG1 0x40011ac1u +#define CYREG_B1_P5_U1_CFG2 0x40011ac2u +#define CYREG_B1_P5_U1_CFG3 0x40011ac3u +#define CYREG_B1_P5_U1_CFG4 0x40011ac4u +#define CYREG_B1_P5_U1_CFG5 0x40011ac5u +#define CYREG_B1_P5_U1_CFG6 0x40011ac6u +#define CYREG_B1_P5_U1_CFG7 0x40011ac7u +#define CYREG_B1_P5_U1_CFG8 0x40011ac8u +#define CYREG_B1_P5_U1_CFG9 0x40011ac9u +#define CYREG_B1_P5_U1_CFG10 0x40011acau +#define CYREG_B1_P5_U1_CFG11 0x40011acbu +#define CYREG_B1_P5_U1_CFG12 0x40011accu +#define CYREG_B1_P5_U1_CFG13 0x40011acdu +#define CYREG_B1_P5_U1_CFG14 0x40011aceu +#define CYREG_B1_P5_U1_CFG15 0x40011acfu +#define CYREG_B1_P5_U1_CFG16 0x40011ad0u +#define CYREG_B1_P5_U1_CFG17 0x40011ad1u +#define CYREG_B1_P5_U1_CFG18 0x40011ad2u +#define CYREG_B1_P5_U1_CFG19 0x40011ad3u +#define CYREG_B1_P5_U1_CFG20 0x40011ad4u +#define CYREG_B1_P5_U1_CFG21 0x40011ad5u +#define CYREG_B1_P5_U1_CFG22 0x40011ad6u +#define CYREG_B1_P5_U1_CFG23 0x40011ad7u +#define CYREG_B1_P5_U1_CFG24 0x40011ad8u +#define CYREG_B1_P5_U1_CFG25 0x40011ad9u +#define CYREG_B1_P5_U1_CFG26 0x40011adau +#define CYREG_B1_P5_U1_CFG27 0x40011adbu +#define CYREG_B1_P5_U1_CFG28 0x40011adcu +#define CYREG_B1_P5_U1_CFG29 0x40011addu +#define CYREG_B1_P5_U1_CFG30 0x40011adeu +#define CYREG_B1_P5_U1_CFG31 0x40011adfu +#define CYREG_B1_P5_U1_DCFG0 0x40011ae0u +#define CYREG_B1_P5_U1_DCFG1 0x40011ae2u +#define CYREG_B1_P5_U1_DCFG2 0x40011ae4u +#define CYREG_B1_P5_U1_DCFG3 0x40011ae6u +#define CYREG_B1_P5_U1_DCFG4 0x40011ae8u +#define CYREG_B1_P5_U1_DCFG5 0x40011aeau +#define CYREG_B1_P5_U1_DCFG6 0x40011aecu +#define CYREG_B1_P5_U1_DCFG7 0x40011aeeu +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00u +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_DSI0_BASE 0x40014000u +#define CYDEV_UCFG_DSI0_SIZE 0x000000efu +#define CYDEV_UCFG_DSI1_BASE 0x40014100u +#define CYDEV_UCFG_DSI1_SIZE 0x000000efu +#define CYDEV_UCFG_DSI2_BASE 0x40014200u +#define CYDEV_UCFG_DSI2_SIZE 0x000000efu +#define CYDEV_UCFG_DSI3_BASE 0x40014300u +#define CYDEV_UCFG_DSI3_SIZE 0x000000efu +#define CYDEV_UCFG_DSI4_BASE 0x40014400u +#define CYDEV_UCFG_DSI4_SIZE 0x000000efu +#define CYDEV_UCFG_DSI5_BASE 0x40014500u +#define CYDEV_UCFG_DSI5_SIZE 0x000000efu +#define CYDEV_UCFG_DSI6_BASE 0x40014600u +#define CYDEV_UCFG_DSI6_SIZE 0x000000efu +#define CYDEV_UCFG_DSI7_BASE 0x40014700u +#define CYDEV_UCFG_DSI7_SIZE 0x000000efu +#define CYDEV_UCFG_DSI8_BASE 0x40014800u +#define CYDEV_UCFG_DSI8_SIZE 0x000000efu +#define CYDEV_UCFG_DSI9_BASE 0x40014900u +#define CYDEV_UCFG_DSI9_SIZE 0x000000efu +#define CYDEV_UCFG_DSI12_BASE 0x40014c00u +#define CYDEV_UCFG_DSI12_SIZE 0x000000efu +#define CYDEV_UCFG_DSI13_BASE 0x40014d00u +#define CYDEV_UCFG_DSI13_SIZE 0x000000efu +#define CYDEV_UCFG_BCTL0_BASE 0x40015000u +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010u +#define CYREG_BCTL0_MDCLK_EN 0x40015000u +#define CYREG_BCTL0_MBCLK_EN 0x40015001u +#define CYREG_BCTL0_WAIT_CFG 0x40015002u +#define CYREG_BCTL0_BANK_CTL 0x40015003u +#define CYREG_BCTL0_UDB_TEST_3 0x40015007u +#define CYREG_BCTL0_DCLK_EN0 0x40015008u +#define CYREG_BCTL0_BCLK_EN0 0x40015009u +#define CYREG_BCTL0_DCLK_EN1 0x4001500au +#define CYREG_BCTL0_BCLK_EN1 0x4001500bu +#define CYREG_BCTL0_DCLK_EN2 0x4001500cu +#define CYREG_BCTL0_BCLK_EN2 0x4001500du +#define CYREG_BCTL0_DCLK_EN3 0x4001500eu +#define CYREG_BCTL0_BCLK_EN3 0x4001500fu +#define CYDEV_UCFG_BCTL1_BASE 0x40015010u +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010u +#define CYREG_BCTL1_MDCLK_EN 0x40015010u +#define CYREG_BCTL1_MBCLK_EN 0x40015011u +#define CYREG_BCTL1_WAIT_CFG 0x40015012u +#define CYREG_BCTL1_BANK_CTL 0x40015013u +#define CYREG_BCTL1_UDB_TEST_3 0x40015017u +#define CYREG_BCTL1_DCLK_EN0 0x40015018u +#define CYREG_BCTL1_BCLK_EN0 0x40015019u +#define CYREG_BCTL1_DCLK_EN1 0x4001501au +#define CYREG_BCTL1_BCLK_EN1 0x4001501bu +#define CYREG_BCTL1_DCLK_EN2 0x4001501cu +#define CYREG_BCTL1_BCLK_EN2 0x4001501du +#define CYREG_BCTL1_DCLK_EN3 0x4001501eu +#define CYREG_BCTL1_BCLK_EN3 0x4001501fu +#define CYDEV_IDMUX_BASE 0x40015100u +#define CYDEV_IDMUX_SIZE 0x00000016u +#define CYREG_IDMUX_IRQ_CTL0 0x40015100u +#define CYREG_IDMUX_IRQ_CTL1 0x40015101u +#define CYREG_IDMUX_IRQ_CTL2 0x40015102u +#define CYREG_IDMUX_IRQ_CTL3 0x40015103u +#define CYREG_IDMUX_IRQ_CTL4 0x40015104u +#define CYREG_IDMUX_IRQ_CTL5 0x40015105u +#define CYREG_IDMUX_IRQ_CTL6 0x40015106u +#define CYREG_IDMUX_IRQ_CTL7 0x40015107u +#define CYREG_IDMUX_DRQ_CTL0 0x40015110u +#define CYREG_IDMUX_DRQ_CTL1 0x40015111u +#define CYREG_IDMUX_DRQ_CTL2 0x40015112u +#define CYREG_IDMUX_DRQ_CTL3 0x40015113u +#define CYREG_IDMUX_DRQ_CTL4 0x40015114u +#define CYREG_IDMUX_DRQ_CTL5 0x40015115u +#define CYDEV_CACHERAM_BASE 0x40030000u +#define CYDEV_CACHERAM_SIZE 0x00000400u +#define CYREG_CACHERAM_DATA_MBASE 0x40030000u +#define CYREG_CACHERAM_DATA_MSIZE 0x00000400u +#define CYDEV_SFR_BASE 0x40050100u +#define CYDEV_SFR_SIZE 0x000000fbu +#define CYREG_SFR_GPIO0 0x40050180u +#define CYREG_SFR_GPIRD0 0x40050189u +#define CYREG_SFR_GPIO0_SEL 0x4005018au +#define CYREG_SFR_GPIO1 0x40050190u +#define CYREG_SFR_GPIRD1 0x40050191u +#define CYREG_SFR_GPIO2 0x40050198u +#define CYREG_SFR_GPIRD2 0x40050199u +#define CYREG_SFR_GPIO2_SEL 0x4005019au +#define CYREG_SFR_GPIO1_SEL 0x400501a2u +#define CYREG_SFR_GPIO3 0x400501b0u +#define CYREG_SFR_GPIRD3 0x400501b1u +#define CYREG_SFR_GPIO3_SEL 0x400501b2u +#define CYREG_SFR_GPIO4 0x400501c0u +#define CYREG_SFR_GPIRD4 0x400501c1u +#define CYREG_SFR_GPIO4_SEL 0x400501c2u +#define CYREG_SFR_GPIO5 0x400501c8u +#define CYREG_SFR_GPIRD5 0x400501c9u +#define CYREG_SFR_GPIO5_SEL 0x400501cau +#define CYREG_SFR_GPIO6 0x400501d8u +#define CYREG_SFR_GPIRD6 0x400501d9u +#define CYREG_SFR_GPIO6_SEL 0x400501dau +#define CYREG_SFR_GPIO12 0x400501e8u +#define CYREG_SFR_GPIRD12 0x400501e9u +#define CYREG_SFR_GPIO12_SEL 0x400501f2u +#define CYREG_SFR_GPIO15 0x400501f8u +#define CYREG_SFR_GPIRD15 0x400501f9u +#define CYREG_SFR_GPIO15_SEL 0x400501fau +#define CYDEV_P3BA_BASE 0x40050300u +#define CYDEV_P3BA_SIZE 0x0000002bu +#define CYREG_P3BA_Y_START 0x40050300u +#define CYREG_P3BA_YROLL 0x40050301u +#define CYREG_P3BA_YCFG 0x40050302u +#define CYREG_P3BA_X_START1 0x40050303u +#define CYREG_P3BA_X_START2 0x40050304u +#define CYREG_P3BA_XROLL1 0x40050305u +#define CYREG_P3BA_XROLL2 0x40050306u +#define CYREG_P3BA_XINC 0x40050307u +#define CYREG_P3BA_XCFG 0x40050308u +#define CYREG_P3BA_OFFSETADDR1 0x40050309u +#define CYREG_P3BA_OFFSETADDR2 0x4005030au +#define CYREG_P3BA_OFFSETADDR3 0x4005030bu +#define CYREG_P3BA_ABSADDR1 0x4005030cu +#define CYREG_P3BA_ABSADDR2 0x4005030du +#define CYREG_P3BA_ABSADDR3 0x4005030eu +#define CYREG_P3BA_ABSADDR4 0x4005030fu +#define CYREG_P3BA_DATCFG1 0x40050310u +#define CYREG_P3BA_DATCFG2 0x40050311u +#define CYREG_P3BA_CMP_RSLT1 0x40050314u +#define CYREG_P3BA_CMP_RSLT2 0x40050315u +#define CYREG_P3BA_CMP_RSLT3 0x40050316u +#define CYREG_P3BA_CMP_RSLT4 0x40050317u +#define CYREG_P3BA_DATA_REG1 0x40050318u +#define CYREG_P3BA_DATA_REG2 0x40050319u +#define CYREG_P3BA_DATA_REG3 0x4005031au +#define CYREG_P3BA_DATA_REG4 0x4005031bu +#define CYREG_P3BA_EXP_DATA1 0x4005031cu +#define CYREG_P3BA_EXP_DATA2 0x4005031du +#define CYREG_P3BA_EXP_DATA3 0x4005031eu +#define CYREG_P3BA_EXP_DATA4 0x4005031fu +#define CYREG_P3BA_MSTR_HRDATA1 0x40050320u +#define CYREG_P3BA_MSTR_HRDATA2 0x40050321u +#define CYREG_P3BA_MSTR_HRDATA3 0x40050322u +#define CYREG_P3BA_MSTR_HRDATA4 0x40050323u +#define CYREG_P3BA_BIST_EN 0x40050324u +#define CYREG_P3BA_PHUB_MASTER_SSR 0x40050325u +#define CYREG_P3BA_SEQCFG1 0x40050326u +#define CYREG_P3BA_SEQCFG2 0x40050327u +#define CYREG_P3BA_Y_CURR 0x40050328u +#define CYREG_P3BA_X_CURR1 0x40050329u +#define CYREG_P3BA_X_CURR2 0x4005032au +#define CYDEV_PANTHER_BASE 0x40080000u +#define CYDEV_PANTHER_SIZE 0x00000020u +#define CYREG_PANTHER_STCALIB_CFG 0x40080000u +#define CYREG_PANTHER_WAITPIPE 0x40080004u +#define CYREG_PANTHER_TRACE_CFG 0x40080008u +#define CYREG_PANTHER_DBG_CFG 0x4008000cu +#define CYREG_PANTHER_CM3_LCKRST_STAT 0x40080018u +#define CYREG_PANTHER_DEVICE_ID 0x4008001cu +#define CYDEV_FLSECC_BASE 0x48000000u +#define CYDEV_FLSECC_SIZE 0x00008000u +#define CYREG_FLSECC_DATA_MBASE 0x48000000u +#define CYREG_FLSECC_DATA_MSIZE 0x00008000u +#define CYDEV_FLSHID_BASE 0x49000000u +#define CYDEV_FLSHID_SIZE 0x00000200u +#define CYREG_FLSHID_RSVD_MBASE 0x49000000u +#define CYREG_FLSHID_RSVD_MSIZE 0x00000080u +#define CYREG_FLSHID_CUST_MDATA_MBASE 0x49000080u +#define CYREG_FLSHID_CUST_MDATA_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040u +#define CYREG_FLSHID_CUST_TABLES_Y_LOC 0x49000100u +#define CYREG_FLSHID_CUST_TABLES_X_LOC 0x49000101u +#define CYREG_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102u +#define CYREG_FLSHID_CUST_TABLES_LOT_LSB 0x49000103u +#define CYREG_FLSHID_CUST_TABLES_LOT_MSB 0x49000104u +#define CYREG_FLSHID_CUST_TABLES_WRK_WK 0x49000105u +#define CYREG_FLSHID_CUST_TABLES_FAB_YR 0x49000106u +#define CYREG_FLSHID_CUST_TABLES_MINOR 0x49000107u +#define CYREG_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108u +#define CYREG_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109u +#define CYREG_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010au +#define CYREG_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010bu +#define CYREG_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010cu +#define CYREG_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010du +#define CYREG_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010eu +#define CYREG_FLSHID_CUST_TABLES_IMO_USB 0x4900010fu +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110u +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111u +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112u +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113u +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114u +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115u +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116u +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117u +#define CYREG_FLSHID_CUST_TABLES_DEC_M1 0x49000118u +#define CYREG_FLSHID_CUST_TABLES_DEC_M2 0x49000119u +#define CYREG_FLSHID_CUST_TABLES_DEC_M3 0x4900011au +#define CYREG_FLSHID_CUST_TABLES_DEC_M4 0x4900011bu +#define CYREG_FLSHID_CUST_TABLES_DEC_M5 0x4900011cu +#define CYREG_FLSHID_CUST_TABLES_DEC_M6 0x4900011du +#define CYREG_FLSHID_CUST_TABLES_DEC_M7 0x4900011eu +#define CYREG_FLSHID_CUST_TABLES_DEC_M8 0x4900011fu +#define CYREG_FLSHID_CUST_TABLES_DAC0_M1 0x49000120u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M2 0x49000121u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M3 0x49000122u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M4 0x49000123u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M5 0x49000124u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M6 0x49000125u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M7 0x49000126u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M8 0x49000127u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M1 0x49000128u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M2 0x49000129u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M3 0x4900012au +#define CYREG_FLSHID_CUST_TABLES_DAC2_M4 0x4900012bu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M5 0x4900012cu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M6 0x4900012du +#define CYREG_FLSHID_CUST_TABLES_DAC2_M7 0x4900012eu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M8 0x4900012fu +#define CYREG_FLSHID_CUST_TABLES_DAC1_M1 0x49000130u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M2 0x49000131u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M3 0x49000132u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M4 0x49000133u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M5 0x49000134u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M6 0x49000135u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M7 0x49000136u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M8 0x49000137u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M1 0x49000138u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M2 0x49000139u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M3 0x4900013au +#define CYREG_FLSHID_CUST_TABLES_DAC3_M4 0x4900013bu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M5 0x4900013cu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M6 0x4900013du +#define CYREG_FLSHID_CUST_TABLES_DAC3_M7 0x4900013eu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M8 0x4900013fu +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180u +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080u +#define CYREG_FLSHID_MFG_CFG_IMO_TR1 0x49000188u +#define CYREG_FLSHID_MFG_CFG_CMP0_TR0 0x490001acu +#define CYREG_FLSHID_MFG_CFG_CMP1_TR0 0x490001aeu +#define CYREG_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0u +#define CYREG_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2u +#define CYREG_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4u +#define CYREG_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6u +#define CYREG_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8u +#define CYREG_FLSHID_MFG_CFG_CMP3_TR1 0x490001bau +#define CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ceu +#define CYDEV_EXTMEM_BASE 0x60000000u +#define CYDEV_EXTMEM_SIZE 0x00800000u +#define CYREG_EXTMEM_DATA_MBASE 0x60000000u +#define CYREG_EXTMEM_DATA_MSIZE 0x00800000u +#define CYDEV_ITM_BASE 0xe0000000u +#define CYDEV_ITM_SIZE 0x00001000u +#define CYREG_ITM_TRACE_EN 0xe0000e00u +#define CYREG_ITM_TRACE_PRIVILEGE 0xe0000e40u +#define CYREG_ITM_TRACE_CTRL 0xe0000e80u +#define CYREG_ITM_LOCK_ACCESS 0xe0000fb0u +#define CYREG_ITM_LOCK_STATUS 0xe0000fb4u +#define CYREG_ITM_PID4 0xe0000fd0u +#define CYREG_ITM_PID5 0xe0000fd4u +#define CYREG_ITM_PID6 0xe0000fd8u +#define CYREG_ITM_PID7 0xe0000fdcu +#define CYREG_ITM_PID0 0xe0000fe0u +#define CYREG_ITM_PID1 0xe0000fe4u +#define CYREG_ITM_PID2 0xe0000fe8u +#define CYREG_ITM_PID3 0xe0000fecu +#define CYREG_ITM_CID0 0xe0000ff0u +#define CYREG_ITM_CID1 0xe0000ff4u +#define CYREG_ITM_CID2 0xe0000ff8u +#define CYREG_ITM_CID3 0xe0000ffcu +#define CYDEV_DWT_BASE 0xe0001000u +#define CYDEV_DWT_SIZE 0x0000005cu +#define CYREG_DWT_CTRL 0xe0001000u +#define CYREG_DWT_CYCLE_COUNT 0xe0001004u +#define CYREG_DWT_CPI_COUNT 0xe0001008u +#define CYREG_DWT_EXC_OVHD_COUNT 0xe000100cu +#define CYREG_DWT_SLEEP_COUNT 0xe0001010u +#define CYREG_DWT_LSU_COUNT 0xe0001014u +#define CYREG_DWT_FOLD_COUNT 0xe0001018u +#define CYREG_DWT_PC_SAMPLE 0xe000101cu +#define CYREG_DWT_COMP_0 0xe0001020u +#define CYREG_DWT_MASK_0 0xe0001024u +#define CYREG_DWT_FUNCTION_0 0xe0001028u +#define CYREG_DWT_COMP_1 0xe0001030u +#define CYREG_DWT_MASK_1 0xe0001034u +#define CYREG_DWT_FUNCTION_1 0xe0001038u +#define CYREG_DWT_COMP_2 0xe0001040u +#define CYREG_DWT_MASK_2 0xe0001044u +#define CYREG_DWT_FUNCTION_2 0xe0001048u +#define CYREG_DWT_COMP_3 0xe0001050u +#define CYREG_DWT_MASK_3 0xe0001054u +#define CYREG_DWT_FUNCTION_3 0xe0001058u +#define CYDEV_FPB_BASE 0xe0002000u +#define CYDEV_FPB_SIZE 0x00001000u +#define CYREG_FPB_CTRL 0xe0002000u +#define CYREG_FPB_REMAP 0xe0002004u +#define CYREG_FPB_FP_COMP_0 0xe0002008u +#define CYREG_FPB_FP_COMP_1 0xe000200cu +#define CYREG_FPB_FP_COMP_2 0xe0002010u +#define CYREG_FPB_FP_COMP_3 0xe0002014u +#define CYREG_FPB_FP_COMP_4 0xe0002018u +#define CYREG_FPB_FP_COMP_5 0xe000201cu +#define CYREG_FPB_FP_COMP_6 0xe0002020u +#define CYREG_FPB_FP_COMP_7 0xe0002024u +#define CYREG_FPB_PID4 0xe0002fd0u +#define CYREG_FPB_PID5 0xe0002fd4u +#define CYREG_FPB_PID6 0xe0002fd8u +#define CYREG_FPB_PID7 0xe0002fdcu +#define CYREG_FPB_PID0 0xe0002fe0u +#define CYREG_FPB_PID1 0xe0002fe4u +#define CYREG_FPB_PID2 0xe0002fe8u +#define CYREG_FPB_PID3 0xe0002fecu +#define CYREG_FPB_CID0 0xe0002ff0u +#define CYREG_FPB_CID1 0xe0002ff4u +#define CYREG_FPB_CID2 0xe0002ff8u +#define CYREG_FPB_CID3 0xe0002ffcu +#define CYDEV_NVIC_BASE 0xe000e000u +#define CYDEV_NVIC_SIZE 0x00000d3cu +#define CYREG_NVIC_INT_CTL_TYPE 0xe000e004u +#define CYREG_NVIC_SYSTICK_CTL 0xe000e010u +#define CYREG_NVIC_SYSTICK_RELOAD 0xe000e014u +#define CYREG_NVIC_SYSTICK_CURRENT 0xe000e018u +#define CYREG_NVIC_SYSTICK_CAL 0xe000e01cu +#define CYREG_NVIC_SETENA0 0xe000e100u +#define CYREG_NVIC_CLRENA0 0xe000e180u +#define CYREG_NVIC_SETPEND0 0xe000e200u +#define CYREG_NVIC_CLRPEND0 0xe000e280u +#define CYREG_NVIC_ACTIVE0 0xe000e300u +#define CYREG_NVIC_PRI_0 0xe000e400u +#define CYREG_NVIC_PRI_1 0xe000e401u +#define CYREG_NVIC_PRI_2 0xe000e402u +#define CYREG_NVIC_PRI_3 0xe000e403u +#define CYREG_NVIC_PRI_4 0xe000e404u +#define CYREG_NVIC_PRI_5 0xe000e405u +#define CYREG_NVIC_PRI_6 0xe000e406u +#define CYREG_NVIC_PRI_7 0xe000e407u +#define CYREG_NVIC_PRI_8 0xe000e408u +#define CYREG_NVIC_PRI_9 0xe000e409u +#define CYREG_NVIC_PRI_10 0xe000e40au +#define CYREG_NVIC_PRI_11 0xe000e40bu +#define CYREG_NVIC_PRI_12 0xe000e40cu +#define CYREG_NVIC_PRI_13 0xe000e40du +#define CYREG_NVIC_PRI_14 0xe000e40eu +#define CYREG_NVIC_PRI_15 0xe000e40fu +#define CYREG_NVIC_PRI_16 0xe000e410u +#define CYREG_NVIC_PRI_17 0xe000e411u +#define CYREG_NVIC_PRI_18 0xe000e412u +#define CYREG_NVIC_PRI_19 0xe000e413u +#define CYREG_NVIC_PRI_20 0xe000e414u +#define CYREG_NVIC_PRI_21 0xe000e415u +#define CYREG_NVIC_PRI_22 0xe000e416u +#define CYREG_NVIC_PRI_23 0xe000e417u +#define CYREG_NVIC_PRI_24 0xe000e418u +#define CYREG_NVIC_PRI_25 0xe000e419u +#define CYREG_NVIC_PRI_26 0xe000e41au +#define CYREG_NVIC_PRI_27 0xe000e41bu +#define CYREG_NVIC_PRI_28 0xe000e41cu +#define CYREG_NVIC_PRI_29 0xe000e41du +#define CYREG_NVIC_PRI_30 0xe000e41eu +#define CYREG_NVIC_PRI_31 0xe000e41fu +#define CYREG_NVIC_CPUID_BASE 0xe000ed00u +#define CYREG_NVIC_INTR_CTRL_STATE 0xe000ed04u +#define CYREG_NVIC_VECT_OFFSET 0xe000ed08u +#define CYREG_NVIC_APPLN_INTR 0xe000ed0cu +#define CYREG_NVIC_SYSTEM_CONTROL 0xe000ed10u +#define CYREG_NVIC_CFG_CONTROL 0xe000ed14u +#define CYREG_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18u +#define CYREG_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1cu +#define CYREG_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20u +#define CYREG_NVIC_SYS_HANDLER_CSR 0xe000ed24u +#define CYREG_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28u +#define CYREG_NVIC_BUS_FAULT_STATUS 0xe000ed29u +#define CYREG_NVIC_USAGE_FAULT_STATUS 0xe000ed2au +#define CYREG_NVIC_HARD_FAULT_STATUS 0xe000ed2cu +#define CYREG_NVIC_DEBUG_FAULT_STATUS 0xe000ed30u +#define CYREG_NVIC_MEMMAN_FAULT_ADD 0xe000ed34u +#define CYREG_NVIC_BUS_FAULT_ADD 0xe000ed38u +#define CYDEV_CORE_DBG_BASE 0xe000edf0u +#define CYDEV_CORE_DBG_SIZE 0x00000010u +#define CYREG_CORE_DBG_DBG_HLT_CS 0xe000edf0u +#define CYREG_CORE_DBG_DBG_REG_SEL 0xe000edf4u +#define CYREG_CORE_DBG_DBG_REG_DATA 0xe000edf8u +#define CYREG_CORE_DBG_EXC_MON_CTL 0xe000edfcu +#define CYDEV_TPIU_BASE 0xe0040000u +#define CYDEV_TPIU_SIZE 0x00001000u +#define CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000u +#define CYREG_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004u +#define CYREG_TPIU_ASYNC_CLK_PRESCALER 0xe0040010u +#define CYREG_TPIU_PROTOCOL 0xe00400f0u +#define CYREG_TPIU_FORM_FLUSH_STAT 0xe0040300u +#define CYREG_TPIU_FORM_FLUSH_CTRL 0xe0040304u +#define CYREG_TPIU_TRIGGER 0xe0040ee8u +#define CYREG_TPIU_ITETMDATA 0xe0040eecu +#define CYREG_TPIU_ITATBCTR2 0xe0040ef0u +#define CYREG_TPIU_ITATBCTR0 0xe0040ef8u +#define CYREG_TPIU_ITITMDATA 0xe0040efcu +#define CYREG_TPIU_ITCTRL 0xe0040f00u +#define CYREG_TPIU_DEVID 0xe0040fc8u +#define CYREG_TPIU_DEVTYPE 0xe0040fccu +#define CYREG_TPIU_PID4 0xe0040fd0u +#define CYREG_TPIU_PID5 0xe0040fd4u +#define CYREG_TPIU_PID6 0xe0040fd8u +#define CYREG_TPIU_PID7 0xe0040fdcu +#define CYREG_TPIU_PID0 0xe0040fe0u +#define CYREG_TPIU_PID1 0xe0040fe4u +#define CYREG_TPIU_PID2 0xe0040fe8u +#define CYREG_TPIU_PID3 0xe0040fecu +#define CYREG_TPIU_CID0 0xe0040ff0u +#define CYREG_TPIU_CID1 0xe0040ff4u +#define CYREG_TPIU_CID2 0xe0040ff8u +#define CYREG_TPIU_CID3 0xe0040ffcu +#define CYDEV_ETM_BASE 0xe0041000u +#define CYDEV_ETM_SIZE 0x00001000u +#define CYREG_ETM_CTL 0xe0041000u +#define CYREG_ETM_CFG_CODE 0xe0041004u +#define CYREG_ETM_TRIG_EVENT 0xe0041008u +#define CYREG_ETM_STATUS 0xe0041010u +#define CYREG_ETM_SYS_CFG 0xe0041014u +#define CYREG_ETM_TRACE_ENB_EVENT 0xe0041020u +#define CYREG_ETM_TRACE_EN_CTRL1 0xe0041024u +#define CYREG_ETM_FIFOFULL_LEVEL 0xe004102cu +#define CYREG_ETM_SYNC_FREQ 0xe00411e0u +#define CYREG_ETM_ETM_ID 0xe00411e4u +#define CYREG_ETM_CFG_CODE_EXT 0xe00411e8u +#define CYREG_ETM_TR_SS_EMBICE_CTRL 0xe00411f0u +#define CYREG_ETM_CS_TRACE_ID 0xe0041200u +#define CYREG_ETM_OS_LOCK_ACCESS 0xe0041300u +#define CYREG_ETM_OS_LOCK_STATUS 0xe0041304u +#define CYREG_ETM_PDSR 0xe0041314u +#define CYREG_ETM_ITMISCIN 0xe0041ee0u +#define CYREG_ETM_ITTRIGOUT 0xe0041ee8u +#define CYREG_ETM_ITATBCTR2 0xe0041ef0u +#define CYREG_ETM_ITATBCTR0 0xe0041ef8u +#define CYREG_ETM_INT_MODE_CTRL 0xe0041f00u +#define CYREG_ETM_CLM_TAG_SET 0xe0041fa0u +#define CYREG_ETM_CLM_TAG_CLR 0xe0041fa4u +#define CYREG_ETM_LOCK_ACCESS 0xe0041fb0u +#define CYREG_ETM_LOCK_STATUS 0xe0041fb4u +#define CYREG_ETM_AUTH_STATUS 0xe0041fb8u +#define CYREG_ETM_DEV_TYPE 0xe0041fccu +#define CYREG_ETM_PID4 0xe0041fd0u +#define CYREG_ETM_PID5 0xe0041fd4u +#define CYREG_ETM_PID6 0xe0041fd8u +#define CYREG_ETM_PID7 0xe0041fdcu +#define CYREG_ETM_PID0 0xe0041fe0u +#define CYREG_ETM_PID1 0xe0041fe4u +#define CYREG_ETM_PID2 0xe0041fe8u +#define CYREG_ETM_PID3 0xe0041fecu +#define CYREG_ETM_CID0 0xe0041ff0u +#define CYREG_ETM_CID1 0xe0041ff4u +#define CYREG_ETM_CID2 0xe0041ff8u +#define CYREG_ETM_CID3 0xe0041ffcu +#define CYDEV_ROM_TABLE_BASE 0xe00ff000u +#define CYDEV_ROM_TABLE_SIZE 0x00001000u +#define CYREG_ROM_TABLE_NVIC 0xe00ff000u +#define CYREG_ROM_TABLE_DWT 0xe00ff004u +#define CYREG_ROM_TABLE_FPB 0xe00ff008u +#define CYREG_ROM_TABLE_ITM 0xe00ff00cu +#define CYREG_ROM_TABLE_TPIU 0xe00ff010u +#define CYREG_ROM_TABLE_ETM 0xe00ff014u +#define CYREG_ROM_TABLE_END 0xe00ff018u +#define CYREG_ROM_TABLE_MEMTYPE 0xe00fffccu +#define CYREG_ROM_TABLE_PID4 0xe00fffd0u +#define CYREG_ROM_TABLE_PID5 0xe00fffd4u +#define CYREG_ROM_TABLE_PID6 0xe00fffd8u +#define CYREG_ROM_TABLE_PID7 0xe00fffdcu +#define CYREG_ROM_TABLE_PID0 0xe00fffe0u +#define CYREG_ROM_TABLE_PID1 0xe00fffe4u +#define CYREG_ROM_TABLE_PID2 0xe00fffe8u +#define CYREG_ROM_TABLE_PID3 0xe00fffecu +#define CYREG_ROM_TABLE_CID0 0xe00ffff0u +#define CYREG_ROM_TABLE_CID1 0xe00ffff4u +#define CYREG_ROM_TABLE_CID2 0xe00ffff8u +#define CYREG_ROM_TABLE_CID3 0xe00ffffcu +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000u +#define CYDEV_FLS_ROW_SIZE 0x00000100u +#define CYDEV_ECC_SECTOR_SIZE 0x00002000u +#define CYDEV_ECC_ROW_SIZE 0x00000020u +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u +#define CYDEV_EEPROM_ROW_SIZE 0x00000010u +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004u +#define CYCLK_LD_SYNC_EN 0x00000002u +#define CYCLK_LD_LOAD 0x00000001u +#define CYCLK_PIPE 0x00000080u +#define CYCLK_SSS 0x00000040u +#define CYCLK_EARLY 0x00000020u +#define CYCLK_DUTY 0x00000010u +#define CYCLK_SYNC 0x00000008u +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 +#endif /* CYDEVICE_TRM_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu.inc new file mode 100644 index 0000000..833c2b6 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu.inc @@ -0,0 +1,5357 @@ +/******************************************************************************* +* FILENAME: cydevicegnu.inc +* OBSOLETE: Do not use this file. Use the _trm version instead. +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +.set CYDEV_FLASH_BASE, 0x00000000 +.set CYDEV_FLASH_SIZE, 0x00020000 +.set CYDEV_FLASH_DATA_MBASE, 0x00000000 +.set CYDEV_FLASH_DATA_MSIZE, 0x00020000 +.set CYDEV_SRAM_BASE, 0x1fffc000 +.set CYDEV_SRAM_SIZE, 0x00008000 +.set CYDEV_SRAM_CODE64K_MBASE, 0x1fff8000 +.set CYDEV_SRAM_CODE64K_MSIZE, 0x00004000 +.set CYDEV_SRAM_CODE32K_MBASE, 0x1fffc000 +.set CYDEV_SRAM_CODE32K_MSIZE, 0x00002000 +.set CYDEV_SRAM_CODE16K_MBASE, 0x1fffe000 +.set CYDEV_SRAM_CODE16K_MSIZE, 0x00001000 +.set CYDEV_SRAM_CODE_MBASE, 0x1fffc000 +.set CYDEV_SRAM_CODE_MSIZE, 0x00004000 +.set CYDEV_SRAM_DATA_MBASE, 0x20000000 +.set CYDEV_SRAM_DATA_MSIZE, 0x00004000 +.set CYDEV_SRAM_DATA16K_MBASE, 0x20001000 +.set CYDEV_SRAM_DATA16K_MSIZE, 0x00001000 +.set CYDEV_SRAM_DATA32K_MBASE, 0x20002000 +.set CYDEV_SRAM_DATA32K_MSIZE, 0x00002000 +.set CYDEV_SRAM_DATA64K_MBASE, 0x20004000 +.set CYDEV_SRAM_DATA64K_MSIZE, 0x00004000 +.set CYDEV_DMA_BASE, 0x20008000 +.set CYDEV_DMA_SIZE, 0x00008000 +.set CYDEV_DMA_SRAM64K_MBASE, 0x20008000 +.set CYDEV_DMA_SRAM64K_MSIZE, 0x00004000 +.set CYDEV_DMA_SRAM32K_MBASE, 0x2000c000 +.set CYDEV_DMA_SRAM32K_MSIZE, 0x00002000 +.set CYDEV_DMA_SRAM16K_MBASE, 0x2000e000 +.set CYDEV_DMA_SRAM16K_MSIZE, 0x00001000 +.set CYDEV_DMA_SRAM_MBASE, 0x2000f000 +.set CYDEV_DMA_SRAM_MSIZE, 0x00001000 +.set CYDEV_CLKDIST_BASE, 0x40004000 +.set CYDEV_CLKDIST_SIZE, 0x00000110 +.set CYDEV_CLKDIST_CR, 0x40004000 +.set CYDEV_CLKDIST_LD, 0x40004001 +.set CYDEV_CLKDIST_WRK0, 0x40004002 +.set CYDEV_CLKDIST_WRK1, 0x40004003 +.set CYDEV_CLKDIST_MSTR0, 0x40004004 +.set CYDEV_CLKDIST_MSTR1, 0x40004005 +.set CYDEV_CLKDIST_BCFG0, 0x40004006 +.set CYDEV_CLKDIST_BCFG1, 0x40004007 +.set CYDEV_CLKDIST_BCFG2, 0x40004008 +.set CYDEV_CLKDIST_UCFG, 0x40004009 +.set CYDEV_CLKDIST_DLY0, 0x4000400a +.set CYDEV_CLKDIST_DLY1, 0x4000400b +.set CYDEV_CLKDIST_DMASK, 0x40004010 +.set CYDEV_CLKDIST_AMASK, 0x40004014 +.set CYDEV_CLKDIST_DCFG0_BASE, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG0_CFG0, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_CFG1, 0x40004081 +.set CYDEV_CLKDIST_DCFG0_CFG2, 0x40004082 +.set CYDEV_CLKDIST_DCFG1_BASE, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG1_CFG0, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_CFG1, 0x40004085 +.set CYDEV_CLKDIST_DCFG1_CFG2, 0x40004086 +.set CYDEV_CLKDIST_DCFG2_BASE, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG2_CFG0, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_CFG1, 0x40004089 +.set CYDEV_CLKDIST_DCFG2_CFG2, 0x4000408a +.set CYDEV_CLKDIST_DCFG3_BASE, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG3_CFG0, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_CFG1, 0x4000408d +.set CYDEV_CLKDIST_DCFG3_CFG2, 0x4000408e +.set CYDEV_CLKDIST_DCFG4_BASE, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG4_CFG0, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_CFG1, 0x40004091 +.set CYDEV_CLKDIST_DCFG4_CFG2, 0x40004092 +.set CYDEV_CLKDIST_DCFG5_BASE, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG5_CFG0, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_CFG1, 0x40004095 +.set CYDEV_CLKDIST_DCFG5_CFG2, 0x40004096 +.set CYDEV_CLKDIST_DCFG6_BASE, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG6_CFG0, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_CFG1, 0x40004099 +.set CYDEV_CLKDIST_DCFG6_CFG2, 0x4000409a +.set CYDEV_CLKDIST_DCFG7_BASE, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG7_CFG0, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_CFG1, 0x4000409d +.set CYDEV_CLKDIST_DCFG7_CFG2, 0x4000409e +.set CYDEV_CLKDIST_ACFG0_BASE, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG0_CFG0, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_CFG1, 0x40004101 +.set CYDEV_CLKDIST_ACFG0_CFG2, 0x40004102 +.set CYDEV_CLKDIST_ACFG0_CFG3, 0x40004103 +.set CYDEV_CLKDIST_ACFG1_BASE, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG1_CFG0, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_CFG1, 0x40004105 +.set CYDEV_CLKDIST_ACFG1_CFG2, 0x40004106 +.set CYDEV_CLKDIST_ACFG1_CFG3, 0x40004107 +.set CYDEV_CLKDIST_ACFG2_BASE, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG2_CFG0, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_CFG1, 0x40004109 +.set CYDEV_CLKDIST_ACFG2_CFG2, 0x4000410a +.set CYDEV_CLKDIST_ACFG2_CFG3, 0x4000410b +.set CYDEV_CLKDIST_ACFG3_BASE, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG3_CFG0, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_CFG1, 0x4000410d +.set CYDEV_CLKDIST_ACFG3_CFG2, 0x4000410e +.set CYDEV_CLKDIST_ACFG3_CFG3, 0x4000410f +.set CYDEV_FASTCLK_BASE, 0x40004200 +.set CYDEV_FASTCLK_SIZE, 0x00000026 +.set CYDEV_FASTCLK_IMO_BASE, 0x40004200 +.set CYDEV_FASTCLK_IMO_SIZE, 0x00000001 +.set CYDEV_FASTCLK_IMO_CR, 0x40004200 +.set CYDEV_FASTCLK_XMHZ_BASE, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_SIZE, 0x00000004 +.set CYDEV_FASTCLK_XMHZ_CSR, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_CFG0, 0x40004212 +.set CYDEV_FASTCLK_XMHZ_CFG1, 0x40004213 +.set CYDEV_FASTCLK_PLL_BASE, 0x40004220 +.set CYDEV_FASTCLK_PLL_SIZE, 0x00000006 +.set CYDEV_FASTCLK_PLL_CFG0, 0x40004220 +.set CYDEV_FASTCLK_PLL_CFG1, 0x40004221 +.set CYDEV_FASTCLK_PLL_P, 0x40004222 +.set CYDEV_FASTCLK_PLL_Q, 0x40004223 +.set CYDEV_FASTCLK_PLL_SR, 0x40004225 +.set CYDEV_SLOWCLK_BASE, 0x40004300 +.set CYDEV_SLOWCLK_SIZE, 0x0000000b +.set CYDEV_SLOWCLK_ILO_BASE, 0x40004300 +.set CYDEV_SLOWCLK_ILO_SIZE, 0x00000002 +.set CYDEV_SLOWCLK_ILO_CR0, 0x40004300 +.set CYDEV_SLOWCLK_ILO_CR1, 0x40004301 +.set CYDEV_SLOWCLK_X32_BASE, 0x40004308 +.set CYDEV_SLOWCLK_X32_SIZE, 0x00000003 +.set CYDEV_SLOWCLK_X32_CR, 0x40004308 +.set CYDEV_SLOWCLK_X32_CFG, 0x40004309 +.set CYDEV_SLOWCLK_X32_TST, 0x4000430a +.set CYDEV_BOOST_BASE, 0x40004320 +.set CYDEV_BOOST_SIZE, 0x00000007 +.set CYDEV_BOOST_CR0, 0x40004320 +.set CYDEV_BOOST_CR1, 0x40004321 +.set CYDEV_BOOST_CR2, 0x40004322 +.set CYDEV_BOOST_CR3, 0x40004323 +.set CYDEV_BOOST_SR, 0x40004324 +.set CYDEV_BOOST_CR4, 0x40004325 +.set CYDEV_BOOST_SR2, 0x40004326 +.set CYDEV_PWRSYS_BASE, 0x40004330 +.set CYDEV_PWRSYS_SIZE, 0x00000002 +.set CYDEV_PWRSYS_CR0, 0x40004330 +.set CYDEV_PWRSYS_CR1, 0x40004331 +.set CYDEV_PM_BASE, 0x40004380 +.set CYDEV_PM_SIZE, 0x00000057 +.set CYDEV_PM_TW_CFG0, 0x40004380 +.set CYDEV_PM_TW_CFG1, 0x40004381 +.set CYDEV_PM_TW_CFG2, 0x40004382 +.set CYDEV_PM_WDT_CFG, 0x40004383 +.set CYDEV_PM_WDT_CR, 0x40004384 +.set CYDEV_PM_INT_SR, 0x40004390 +.set CYDEV_PM_MODE_CFG0, 0x40004391 +.set CYDEV_PM_MODE_CFG1, 0x40004392 +.set CYDEV_PM_MODE_CSR, 0x40004393 +.set CYDEV_PM_USB_CR0, 0x40004394 +.set CYDEV_PM_WAKEUP_CFG0, 0x40004398 +.set CYDEV_PM_WAKEUP_CFG1, 0x40004399 +.set CYDEV_PM_WAKEUP_CFG2, 0x4000439a +.set CYDEV_PM_ACT_BASE, 0x400043a0 +.set CYDEV_PM_ACT_SIZE, 0x0000000e +.set CYDEV_PM_ACT_CFG0, 0x400043a0 +.set CYDEV_PM_ACT_CFG1, 0x400043a1 +.set CYDEV_PM_ACT_CFG2, 0x400043a2 +.set CYDEV_PM_ACT_CFG3, 0x400043a3 +.set CYDEV_PM_ACT_CFG4, 0x400043a4 +.set CYDEV_PM_ACT_CFG5, 0x400043a5 +.set CYDEV_PM_ACT_CFG6, 0x400043a6 +.set CYDEV_PM_ACT_CFG7, 0x400043a7 +.set CYDEV_PM_ACT_CFG8, 0x400043a8 +.set CYDEV_PM_ACT_CFG9, 0x400043a9 +.set CYDEV_PM_ACT_CFG10, 0x400043aa +.set CYDEV_PM_ACT_CFG11, 0x400043ab +.set CYDEV_PM_ACT_CFG12, 0x400043ac +.set CYDEV_PM_ACT_CFG13, 0x400043ad +.set CYDEV_PM_STBY_BASE, 0x400043b0 +.set CYDEV_PM_STBY_SIZE, 0x0000000e +.set CYDEV_PM_STBY_CFG0, 0x400043b0 +.set CYDEV_PM_STBY_CFG1, 0x400043b1 +.set CYDEV_PM_STBY_CFG2, 0x400043b2 +.set CYDEV_PM_STBY_CFG3, 0x400043b3 +.set CYDEV_PM_STBY_CFG4, 0x400043b4 +.set CYDEV_PM_STBY_CFG5, 0x400043b5 +.set CYDEV_PM_STBY_CFG6, 0x400043b6 +.set CYDEV_PM_STBY_CFG7, 0x400043b7 +.set CYDEV_PM_STBY_CFG8, 0x400043b8 +.set CYDEV_PM_STBY_CFG9, 0x400043b9 +.set CYDEV_PM_STBY_CFG10, 0x400043ba +.set CYDEV_PM_STBY_CFG11, 0x400043bb +.set CYDEV_PM_STBY_CFG12, 0x400043bc +.set CYDEV_PM_STBY_CFG13, 0x400043bd +.set CYDEV_PM_AVAIL_BASE, 0x400043c0 +.set CYDEV_PM_AVAIL_SIZE, 0x00000017 +.set CYDEV_PM_AVAIL_CR0, 0x400043c0 +.set CYDEV_PM_AVAIL_CR1, 0x400043c1 +.set CYDEV_PM_AVAIL_CR2, 0x400043c2 +.set CYDEV_PM_AVAIL_CR3, 0x400043c3 +.set CYDEV_PM_AVAIL_CR4, 0x400043c4 +.set CYDEV_PM_AVAIL_CR5, 0x400043c5 +.set CYDEV_PM_AVAIL_CR6, 0x400043c6 +.set CYDEV_PM_AVAIL_SR0, 0x400043d0 +.set CYDEV_PM_AVAIL_SR1, 0x400043d1 +.set CYDEV_PM_AVAIL_SR2, 0x400043d2 +.set CYDEV_PM_AVAIL_SR3, 0x400043d3 +.set CYDEV_PM_AVAIL_SR4, 0x400043d4 +.set CYDEV_PM_AVAIL_SR5, 0x400043d5 +.set CYDEV_PM_AVAIL_SR6, 0x400043d6 +.set CYDEV_PICU_BASE, 0x40004500 +.set CYDEV_PICU_SIZE, 0x000000b0 +.set CYDEV_PICU_INTTYPE_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_SIZE, 0x00000080 +.set CYDEV_PICU_INTTYPE_PICU0_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE0, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE1, 0x40004501 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE2, 0x40004502 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE3, 0x40004503 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE4, 0x40004504 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE5, 0x40004505 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE6, 0x40004506 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE7, 0x40004507 +.set CYDEV_PICU_INTTYPE_PICU1_BASE, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE0, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE1, 0x40004509 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE2, 0x4000450a +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE3, 0x4000450b +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE4, 0x4000450c +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE5, 0x4000450d +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE6, 0x4000450e +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE7, 0x4000450f +.set CYDEV_PICU_INTTYPE_PICU2_BASE, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE0, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE1, 0x40004511 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE2, 0x40004512 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE3, 0x40004513 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE4, 0x40004514 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE5, 0x40004515 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE6, 0x40004516 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE7, 0x40004517 +.set CYDEV_PICU_INTTYPE_PICU3_BASE, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE0, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE1, 0x40004519 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE2, 0x4000451a +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE3, 0x4000451b +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE4, 0x4000451c +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE5, 0x4000451d +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE6, 0x4000451e +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE7, 0x4000451f +.set CYDEV_PICU_INTTYPE_PICU4_BASE, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE0, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE1, 0x40004521 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE2, 0x40004522 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE3, 0x40004523 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE4, 0x40004524 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE5, 0x40004525 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE6, 0x40004526 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE7, 0x40004527 +.set CYDEV_PICU_INTTYPE_PICU5_BASE, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE0, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE1, 0x40004529 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE2, 0x4000452a +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE3, 0x4000452b +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE4, 0x4000452c +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE5, 0x4000452d +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE6, 0x4000452e +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE7, 0x4000452f +.set CYDEV_PICU_INTTYPE_PICU6_BASE, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE0, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE1, 0x40004531 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE2, 0x40004532 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE3, 0x40004533 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE4, 0x40004534 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE5, 0x40004535 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE6, 0x40004536 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE7, 0x40004537 +.set CYDEV_PICU_INTTYPE_PICU12_BASE, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE0, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE1, 0x40004561 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE2, 0x40004562 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE3, 0x40004563 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE4, 0x40004564 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE5, 0x40004565 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE6, 0x40004566 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE7, 0x40004567 +.set CYDEV_PICU_INTTYPE_PICU15_BASE, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE0, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE1, 0x40004579 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE2, 0x4000457a +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE3, 0x4000457b +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE4, 0x4000457c +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE5, 0x4000457d +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE6, 0x4000457e +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE7, 0x4000457f +.set CYDEV_PICU_STAT_BASE, 0x40004580 +.set CYDEV_PICU_STAT_SIZE, 0x00000010 +.set CYDEV_PICU_STAT_PICU0_BASE, 0x40004580 +.set CYDEV_PICU_STAT_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU0_INTSTAT, 0x40004580 +.set CYDEV_PICU_STAT_PICU1_BASE, 0x40004581 +.set CYDEV_PICU_STAT_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU1_INTSTAT, 0x40004581 +.set CYDEV_PICU_STAT_PICU2_BASE, 0x40004582 +.set CYDEV_PICU_STAT_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU2_INTSTAT, 0x40004582 +.set CYDEV_PICU_STAT_PICU3_BASE, 0x40004583 +.set CYDEV_PICU_STAT_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU3_INTSTAT, 0x40004583 +.set CYDEV_PICU_STAT_PICU4_BASE, 0x40004584 +.set CYDEV_PICU_STAT_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU4_INTSTAT, 0x40004584 +.set CYDEV_PICU_STAT_PICU5_BASE, 0x40004585 +.set CYDEV_PICU_STAT_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU5_INTSTAT, 0x40004585 +.set CYDEV_PICU_STAT_PICU6_BASE, 0x40004586 +.set CYDEV_PICU_STAT_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU6_INTSTAT, 0x40004586 +.set CYDEV_PICU_STAT_PICU12_BASE, 0x4000458c +.set CYDEV_PICU_STAT_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU12_INTSTAT, 0x4000458c +.set CYDEV_PICU_STAT_PICU15_BASE, 0x4000458f +.set CYDEV_PICU_STAT_PICU15_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU15_INTSTAT, 0x4000458f +.set CYDEV_PICU_SNAP_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_SIZE, 0x00000010 +.set CYDEV_PICU_SNAP_PICU0_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU0_SNAP, 0x40004590 +.set CYDEV_PICU_SNAP_PICU1_BASE, 0x40004591 +.set CYDEV_PICU_SNAP_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU1_SNAP, 0x40004591 +.set CYDEV_PICU_SNAP_PICU2_BASE, 0x40004592 +.set CYDEV_PICU_SNAP_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU2_SNAP, 0x40004592 +.set CYDEV_PICU_SNAP_PICU3_BASE, 0x40004593 +.set CYDEV_PICU_SNAP_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU3_SNAP, 0x40004593 +.set CYDEV_PICU_SNAP_PICU4_BASE, 0x40004594 +.set CYDEV_PICU_SNAP_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU4_SNAP, 0x40004594 +.set CYDEV_PICU_SNAP_PICU5_BASE, 0x40004595 +.set CYDEV_PICU_SNAP_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU5_SNAP, 0x40004595 +.set CYDEV_PICU_SNAP_PICU6_BASE, 0x40004596 +.set CYDEV_PICU_SNAP_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU6_SNAP, 0x40004596 +.set CYDEV_PICU_SNAP_PICU12_BASE, 0x4000459c +.set CYDEV_PICU_SNAP_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU12_SNAP, 0x4000459c +.set CYDEV_PICU_SNAP_PICU_15_BASE, 0x4000459f +.set CYDEV_PICU_SNAP_PICU_15_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU_15_SNAP_15, 0x4000459f +.set CYDEV_PICU_DISABLE_COR_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_SIZE, 0x00000010 +.set CYDEV_PICU_DISABLE_COR_PICU0_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU1_BASE, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU2_BASE, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU3_BASE, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU4_BASE, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU5_BASE, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU6_BASE, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU12_BASE, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU15_BASE, 0x400045af +.set CYDEV_PICU_DISABLE_COR_PICU15_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR, 0x400045af +.set CYDEV_MFGCFG_BASE, 0x40004600 +.set CYDEV_MFGCFG_SIZE, 0x000000ed +.set CYDEV_MFGCFG_ANAIF_BASE, 0x40004600 +.set CYDEV_MFGCFG_ANAIF_SIZE, 0x00000038 +.set CYDEV_MFGCFG_ANAIF_DAC0_BASE, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC0_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC0_TR, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC1_BASE, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC1_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC1_TR, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC2_BASE, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC2_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC2_TR, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC3_BASE, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_DAC3_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC3_TR, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_SAR0_BASE, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR0_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_SAR0_TR0, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR1_BASE, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_SAR1_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_SAR1_TR0, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_BASE, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_TR0, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_TR1, 0x40004621 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_BASE, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_TR0, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_TR1, 0x40004623 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_BASE, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_TR0, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_TR1, 0x40004625 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_BASE, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_TR0, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_TR1, 0x40004627 +.set CYDEV_MFGCFG_ANAIF_CMP0_BASE, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP0_TR0, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_TR1, 0x40004631 +.set CYDEV_MFGCFG_ANAIF_CMP1_BASE, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP1_TR0, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_TR1, 0x40004633 +.set CYDEV_MFGCFG_ANAIF_CMP2_BASE, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP2_TR0, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_TR1, 0x40004635 +.set CYDEV_MFGCFG_ANAIF_CMP3_BASE, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP3_TR0, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_TR1, 0x40004637 +.set CYDEV_MFGCFG_PWRSYS_BASE, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_SIZE, 0x0000000b +.set CYDEV_MFGCFG_PWRSYS_HIB_TR0, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_HIB_TR1, 0x40004681 +.set CYDEV_MFGCFG_PWRSYS_I2C_TR, 0x40004682 +.set CYDEV_MFGCFG_PWRSYS_SLP_TR, 0x40004683 +.set CYDEV_MFGCFG_PWRSYS_BUZZ_TR, 0x40004684 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR0, 0x40004685 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR1, 0x40004686 +.set CYDEV_MFGCFG_PWRSYS_BREF_TR, 0x40004687 +.set CYDEV_MFGCFG_PWRSYS_BG_TR, 0x40004688 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR2, 0x40004689 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR3, 0x4000468a +.set CYDEV_MFGCFG_ILO_BASE, 0x40004690 +.set CYDEV_MFGCFG_ILO_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ILO_TR0, 0x40004690 +.set CYDEV_MFGCFG_ILO_TR1, 0x40004691 +.set CYDEV_MFGCFG_X32_BASE, 0x40004698 +.set CYDEV_MFGCFG_X32_SIZE, 0x00000001 +.set CYDEV_MFGCFG_X32_TR, 0x40004698 +.set CYDEV_MFGCFG_IMO_BASE, 0x400046a0 +.set CYDEV_MFGCFG_IMO_SIZE, 0x00000005 +.set CYDEV_MFGCFG_IMO_TR0, 0x400046a0 +.set CYDEV_MFGCFG_IMO_TR1, 0x400046a1 +.set CYDEV_MFGCFG_IMO_GAIN, 0x400046a2 +.set CYDEV_MFGCFG_IMO_C36M, 0x400046a3 +.set CYDEV_MFGCFG_IMO_TR2, 0x400046a4 +.set CYDEV_MFGCFG_XMHZ_BASE, 0x400046a8 +.set CYDEV_MFGCFG_XMHZ_SIZE, 0x00000001 +.set CYDEV_MFGCFG_XMHZ_TR, 0x400046a8 +.set CYDEV_MFGCFG_DLY, 0x400046c0 +.set CYDEV_MFGCFG_MLOGIC_BASE, 0x400046e0 +.set CYDEV_MFGCFG_MLOGIC_SIZE, 0x0000000d +.set CYDEV_MFGCFG_MLOGIC_DMPSTR, 0x400046e2 +.set CYDEV_MFGCFG_MLOGIC_SEG_BASE, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_SIZE, 0x00000002 +.set CYDEV_MFGCFG_MLOGIC_SEG_CR, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_CFG0, 0x400046e5 +.set CYDEV_MFGCFG_MLOGIC_DEBUG, 0x400046e8 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE, 0x00000001 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_REV_ID, 0x400046ec +.set CYDEV_RESET_BASE, 0x400046f0 +.set CYDEV_RESET_SIZE, 0x0000000f +.set CYDEV_RESET_IPOR_CR0, 0x400046f0 +.set CYDEV_RESET_IPOR_CR1, 0x400046f1 +.set CYDEV_RESET_IPOR_CR2, 0x400046f2 +.set CYDEV_RESET_IPOR_CR3, 0x400046f3 +.set CYDEV_RESET_CR0, 0x400046f4 +.set CYDEV_RESET_CR1, 0x400046f5 +.set CYDEV_RESET_CR2, 0x400046f6 +.set CYDEV_RESET_CR3, 0x400046f7 +.set CYDEV_RESET_CR4, 0x400046f8 +.set CYDEV_RESET_CR5, 0x400046f9 +.set CYDEV_RESET_SR0, 0x400046fa +.set CYDEV_RESET_SR1, 0x400046fb +.set CYDEV_RESET_SR2, 0x400046fc +.set CYDEV_RESET_SR3, 0x400046fd +.set CYDEV_RESET_TR, 0x400046fe +.set CYDEV_SPC_BASE, 0x40004700 +.set CYDEV_SPC_SIZE, 0x00000100 +.set CYDEV_SPC_FM_EE_CR, 0x40004700 +.set CYDEV_SPC_FM_EE_WAKE_CNT, 0x40004701 +.set CYDEV_SPC_EE_SCR, 0x40004702 +.set CYDEV_SPC_EE_ERR, 0x40004703 +.set CYDEV_SPC_CPU_DATA, 0x40004720 +.set CYDEV_SPC_DMA_DATA, 0x40004721 +.set CYDEV_SPC_SR, 0x40004722 +.set CYDEV_SPC_CR, 0x40004723 +.set CYDEV_SPC_DMM_MAP_BASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SIZE, 0x00000080 +.set CYDEV_SPC_DMM_MAP_SRAM_MBASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SRAM_MSIZE, 0x00000080 +.set CYDEV_CACHE_BASE, 0x40004800 +.set CYDEV_CACHE_SIZE, 0x0000009c +.set CYDEV_CACHE_CC_CTL, 0x40004800 +.set CYDEV_CACHE_ECC_CORR, 0x40004880 +.set CYDEV_CACHE_ECC_ERR, 0x40004888 +.set CYDEV_CACHE_FLASH_ERR, 0x40004890 +.set CYDEV_CACHE_HITMISS, 0x40004898 +.set CYDEV_I2C_BASE, 0x40004900 +.set CYDEV_I2C_SIZE, 0x000000e1 +.set CYDEV_I2C_XCFG, 0x400049c8 +.set CYDEV_I2C_ADR, 0x400049ca +.set CYDEV_I2C_CFG, 0x400049d6 +.set CYDEV_I2C_CSR, 0x400049d7 +.set CYDEV_I2C_D, 0x400049d8 +.set CYDEV_I2C_MCSR, 0x400049d9 +.set CYDEV_I2C_CLK_DIV1, 0x400049db +.set CYDEV_I2C_CLK_DIV2, 0x400049dc +.set CYDEV_I2C_TMOUT_CSR, 0x400049dd +.set CYDEV_I2C_TMOUT_SR, 0x400049de +.set CYDEV_I2C_TMOUT_CFG0, 0x400049df +.set CYDEV_I2C_TMOUT_CFG1, 0x400049e0 +.set CYDEV_DEC_BASE, 0x40004e00 +.set CYDEV_DEC_SIZE, 0x00000015 +.set CYDEV_DEC_CR, 0x40004e00 +.set CYDEV_DEC_SR, 0x40004e01 +.set CYDEV_DEC_SHIFT1, 0x40004e02 +.set CYDEV_DEC_SHIFT2, 0x40004e03 +.set CYDEV_DEC_DR2, 0x40004e04 +.set CYDEV_DEC_DR2H, 0x40004e05 +.set CYDEV_DEC_DR1, 0x40004e06 +.set CYDEV_DEC_OCOR, 0x40004e08 +.set CYDEV_DEC_OCORM, 0x40004e09 +.set CYDEV_DEC_OCORH, 0x40004e0a +.set CYDEV_DEC_GCOR, 0x40004e0c +.set CYDEV_DEC_GCORH, 0x40004e0d +.set CYDEV_DEC_GVAL, 0x40004e0e +.set CYDEV_DEC_OUTSAMP, 0x40004e10 +.set CYDEV_DEC_OUTSAMPM, 0x40004e11 +.set CYDEV_DEC_OUTSAMPH, 0x40004e12 +.set CYDEV_DEC_OUTSAMPS, 0x40004e13 +.set CYDEV_DEC_COHER, 0x40004e14 +.set CYDEV_TMR0_BASE, 0x40004f00 +.set CYDEV_TMR0_SIZE, 0x0000000c +.set CYDEV_TMR0_CFG0, 0x40004f00 +.set CYDEV_TMR0_CFG1, 0x40004f01 +.set CYDEV_TMR0_CFG2, 0x40004f02 +.set CYDEV_TMR0_SR0, 0x40004f03 +.set CYDEV_TMR0_PER0, 0x40004f04 +.set CYDEV_TMR0_PER1, 0x40004f05 +.set CYDEV_TMR0_CNT_CMP0, 0x40004f06 +.set CYDEV_TMR0_CNT_CMP1, 0x40004f07 +.set CYDEV_TMR0_CAP0, 0x40004f08 +.set CYDEV_TMR0_CAP1, 0x40004f09 +.set CYDEV_TMR0_RT0, 0x40004f0a +.set CYDEV_TMR0_RT1, 0x40004f0b +.set CYDEV_TMR1_BASE, 0x40004f0c +.set CYDEV_TMR1_SIZE, 0x0000000c +.set CYDEV_TMR1_CFG0, 0x40004f0c +.set CYDEV_TMR1_CFG1, 0x40004f0d +.set CYDEV_TMR1_CFG2, 0x40004f0e +.set CYDEV_TMR1_SR0, 0x40004f0f +.set CYDEV_TMR1_PER0, 0x40004f10 +.set CYDEV_TMR1_PER1, 0x40004f11 +.set CYDEV_TMR1_CNT_CMP0, 0x40004f12 +.set CYDEV_TMR1_CNT_CMP1, 0x40004f13 +.set CYDEV_TMR1_CAP0, 0x40004f14 +.set CYDEV_TMR1_CAP1, 0x40004f15 +.set CYDEV_TMR1_RT0, 0x40004f16 +.set CYDEV_TMR1_RT1, 0x40004f17 +.set CYDEV_TMR2_BASE, 0x40004f18 +.set CYDEV_TMR2_SIZE, 0x0000000c +.set CYDEV_TMR2_CFG0, 0x40004f18 +.set CYDEV_TMR2_CFG1, 0x40004f19 +.set CYDEV_TMR2_CFG2, 0x40004f1a +.set CYDEV_TMR2_SR0, 0x40004f1b +.set CYDEV_TMR2_PER0, 0x40004f1c +.set CYDEV_TMR2_PER1, 0x40004f1d +.set CYDEV_TMR2_CNT_CMP0, 0x40004f1e +.set CYDEV_TMR2_CNT_CMP1, 0x40004f1f +.set CYDEV_TMR2_CAP0, 0x40004f20 +.set CYDEV_TMR2_CAP1, 0x40004f21 +.set CYDEV_TMR2_RT0, 0x40004f22 +.set CYDEV_TMR2_RT1, 0x40004f23 +.set CYDEV_TMR3_BASE, 0x40004f24 +.set CYDEV_TMR3_SIZE, 0x0000000c +.set CYDEV_TMR3_CFG0, 0x40004f24 +.set CYDEV_TMR3_CFG1, 0x40004f25 +.set CYDEV_TMR3_CFG2, 0x40004f26 +.set CYDEV_TMR3_SR0, 0x40004f27 +.set CYDEV_TMR3_PER0, 0x40004f28 +.set CYDEV_TMR3_PER1, 0x40004f29 +.set CYDEV_TMR3_CNT_CMP0, 0x40004f2a +.set CYDEV_TMR3_CNT_CMP1, 0x40004f2b +.set CYDEV_TMR3_CAP0, 0x40004f2c +.set CYDEV_TMR3_CAP1, 0x40004f2d +.set CYDEV_TMR3_RT0, 0x40004f2e +.set CYDEV_TMR3_RT1, 0x40004f2f +.set CYDEV_IO_BASE, 0x40005000 +.set CYDEV_IO_SIZE, 0x00000200 +.set CYDEV_IO_PC_BASE, 0x40005000 +.set CYDEV_IO_PC_SIZE, 0x00000080 +.set CYDEV_IO_PC_PRT0_BASE, 0x40005000 +.set CYDEV_IO_PC_PRT0_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT0_PC0, 0x40005000 +.set CYDEV_IO_PC_PRT0_PC1, 0x40005001 +.set CYDEV_IO_PC_PRT0_PC2, 0x40005002 +.set CYDEV_IO_PC_PRT0_PC3, 0x40005003 +.set CYDEV_IO_PC_PRT0_PC4, 0x40005004 +.set CYDEV_IO_PC_PRT0_PC5, 0x40005005 +.set CYDEV_IO_PC_PRT0_PC6, 0x40005006 +.set CYDEV_IO_PC_PRT0_PC7, 0x40005007 +.set CYDEV_IO_PC_PRT1_BASE, 0x40005008 +.set CYDEV_IO_PC_PRT1_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT1_PC0, 0x40005008 +.set CYDEV_IO_PC_PRT1_PC1, 0x40005009 +.set CYDEV_IO_PC_PRT1_PC2, 0x4000500a +.set CYDEV_IO_PC_PRT1_PC3, 0x4000500b +.set CYDEV_IO_PC_PRT1_PC4, 0x4000500c +.set CYDEV_IO_PC_PRT1_PC5, 0x4000500d +.set CYDEV_IO_PC_PRT1_PC6, 0x4000500e +.set CYDEV_IO_PC_PRT1_PC7, 0x4000500f +.set CYDEV_IO_PC_PRT2_BASE, 0x40005010 +.set CYDEV_IO_PC_PRT2_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT2_PC0, 0x40005010 +.set CYDEV_IO_PC_PRT2_PC1, 0x40005011 +.set CYDEV_IO_PC_PRT2_PC2, 0x40005012 +.set CYDEV_IO_PC_PRT2_PC3, 0x40005013 +.set CYDEV_IO_PC_PRT2_PC4, 0x40005014 +.set CYDEV_IO_PC_PRT2_PC5, 0x40005015 +.set CYDEV_IO_PC_PRT2_PC6, 0x40005016 +.set CYDEV_IO_PC_PRT2_PC7, 0x40005017 +.set CYDEV_IO_PC_PRT3_BASE, 0x40005018 +.set CYDEV_IO_PC_PRT3_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT3_PC0, 0x40005018 +.set CYDEV_IO_PC_PRT3_PC1, 0x40005019 +.set CYDEV_IO_PC_PRT3_PC2, 0x4000501a +.set CYDEV_IO_PC_PRT3_PC3, 0x4000501b +.set CYDEV_IO_PC_PRT3_PC4, 0x4000501c +.set CYDEV_IO_PC_PRT3_PC5, 0x4000501d +.set CYDEV_IO_PC_PRT3_PC6, 0x4000501e +.set CYDEV_IO_PC_PRT3_PC7, 0x4000501f +.set CYDEV_IO_PC_PRT4_BASE, 0x40005020 +.set CYDEV_IO_PC_PRT4_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT4_PC0, 0x40005020 +.set CYDEV_IO_PC_PRT4_PC1, 0x40005021 +.set CYDEV_IO_PC_PRT4_PC2, 0x40005022 +.set CYDEV_IO_PC_PRT4_PC3, 0x40005023 +.set CYDEV_IO_PC_PRT4_PC4, 0x40005024 +.set CYDEV_IO_PC_PRT4_PC5, 0x40005025 +.set CYDEV_IO_PC_PRT4_PC6, 0x40005026 +.set CYDEV_IO_PC_PRT4_PC7, 0x40005027 +.set CYDEV_IO_PC_PRT5_BASE, 0x40005028 +.set CYDEV_IO_PC_PRT5_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT5_PC0, 0x40005028 +.set CYDEV_IO_PC_PRT5_PC1, 0x40005029 +.set CYDEV_IO_PC_PRT5_PC2, 0x4000502a +.set CYDEV_IO_PC_PRT5_PC3, 0x4000502b +.set CYDEV_IO_PC_PRT5_PC4, 0x4000502c +.set CYDEV_IO_PC_PRT5_PC5, 0x4000502d +.set CYDEV_IO_PC_PRT5_PC6, 0x4000502e +.set CYDEV_IO_PC_PRT5_PC7, 0x4000502f +.set CYDEV_IO_PC_PRT6_BASE, 0x40005030 +.set CYDEV_IO_PC_PRT6_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT6_PC0, 0x40005030 +.set CYDEV_IO_PC_PRT6_PC1, 0x40005031 +.set CYDEV_IO_PC_PRT6_PC2, 0x40005032 +.set CYDEV_IO_PC_PRT6_PC3, 0x40005033 +.set CYDEV_IO_PC_PRT6_PC4, 0x40005034 +.set CYDEV_IO_PC_PRT6_PC5, 0x40005035 +.set CYDEV_IO_PC_PRT6_PC6, 0x40005036 +.set CYDEV_IO_PC_PRT6_PC7, 0x40005037 +.set CYDEV_IO_PC_PRT12_BASE, 0x40005060 +.set CYDEV_IO_PC_PRT12_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT12_PC0, 0x40005060 +.set CYDEV_IO_PC_PRT12_PC1, 0x40005061 +.set CYDEV_IO_PC_PRT12_PC2, 0x40005062 +.set CYDEV_IO_PC_PRT12_PC3, 0x40005063 +.set CYDEV_IO_PC_PRT12_PC4, 0x40005064 +.set CYDEV_IO_PC_PRT12_PC5, 0x40005065 +.set CYDEV_IO_PC_PRT12_PC6, 0x40005066 +.set CYDEV_IO_PC_PRT12_PC7, 0x40005067 +.set CYDEV_IO_PC_PRT15_BASE, 0x40005078 +.set CYDEV_IO_PC_PRT15_SIZE, 0x00000006 +.set CYDEV_IO_PC_PRT15_PC0, 0x40005078 +.set CYDEV_IO_PC_PRT15_PC1, 0x40005079 +.set CYDEV_IO_PC_PRT15_PC2, 0x4000507a +.set CYDEV_IO_PC_PRT15_PC3, 0x4000507b +.set CYDEV_IO_PC_PRT15_PC4, 0x4000507c +.set CYDEV_IO_PC_PRT15_PC5, 0x4000507d +.set CYDEV_IO_PC_PRT15_7_6_BASE, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_SIZE, 0x00000002 +.set CYDEV_IO_PC_PRT15_7_6_PC0, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_PC1, 0x4000507f +.set CYDEV_IO_DR_BASE, 0x40005080 +.set CYDEV_IO_DR_SIZE, 0x00000010 +.set CYDEV_IO_DR_PRT0_BASE, 0x40005080 +.set CYDEV_IO_DR_PRT0_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT0_DR_ALIAS, 0x40005080 +.set CYDEV_IO_DR_PRT1_BASE, 0x40005081 +.set CYDEV_IO_DR_PRT1_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT1_DR_ALIAS, 0x40005081 +.set CYDEV_IO_DR_PRT2_BASE, 0x40005082 +.set CYDEV_IO_DR_PRT2_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT2_DR_ALIAS, 0x40005082 +.set CYDEV_IO_DR_PRT3_BASE, 0x40005083 +.set CYDEV_IO_DR_PRT3_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT3_DR_ALIAS, 0x40005083 +.set CYDEV_IO_DR_PRT4_BASE, 0x40005084 +.set CYDEV_IO_DR_PRT4_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT4_DR_ALIAS, 0x40005084 +.set CYDEV_IO_DR_PRT5_BASE, 0x40005085 +.set CYDEV_IO_DR_PRT5_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT5_DR_ALIAS, 0x40005085 +.set CYDEV_IO_DR_PRT6_BASE, 0x40005086 +.set CYDEV_IO_DR_PRT6_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT6_DR_ALIAS, 0x40005086 +.set CYDEV_IO_DR_PRT12_BASE, 0x4000508c +.set CYDEV_IO_DR_PRT12_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT12_DR_ALIAS, 0x4000508c +.set CYDEV_IO_DR_PRT15_BASE, 0x4000508f +.set CYDEV_IO_DR_PRT15_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT15_DR_15_ALIAS, 0x4000508f +.set CYDEV_IO_PS_BASE, 0x40005090 +.set CYDEV_IO_PS_SIZE, 0x00000010 +.set CYDEV_IO_PS_PRT0_BASE, 0x40005090 +.set CYDEV_IO_PS_PRT0_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT0_PS_ALIAS, 0x40005090 +.set CYDEV_IO_PS_PRT1_BASE, 0x40005091 +.set CYDEV_IO_PS_PRT1_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT1_PS_ALIAS, 0x40005091 +.set CYDEV_IO_PS_PRT2_BASE, 0x40005092 +.set CYDEV_IO_PS_PRT2_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT2_PS_ALIAS, 0x40005092 +.set CYDEV_IO_PS_PRT3_BASE, 0x40005093 +.set CYDEV_IO_PS_PRT3_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT3_PS_ALIAS, 0x40005093 +.set CYDEV_IO_PS_PRT4_BASE, 0x40005094 +.set CYDEV_IO_PS_PRT4_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT4_PS_ALIAS, 0x40005094 +.set CYDEV_IO_PS_PRT5_BASE, 0x40005095 +.set CYDEV_IO_PS_PRT5_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT5_PS_ALIAS, 0x40005095 +.set CYDEV_IO_PS_PRT6_BASE, 0x40005096 +.set CYDEV_IO_PS_PRT6_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT6_PS_ALIAS, 0x40005096 +.set CYDEV_IO_PS_PRT12_BASE, 0x4000509c +.set CYDEV_IO_PS_PRT12_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT12_PS_ALIAS, 0x4000509c +.set CYDEV_IO_PS_PRT15_BASE, 0x4000509f +.set CYDEV_IO_PS_PRT15_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT15_PS15_ALIAS, 0x4000509f +.set CYDEV_IO_PRT_BASE, 0x40005100 +.set CYDEV_IO_PRT_SIZE, 0x00000100 +.set CYDEV_IO_PRT_PRT0_BASE, 0x40005100 +.set CYDEV_IO_PRT_PRT0_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT0_DR, 0x40005100 +.set CYDEV_IO_PRT_PRT0_PS, 0x40005101 +.set CYDEV_IO_PRT_PRT0_DM0, 0x40005102 +.set CYDEV_IO_PRT_PRT0_DM1, 0x40005103 +.set CYDEV_IO_PRT_PRT0_DM2, 0x40005104 +.set CYDEV_IO_PRT_PRT0_SLW, 0x40005105 +.set CYDEV_IO_PRT_PRT0_BYP, 0x40005106 +.set CYDEV_IO_PRT_PRT0_BIE, 0x40005107 +.set CYDEV_IO_PRT_PRT0_INP_DIS, 0x40005108 +.set CYDEV_IO_PRT_PRT0_CTL, 0x40005109 +.set CYDEV_IO_PRT_PRT0_PRT, 0x4000510a +.set CYDEV_IO_PRT_PRT0_BIT_MASK, 0x4000510b +.set CYDEV_IO_PRT_PRT0_AMUX, 0x4000510c +.set CYDEV_IO_PRT_PRT0_AG, 0x4000510d +.set CYDEV_IO_PRT_PRT0_LCD_COM_SEG, 0x4000510e +.set CYDEV_IO_PRT_PRT0_LCD_EN, 0x4000510f +.set CYDEV_IO_PRT_PRT1_BASE, 0x40005110 +.set CYDEV_IO_PRT_PRT1_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT1_DR, 0x40005110 +.set CYDEV_IO_PRT_PRT1_PS, 0x40005111 +.set CYDEV_IO_PRT_PRT1_DM0, 0x40005112 +.set CYDEV_IO_PRT_PRT1_DM1, 0x40005113 +.set CYDEV_IO_PRT_PRT1_DM2, 0x40005114 +.set CYDEV_IO_PRT_PRT1_SLW, 0x40005115 +.set CYDEV_IO_PRT_PRT1_BYP, 0x40005116 +.set CYDEV_IO_PRT_PRT1_BIE, 0x40005117 +.set CYDEV_IO_PRT_PRT1_INP_DIS, 0x40005118 +.set CYDEV_IO_PRT_PRT1_CTL, 0x40005119 +.set CYDEV_IO_PRT_PRT1_PRT, 0x4000511a +.set CYDEV_IO_PRT_PRT1_BIT_MASK, 0x4000511b +.set CYDEV_IO_PRT_PRT1_AMUX, 0x4000511c +.set CYDEV_IO_PRT_PRT1_AG, 0x4000511d +.set CYDEV_IO_PRT_PRT1_LCD_COM_SEG, 0x4000511e +.set CYDEV_IO_PRT_PRT1_LCD_EN, 0x4000511f +.set CYDEV_IO_PRT_PRT2_BASE, 0x40005120 +.set CYDEV_IO_PRT_PRT2_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT2_DR, 0x40005120 +.set CYDEV_IO_PRT_PRT2_PS, 0x40005121 +.set CYDEV_IO_PRT_PRT2_DM0, 0x40005122 +.set CYDEV_IO_PRT_PRT2_DM1, 0x40005123 +.set CYDEV_IO_PRT_PRT2_DM2, 0x40005124 +.set CYDEV_IO_PRT_PRT2_SLW, 0x40005125 +.set CYDEV_IO_PRT_PRT2_BYP, 0x40005126 +.set CYDEV_IO_PRT_PRT2_BIE, 0x40005127 +.set CYDEV_IO_PRT_PRT2_INP_DIS, 0x40005128 +.set CYDEV_IO_PRT_PRT2_CTL, 0x40005129 +.set CYDEV_IO_PRT_PRT2_PRT, 0x4000512a +.set CYDEV_IO_PRT_PRT2_BIT_MASK, 0x4000512b +.set CYDEV_IO_PRT_PRT2_AMUX, 0x4000512c +.set CYDEV_IO_PRT_PRT2_AG, 0x4000512d +.set CYDEV_IO_PRT_PRT2_LCD_COM_SEG, 0x4000512e +.set CYDEV_IO_PRT_PRT2_LCD_EN, 0x4000512f +.set CYDEV_IO_PRT_PRT3_BASE, 0x40005130 +.set CYDEV_IO_PRT_PRT3_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT3_DR, 0x40005130 +.set CYDEV_IO_PRT_PRT3_PS, 0x40005131 +.set CYDEV_IO_PRT_PRT3_DM0, 0x40005132 +.set CYDEV_IO_PRT_PRT3_DM1, 0x40005133 +.set CYDEV_IO_PRT_PRT3_DM2, 0x40005134 +.set CYDEV_IO_PRT_PRT3_SLW, 0x40005135 +.set CYDEV_IO_PRT_PRT3_BYP, 0x40005136 +.set CYDEV_IO_PRT_PRT3_BIE, 0x40005137 +.set CYDEV_IO_PRT_PRT3_INP_DIS, 0x40005138 +.set CYDEV_IO_PRT_PRT3_CTL, 0x40005139 +.set CYDEV_IO_PRT_PRT3_PRT, 0x4000513a +.set CYDEV_IO_PRT_PRT3_BIT_MASK, 0x4000513b +.set CYDEV_IO_PRT_PRT3_AMUX, 0x4000513c +.set CYDEV_IO_PRT_PRT3_AG, 0x4000513d +.set CYDEV_IO_PRT_PRT3_LCD_COM_SEG, 0x4000513e +.set CYDEV_IO_PRT_PRT3_LCD_EN, 0x4000513f +.set CYDEV_IO_PRT_PRT4_BASE, 0x40005140 +.set CYDEV_IO_PRT_PRT4_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT4_DR, 0x40005140 +.set CYDEV_IO_PRT_PRT4_PS, 0x40005141 +.set CYDEV_IO_PRT_PRT4_DM0, 0x40005142 +.set CYDEV_IO_PRT_PRT4_DM1, 0x40005143 +.set CYDEV_IO_PRT_PRT4_DM2, 0x40005144 +.set CYDEV_IO_PRT_PRT4_SLW, 0x40005145 +.set CYDEV_IO_PRT_PRT4_BYP, 0x40005146 +.set CYDEV_IO_PRT_PRT4_BIE, 0x40005147 +.set CYDEV_IO_PRT_PRT4_INP_DIS, 0x40005148 +.set CYDEV_IO_PRT_PRT4_CTL, 0x40005149 +.set CYDEV_IO_PRT_PRT4_PRT, 0x4000514a +.set CYDEV_IO_PRT_PRT4_BIT_MASK, 0x4000514b +.set CYDEV_IO_PRT_PRT4_AMUX, 0x4000514c +.set CYDEV_IO_PRT_PRT4_AG, 0x4000514d +.set CYDEV_IO_PRT_PRT4_LCD_COM_SEG, 0x4000514e +.set CYDEV_IO_PRT_PRT4_LCD_EN, 0x4000514f +.set CYDEV_IO_PRT_PRT5_BASE, 0x40005150 +.set CYDEV_IO_PRT_PRT5_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT5_DR, 0x40005150 +.set CYDEV_IO_PRT_PRT5_PS, 0x40005151 +.set CYDEV_IO_PRT_PRT5_DM0, 0x40005152 +.set CYDEV_IO_PRT_PRT5_DM1, 0x40005153 +.set CYDEV_IO_PRT_PRT5_DM2, 0x40005154 +.set CYDEV_IO_PRT_PRT5_SLW, 0x40005155 +.set CYDEV_IO_PRT_PRT5_BYP, 0x40005156 +.set CYDEV_IO_PRT_PRT5_BIE, 0x40005157 +.set CYDEV_IO_PRT_PRT5_INP_DIS, 0x40005158 +.set CYDEV_IO_PRT_PRT5_CTL, 0x40005159 +.set CYDEV_IO_PRT_PRT5_PRT, 0x4000515a +.set CYDEV_IO_PRT_PRT5_BIT_MASK, 0x4000515b +.set CYDEV_IO_PRT_PRT5_AMUX, 0x4000515c +.set CYDEV_IO_PRT_PRT5_AG, 0x4000515d +.set CYDEV_IO_PRT_PRT5_LCD_COM_SEG, 0x4000515e +.set CYDEV_IO_PRT_PRT5_LCD_EN, 0x4000515f +.set CYDEV_IO_PRT_PRT6_BASE, 0x40005160 +.set CYDEV_IO_PRT_PRT6_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT6_DR, 0x40005160 +.set CYDEV_IO_PRT_PRT6_PS, 0x40005161 +.set CYDEV_IO_PRT_PRT6_DM0, 0x40005162 +.set CYDEV_IO_PRT_PRT6_DM1, 0x40005163 +.set CYDEV_IO_PRT_PRT6_DM2, 0x40005164 +.set CYDEV_IO_PRT_PRT6_SLW, 0x40005165 +.set CYDEV_IO_PRT_PRT6_BYP, 0x40005166 +.set CYDEV_IO_PRT_PRT6_BIE, 0x40005167 +.set CYDEV_IO_PRT_PRT6_INP_DIS, 0x40005168 +.set CYDEV_IO_PRT_PRT6_CTL, 0x40005169 +.set CYDEV_IO_PRT_PRT6_PRT, 0x4000516a +.set CYDEV_IO_PRT_PRT6_BIT_MASK, 0x4000516b +.set CYDEV_IO_PRT_PRT6_AMUX, 0x4000516c +.set CYDEV_IO_PRT_PRT6_AG, 0x4000516d +.set CYDEV_IO_PRT_PRT6_LCD_COM_SEG, 0x4000516e +.set CYDEV_IO_PRT_PRT6_LCD_EN, 0x4000516f +.set CYDEV_IO_PRT_PRT12_BASE, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT12_DR, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_PS, 0x400051c1 +.set CYDEV_IO_PRT_PRT12_DM0, 0x400051c2 +.set CYDEV_IO_PRT_PRT12_DM1, 0x400051c3 +.set CYDEV_IO_PRT_PRT12_DM2, 0x400051c4 +.set CYDEV_IO_PRT_PRT12_SLW, 0x400051c5 +.set CYDEV_IO_PRT_PRT12_BYP, 0x400051c6 +.set CYDEV_IO_PRT_PRT12_BIE, 0x400051c7 +.set CYDEV_IO_PRT_PRT12_INP_DIS, 0x400051c8 +.set CYDEV_IO_PRT_PRT12_SIO_HYST_EN, 0x400051c9 +.set CYDEV_IO_PRT_PRT12_PRT, 0x400051ca +.set CYDEV_IO_PRT_PRT12_BIT_MASK, 0x400051cb +.set CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ, 0x400051cc +.set CYDEV_IO_PRT_PRT12_AG, 0x400051cd +.set CYDEV_IO_PRT_PRT12_SIO_CFG, 0x400051ce +.set CYDEV_IO_PRT_PRT12_SIO_DIFF, 0x400051cf +.set CYDEV_IO_PRT_PRT15_BASE, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT15_DR, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_PS, 0x400051f1 +.set CYDEV_IO_PRT_PRT15_DM0, 0x400051f2 +.set CYDEV_IO_PRT_PRT15_DM1, 0x400051f3 +.set CYDEV_IO_PRT_PRT15_DM2, 0x400051f4 +.set CYDEV_IO_PRT_PRT15_SLW, 0x400051f5 +.set CYDEV_IO_PRT_PRT15_BYP, 0x400051f6 +.set CYDEV_IO_PRT_PRT15_BIE, 0x400051f7 +.set CYDEV_IO_PRT_PRT15_INP_DIS, 0x400051f8 +.set CYDEV_IO_PRT_PRT15_CTL, 0x400051f9 +.set CYDEV_IO_PRT_PRT15_PRT, 0x400051fa +.set CYDEV_IO_PRT_PRT15_BIT_MASK, 0x400051fb +.set CYDEV_IO_PRT_PRT15_AMUX, 0x400051fc +.set CYDEV_IO_PRT_PRT15_AG, 0x400051fd +.set CYDEV_IO_PRT_PRT15_LCD_COM_SEG, 0x400051fe +.set CYDEV_IO_PRT_PRT15_LCD_EN, 0x400051ff +.set CYDEV_PRTDSI_BASE, 0x40005200 +.set CYDEV_PRTDSI_SIZE, 0x0000007f +.set CYDEV_PRTDSI_PRT0_BASE, 0x40005200 +.set CYDEV_PRTDSI_PRT0_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT0_OUT_SEL0, 0x40005200 +.set CYDEV_PRTDSI_PRT0_OUT_SEL1, 0x40005201 +.set CYDEV_PRTDSI_PRT0_OE_SEL0, 0x40005202 +.set CYDEV_PRTDSI_PRT0_OE_SEL1, 0x40005203 +.set CYDEV_PRTDSI_PRT0_DBL_SYNC_IN, 0x40005204 +.set CYDEV_PRTDSI_PRT0_SYNC_OUT, 0x40005205 +.set CYDEV_PRTDSI_PRT0_CAPS_SEL, 0x40005206 +.set CYDEV_PRTDSI_PRT1_BASE, 0x40005208 +.set CYDEV_PRTDSI_PRT1_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT1_OUT_SEL0, 0x40005208 +.set CYDEV_PRTDSI_PRT1_OUT_SEL1, 0x40005209 +.set CYDEV_PRTDSI_PRT1_OE_SEL0, 0x4000520a +.set CYDEV_PRTDSI_PRT1_OE_SEL1, 0x4000520b +.set CYDEV_PRTDSI_PRT1_DBL_SYNC_IN, 0x4000520c +.set CYDEV_PRTDSI_PRT1_SYNC_OUT, 0x4000520d +.set CYDEV_PRTDSI_PRT1_CAPS_SEL, 0x4000520e +.set CYDEV_PRTDSI_PRT2_BASE, 0x40005210 +.set CYDEV_PRTDSI_PRT2_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT2_OUT_SEL0, 0x40005210 +.set CYDEV_PRTDSI_PRT2_OUT_SEL1, 0x40005211 +.set CYDEV_PRTDSI_PRT2_OE_SEL0, 0x40005212 +.set CYDEV_PRTDSI_PRT2_OE_SEL1, 0x40005213 +.set CYDEV_PRTDSI_PRT2_DBL_SYNC_IN, 0x40005214 +.set CYDEV_PRTDSI_PRT2_SYNC_OUT, 0x40005215 +.set CYDEV_PRTDSI_PRT2_CAPS_SEL, 0x40005216 +.set CYDEV_PRTDSI_PRT3_BASE, 0x40005218 +.set CYDEV_PRTDSI_PRT3_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT3_OUT_SEL0, 0x40005218 +.set CYDEV_PRTDSI_PRT3_OUT_SEL1, 0x40005219 +.set CYDEV_PRTDSI_PRT3_OE_SEL0, 0x4000521a +.set CYDEV_PRTDSI_PRT3_OE_SEL1, 0x4000521b +.set CYDEV_PRTDSI_PRT3_DBL_SYNC_IN, 0x4000521c +.set CYDEV_PRTDSI_PRT3_SYNC_OUT, 0x4000521d +.set CYDEV_PRTDSI_PRT3_CAPS_SEL, 0x4000521e +.set CYDEV_PRTDSI_PRT4_BASE, 0x40005220 +.set CYDEV_PRTDSI_PRT4_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT4_OUT_SEL0, 0x40005220 +.set CYDEV_PRTDSI_PRT4_OUT_SEL1, 0x40005221 +.set CYDEV_PRTDSI_PRT4_OE_SEL0, 0x40005222 +.set CYDEV_PRTDSI_PRT4_OE_SEL1, 0x40005223 +.set CYDEV_PRTDSI_PRT4_DBL_SYNC_IN, 0x40005224 +.set CYDEV_PRTDSI_PRT4_SYNC_OUT, 0x40005225 +.set CYDEV_PRTDSI_PRT4_CAPS_SEL, 0x40005226 +.set CYDEV_PRTDSI_PRT5_BASE, 0x40005228 +.set CYDEV_PRTDSI_PRT5_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT5_OUT_SEL0, 0x40005228 +.set CYDEV_PRTDSI_PRT5_OUT_SEL1, 0x40005229 +.set CYDEV_PRTDSI_PRT5_OE_SEL0, 0x4000522a +.set CYDEV_PRTDSI_PRT5_OE_SEL1, 0x4000522b +.set CYDEV_PRTDSI_PRT5_DBL_SYNC_IN, 0x4000522c +.set CYDEV_PRTDSI_PRT5_SYNC_OUT, 0x4000522d +.set CYDEV_PRTDSI_PRT5_CAPS_SEL, 0x4000522e +.set CYDEV_PRTDSI_PRT6_BASE, 0x40005230 +.set CYDEV_PRTDSI_PRT6_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT6_OUT_SEL0, 0x40005230 +.set CYDEV_PRTDSI_PRT6_OUT_SEL1, 0x40005231 +.set CYDEV_PRTDSI_PRT6_OE_SEL0, 0x40005232 +.set CYDEV_PRTDSI_PRT6_OE_SEL1, 0x40005233 +.set CYDEV_PRTDSI_PRT6_DBL_SYNC_IN, 0x40005234 +.set CYDEV_PRTDSI_PRT6_SYNC_OUT, 0x40005235 +.set CYDEV_PRTDSI_PRT6_CAPS_SEL, 0x40005236 +.set CYDEV_PRTDSI_PRT12_BASE, 0x40005260 +.set CYDEV_PRTDSI_PRT12_SIZE, 0x00000006 +.set CYDEV_PRTDSI_PRT12_OUT_SEL0, 0x40005260 +.set CYDEV_PRTDSI_PRT12_OUT_SEL1, 0x40005261 +.set CYDEV_PRTDSI_PRT12_OE_SEL0, 0x40005262 +.set CYDEV_PRTDSI_PRT12_OE_SEL1, 0x40005263 +.set CYDEV_PRTDSI_PRT12_DBL_SYNC_IN, 0x40005264 +.set CYDEV_PRTDSI_PRT12_SYNC_OUT, 0x40005265 +.set CYDEV_PRTDSI_PRT15_BASE, 0x40005278 +.set CYDEV_PRTDSI_PRT15_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT15_OUT_SEL0, 0x40005278 +.set CYDEV_PRTDSI_PRT15_OUT_SEL1, 0x40005279 +.set CYDEV_PRTDSI_PRT15_OE_SEL0, 0x4000527a +.set CYDEV_PRTDSI_PRT15_OE_SEL1, 0x4000527b +.set CYDEV_PRTDSI_PRT15_DBL_SYNC_IN, 0x4000527c +.set CYDEV_PRTDSI_PRT15_SYNC_OUT, 0x4000527d +.set CYDEV_PRTDSI_PRT15_CAPS_SEL, 0x4000527e +.set CYDEV_EMIF_BASE, 0x40005400 +.set CYDEV_EMIF_SIZE, 0x00000007 +.set CYDEV_EMIF_NO_UDB, 0x40005400 +.set CYDEV_EMIF_RP_WAIT_STATES, 0x40005401 +.set CYDEV_EMIF_MEM_DWN, 0x40005402 +.set CYDEV_EMIF_MEMCLK_DIV, 0x40005403 +.set CYDEV_EMIF_CLOCK_EN, 0x40005404 +.set CYDEV_EMIF_EM_TYPE, 0x40005405 +.set CYDEV_EMIF_WP_WAIT_STATES, 0x40005406 +.set CYDEV_ANAIF_BASE, 0x40005800 +.set CYDEV_ANAIF_SIZE, 0x000003a9 +.set CYDEV_ANAIF_CFG_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SIZE, 0x0000010f +.set CYDEV_ANAIF_CFG_SC0_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC0_CR0, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_CR1, 0x40005801 +.set CYDEV_ANAIF_CFG_SC0_CR2, 0x40005802 +.set CYDEV_ANAIF_CFG_SC1_BASE, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC1_CR0, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_CR1, 0x40005805 +.set CYDEV_ANAIF_CFG_SC1_CR2, 0x40005806 +.set CYDEV_ANAIF_CFG_SC2_BASE, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC2_CR0, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_CR1, 0x40005809 +.set CYDEV_ANAIF_CFG_SC2_CR2, 0x4000580a +.set CYDEV_ANAIF_CFG_SC3_BASE, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC3_CR0, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_CR1, 0x4000580d +.set CYDEV_ANAIF_CFG_SC3_CR2, 0x4000580e +.set CYDEV_ANAIF_CFG_DAC0_BASE, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC0_CR0, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_CR1, 0x40005821 +.set CYDEV_ANAIF_CFG_DAC0_TST, 0x40005822 +.set CYDEV_ANAIF_CFG_DAC1_BASE, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC1_CR0, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_CR1, 0x40005825 +.set CYDEV_ANAIF_CFG_DAC1_TST, 0x40005826 +.set CYDEV_ANAIF_CFG_DAC2_BASE, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC2_CR0, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_CR1, 0x40005829 +.set CYDEV_ANAIF_CFG_DAC2_TST, 0x4000582a +.set CYDEV_ANAIF_CFG_DAC3_BASE, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC3_CR0, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_CR1, 0x4000582d +.set CYDEV_ANAIF_CFG_DAC3_TST, 0x4000582e +.set CYDEV_ANAIF_CFG_CMP0_BASE, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP0_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP0_CR, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP1_BASE, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP1_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP1_CR, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP2_BASE, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP2_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP2_CR, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP3_BASE, 0x40005843 +.set CYDEV_ANAIF_CFG_CMP3_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP3_CR, 0x40005843 +.set CYDEV_ANAIF_CFG_LUT0_BASE, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT0_CR, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_MX, 0x40005849 +.set CYDEV_ANAIF_CFG_LUT1_BASE, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT1_CR, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_MX, 0x4000584b +.set CYDEV_ANAIF_CFG_LUT2_BASE, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT2_CR, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_MX, 0x4000584d +.set CYDEV_ANAIF_CFG_LUT3_BASE, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT3_CR, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_MX, 0x4000584f +.set CYDEV_ANAIF_CFG_OPAMP0_BASE, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP0_CR, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_RSVD, 0x40005859 +.set CYDEV_ANAIF_CFG_OPAMP1_BASE, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP1_CR, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_RSVD, 0x4000585b +.set CYDEV_ANAIF_CFG_OPAMP2_BASE, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP2_CR, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_RSVD, 0x4000585d +.set CYDEV_ANAIF_CFG_OPAMP3_BASE, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP3_CR, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_RSVD, 0x4000585f +.set CYDEV_ANAIF_CFG_LCDDAC_BASE, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LCDDAC_CR0, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_CR1, 0x40005869 +.set CYDEV_ANAIF_CFG_LCDDRV_BASE, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDDRV_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_LCDDRV_CR, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDTMR_BASE, 0x4000586b +.set CYDEV_ANAIF_CFG_LCDTMR_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_LCDTMR_CFG, 0x4000586b +.set CYDEV_ANAIF_CFG_BG_BASE, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_SIZE, 0x00000004 +.set CYDEV_ANAIF_CFG_BG_CR0, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_RSVD, 0x4000586d +.set CYDEV_ANAIF_CFG_BG_DFT0, 0x4000586e +.set CYDEV_ANAIF_CFG_BG_DFT1, 0x4000586f +.set CYDEV_ANAIF_CFG_CAPSL_BASE, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_CAPSL_CFG0, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_CFG1, 0x40005871 +.set CYDEV_ANAIF_CFG_CAPSR_BASE, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_CAPSR_CFG0, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_CFG1, 0x40005873 +.set CYDEV_ANAIF_CFG_PUMP_BASE, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_PUMP_CR0, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_CR1, 0x40005877 +.set CYDEV_ANAIF_CFG_LPF0_BASE, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LPF0_CR0, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_RSVD, 0x40005879 +.set CYDEV_ANAIF_CFG_LPF1_BASE, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LPF1_CR0, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_RSVD, 0x4000587b +.set CYDEV_ANAIF_CFG_MISC_BASE, 0x4000587c +.set CYDEV_ANAIF_CFG_MISC_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_MISC_CR0, 0x4000587c +.set CYDEV_ANAIF_CFG_DSM0_BASE, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_SIZE, 0x00000020 +.set CYDEV_ANAIF_CFG_DSM0_CR0, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_CR1, 0x40005881 +.set CYDEV_ANAIF_CFG_DSM0_CR2, 0x40005882 +.set CYDEV_ANAIF_CFG_DSM0_CR3, 0x40005883 +.set CYDEV_ANAIF_CFG_DSM0_CR4, 0x40005884 +.set CYDEV_ANAIF_CFG_DSM0_CR5, 0x40005885 +.set CYDEV_ANAIF_CFG_DSM0_CR6, 0x40005886 +.set CYDEV_ANAIF_CFG_DSM0_CR7, 0x40005887 +.set CYDEV_ANAIF_CFG_DSM0_CR8, 0x40005888 +.set CYDEV_ANAIF_CFG_DSM0_CR9, 0x40005889 +.set CYDEV_ANAIF_CFG_DSM0_CR10, 0x4000588a +.set CYDEV_ANAIF_CFG_DSM0_CR11, 0x4000588b +.set CYDEV_ANAIF_CFG_DSM0_CR12, 0x4000588c +.set CYDEV_ANAIF_CFG_DSM0_CR13, 0x4000588d +.set CYDEV_ANAIF_CFG_DSM0_CR14, 0x4000588e +.set CYDEV_ANAIF_CFG_DSM0_CR15, 0x4000588f +.set CYDEV_ANAIF_CFG_DSM0_CR16, 0x40005890 +.set CYDEV_ANAIF_CFG_DSM0_CR17, 0x40005891 +.set CYDEV_ANAIF_CFG_DSM0_REF0, 0x40005892 +.set CYDEV_ANAIF_CFG_DSM0_REF1, 0x40005893 +.set CYDEV_ANAIF_CFG_DSM0_REF2, 0x40005894 +.set CYDEV_ANAIF_CFG_DSM0_REF3, 0x40005895 +.set CYDEV_ANAIF_CFG_DSM0_DEM0, 0x40005896 +.set CYDEV_ANAIF_CFG_DSM0_DEM1, 0x40005897 +.set CYDEV_ANAIF_CFG_DSM0_TST0, 0x40005898 +.set CYDEV_ANAIF_CFG_DSM0_TST1, 0x40005899 +.set CYDEV_ANAIF_CFG_DSM0_BUF0, 0x4000589a +.set CYDEV_ANAIF_CFG_DSM0_BUF1, 0x4000589b +.set CYDEV_ANAIF_CFG_DSM0_BUF2, 0x4000589c +.set CYDEV_ANAIF_CFG_DSM0_BUF3, 0x4000589d +.set CYDEV_ANAIF_CFG_DSM0_MISC, 0x4000589e +.set CYDEV_ANAIF_CFG_DSM0_RSVD1, 0x4000589f +.set CYDEV_ANAIF_CFG_SAR0_BASE, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_SIZE, 0x00000007 +.set CYDEV_ANAIF_CFG_SAR0_CSR0, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_CSR1, 0x40005901 +.set CYDEV_ANAIF_CFG_SAR0_CSR2, 0x40005902 +.set CYDEV_ANAIF_CFG_SAR0_CSR3, 0x40005903 +.set CYDEV_ANAIF_CFG_SAR0_CSR4, 0x40005904 +.set CYDEV_ANAIF_CFG_SAR0_CSR5, 0x40005905 +.set CYDEV_ANAIF_CFG_SAR0_CSR6, 0x40005906 +.set CYDEV_ANAIF_CFG_SAR1_BASE, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_SIZE, 0x00000007 +.set CYDEV_ANAIF_CFG_SAR1_CSR0, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_CSR1, 0x40005909 +.set CYDEV_ANAIF_CFG_SAR1_CSR2, 0x4000590a +.set CYDEV_ANAIF_CFG_SAR1_CSR3, 0x4000590b +.set CYDEV_ANAIF_CFG_SAR1_CSR4, 0x4000590c +.set CYDEV_ANAIF_CFG_SAR1_CSR5, 0x4000590d +.set CYDEV_ANAIF_CFG_SAR1_CSR6, 0x4000590e +.set CYDEV_ANAIF_RT_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SIZE, 0x00000162 +.set CYDEV_ANAIF_RT_SC0_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC0_SW0, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SW2, 0x40005a02 +.set CYDEV_ANAIF_RT_SC0_SW3, 0x40005a03 +.set CYDEV_ANAIF_RT_SC0_SW4, 0x40005a04 +.set CYDEV_ANAIF_RT_SC0_SW6, 0x40005a06 +.set CYDEV_ANAIF_RT_SC0_SW7, 0x40005a07 +.set CYDEV_ANAIF_RT_SC0_SW8, 0x40005a08 +.set CYDEV_ANAIF_RT_SC0_SW10, 0x40005a0a +.set CYDEV_ANAIF_RT_SC0_CLK, 0x40005a0b +.set CYDEV_ANAIF_RT_SC0_BST, 0x40005a0c +.set CYDEV_ANAIF_RT_SC1_BASE, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC1_SW0, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SW2, 0x40005a12 +.set CYDEV_ANAIF_RT_SC1_SW3, 0x40005a13 +.set CYDEV_ANAIF_RT_SC1_SW4, 0x40005a14 +.set CYDEV_ANAIF_RT_SC1_SW6, 0x40005a16 +.set CYDEV_ANAIF_RT_SC1_SW7, 0x40005a17 +.set CYDEV_ANAIF_RT_SC1_SW8, 0x40005a18 +.set CYDEV_ANAIF_RT_SC1_SW10, 0x40005a1a +.set CYDEV_ANAIF_RT_SC1_CLK, 0x40005a1b +.set CYDEV_ANAIF_RT_SC1_BST, 0x40005a1c +.set CYDEV_ANAIF_RT_SC2_BASE, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC2_SW0, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SW2, 0x40005a22 +.set CYDEV_ANAIF_RT_SC2_SW3, 0x40005a23 +.set CYDEV_ANAIF_RT_SC2_SW4, 0x40005a24 +.set CYDEV_ANAIF_RT_SC2_SW6, 0x40005a26 +.set CYDEV_ANAIF_RT_SC2_SW7, 0x40005a27 +.set CYDEV_ANAIF_RT_SC2_SW8, 0x40005a28 +.set CYDEV_ANAIF_RT_SC2_SW10, 0x40005a2a +.set CYDEV_ANAIF_RT_SC2_CLK, 0x40005a2b +.set CYDEV_ANAIF_RT_SC2_BST, 0x40005a2c +.set CYDEV_ANAIF_RT_SC3_BASE, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC3_SW0, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SW2, 0x40005a32 +.set CYDEV_ANAIF_RT_SC3_SW3, 0x40005a33 +.set CYDEV_ANAIF_RT_SC3_SW4, 0x40005a34 +.set CYDEV_ANAIF_RT_SC3_SW6, 0x40005a36 +.set CYDEV_ANAIF_RT_SC3_SW7, 0x40005a37 +.set CYDEV_ANAIF_RT_SC3_SW8, 0x40005a38 +.set CYDEV_ANAIF_RT_SC3_SW10, 0x40005a3a +.set CYDEV_ANAIF_RT_SC3_CLK, 0x40005a3b +.set CYDEV_ANAIF_RT_SC3_BST, 0x40005a3c +.set CYDEV_ANAIF_RT_DAC0_BASE, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC0_SW0, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SW2, 0x40005a82 +.set CYDEV_ANAIF_RT_DAC0_SW3, 0x40005a83 +.set CYDEV_ANAIF_RT_DAC0_SW4, 0x40005a84 +.set CYDEV_ANAIF_RT_DAC0_STROBE, 0x40005a87 +.set CYDEV_ANAIF_RT_DAC1_BASE, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC1_SW0, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SW2, 0x40005a8a +.set CYDEV_ANAIF_RT_DAC1_SW3, 0x40005a8b +.set CYDEV_ANAIF_RT_DAC1_SW4, 0x40005a8c +.set CYDEV_ANAIF_RT_DAC1_STROBE, 0x40005a8f +.set CYDEV_ANAIF_RT_DAC2_BASE, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC2_SW0, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SW2, 0x40005a92 +.set CYDEV_ANAIF_RT_DAC2_SW3, 0x40005a93 +.set CYDEV_ANAIF_RT_DAC2_SW4, 0x40005a94 +.set CYDEV_ANAIF_RT_DAC2_STROBE, 0x40005a97 +.set CYDEV_ANAIF_RT_DAC3_BASE, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC3_SW0, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SW2, 0x40005a9a +.set CYDEV_ANAIF_RT_DAC3_SW3, 0x40005a9b +.set CYDEV_ANAIF_RT_DAC3_SW4, 0x40005a9c +.set CYDEV_ANAIF_RT_DAC3_STROBE, 0x40005a9f +.set CYDEV_ANAIF_RT_CMP0_BASE, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP0_SW0, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SW2, 0x40005ac2 +.set CYDEV_ANAIF_RT_CMP0_SW3, 0x40005ac3 +.set CYDEV_ANAIF_RT_CMP0_SW4, 0x40005ac4 +.set CYDEV_ANAIF_RT_CMP0_SW6, 0x40005ac6 +.set CYDEV_ANAIF_RT_CMP0_CLK, 0x40005ac7 +.set CYDEV_ANAIF_RT_CMP1_BASE, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP1_SW0, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SW2, 0x40005aca +.set CYDEV_ANAIF_RT_CMP1_SW3, 0x40005acb +.set CYDEV_ANAIF_RT_CMP1_SW4, 0x40005acc +.set CYDEV_ANAIF_RT_CMP1_SW6, 0x40005ace +.set CYDEV_ANAIF_RT_CMP1_CLK, 0x40005acf +.set CYDEV_ANAIF_RT_CMP2_BASE, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP2_SW0, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SW2, 0x40005ad2 +.set CYDEV_ANAIF_RT_CMP2_SW3, 0x40005ad3 +.set CYDEV_ANAIF_RT_CMP2_SW4, 0x40005ad4 +.set CYDEV_ANAIF_RT_CMP2_SW6, 0x40005ad6 +.set CYDEV_ANAIF_RT_CMP2_CLK, 0x40005ad7 +.set CYDEV_ANAIF_RT_CMP3_BASE, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP3_SW0, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SW2, 0x40005ada +.set CYDEV_ANAIF_RT_CMP3_SW3, 0x40005adb +.set CYDEV_ANAIF_RT_CMP3_SW4, 0x40005adc +.set CYDEV_ANAIF_RT_CMP3_SW6, 0x40005ade +.set CYDEV_ANAIF_RT_CMP3_CLK, 0x40005adf +.set CYDEV_ANAIF_RT_DSM0_BASE, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DSM0_SW0, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SW2, 0x40005b02 +.set CYDEV_ANAIF_RT_DSM0_SW3, 0x40005b03 +.set CYDEV_ANAIF_RT_DSM0_SW4, 0x40005b04 +.set CYDEV_ANAIF_RT_DSM0_SW6, 0x40005b06 +.set CYDEV_ANAIF_RT_DSM0_CLK, 0x40005b07 +.set CYDEV_ANAIF_RT_SAR0_BASE, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_SAR0_SW0, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SW2, 0x40005b22 +.set CYDEV_ANAIF_RT_SAR0_SW3, 0x40005b23 +.set CYDEV_ANAIF_RT_SAR0_SW4, 0x40005b24 +.set CYDEV_ANAIF_RT_SAR0_SW6, 0x40005b26 +.set CYDEV_ANAIF_RT_SAR0_CLK, 0x40005b27 +.set CYDEV_ANAIF_RT_SAR1_BASE, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_SAR1_SW0, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SW2, 0x40005b2a +.set CYDEV_ANAIF_RT_SAR1_SW3, 0x40005b2b +.set CYDEV_ANAIF_RT_SAR1_SW4, 0x40005b2c +.set CYDEV_ANAIF_RT_SAR1_SW6, 0x40005b2e +.set CYDEV_ANAIF_RT_SAR1_CLK, 0x40005b2f +.set CYDEV_ANAIF_RT_OPAMP0_BASE, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP0_MX, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SW, 0x40005b41 +.set CYDEV_ANAIF_RT_OPAMP1_BASE, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP1_MX, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SW, 0x40005b43 +.set CYDEV_ANAIF_RT_OPAMP2_BASE, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP2_MX, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SW, 0x40005b45 +.set CYDEV_ANAIF_RT_OPAMP3_BASE, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP3_MX, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SW, 0x40005b47 +.set CYDEV_ANAIF_RT_LCDDAC_BASE, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SIZE, 0x00000005 +.set CYDEV_ANAIF_RT_LCDDAC_SW0, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SW1, 0x40005b51 +.set CYDEV_ANAIF_RT_LCDDAC_SW2, 0x40005b52 +.set CYDEV_ANAIF_RT_LCDDAC_SW3, 0x40005b53 +.set CYDEV_ANAIF_RT_LCDDAC_SW4, 0x40005b54 +.set CYDEV_ANAIF_RT_SC_BASE, 0x40005b56 +.set CYDEV_ANAIF_RT_SC_SIZE, 0x00000001 +.set CYDEV_ANAIF_RT_SC_MISC, 0x40005b56 +.set CYDEV_ANAIF_RT_BUS_BASE, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SIZE, 0x00000004 +.set CYDEV_ANAIF_RT_BUS_SW0, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SW2, 0x40005b5a +.set CYDEV_ANAIF_RT_BUS_SW3, 0x40005b5b +.set CYDEV_ANAIF_RT_DFT_BASE, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_SIZE, 0x00000006 +.set CYDEV_ANAIF_RT_DFT_CR0, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_CR1, 0x40005b5d +.set CYDEV_ANAIF_RT_DFT_CR2, 0x40005b5e +.set CYDEV_ANAIF_RT_DFT_CR3, 0x40005b5f +.set CYDEV_ANAIF_RT_DFT_CR4, 0x40005b60 +.set CYDEV_ANAIF_RT_DFT_CR5, 0x40005b61 +.set CYDEV_ANAIF_WRK_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_SIZE, 0x00000029 +.set CYDEV_ANAIF_WRK_DAC0_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC0_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC0_D, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC1_BASE, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC1_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC1_D, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC2_BASE, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC2_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC2_D, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC3_BASE, 0x40005b83 +.set CYDEV_ANAIF_WRK_DAC3_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC3_D, 0x40005b83 +.set CYDEV_ANAIF_WRK_DSM0_BASE, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_DSM0_OUT0, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_OUT1, 0x40005b89 +.set CYDEV_ANAIF_WRK_LUT_BASE, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_SIZE, 0x00000005 +.set CYDEV_ANAIF_WRK_LUT_SR, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_WRK1, 0x40005b91 +.set CYDEV_ANAIF_WRK_LUT_MSK, 0x40005b92 +.set CYDEV_ANAIF_WRK_LUT_CLK, 0x40005b93 +.set CYDEV_ANAIF_WRK_LUT_CPTR, 0x40005b94 +.set CYDEV_ANAIF_WRK_CMP_BASE, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_CMP_WRK, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_TST, 0x40005b97 +.set CYDEV_ANAIF_WRK_SC_BASE, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_SIZE, 0x00000005 +.set CYDEV_ANAIF_WRK_SC_SR, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_WRK1, 0x40005b99 +.set CYDEV_ANAIF_WRK_SC_MSK, 0x40005b9a +.set CYDEV_ANAIF_WRK_SC_CMPINV, 0x40005b9b +.set CYDEV_ANAIF_WRK_SC_CPTR, 0x40005b9c +.set CYDEV_ANAIF_WRK_SAR0_BASE, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_SAR0_WRK0, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_WRK1, 0x40005ba1 +.set CYDEV_ANAIF_WRK_SAR1_BASE, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_SAR1_WRK0, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_WRK1, 0x40005ba3 +.set CYDEV_ANAIF_WRK_SARS_BASE, 0x40005ba8 +.set CYDEV_ANAIF_WRK_SARS_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_SARS_SOF, 0x40005ba8 +.set CYDEV_USB_BASE, 0x40006000 +.set CYDEV_USB_SIZE, 0x00000300 +.set CYDEV_USB_EP0_DR0, 0x40006000 +.set CYDEV_USB_EP0_DR1, 0x40006001 +.set CYDEV_USB_EP0_DR2, 0x40006002 +.set CYDEV_USB_EP0_DR3, 0x40006003 +.set CYDEV_USB_EP0_DR4, 0x40006004 +.set CYDEV_USB_EP0_DR5, 0x40006005 +.set CYDEV_USB_EP0_DR6, 0x40006006 +.set CYDEV_USB_EP0_DR7, 0x40006007 +.set CYDEV_USB_CR0, 0x40006008 +.set CYDEV_USB_CR1, 0x40006009 +.set CYDEV_USB_SIE_EP_INT_EN, 0x4000600a +.set CYDEV_USB_SIE_EP_INT_SR, 0x4000600b +.set CYDEV_USB_SIE_EP1_BASE, 0x4000600c +.set CYDEV_USB_SIE_EP1_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP1_CNT0, 0x4000600c +.set CYDEV_USB_SIE_EP1_CNT1, 0x4000600d +.set CYDEV_USB_SIE_EP1_CR0, 0x4000600e +.set CYDEV_USB_USBIO_CR0, 0x40006010 +.set CYDEV_USB_USBIO_CR1, 0x40006012 +.set CYDEV_USB_DYN_RECONFIG, 0x40006014 +.set CYDEV_USB_SOF0, 0x40006018 +.set CYDEV_USB_SOF1, 0x40006019 +.set CYDEV_USB_SIE_EP2_BASE, 0x4000601c +.set CYDEV_USB_SIE_EP2_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP2_CNT0, 0x4000601c +.set CYDEV_USB_SIE_EP2_CNT1, 0x4000601d +.set CYDEV_USB_SIE_EP2_CR0, 0x4000601e +.set CYDEV_USB_EP0_CR, 0x40006028 +.set CYDEV_USB_EP0_CNT, 0x40006029 +.set CYDEV_USB_SIE_EP3_BASE, 0x4000602c +.set CYDEV_USB_SIE_EP3_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP3_CNT0, 0x4000602c +.set CYDEV_USB_SIE_EP3_CNT1, 0x4000602d +.set CYDEV_USB_SIE_EP3_CR0, 0x4000602e +.set CYDEV_USB_SIE_EP4_BASE, 0x4000603c +.set CYDEV_USB_SIE_EP4_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP4_CNT0, 0x4000603c +.set CYDEV_USB_SIE_EP4_CNT1, 0x4000603d +.set CYDEV_USB_SIE_EP4_CR0, 0x4000603e +.set CYDEV_USB_SIE_EP5_BASE, 0x4000604c +.set CYDEV_USB_SIE_EP5_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP5_CNT0, 0x4000604c +.set CYDEV_USB_SIE_EP5_CNT1, 0x4000604d +.set CYDEV_USB_SIE_EP5_CR0, 0x4000604e +.set CYDEV_USB_SIE_EP6_BASE, 0x4000605c +.set CYDEV_USB_SIE_EP6_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP6_CNT0, 0x4000605c +.set CYDEV_USB_SIE_EP6_CNT1, 0x4000605d +.set CYDEV_USB_SIE_EP6_CR0, 0x4000605e +.set CYDEV_USB_SIE_EP7_BASE, 0x4000606c +.set CYDEV_USB_SIE_EP7_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP7_CNT0, 0x4000606c +.set CYDEV_USB_SIE_EP7_CNT1, 0x4000606d +.set CYDEV_USB_SIE_EP7_CR0, 0x4000606e +.set CYDEV_USB_SIE_EP8_BASE, 0x4000607c +.set CYDEV_USB_SIE_EP8_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP8_CNT0, 0x4000607c +.set CYDEV_USB_SIE_EP8_CNT1, 0x4000607d +.set CYDEV_USB_SIE_EP8_CR0, 0x4000607e +.set CYDEV_USB_ARB_EP1_BASE, 0x40006080 +.set CYDEV_USB_ARB_EP1_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP1_CFG, 0x40006080 +.set CYDEV_USB_ARB_EP1_INT_EN, 0x40006081 +.set CYDEV_USB_ARB_EP1_SR, 0x40006082 +.set CYDEV_USB_ARB_RW1_BASE, 0x40006084 +.set CYDEV_USB_ARB_RW1_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW1_WA, 0x40006084 +.set CYDEV_USB_ARB_RW1_WA_MSB, 0x40006085 +.set CYDEV_USB_ARB_RW1_RA, 0x40006086 +.set CYDEV_USB_ARB_RW1_RA_MSB, 0x40006087 +.set CYDEV_USB_ARB_RW1_DR, 0x40006088 +.set CYDEV_USB_BUF_SIZE, 0x4000608c +.set CYDEV_USB_EP_ACTIVE, 0x4000608e +.set CYDEV_USB_EP_TYPE, 0x4000608f +.set CYDEV_USB_ARB_EP2_BASE, 0x40006090 +.set CYDEV_USB_ARB_EP2_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP2_CFG, 0x40006090 +.set CYDEV_USB_ARB_EP2_INT_EN, 0x40006091 +.set CYDEV_USB_ARB_EP2_SR, 0x40006092 +.set CYDEV_USB_ARB_RW2_BASE, 0x40006094 +.set CYDEV_USB_ARB_RW2_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW2_WA, 0x40006094 +.set CYDEV_USB_ARB_RW2_WA_MSB, 0x40006095 +.set CYDEV_USB_ARB_RW2_RA, 0x40006096 +.set CYDEV_USB_ARB_RW2_RA_MSB, 0x40006097 +.set CYDEV_USB_ARB_RW2_DR, 0x40006098 +.set CYDEV_USB_ARB_CFG, 0x4000609c +.set CYDEV_USB_USB_CLK_EN, 0x4000609d +.set CYDEV_USB_ARB_INT_EN, 0x4000609e +.set CYDEV_USB_ARB_INT_SR, 0x4000609f +.set CYDEV_USB_ARB_EP3_BASE, 0x400060a0 +.set CYDEV_USB_ARB_EP3_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP3_CFG, 0x400060a0 +.set CYDEV_USB_ARB_EP3_INT_EN, 0x400060a1 +.set CYDEV_USB_ARB_EP3_SR, 0x400060a2 +.set CYDEV_USB_ARB_RW3_BASE, 0x400060a4 +.set CYDEV_USB_ARB_RW3_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW3_WA, 0x400060a4 +.set CYDEV_USB_ARB_RW3_WA_MSB, 0x400060a5 +.set CYDEV_USB_ARB_RW3_RA, 0x400060a6 +.set CYDEV_USB_ARB_RW3_RA_MSB, 0x400060a7 +.set CYDEV_USB_ARB_RW3_DR, 0x400060a8 +.set CYDEV_USB_CWA, 0x400060ac +.set CYDEV_USB_CWA_MSB, 0x400060ad +.set CYDEV_USB_ARB_EP4_BASE, 0x400060b0 +.set CYDEV_USB_ARB_EP4_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP4_CFG, 0x400060b0 +.set CYDEV_USB_ARB_EP4_INT_EN, 0x400060b1 +.set CYDEV_USB_ARB_EP4_SR, 0x400060b2 +.set CYDEV_USB_ARB_RW4_BASE, 0x400060b4 +.set CYDEV_USB_ARB_RW4_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW4_WA, 0x400060b4 +.set CYDEV_USB_ARB_RW4_WA_MSB, 0x400060b5 +.set CYDEV_USB_ARB_RW4_RA, 0x400060b6 +.set CYDEV_USB_ARB_RW4_RA_MSB, 0x400060b7 +.set CYDEV_USB_ARB_RW4_DR, 0x400060b8 +.set CYDEV_USB_DMA_THRES, 0x400060bc +.set CYDEV_USB_DMA_THRES_MSB, 0x400060bd +.set CYDEV_USB_ARB_EP5_BASE, 0x400060c0 +.set CYDEV_USB_ARB_EP5_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP5_CFG, 0x400060c0 +.set CYDEV_USB_ARB_EP5_INT_EN, 0x400060c1 +.set CYDEV_USB_ARB_EP5_SR, 0x400060c2 +.set CYDEV_USB_ARB_RW5_BASE, 0x400060c4 +.set CYDEV_USB_ARB_RW5_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW5_WA, 0x400060c4 +.set CYDEV_USB_ARB_RW5_WA_MSB, 0x400060c5 +.set CYDEV_USB_ARB_RW5_RA, 0x400060c6 +.set CYDEV_USB_ARB_RW5_RA_MSB, 0x400060c7 +.set CYDEV_USB_ARB_RW5_DR, 0x400060c8 +.set CYDEV_USB_BUS_RST_CNT, 0x400060cc +.set CYDEV_USB_ARB_EP6_BASE, 0x400060d0 +.set CYDEV_USB_ARB_EP6_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP6_CFG, 0x400060d0 +.set CYDEV_USB_ARB_EP6_INT_EN, 0x400060d1 +.set CYDEV_USB_ARB_EP6_SR, 0x400060d2 +.set CYDEV_USB_ARB_RW6_BASE, 0x400060d4 +.set CYDEV_USB_ARB_RW6_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW6_WA, 0x400060d4 +.set CYDEV_USB_ARB_RW6_WA_MSB, 0x400060d5 +.set CYDEV_USB_ARB_RW6_RA, 0x400060d6 +.set CYDEV_USB_ARB_RW6_RA_MSB, 0x400060d7 +.set CYDEV_USB_ARB_RW6_DR, 0x400060d8 +.set CYDEV_USB_ARB_EP7_BASE, 0x400060e0 +.set CYDEV_USB_ARB_EP7_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP7_CFG, 0x400060e0 +.set CYDEV_USB_ARB_EP7_INT_EN, 0x400060e1 +.set CYDEV_USB_ARB_EP7_SR, 0x400060e2 +.set CYDEV_USB_ARB_RW7_BASE, 0x400060e4 +.set CYDEV_USB_ARB_RW7_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW7_WA, 0x400060e4 +.set CYDEV_USB_ARB_RW7_WA_MSB, 0x400060e5 +.set CYDEV_USB_ARB_RW7_RA, 0x400060e6 +.set CYDEV_USB_ARB_RW7_RA_MSB, 0x400060e7 +.set CYDEV_USB_ARB_RW7_DR, 0x400060e8 +.set CYDEV_USB_ARB_EP8_BASE, 0x400060f0 +.set CYDEV_USB_ARB_EP8_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP8_CFG, 0x400060f0 +.set CYDEV_USB_ARB_EP8_INT_EN, 0x400060f1 +.set CYDEV_USB_ARB_EP8_SR, 0x400060f2 +.set CYDEV_USB_ARB_RW8_BASE, 0x400060f4 +.set CYDEV_USB_ARB_RW8_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW8_WA, 0x400060f4 +.set CYDEV_USB_ARB_RW8_WA_MSB, 0x400060f5 +.set CYDEV_USB_ARB_RW8_RA, 0x400060f6 +.set CYDEV_USB_ARB_RW8_RA_MSB, 0x400060f7 +.set CYDEV_USB_ARB_RW8_DR, 0x400060f8 +.set CYDEV_USB_MEM_BASE, 0x40006100 +.set CYDEV_USB_MEM_SIZE, 0x00000200 +.set CYDEV_USB_MEM_DATA_MBASE, 0x40006100 +.set CYDEV_USB_MEM_DATA_MSIZE, 0x00000200 +.set CYDEV_UWRK_BASE, 0x40006400 +.set CYDEV_UWRK_SIZE, 0x00000b60 +.set CYDEV_UWRK_UWRK8_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_SIZE, 0x000003b0 +.set CYDEV_UWRK_UWRK8_B0_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_SIZE, 0x000000b0 +.set CYDEV_UWRK_UWRK8_B0_UDB00_A0, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_UDB01_A0, 0x40006401 +.set CYDEV_UWRK_UWRK8_B0_UDB02_A0, 0x40006402 +.set CYDEV_UWRK_UWRK8_B0_UDB03_A0, 0x40006403 +.set CYDEV_UWRK_UWRK8_B0_UDB04_A0, 0x40006404 +.set CYDEV_UWRK_UWRK8_B0_UDB05_A0, 0x40006405 +.set CYDEV_UWRK_UWRK8_B0_UDB06_A0, 0x40006406 +.set CYDEV_UWRK_UWRK8_B0_UDB07_A0, 0x40006407 +.set CYDEV_UWRK_UWRK8_B0_UDB08_A0, 0x40006408 +.set CYDEV_UWRK_UWRK8_B0_UDB09_A0, 0x40006409 +.set CYDEV_UWRK_UWRK8_B0_UDB10_A0, 0x4000640a +.set CYDEV_UWRK_UWRK8_B0_UDB11_A0, 0x4000640b +.set CYDEV_UWRK_UWRK8_B0_UDB12_A0, 0x4000640c +.set CYDEV_UWRK_UWRK8_B0_UDB13_A0, 0x4000640d +.set CYDEV_UWRK_UWRK8_B0_UDB14_A0, 0x4000640e +.set CYDEV_UWRK_UWRK8_B0_UDB15_A0, 0x4000640f +.set CYDEV_UWRK_UWRK8_B0_UDB00_A1, 0x40006410 +.set CYDEV_UWRK_UWRK8_B0_UDB01_A1, 0x40006411 +.set CYDEV_UWRK_UWRK8_B0_UDB02_A1, 0x40006412 +.set CYDEV_UWRK_UWRK8_B0_UDB03_A1, 0x40006413 +.set CYDEV_UWRK_UWRK8_B0_UDB04_A1, 0x40006414 +.set CYDEV_UWRK_UWRK8_B0_UDB05_A1, 0x40006415 +.set CYDEV_UWRK_UWRK8_B0_UDB06_A1, 0x40006416 +.set CYDEV_UWRK_UWRK8_B0_UDB07_A1, 0x40006417 +.set CYDEV_UWRK_UWRK8_B0_UDB08_A1, 0x40006418 +.set CYDEV_UWRK_UWRK8_B0_UDB09_A1, 0x40006419 +.set CYDEV_UWRK_UWRK8_B0_UDB10_A1, 0x4000641a +.set CYDEV_UWRK_UWRK8_B0_UDB11_A1, 0x4000641b +.set CYDEV_UWRK_UWRK8_B0_UDB12_A1, 0x4000641c +.set CYDEV_UWRK_UWRK8_B0_UDB13_A1, 0x4000641d +.set CYDEV_UWRK_UWRK8_B0_UDB14_A1, 0x4000641e +.set CYDEV_UWRK_UWRK8_B0_UDB15_A1, 0x4000641f +.set CYDEV_UWRK_UWRK8_B0_UDB00_D0, 0x40006420 +.set CYDEV_UWRK_UWRK8_B0_UDB01_D0, 0x40006421 +.set CYDEV_UWRK_UWRK8_B0_UDB02_D0, 0x40006422 +.set CYDEV_UWRK_UWRK8_B0_UDB03_D0, 0x40006423 +.set CYDEV_UWRK_UWRK8_B0_UDB04_D0, 0x40006424 +.set CYDEV_UWRK_UWRK8_B0_UDB05_D0, 0x40006425 +.set CYDEV_UWRK_UWRK8_B0_UDB06_D0, 0x40006426 +.set CYDEV_UWRK_UWRK8_B0_UDB07_D0, 0x40006427 +.set CYDEV_UWRK_UWRK8_B0_UDB08_D0, 0x40006428 +.set CYDEV_UWRK_UWRK8_B0_UDB09_D0, 0x40006429 +.set CYDEV_UWRK_UWRK8_B0_UDB10_D0, 0x4000642a +.set CYDEV_UWRK_UWRK8_B0_UDB11_D0, 0x4000642b +.set CYDEV_UWRK_UWRK8_B0_UDB12_D0, 0x4000642c +.set CYDEV_UWRK_UWRK8_B0_UDB13_D0, 0x4000642d +.set CYDEV_UWRK_UWRK8_B0_UDB14_D0, 0x4000642e +.set CYDEV_UWRK_UWRK8_B0_UDB15_D0, 0x4000642f +.set CYDEV_UWRK_UWRK8_B0_UDB00_D1, 0x40006430 +.set CYDEV_UWRK_UWRK8_B0_UDB01_D1, 0x40006431 +.set CYDEV_UWRK_UWRK8_B0_UDB02_D1, 0x40006432 +.set CYDEV_UWRK_UWRK8_B0_UDB03_D1, 0x40006433 +.set CYDEV_UWRK_UWRK8_B0_UDB04_D1, 0x40006434 +.set CYDEV_UWRK_UWRK8_B0_UDB05_D1, 0x40006435 +.set CYDEV_UWRK_UWRK8_B0_UDB06_D1, 0x40006436 +.set CYDEV_UWRK_UWRK8_B0_UDB07_D1, 0x40006437 +.set CYDEV_UWRK_UWRK8_B0_UDB08_D1, 0x40006438 +.set CYDEV_UWRK_UWRK8_B0_UDB09_D1, 0x40006439 +.set CYDEV_UWRK_UWRK8_B0_UDB10_D1, 0x4000643a +.set CYDEV_UWRK_UWRK8_B0_UDB11_D1, 0x4000643b +.set CYDEV_UWRK_UWRK8_B0_UDB12_D1, 0x4000643c +.set CYDEV_UWRK_UWRK8_B0_UDB13_D1, 0x4000643d +.set CYDEV_UWRK_UWRK8_B0_UDB14_D1, 0x4000643e +.set CYDEV_UWRK_UWRK8_B0_UDB15_D1, 0x4000643f +.set CYDEV_UWRK_UWRK8_B0_UDB00_F0, 0x40006440 +.set CYDEV_UWRK_UWRK8_B0_UDB01_F0, 0x40006441 +.set CYDEV_UWRK_UWRK8_B0_UDB02_F0, 0x40006442 +.set CYDEV_UWRK_UWRK8_B0_UDB03_F0, 0x40006443 +.set CYDEV_UWRK_UWRK8_B0_UDB04_F0, 0x40006444 +.set CYDEV_UWRK_UWRK8_B0_UDB05_F0, 0x40006445 +.set CYDEV_UWRK_UWRK8_B0_UDB06_F0, 0x40006446 +.set CYDEV_UWRK_UWRK8_B0_UDB07_F0, 0x40006447 +.set CYDEV_UWRK_UWRK8_B0_UDB08_F0, 0x40006448 +.set CYDEV_UWRK_UWRK8_B0_UDB09_F0, 0x40006449 +.set CYDEV_UWRK_UWRK8_B0_UDB10_F0, 0x4000644a +.set CYDEV_UWRK_UWRK8_B0_UDB11_F0, 0x4000644b +.set CYDEV_UWRK_UWRK8_B0_UDB12_F0, 0x4000644c +.set CYDEV_UWRK_UWRK8_B0_UDB13_F0, 0x4000644d +.set CYDEV_UWRK_UWRK8_B0_UDB14_F0, 0x4000644e +.set CYDEV_UWRK_UWRK8_B0_UDB15_F0, 0x4000644f +.set CYDEV_UWRK_UWRK8_B0_UDB00_F1, 0x40006450 +.set CYDEV_UWRK_UWRK8_B0_UDB01_F1, 0x40006451 +.set CYDEV_UWRK_UWRK8_B0_UDB02_F1, 0x40006452 +.set CYDEV_UWRK_UWRK8_B0_UDB03_F1, 0x40006453 +.set CYDEV_UWRK_UWRK8_B0_UDB04_F1, 0x40006454 +.set CYDEV_UWRK_UWRK8_B0_UDB05_F1, 0x40006455 +.set CYDEV_UWRK_UWRK8_B0_UDB06_F1, 0x40006456 +.set CYDEV_UWRK_UWRK8_B0_UDB07_F1, 0x40006457 +.set CYDEV_UWRK_UWRK8_B0_UDB08_F1, 0x40006458 +.set CYDEV_UWRK_UWRK8_B0_UDB09_F1, 0x40006459 +.set CYDEV_UWRK_UWRK8_B0_UDB10_F1, 0x4000645a +.set CYDEV_UWRK_UWRK8_B0_UDB11_F1, 0x4000645b +.set CYDEV_UWRK_UWRK8_B0_UDB12_F1, 0x4000645c +.set CYDEV_UWRK_UWRK8_B0_UDB13_F1, 0x4000645d +.set CYDEV_UWRK_UWRK8_B0_UDB14_F1, 0x4000645e +.set CYDEV_UWRK_UWRK8_B0_UDB15_F1, 0x4000645f +.set CYDEV_UWRK_UWRK8_B0_UDB00_ST, 0x40006460 +.set CYDEV_UWRK_UWRK8_B0_UDB01_ST, 0x40006461 +.set CYDEV_UWRK_UWRK8_B0_UDB02_ST, 0x40006462 +.set CYDEV_UWRK_UWRK8_B0_UDB03_ST, 0x40006463 +.set CYDEV_UWRK_UWRK8_B0_UDB04_ST, 0x40006464 +.set CYDEV_UWRK_UWRK8_B0_UDB05_ST, 0x40006465 +.set CYDEV_UWRK_UWRK8_B0_UDB06_ST, 0x40006466 +.set CYDEV_UWRK_UWRK8_B0_UDB07_ST, 0x40006467 +.set CYDEV_UWRK_UWRK8_B0_UDB08_ST, 0x40006468 +.set CYDEV_UWRK_UWRK8_B0_UDB09_ST, 0x40006469 +.set CYDEV_UWRK_UWRK8_B0_UDB10_ST, 0x4000646a +.set CYDEV_UWRK_UWRK8_B0_UDB11_ST, 0x4000646b +.set CYDEV_UWRK_UWRK8_B0_UDB12_ST, 0x4000646c +.set CYDEV_UWRK_UWRK8_B0_UDB13_ST, 0x4000646d +.set CYDEV_UWRK_UWRK8_B0_UDB14_ST, 0x4000646e +.set CYDEV_UWRK_UWRK8_B0_UDB15_ST, 0x4000646f +.set CYDEV_UWRK_UWRK8_B0_UDB00_CTL, 0x40006470 +.set CYDEV_UWRK_UWRK8_B0_UDB01_CTL, 0x40006471 +.set CYDEV_UWRK_UWRK8_B0_UDB02_CTL, 0x40006472 +.set CYDEV_UWRK_UWRK8_B0_UDB03_CTL, 0x40006473 +.set CYDEV_UWRK_UWRK8_B0_UDB04_CTL, 0x40006474 +.set CYDEV_UWRK_UWRK8_B0_UDB05_CTL, 0x40006475 +.set CYDEV_UWRK_UWRK8_B0_UDB06_CTL, 0x40006476 +.set CYDEV_UWRK_UWRK8_B0_UDB07_CTL, 0x40006477 +.set CYDEV_UWRK_UWRK8_B0_UDB08_CTL, 0x40006478 +.set CYDEV_UWRK_UWRK8_B0_UDB09_CTL, 0x40006479 +.set CYDEV_UWRK_UWRK8_B0_UDB10_CTL, 0x4000647a +.set CYDEV_UWRK_UWRK8_B0_UDB11_CTL, 0x4000647b +.set CYDEV_UWRK_UWRK8_B0_UDB12_CTL, 0x4000647c +.set CYDEV_UWRK_UWRK8_B0_UDB13_CTL, 0x4000647d +.set CYDEV_UWRK_UWRK8_B0_UDB14_CTL, 0x4000647e +.set CYDEV_UWRK_UWRK8_B0_UDB15_CTL, 0x4000647f +.set CYDEV_UWRK_UWRK8_B0_UDB00_MSK, 0x40006480 +.set CYDEV_UWRK_UWRK8_B0_UDB01_MSK, 0x40006481 +.set CYDEV_UWRK_UWRK8_B0_UDB02_MSK, 0x40006482 +.set CYDEV_UWRK_UWRK8_B0_UDB03_MSK, 0x40006483 +.set CYDEV_UWRK_UWRK8_B0_UDB04_MSK, 0x40006484 +.set CYDEV_UWRK_UWRK8_B0_UDB05_MSK, 0x40006485 +.set CYDEV_UWRK_UWRK8_B0_UDB06_MSK, 0x40006486 +.set CYDEV_UWRK_UWRK8_B0_UDB07_MSK, 0x40006487 +.set CYDEV_UWRK_UWRK8_B0_UDB08_MSK, 0x40006488 +.set CYDEV_UWRK_UWRK8_B0_UDB09_MSK, 0x40006489 +.set CYDEV_UWRK_UWRK8_B0_UDB10_MSK, 0x4000648a +.set CYDEV_UWRK_UWRK8_B0_UDB11_MSK, 0x4000648b +.set CYDEV_UWRK_UWRK8_B0_UDB12_MSK, 0x4000648c +.set CYDEV_UWRK_UWRK8_B0_UDB13_MSK, 0x4000648d +.set CYDEV_UWRK_UWRK8_B0_UDB14_MSK, 0x4000648e +.set CYDEV_UWRK_UWRK8_B0_UDB15_MSK, 0x4000648f +.set CYDEV_UWRK_UWRK8_B0_UDB00_ACTL, 0x40006490 +.set CYDEV_UWRK_UWRK8_B0_UDB01_ACTL, 0x40006491 +.set CYDEV_UWRK_UWRK8_B0_UDB02_ACTL, 0x40006492 +.set CYDEV_UWRK_UWRK8_B0_UDB03_ACTL, 0x40006493 +.set CYDEV_UWRK_UWRK8_B0_UDB04_ACTL, 0x40006494 +.set CYDEV_UWRK_UWRK8_B0_UDB05_ACTL, 0x40006495 +.set CYDEV_UWRK_UWRK8_B0_UDB06_ACTL, 0x40006496 +.set CYDEV_UWRK_UWRK8_B0_UDB07_ACTL, 0x40006497 +.set CYDEV_UWRK_UWRK8_B0_UDB08_ACTL, 0x40006498 +.set CYDEV_UWRK_UWRK8_B0_UDB09_ACTL, 0x40006499 +.set CYDEV_UWRK_UWRK8_B0_UDB10_ACTL, 0x4000649a +.set CYDEV_UWRK_UWRK8_B0_UDB11_ACTL, 0x4000649b +.set CYDEV_UWRK_UWRK8_B0_UDB12_ACTL, 0x4000649c +.set CYDEV_UWRK_UWRK8_B0_UDB13_ACTL, 0x4000649d +.set CYDEV_UWRK_UWRK8_B0_UDB14_ACTL, 0x4000649e +.set CYDEV_UWRK_UWRK8_B0_UDB15_ACTL, 0x4000649f +.set CYDEV_UWRK_UWRK8_B0_UDB00_MC, 0x400064a0 +.set CYDEV_UWRK_UWRK8_B0_UDB01_MC, 0x400064a1 +.set CYDEV_UWRK_UWRK8_B0_UDB02_MC, 0x400064a2 +.set CYDEV_UWRK_UWRK8_B0_UDB03_MC, 0x400064a3 +.set CYDEV_UWRK_UWRK8_B0_UDB04_MC, 0x400064a4 +.set CYDEV_UWRK_UWRK8_B0_UDB05_MC, 0x400064a5 +.set CYDEV_UWRK_UWRK8_B0_UDB06_MC, 0x400064a6 +.set CYDEV_UWRK_UWRK8_B0_UDB07_MC, 0x400064a7 +.set CYDEV_UWRK_UWRK8_B0_UDB08_MC, 0x400064a8 +.set CYDEV_UWRK_UWRK8_B0_UDB09_MC, 0x400064a9 +.set CYDEV_UWRK_UWRK8_B0_UDB10_MC, 0x400064aa +.set CYDEV_UWRK_UWRK8_B0_UDB11_MC, 0x400064ab +.set CYDEV_UWRK_UWRK8_B0_UDB12_MC, 0x400064ac +.set CYDEV_UWRK_UWRK8_B0_UDB13_MC, 0x400064ad +.set CYDEV_UWRK_UWRK8_B0_UDB14_MC, 0x400064ae +.set CYDEV_UWRK_UWRK8_B0_UDB15_MC, 0x400064af +.set CYDEV_UWRK_UWRK8_B1_BASE, 0x40006500 +.set CYDEV_UWRK_UWRK8_B1_SIZE, 0x000000b0 +.set CYDEV_UWRK_UWRK8_B1_UDB04_A0, 0x40006504 +.set CYDEV_UWRK_UWRK8_B1_UDB05_A0, 0x40006505 +.set CYDEV_UWRK_UWRK8_B1_UDB06_A0, 0x40006506 +.set CYDEV_UWRK_UWRK8_B1_UDB07_A0, 0x40006507 +.set CYDEV_UWRK_UWRK8_B1_UDB08_A0, 0x40006508 +.set CYDEV_UWRK_UWRK8_B1_UDB09_A0, 0x40006509 +.set CYDEV_UWRK_UWRK8_B1_UDB10_A0, 0x4000650a +.set CYDEV_UWRK_UWRK8_B1_UDB11_A0, 0x4000650b +.set CYDEV_UWRK_UWRK8_B1_UDB04_A1, 0x40006514 +.set CYDEV_UWRK_UWRK8_B1_UDB05_A1, 0x40006515 +.set CYDEV_UWRK_UWRK8_B1_UDB06_A1, 0x40006516 +.set CYDEV_UWRK_UWRK8_B1_UDB07_A1, 0x40006517 +.set CYDEV_UWRK_UWRK8_B1_UDB08_A1, 0x40006518 +.set CYDEV_UWRK_UWRK8_B1_UDB09_A1, 0x40006519 +.set CYDEV_UWRK_UWRK8_B1_UDB10_A1, 0x4000651a +.set CYDEV_UWRK_UWRK8_B1_UDB11_A1, 0x4000651b +.set CYDEV_UWRK_UWRK8_B1_UDB04_D0, 0x40006524 +.set CYDEV_UWRK_UWRK8_B1_UDB05_D0, 0x40006525 +.set CYDEV_UWRK_UWRK8_B1_UDB06_D0, 0x40006526 +.set CYDEV_UWRK_UWRK8_B1_UDB07_D0, 0x40006527 +.set CYDEV_UWRK_UWRK8_B1_UDB08_D0, 0x40006528 +.set CYDEV_UWRK_UWRK8_B1_UDB09_D0, 0x40006529 +.set CYDEV_UWRK_UWRK8_B1_UDB10_D0, 0x4000652a +.set CYDEV_UWRK_UWRK8_B1_UDB11_D0, 0x4000652b +.set CYDEV_UWRK_UWRK8_B1_UDB04_D1, 0x40006534 +.set CYDEV_UWRK_UWRK8_B1_UDB05_D1, 0x40006535 +.set CYDEV_UWRK_UWRK8_B1_UDB06_D1, 0x40006536 +.set CYDEV_UWRK_UWRK8_B1_UDB07_D1, 0x40006537 +.set CYDEV_UWRK_UWRK8_B1_UDB08_D1, 0x40006538 +.set CYDEV_UWRK_UWRK8_B1_UDB09_D1, 0x40006539 +.set CYDEV_UWRK_UWRK8_B1_UDB10_D1, 0x4000653a +.set CYDEV_UWRK_UWRK8_B1_UDB11_D1, 0x4000653b +.set CYDEV_UWRK_UWRK8_B1_UDB04_F0, 0x40006544 +.set CYDEV_UWRK_UWRK8_B1_UDB05_F0, 0x40006545 +.set CYDEV_UWRK_UWRK8_B1_UDB06_F0, 0x40006546 +.set CYDEV_UWRK_UWRK8_B1_UDB07_F0, 0x40006547 +.set CYDEV_UWRK_UWRK8_B1_UDB08_F0, 0x40006548 +.set CYDEV_UWRK_UWRK8_B1_UDB09_F0, 0x40006549 +.set CYDEV_UWRK_UWRK8_B1_UDB10_F0, 0x4000654a +.set CYDEV_UWRK_UWRK8_B1_UDB11_F0, 0x4000654b +.set CYDEV_UWRK_UWRK8_B1_UDB04_F1, 0x40006554 +.set CYDEV_UWRK_UWRK8_B1_UDB05_F1, 0x40006555 +.set CYDEV_UWRK_UWRK8_B1_UDB06_F1, 0x40006556 +.set CYDEV_UWRK_UWRK8_B1_UDB07_F1, 0x40006557 +.set CYDEV_UWRK_UWRK8_B1_UDB08_F1, 0x40006558 +.set CYDEV_UWRK_UWRK8_B1_UDB09_F1, 0x40006559 +.set CYDEV_UWRK_UWRK8_B1_UDB10_F1, 0x4000655a +.set CYDEV_UWRK_UWRK8_B1_UDB11_F1, 0x4000655b +.set CYDEV_UWRK_UWRK8_B1_UDB04_ST, 0x40006564 +.set CYDEV_UWRK_UWRK8_B1_UDB05_ST, 0x40006565 +.set CYDEV_UWRK_UWRK8_B1_UDB06_ST, 0x40006566 +.set CYDEV_UWRK_UWRK8_B1_UDB07_ST, 0x40006567 +.set CYDEV_UWRK_UWRK8_B1_UDB08_ST, 0x40006568 +.set CYDEV_UWRK_UWRK8_B1_UDB09_ST, 0x40006569 +.set CYDEV_UWRK_UWRK8_B1_UDB10_ST, 0x4000656a +.set CYDEV_UWRK_UWRK8_B1_UDB11_ST, 0x4000656b +.set CYDEV_UWRK_UWRK8_B1_UDB04_CTL, 0x40006574 +.set CYDEV_UWRK_UWRK8_B1_UDB05_CTL, 0x40006575 +.set CYDEV_UWRK_UWRK8_B1_UDB06_CTL, 0x40006576 +.set CYDEV_UWRK_UWRK8_B1_UDB07_CTL, 0x40006577 +.set CYDEV_UWRK_UWRK8_B1_UDB08_CTL, 0x40006578 +.set CYDEV_UWRK_UWRK8_B1_UDB09_CTL, 0x40006579 +.set CYDEV_UWRK_UWRK8_B1_UDB10_CTL, 0x4000657a +.set CYDEV_UWRK_UWRK8_B1_UDB11_CTL, 0x4000657b +.set CYDEV_UWRK_UWRK8_B1_UDB04_MSK, 0x40006584 +.set CYDEV_UWRK_UWRK8_B1_UDB05_MSK, 0x40006585 +.set CYDEV_UWRK_UWRK8_B1_UDB06_MSK, 0x40006586 +.set CYDEV_UWRK_UWRK8_B1_UDB07_MSK, 0x40006587 +.set CYDEV_UWRK_UWRK8_B1_UDB08_MSK, 0x40006588 +.set CYDEV_UWRK_UWRK8_B1_UDB09_MSK, 0x40006589 +.set CYDEV_UWRK_UWRK8_B1_UDB10_MSK, 0x4000658a +.set CYDEV_UWRK_UWRK8_B1_UDB11_MSK, 0x4000658b +.set CYDEV_UWRK_UWRK8_B1_UDB04_ACTL, 0x40006594 +.set CYDEV_UWRK_UWRK8_B1_UDB05_ACTL, 0x40006595 +.set CYDEV_UWRK_UWRK8_B1_UDB06_ACTL, 0x40006596 +.set CYDEV_UWRK_UWRK8_B1_UDB07_ACTL, 0x40006597 +.set CYDEV_UWRK_UWRK8_B1_UDB08_ACTL, 0x40006598 +.set CYDEV_UWRK_UWRK8_B1_UDB09_ACTL, 0x40006599 +.set CYDEV_UWRK_UWRK8_B1_UDB10_ACTL, 0x4000659a +.set CYDEV_UWRK_UWRK8_B1_UDB11_ACTL, 0x4000659b +.set CYDEV_UWRK_UWRK8_B1_UDB04_MC, 0x400065a4 +.set CYDEV_UWRK_UWRK8_B1_UDB05_MC, 0x400065a5 +.set CYDEV_UWRK_UWRK8_B1_UDB06_MC, 0x400065a6 +.set CYDEV_UWRK_UWRK8_B1_UDB07_MC, 0x400065a7 +.set CYDEV_UWRK_UWRK8_B1_UDB08_MC, 0x400065a8 +.set CYDEV_UWRK_UWRK8_B1_UDB09_MC, 0x400065a9 +.set CYDEV_UWRK_UWRK8_B1_UDB10_MC, 0x400065aa +.set CYDEV_UWRK_UWRK8_B1_UDB11_MC, 0x400065ab +.set CYDEV_UWRK_UWRK16_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_SIZE, 0x00000160 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1, 0x40006802 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1, 0x40006804 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1, 0x40006806 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1, 0x40006808 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1, 0x4000680a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1, 0x4000680c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1, 0x4000680e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1, 0x40006810 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1, 0x40006812 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1, 0x40006814 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1, 0x40006816 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1, 0x40006818 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1, 0x4000681a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1, 0x4000681c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1, 0x4000681e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1, 0x40006840 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1, 0x40006842 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1, 0x40006844 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1, 0x40006846 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1, 0x40006848 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1, 0x4000684a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1, 0x4000684c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1, 0x4000684e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1, 0x40006850 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1, 0x40006852 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1, 0x40006854 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1, 0x40006856 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1, 0x40006858 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1, 0x4000685a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1, 0x4000685c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1, 0x4000685e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1, 0x40006880 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1, 0x40006882 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1, 0x40006884 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1, 0x40006886 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1, 0x40006888 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1, 0x4000688a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1, 0x4000688c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1, 0x4000688e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1, 0x40006890 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1, 0x40006892 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1, 0x40006894 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1, 0x40006896 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1, 0x40006898 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1, 0x4000689a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1, 0x4000689c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1, 0x4000689e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL, 0x400068c0 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL, 0x400068c2 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL, 0x400068c4 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL, 0x400068c6 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL, 0x400068c8 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL, 0x400068ca +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL, 0x400068cc +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL, 0x400068ce +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL, 0x400068d0 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL, 0x400068d2 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL, 0x400068d4 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL, 0x400068d6 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL, 0x400068d8 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL, 0x400068da +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL, 0x400068dc +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL, 0x400068de +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL, 0x40006900 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL, 0x40006902 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL, 0x40006904 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL, 0x40006906 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL, 0x40006908 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL, 0x4000690a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL, 0x4000690c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL, 0x4000690e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL, 0x40006910 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL, 0x40006912 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL, 0x40006914 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL, 0x40006916 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL, 0x40006918 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL, 0x4000691a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL, 0x4000691c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL, 0x4000691e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00, 0x40006940 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00, 0x40006942 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00, 0x40006944 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00, 0x40006946 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00, 0x40006948 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00, 0x4000694a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00, 0x4000694c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00, 0x4000694e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00, 0x40006950 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00, 0x40006952 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00, 0x40006954 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00, 0x40006956 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00, 0x40006958 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00, 0x4000695a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00, 0x4000695c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00, 0x4000695e +.set CYDEV_UWRK_UWRK16_CAT_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_CAT_B1_SIZE, 0x00000160 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1, 0x40006a08 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1, 0x40006a0a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1, 0x40006a0c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1, 0x40006a0e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1, 0x40006a10 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1, 0x40006a12 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1, 0x40006a14 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1, 0x40006a16 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1, 0x40006a48 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1, 0x40006a4a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1, 0x40006a4c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1, 0x40006a4e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1, 0x40006a50 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1, 0x40006a52 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1, 0x40006a54 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1, 0x40006a56 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1, 0x40006a88 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1, 0x40006a8a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1, 0x40006a8c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1, 0x40006a8e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1, 0x40006a90 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1, 0x40006a92 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1, 0x40006a94 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1, 0x40006a96 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL, 0x40006ac8 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL, 0x40006aca +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL, 0x40006acc +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL, 0x40006ace +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL, 0x40006ad0 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL, 0x40006ad2 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL, 0x40006ad4 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL, 0x40006ad6 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL, 0x40006b08 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL, 0x40006b0a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL, 0x40006b0c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL, 0x40006b0e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL, 0x40006b10 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL, 0x40006b12 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL, 0x40006b14 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL, 0x40006b16 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00, 0x40006b48 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00, 0x40006b4a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00, 0x40006b4c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00, 0x40006b4e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00, 0x40006b50 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00, 0x40006b52 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00, 0x40006b54 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00, 0x40006b56 +.set CYDEV_UWRK_UWRK16_DEF_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_SIZE, 0x0000075e +.set CYDEV_UWRK_UWRK16_DEF_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_SIZE, 0x0000015e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0, 0x40006802 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0, 0x40006804 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0, 0x40006806 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0, 0x40006808 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0, 0x4000680a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0, 0x4000680c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0, 0x4000680e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0, 0x40006810 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0, 0x40006812 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0, 0x40006814 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0, 0x40006816 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0, 0x40006818 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0, 0x4000681a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0, 0x4000681c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1, 0x40006820 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1, 0x40006822 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1, 0x40006824 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1, 0x40006826 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1, 0x40006828 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1, 0x4000682a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1, 0x4000682c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1, 0x4000682e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1, 0x40006830 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1, 0x40006832 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1, 0x40006834 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1, 0x40006836 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1, 0x40006838 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1, 0x4000683a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1, 0x4000683c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0, 0x40006840 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0, 0x40006842 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0, 0x40006844 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0, 0x40006846 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0, 0x40006848 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0, 0x4000684a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0, 0x4000684c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0, 0x4000684e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0, 0x40006850 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0, 0x40006852 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0, 0x40006854 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0, 0x40006856 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0, 0x40006858 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0, 0x4000685a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0, 0x4000685c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1, 0x40006860 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1, 0x40006862 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1, 0x40006864 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1, 0x40006866 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1, 0x40006868 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1, 0x4000686a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1, 0x4000686c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1, 0x4000686e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1, 0x40006870 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1, 0x40006872 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1, 0x40006874 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1, 0x40006876 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1, 0x40006878 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1, 0x4000687a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1, 0x4000687c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0, 0x40006880 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0, 0x40006882 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0, 0x40006884 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0, 0x40006886 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0, 0x40006888 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0, 0x4000688a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0, 0x4000688c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0, 0x4000688e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0, 0x40006890 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0, 0x40006892 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0, 0x40006894 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0, 0x40006896 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0, 0x40006898 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0, 0x4000689a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0, 0x4000689c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1, 0x400068a0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1, 0x400068a2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1, 0x400068a4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1, 0x400068a6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1, 0x400068a8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1, 0x400068aa +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1, 0x400068ac +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1, 0x400068ae +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1, 0x400068b0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1, 0x400068b2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1, 0x400068b4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1, 0x400068b6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1, 0x400068b8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1, 0x400068ba +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1, 0x400068bc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST, 0x400068c0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST, 0x400068c2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST, 0x400068c4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST, 0x400068c6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST, 0x400068c8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST, 0x400068ca +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST, 0x400068cc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST, 0x400068ce +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST, 0x400068d0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST, 0x400068d2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST, 0x400068d4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST, 0x400068d6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST, 0x400068d8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST, 0x400068da +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST, 0x400068dc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL, 0x400068e0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL, 0x400068e2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL, 0x400068e4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL, 0x400068e6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL, 0x400068e8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL, 0x400068ea +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL, 0x400068ec +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL, 0x400068ee +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL, 0x400068f0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL, 0x400068f2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL, 0x400068f4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL, 0x400068f6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL, 0x400068f8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL, 0x400068fa +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL, 0x400068fc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK, 0x40006900 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK, 0x40006902 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK, 0x40006904 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK, 0x40006906 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK, 0x40006908 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK, 0x4000690a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK, 0x4000690c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK, 0x4000690e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK, 0x40006910 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK, 0x40006912 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK, 0x40006914 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK, 0x40006916 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK, 0x40006918 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK, 0x4000691a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK, 0x4000691c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL, 0x40006920 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL, 0x40006922 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL, 0x40006924 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL, 0x40006926 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL, 0x40006928 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL, 0x4000692a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL, 0x4000692c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL, 0x4000692e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL, 0x40006930 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL, 0x40006932 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL, 0x40006934 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL, 0x40006936 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL, 0x40006938 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL, 0x4000693a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL, 0x4000693c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC, 0x40006940 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC, 0x40006942 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC, 0x40006944 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC, 0x40006946 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC, 0x40006948 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC, 0x4000694a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC, 0x4000694c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC, 0x4000694e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC, 0x40006950 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC, 0x40006952 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC, 0x40006954 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC, 0x40006956 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC, 0x40006958 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC, 0x4000695a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC, 0x4000695c +.set CYDEV_UWRK_UWRK16_DEF_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_DEF_B1_SIZE, 0x0000015e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0, 0x40006a08 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0, 0x40006a0a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0, 0x40006a0c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0, 0x40006a0e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0, 0x40006a10 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0, 0x40006a12 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0, 0x40006a14 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0, 0x40006a16 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1, 0x40006a28 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1, 0x40006a2a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1, 0x40006a2c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1, 0x40006a2e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1, 0x40006a30 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1, 0x40006a32 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1, 0x40006a34 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1, 0x40006a36 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0, 0x40006a48 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0, 0x40006a4a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0, 0x40006a4c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0, 0x40006a4e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0, 0x40006a50 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0, 0x40006a52 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0, 0x40006a54 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0, 0x40006a56 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1, 0x40006a68 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1, 0x40006a6a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1, 0x40006a6c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1, 0x40006a6e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1, 0x40006a70 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1, 0x40006a72 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1, 0x40006a74 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1, 0x40006a76 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0, 0x40006a88 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0, 0x40006a8a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0, 0x40006a8c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0, 0x40006a8e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0, 0x40006a90 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0, 0x40006a92 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0, 0x40006a94 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0, 0x40006a96 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1, 0x40006aa8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1, 0x40006aaa +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1, 0x40006aac +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1, 0x40006aae +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1, 0x40006ab0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1, 0x40006ab2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1, 0x40006ab4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1, 0x40006ab6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST, 0x40006ac8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST, 0x40006aca +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST, 0x40006acc +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST, 0x40006ace +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST, 0x40006ad0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST, 0x40006ad2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST, 0x40006ad4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST, 0x40006ad6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL, 0x40006ae8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL, 0x40006aea +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL, 0x40006aec +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL, 0x40006aee +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL, 0x40006af0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL, 0x40006af2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL, 0x40006af4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL, 0x40006af6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK, 0x40006b08 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK, 0x40006b0a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK, 0x40006b0c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK, 0x40006b0e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK, 0x40006b10 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK, 0x40006b12 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK, 0x40006b14 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK, 0x40006b16 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL, 0x40006b28 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL, 0x40006b2a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL, 0x40006b2c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL, 0x40006b2e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL, 0x40006b30 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL, 0x40006b32 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL, 0x40006b34 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL, 0x40006b36 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC, 0x40006b48 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC, 0x40006b4a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC, 0x40006b4c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC, 0x40006b4e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC, 0x40006b50 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC, 0x40006b52 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC, 0x40006b54 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC, 0x40006b56 +.set CYDEV_PHUB_BASE, 0x40007000 +.set CYDEV_PHUB_SIZE, 0x00000c00 +.set CYDEV_PHUB_CFG, 0x40007000 +.set CYDEV_PHUB_ERR, 0x40007004 +.set CYDEV_PHUB_ERR_ADR, 0x40007008 +.set CYDEV_PHUB_CH0_BASE, 0x40007010 +.set CYDEV_PHUB_CH0_SIZE, 0x0000000c +.set CYDEV_PHUB_CH0_BASIC_CFG, 0x40007010 +.set CYDEV_PHUB_CH0_ACTION, 0x40007014 +.set CYDEV_PHUB_CH0_BASIC_STATUS, 0x40007018 +.set CYDEV_PHUB_CH1_BASE, 0x40007020 +.set CYDEV_PHUB_CH1_SIZE, 0x0000000c +.set CYDEV_PHUB_CH1_BASIC_CFG, 0x40007020 +.set CYDEV_PHUB_CH1_ACTION, 0x40007024 +.set CYDEV_PHUB_CH1_BASIC_STATUS, 0x40007028 +.set CYDEV_PHUB_CH2_BASE, 0x40007030 +.set CYDEV_PHUB_CH2_SIZE, 0x0000000c +.set CYDEV_PHUB_CH2_BASIC_CFG, 0x40007030 +.set CYDEV_PHUB_CH2_ACTION, 0x40007034 +.set CYDEV_PHUB_CH2_BASIC_STATUS, 0x40007038 +.set CYDEV_PHUB_CH3_BASE, 0x40007040 +.set CYDEV_PHUB_CH3_SIZE, 0x0000000c +.set CYDEV_PHUB_CH3_BASIC_CFG, 0x40007040 +.set CYDEV_PHUB_CH3_ACTION, 0x40007044 +.set CYDEV_PHUB_CH3_BASIC_STATUS, 0x40007048 +.set CYDEV_PHUB_CH4_BASE, 0x40007050 +.set CYDEV_PHUB_CH4_SIZE, 0x0000000c +.set CYDEV_PHUB_CH4_BASIC_CFG, 0x40007050 +.set CYDEV_PHUB_CH4_ACTION, 0x40007054 +.set CYDEV_PHUB_CH4_BASIC_STATUS, 0x40007058 +.set CYDEV_PHUB_CH5_BASE, 0x40007060 +.set CYDEV_PHUB_CH5_SIZE, 0x0000000c +.set CYDEV_PHUB_CH5_BASIC_CFG, 0x40007060 +.set CYDEV_PHUB_CH5_ACTION, 0x40007064 +.set CYDEV_PHUB_CH5_BASIC_STATUS, 0x40007068 +.set CYDEV_PHUB_CH6_BASE, 0x40007070 +.set CYDEV_PHUB_CH6_SIZE, 0x0000000c +.set CYDEV_PHUB_CH6_BASIC_CFG, 0x40007070 +.set CYDEV_PHUB_CH6_ACTION, 0x40007074 +.set CYDEV_PHUB_CH6_BASIC_STATUS, 0x40007078 +.set CYDEV_PHUB_CH7_BASE, 0x40007080 +.set CYDEV_PHUB_CH7_SIZE, 0x0000000c +.set CYDEV_PHUB_CH7_BASIC_CFG, 0x40007080 +.set CYDEV_PHUB_CH7_ACTION, 0x40007084 +.set CYDEV_PHUB_CH7_BASIC_STATUS, 0x40007088 +.set CYDEV_PHUB_CH8_BASE, 0x40007090 +.set CYDEV_PHUB_CH8_SIZE, 0x0000000c +.set CYDEV_PHUB_CH8_BASIC_CFG, 0x40007090 +.set CYDEV_PHUB_CH8_ACTION, 0x40007094 +.set CYDEV_PHUB_CH8_BASIC_STATUS, 0x40007098 +.set CYDEV_PHUB_CH9_BASE, 0x400070a0 +.set CYDEV_PHUB_CH9_SIZE, 0x0000000c +.set CYDEV_PHUB_CH9_BASIC_CFG, 0x400070a0 +.set CYDEV_PHUB_CH9_ACTION, 0x400070a4 +.set CYDEV_PHUB_CH9_BASIC_STATUS, 0x400070a8 +.set CYDEV_PHUB_CH10_BASE, 0x400070b0 +.set CYDEV_PHUB_CH10_SIZE, 0x0000000c +.set CYDEV_PHUB_CH10_BASIC_CFG, 0x400070b0 +.set CYDEV_PHUB_CH10_ACTION, 0x400070b4 +.set CYDEV_PHUB_CH10_BASIC_STATUS, 0x400070b8 +.set CYDEV_PHUB_CH11_BASE, 0x400070c0 +.set CYDEV_PHUB_CH11_SIZE, 0x0000000c +.set CYDEV_PHUB_CH11_BASIC_CFG, 0x400070c0 +.set CYDEV_PHUB_CH11_ACTION, 0x400070c4 +.set CYDEV_PHUB_CH11_BASIC_STATUS, 0x400070c8 +.set CYDEV_PHUB_CH12_BASE, 0x400070d0 +.set CYDEV_PHUB_CH12_SIZE, 0x0000000c +.set CYDEV_PHUB_CH12_BASIC_CFG, 0x400070d0 +.set CYDEV_PHUB_CH12_ACTION, 0x400070d4 +.set CYDEV_PHUB_CH12_BASIC_STATUS, 0x400070d8 +.set CYDEV_PHUB_CH13_BASE, 0x400070e0 +.set CYDEV_PHUB_CH13_SIZE, 0x0000000c +.set CYDEV_PHUB_CH13_BASIC_CFG, 0x400070e0 +.set CYDEV_PHUB_CH13_ACTION, 0x400070e4 +.set CYDEV_PHUB_CH13_BASIC_STATUS, 0x400070e8 +.set CYDEV_PHUB_CH14_BASE, 0x400070f0 +.set CYDEV_PHUB_CH14_SIZE, 0x0000000c +.set CYDEV_PHUB_CH14_BASIC_CFG, 0x400070f0 +.set CYDEV_PHUB_CH14_ACTION, 0x400070f4 +.set CYDEV_PHUB_CH14_BASIC_STATUS, 0x400070f8 +.set CYDEV_PHUB_CH15_BASE, 0x40007100 +.set CYDEV_PHUB_CH15_SIZE, 0x0000000c +.set CYDEV_PHUB_CH15_BASIC_CFG, 0x40007100 +.set CYDEV_PHUB_CH15_ACTION, 0x40007104 +.set CYDEV_PHUB_CH15_BASIC_STATUS, 0x40007108 +.set CYDEV_PHUB_CH16_BASE, 0x40007110 +.set CYDEV_PHUB_CH16_SIZE, 0x0000000c +.set CYDEV_PHUB_CH16_BASIC_CFG, 0x40007110 +.set CYDEV_PHUB_CH16_ACTION, 0x40007114 +.set CYDEV_PHUB_CH16_BASIC_STATUS, 0x40007118 +.set CYDEV_PHUB_CH17_BASE, 0x40007120 +.set CYDEV_PHUB_CH17_SIZE, 0x0000000c +.set CYDEV_PHUB_CH17_BASIC_CFG, 0x40007120 +.set CYDEV_PHUB_CH17_ACTION, 0x40007124 +.set CYDEV_PHUB_CH17_BASIC_STATUS, 0x40007128 +.set CYDEV_PHUB_CH18_BASE, 0x40007130 +.set CYDEV_PHUB_CH18_SIZE, 0x0000000c +.set CYDEV_PHUB_CH18_BASIC_CFG, 0x40007130 +.set CYDEV_PHUB_CH18_ACTION, 0x40007134 +.set CYDEV_PHUB_CH18_BASIC_STATUS, 0x40007138 +.set CYDEV_PHUB_CH19_BASE, 0x40007140 +.set CYDEV_PHUB_CH19_SIZE, 0x0000000c +.set CYDEV_PHUB_CH19_BASIC_CFG, 0x40007140 +.set CYDEV_PHUB_CH19_ACTION, 0x40007144 +.set CYDEV_PHUB_CH19_BASIC_STATUS, 0x40007148 +.set CYDEV_PHUB_CH20_BASE, 0x40007150 +.set CYDEV_PHUB_CH20_SIZE, 0x0000000c +.set CYDEV_PHUB_CH20_BASIC_CFG, 0x40007150 +.set CYDEV_PHUB_CH20_ACTION, 0x40007154 +.set CYDEV_PHUB_CH20_BASIC_STATUS, 0x40007158 +.set CYDEV_PHUB_CH21_BASE, 0x40007160 +.set CYDEV_PHUB_CH21_SIZE, 0x0000000c +.set CYDEV_PHUB_CH21_BASIC_CFG, 0x40007160 +.set CYDEV_PHUB_CH21_ACTION, 0x40007164 +.set CYDEV_PHUB_CH21_BASIC_STATUS, 0x40007168 +.set CYDEV_PHUB_CH22_BASE, 0x40007170 +.set CYDEV_PHUB_CH22_SIZE, 0x0000000c +.set CYDEV_PHUB_CH22_BASIC_CFG, 0x40007170 +.set CYDEV_PHUB_CH22_ACTION, 0x40007174 +.set CYDEV_PHUB_CH22_BASIC_STATUS, 0x40007178 +.set CYDEV_PHUB_CH23_BASE, 0x40007180 +.set CYDEV_PHUB_CH23_SIZE, 0x0000000c +.set CYDEV_PHUB_CH23_BASIC_CFG, 0x40007180 +.set CYDEV_PHUB_CH23_ACTION, 0x40007184 +.set CYDEV_PHUB_CH23_BASIC_STATUS, 0x40007188 +.set CYDEV_PHUB_CFGMEM0_BASE, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM0_CFG0, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_CFG1, 0x40007604 +.set CYDEV_PHUB_CFGMEM1_BASE, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM1_CFG0, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_CFG1, 0x4000760c +.set CYDEV_PHUB_CFGMEM2_BASE, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM2_CFG0, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_CFG1, 0x40007614 +.set CYDEV_PHUB_CFGMEM3_BASE, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM3_CFG0, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_CFG1, 0x4000761c +.set CYDEV_PHUB_CFGMEM4_BASE, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM4_CFG0, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_CFG1, 0x40007624 +.set CYDEV_PHUB_CFGMEM5_BASE, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM5_CFG0, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_CFG1, 0x4000762c +.set CYDEV_PHUB_CFGMEM6_BASE, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM6_CFG0, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_CFG1, 0x40007634 +.set CYDEV_PHUB_CFGMEM7_BASE, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM7_CFG0, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_CFG1, 0x4000763c +.set CYDEV_PHUB_CFGMEM8_BASE, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM8_CFG0, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_CFG1, 0x40007644 +.set CYDEV_PHUB_CFGMEM9_BASE, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM9_CFG0, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_CFG1, 0x4000764c +.set CYDEV_PHUB_CFGMEM10_BASE, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM10_CFG0, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_CFG1, 0x40007654 +.set CYDEV_PHUB_CFGMEM11_BASE, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM11_CFG0, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_CFG1, 0x4000765c +.set CYDEV_PHUB_CFGMEM12_BASE, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM12_CFG0, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_CFG1, 0x40007664 +.set CYDEV_PHUB_CFGMEM13_BASE, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM13_CFG0, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_CFG1, 0x4000766c +.set CYDEV_PHUB_CFGMEM14_BASE, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM14_CFG0, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_CFG1, 0x40007674 +.set CYDEV_PHUB_CFGMEM15_BASE, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM15_CFG0, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_CFG1, 0x4000767c +.set CYDEV_PHUB_CFGMEM16_BASE, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM16_CFG0, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_CFG1, 0x40007684 +.set CYDEV_PHUB_CFGMEM17_BASE, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM17_CFG0, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_CFG1, 0x4000768c +.set CYDEV_PHUB_CFGMEM18_BASE, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM18_CFG0, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_CFG1, 0x40007694 +.set CYDEV_PHUB_CFGMEM19_BASE, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM19_CFG0, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_CFG1, 0x4000769c +.set CYDEV_PHUB_CFGMEM20_BASE, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM20_CFG0, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_CFG1, 0x400076a4 +.set CYDEV_PHUB_CFGMEM21_BASE, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM21_CFG0, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_CFG1, 0x400076ac +.set CYDEV_PHUB_CFGMEM22_BASE, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM22_CFG0, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_CFG1, 0x400076b4 +.set CYDEV_PHUB_CFGMEM23_BASE, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM23_CFG0, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_CFG1, 0x400076bc +.set CYDEV_PHUB_TDMEM0_BASE, 0x40007800 +.set CYDEV_PHUB_TDMEM0_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM0_ORIG_TD0, 0x40007800 +.set CYDEV_PHUB_TDMEM0_ORIG_TD1, 0x40007804 +.set CYDEV_PHUB_TDMEM1_BASE, 0x40007808 +.set CYDEV_PHUB_TDMEM1_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM1_ORIG_TD0, 0x40007808 +.set CYDEV_PHUB_TDMEM1_ORIG_TD1, 0x4000780c +.set CYDEV_PHUB_TDMEM2_BASE, 0x40007810 +.set CYDEV_PHUB_TDMEM2_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM2_ORIG_TD0, 0x40007810 +.set CYDEV_PHUB_TDMEM2_ORIG_TD1, 0x40007814 +.set CYDEV_PHUB_TDMEM3_BASE, 0x40007818 +.set CYDEV_PHUB_TDMEM3_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM3_ORIG_TD0, 0x40007818 +.set CYDEV_PHUB_TDMEM3_ORIG_TD1, 0x4000781c +.set CYDEV_PHUB_TDMEM4_BASE, 0x40007820 +.set CYDEV_PHUB_TDMEM4_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM4_ORIG_TD0, 0x40007820 +.set CYDEV_PHUB_TDMEM4_ORIG_TD1, 0x40007824 +.set CYDEV_PHUB_TDMEM5_BASE, 0x40007828 +.set CYDEV_PHUB_TDMEM5_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM5_ORIG_TD0, 0x40007828 +.set CYDEV_PHUB_TDMEM5_ORIG_TD1, 0x4000782c +.set CYDEV_PHUB_TDMEM6_BASE, 0x40007830 +.set CYDEV_PHUB_TDMEM6_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM6_ORIG_TD0, 0x40007830 +.set CYDEV_PHUB_TDMEM6_ORIG_TD1, 0x40007834 +.set CYDEV_PHUB_TDMEM7_BASE, 0x40007838 +.set CYDEV_PHUB_TDMEM7_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM7_ORIG_TD0, 0x40007838 +.set CYDEV_PHUB_TDMEM7_ORIG_TD1, 0x4000783c +.set CYDEV_PHUB_TDMEM8_BASE, 0x40007840 +.set CYDEV_PHUB_TDMEM8_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM8_ORIG_TD0, 0x40007840 +.set CYDEV_PHUB_TDMEM8_ORIG_TD1, 0x40007844 +.set CYDEV_PHUB_TDMEM9_BASE, 0x40007848 +.set CYDEV_PHUB_TDMEM9_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM9_ORIG_TD0, 0x40007848 +.set CYDEV_PHUB_TDMEM9_ORIG_TD1, 0x4000784c +.set CYDEV_PHUB_TDMEM10_BASE, 0x40007850 +.set CYDEV_PHUB_TDMEM10_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM10_ORIG_TD0, 0x40007850 +.set CYDEV_PHUB_TDMEM10_ORIG_TD1, 0x40007854 +.set CYDEV_PHUB_TDMEM11_BASE, 0x40007858 +.set CYDEV_PHUB_TDMEM11_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM11_ORIG_TD0, 0x40007858 +.set CYDEV_PHUB_TDMEM11_ORIG_TD1, 0x4000785c +.set CYDEV_PHUB_TDMEM12_BASE, 0x40007860 +.set CYDEV_PHUB_TDMEM12_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM12_ORIG_TD0, 0x40007860 +.set CYDEV_PHUB_TDMEM12_ORIG_TD1, 0x40007864 +.set CYDEV_PHUB_TDMEM13_BASE, 0x40007868 +.set CYDEV_PHUB_TDMEM13_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM13_ORIG_TD0, 0x40007868 +.set CYDEV_PHUB_TDMEM13_ORIG_TD1, 0x4000786c +.set CYDEV_PHUB_TDMEM14_BASE, 0x40007870 +.set CYDEV_PHUB_TDMEM14_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM14_ORIG_TD0, 0x40007870 +.set CYDEV_PHUB_TDMEM14_ORIG_TD1, 0x40007874 +.set CYDEV_PHUB_TDMEM15_BASE, 0x40007878 +.set CYDEV_PHUB_TDMEM15_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM15_ORIG_TD0, 0x40007878 +.set CYDEV_PHUB_TDMEM15_ORIG_TD1, 0x4000787c +.set CYDEV_PHUB_TDMEM16_BASE, 0x40007880 +.set CYDEV_PHUB_TDMEM16_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM16_ORIG_TD0, 0x40007880 +.set CYDEV_PHUB_TDMEM16_ORIG_TD1, 0x40007884 +.set CYDEV_PHUB_TDMEM17_BASE, 0x40007888 +.set CYDEV_PHUB_TDMEM17_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM17_ORIG_TD0, 0x40007888 +.set CYDEV_PHUB_TDMEM17_ORIG_TD1, 0x4000788c +.set CYDEV_PHUB_TDMEM18_BASE, 0x40007890 +.set CYDEV_PHUB_TDMEM18_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM18_ORIG_TD0, 0x40007890 +.set CYDEV_PHUB_TDMEM18_ORIG_TD1, 0x40007894 +.set CYDEV_PHUB_TDMEM19_BASE, 0x40007898 +.set CYDEV_PHUB_TDMEM19_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM19_ORIG_TD0, 0x40007898 +.set CYDEV_PHUB_TDMEM19_ORIG_TD1, 0x4000789c +.set CYDEV_PHUB_TDMEM20_BASE, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM20_ORIG_TD0, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_ORIG_TD1, 0x400078a4 +.set CYDEV_PHUB_TDMEM21_BASE, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM21_ORIG_TD0, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_ORIG_TD1, 0x400078ac +.set CYDEV_PHUB_TDMEM22_BASE, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM22_ORIG_TD0, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_ORIG_TD1, 0x400078b4 +.set CYDEV_PHUB_TDMEM23_BASE, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM23_ORIG_TD0, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_ORIG_TD1, 0x400078bc +.set CYDEV_PHUB_TDMEM24_BASE, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM24_ORIG_TD0, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_ORIG_TD1, 0x400078c4 +.set CYDEV_PHUB_TDMEM25_BASE, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM25_ORIG_TD0, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_ORIG_TD1, 0x400078cc +.set CYDEV_PHUB_TDMEM26_BASE, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM26_ORIG_TD0, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_ORIG_TD1, 0x400078d4 +.set CYDEV_PHUB_TDMEM27_BASE, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM27_ORIG_TD0, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_ORIG_TD1, 0x400078dc +.set CYDEV_PHUB_TDMEM28_BASE, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM28_ORIG_TD0, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_ORIG_TD1, 0x400078e4 +.set CYDEV_PHUB_TDMEM29_BASE, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM29_ORIG_TD0, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_ORIG_TD1, 0x400078ec +.set CYDEV_PHUB_TDMEM30_BASE, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM30_ORIG_TD0, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_ORIG_TD1, 0x400078f4 +.set CYDEV_PHUB_TDMEM31_BASE, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM31_ORIG_TD0, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_ORIG_TD1, 0x400078fc +.set CYDEV_PHUB_TDMEM32_BASE, 0x40007900 +.set CYDEV_PHUB_TDMEM32_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM32_ORIG_TD0, 0x40007900 +.set CYDEV_PHUB_TDMEM32_ORIG_TD1, 0x40007904 +.set CYDEV_PHUB_TDMEM33_BASE, 0x40007908 +.set CYDEV_PHUB_TDMEM33_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM33_ORIG_TD0, 0x40007908 +.set CYDEV_PHUB_TDMEM33_ORIG_TD1, 0x4000790c +.set CYDEV_PHUB_TDMEM34_BASE, 0x40007910 +.set CYDEV_PHUB_TDMEM34_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM34_ORIG_TD0, 0x40007910 +.set CYDEV_PHUB_TDMEM34_ORIG_TD1, 0x40007914 +.set CYDEV_PHUB_TDMEM35_BASE, 0x40007918 +.set CYDEV_PHUB_TDMEM35_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM35_ORIG_TD0, 0x40007918 +.set CYDEV_PHUB_TDMEM35_ORIG_TD1, 0x4000791c +.set CYDEV_PHUB_TDMEM36_BASE, 0x40007920 +.set CYDEV_PHUB_TDMEM36_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM36_ORIG_TD0, 0x40007920 +.set CYDEV_PHUB_TDMEM36_ORIG_TD1, 0x40007924 +.set CYDEV_PHUB_TDMEM37_BASE, 0x40007928 +.set CYDEV_PHUB_TDMEM37_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM37_ORIG_TD0, 0x40007928 +.set CYDEV_PHUB_TDMEM37_ORIG_TD1, 0x4000792c +.set CYDEV_PHUB_TDMEM38_BASE, 0x40007930 +.set CYDEV_PHUB_TDMEM38_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM38_ORIG_TD0, 0x40007930 +.set CYDEV_PHUB_TDMEM38_ORIG_TD1, 0x40007934 +.set CYDEV_PHUB_TDMEM39_BASE, 0x40007938 +.set CYDEV_PHUB_TDMEM39_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM39_ORIG_TD0, 0x40007938 +.set CYDEV_PHUB_TDMEM39_ORIG_TD1, 0x4000793c +.set CYDEV_PHUB_TDMEM40_BASE, 0x40007940 +.set CYDEV_PHUB_TDMEM40_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM40_ORIG_TD0, 0x40007940 +.set CYDEV_PHUB_TDMEM40_ORIG_TD1, 0x40007944 +.set CYDEV_PHUB_TDMEM41_BASE, 0x40007948 +.set CYDEV_PHUB_TDMEM41_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM41_ORIG_TD0, 0x40007948 +.set CYDEV_PHUB_TDMEM41_ORIG_TD1, 0x4000794c +.set CYDEV_PHUB_TDMEM42_BASE, 0x40007950 +.set CYDEV_PHUB_TDMEM42_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM42_ORIG_TD0, 0x40007950 +.set CYDEV_PHUB_TDMEM42_ORIG_TD1, 0x40007954 +.set CYDEV_PHUB_TDMEM43_BASE, 0x40007958 +.set CYDEV_PHUB_TDMEM43_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM43_ORIG_TD0, 0x40007958 +.set CYDEV_PHUB_TDMEM43_ORIG_TD1, 0x4000795c +.set CYDEV_PHUB_TDMEM44_BASE, 0x40007960 +.set CYDEV_PHUB_TDMEM44_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM44_ORIG_TD0, 0x40007960 +.set CYDEV_PHUB_TDMEM44_ORIG_TD1, 0x40007964 +.set CYDEV_PHUB_TDMEM45_BASE, 0x40007968 +.set CYDEV_PHUB_TDMEM45_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM45_ORIG_TD0, 0x40007968 +.set CYDEV_PHUB_TDMEM45_ORIG_TD1, 0x4000796c +.set CYDEV_PHUB_TDMEM46_BASE, 0x40007970 +.set CYDEV_PHUB_TDMEM46_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM46_ORIG_TD0, 0x40007970 +.set CYDEV_PHUB_TDMEM46_ORIG_TD1, 0x40007974 +.set CYDEV_PHUB_TDMEM47_BASE, 0x40007978 +.set CYDEV_PHUB_TDMEM47_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM47_ORIG_TD0, 0x40007978 +.set CYDEV_PHUB_TDMEM47_ORIG_TD1, 0x4000797c +.set CYDEV_PHUB_TDMEM48_BASE, 0x40007980 +.set CYDEV_PHUB_TDMEM48_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM48_ORIG_TD0, 0x40007980 +.set CYDEV_PHUB_TDMEM48_ORIG_TD1, 0x40007984 +.set CYDEV_PHUB_TDMEM49_BASE, 0x40007988 +.set CYDEV_PHUB_TDMEM49_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM49_ORIG_TD0, 0x40007988 +.set CYDEV_PHUB_TDMEM49_ORIG_TD1, 0x4000798c +.set CYDEV_PHUB_TDMEM50_BASE, 0x40007990 +.set CYDEV_PHUB_TDMEM50_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM50_ORIG_TD0, 0x40007990 +.set CYDEV_PHUB_TDMEM50_ORIG_TD1, 0x40007994 +.set CYDEV_PHUB_TDMEM51_BASE, 0x40007998 +.set CYDEV_PHUB_TDMEM51_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM51_ORIG_TD0, 0x40007998 +.set CYDEV_PHUB_TDMEM51_ORIG_TD1, 0x4000799c +.set CYDEV_PHUB_TDMEM52_BASE, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM52_ORIG_TD0, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_ORIG_TD1, 0x400079a4 +.set CYDEV_PHUB_TDMEM53_BASE, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM53_ORIG_TD0, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_ORIG_TD1, 0x400079ac +.set CYDEV_PHUB_TDMEM54_BASE, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM54_ORIG_TD0, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_ORIG_TD1, 0x400079b4 +.set CYDEV_PHUB_TDMEM55_BASE, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM55_ORIG_TD0, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_ORIG_TD1, 0x400079bc +.set CYDEV_PHUB_TDMEM56_BASE, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM56_ORIG_TD0, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_ORIG_TD1, 0x400079c4 +.set CYDEV_PHUB_TDMEM57_BASE, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM57_ORIG_TD0, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_ORIG_TD1, 0x400079cc +.set CYDEV_PHUB_TDMEM58_BASE, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM58_ORIG_TD0, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_ORIG_TD1, 0x400079d4 +.set CYDEV_PHUB_TDMEM59_BASE, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM59_ORIG_TD0, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_ORIG_TD1, 0x400079dc +.set CYDEV_PHUB_TDMEM60_BASE, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM60_ORIG_TD0, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_ORIG_TD1, 0x400079e4 +.set CYDEV_PHUB_TDMEM61_BASE, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM61_ORIG_TD0, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_ORIG_TD1, 0x400079ec +.set CYDEV_PHUB_TDMEM62_BASE, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM62_ORIG_TD0, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_ORIG_TD1, 0x400079f4 +.set CYDEV_PHUB_TDMEM63_BASE, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM63_ORIG_TD0, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_ORIG_TD1, 0x400079fc +.set CYDEV_PHUB_TDMEM64_BASE, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM64_ORIG_TD0, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_ORIG_TD1, 0x40007a04 +.set CYDEV_PHUB_TDMEM65_BASE, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM65_ORIG_TD0, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_ORIG_TD1, 0x40007a0c +.set CYDEV_PHUB_TDMEM66_BASE, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM66_ORIG_TD0, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_ORIG_TD1, 0x40007a14 +.set CYDEV_PHUB_TDMEM67_BASE, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM67_ORIG_TD0, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_ORIG_TD1, 0x40007a1c +.set CYDEV_PHUB_TDMEM68_BASE, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM68_ORIG_TD0, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_ORIG_TD1, 0x40007a24 +.set CYDEV_PHUB_TDMEM69_BASE, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM69_ORIG_TD0, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_ORIG_TD1, 0x40007a2c +.set CYDEV_PHUB_TDMEM70_BASE, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM70_ORIG_TD0, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_ORIG_TD1, 0x40007a34 +.set CYDEV_PHUB_TDMEM71_BASE, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM71_ORIG_TD0, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_ORIG_TD1, 0x40007a3c +.set CYDEV_PHUB_TDMEM72_BASE, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM72_ORIG_TD0, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_ORIG_TD1, 0x40007a44 +.set CYDEV_PHUB_TDMEM73_BASE, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM73_ORIG_TD0, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_ORIG_TD1, 0x40007a4c +.set CYDEV_PHUB_TDMEM74_BASE, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM74_ORIG_TD0, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_ORIG_TD1, 0x40007a54 +.set CYDEV_PHUB_TDMEM75_BASE, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM75_ORIG_TD0, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_ORIG_TD1, 0x40007a5c +.set CYDEV_PHUB_TDMEM76_BASE, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM76_ORIG_TD0, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_ORIG_TD1, 0x40007a64 +.set CYDEV_PHUB_TDMEM77_BASE, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM77_ORIG_TD0, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_ORIG_TD1, 0x40007a6c +.set CYDEV_PHUB_TDMEM78_BASE, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM78_ORIG_TD0, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_ORIG_TD1, 0x40007a74 +.set CYDEV_PHUB_TDMEM79_BASE, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM79_ORIG_TD0, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_ORIG_TD1, 0x40007a7c +.set CYDEV_PHUB_TDMEM80_BASE, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM80_ORIG_TD0, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_ORIG_TD1, 0x40007a84 +.set CYDEV_PHUB_TDMEM81_BASE, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM81_ORIG_TD0, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_ORIG_TD1, 0x40007a8c +.set CYDEV_PHUB_TDMEM82_BASE, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM82_ORIG_TD0, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_ORIG_TD1, 0x40007a94 +.set CYDEV_PHUB_TDMEM83_BASE, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM83_ORIG_TD0, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_ORIG_TD1, 0x40007a9c +.set CYDEV_PHUB_TDMEM84_BASE, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM84_ORIG_TD0, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_ORIG_TD1, 0x40007aa4 +.set CYDEV_PHUB_TDMEM85_BASE, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM85_ORIG_TD0, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_ORIG_TD1, 0x40007aac +.set CYDEV_PHUB_TDMEM86_BASE, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM86_ORIG_TD0, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_ORIG_TD1, 0x40007ab4 +.set CYDEV_PHUB_TDMEM87_BASE, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM87_ORIG_TD0, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_ORIG_TD1, 0x40007abc +.set CYDEV_PHUB_TDMEM88_BASE, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM88_ORIG_TD0, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_ORIG_TD1, 0x40007ac4 +.set CYDEV_PHUB_TDMEM89_BASE, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM89_ORIG_TD0, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_ORIG_TD1, 0x40007acc +.set CYDEV_PHUB_TDMEM90_BASE, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM90_ORIG_TD0, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_ORIG_TD1, 0x40007ad4 +.set CYDEV_PHUB_TDMEM91_BASE, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM91_ORIG_TD0, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_ORIG_TD1, 0x40007adc +.set CYDEV_PHUB_TDMEM92_BASE, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM92_ORIG_TD0, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_ORIG_TD1, 0x40007ae4 +.set CYDEV_PHUB_TDMEM93_BASE, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM93_ORIG_TD0, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_ORIG_TD1, 0x40007aec +.set CYDEV_PHUB_TDMEM94_BASE, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM94_ORIG_TD0, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_ORIG_TD1, 0x40007af4 +.set CYDEV_PHUB_TDMEM95_BASE, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM95_ORIG_TD0, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_ORIG_TD1, 0x40007afc +.set CYDEV_PHUB_TDMEM96_BASE, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM96_ORIG_TD0, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_ORIG_TD1, 0x40007b04 +.set CYDEV_PHUB_TDMEM97_BASE, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM97_ORIG_TD0, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_ORIG_TD1, 0x40007b0c +.set CYDEV_PHUB_TDMEM98_BASE, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM98_ORIG_TD0, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_ORIG_TD1, 0x40007b14 +.set CYDEV_PHUB_TDMEM99_BASE, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM99_ORIG_TD0, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_ORIG_TD1, 0x40007b1c +.set CYDEV_PHUB_TDMEM100_BASE, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM100_ORIG_TD0, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_ORIG_TD1, 0x40007b24 +.set CYDEV_PHUB_TDMEM101_BASE, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM101_ORIG_TD0, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_ORIG_TD1, 0x40007b2c +.set CYDEV_PHUB_TDMEM102_BASE, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM102_ORIG_TD0, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_ORIG_TD1, 0x40007b34 +.set CYDEV_PHUB_TDMEM103_BASE, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM103_ORIG_TD0, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_ORIG_TD1, 0x40007b3c +.set CYDEV_PHUB_TDMEM104_BASE, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM104_ORIG_TD0, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_ORIG_TD1, 0x40007b44 +.set CYDEV_PHUB_TDMEM105_BASE, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM105_ORIG_TD0, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_ORIG_TD1, 0x40007b4c +.set CYDEV_PHUB_TDMEM106_BASE, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM106_ORIG_TD0, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_ORIG_TD1, 0x40007b54 +.set CYDEV_PHUB_TDMEM107_BASE, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM107_ORIG_TD0, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_ORIG_TD1, 0x40007b5c +.set CYDEV_PHUB_TDMEM108_BASE, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM108_ORIG_TD0, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_ORIG_TD1, 0x40007b64 +.set CYDEV_PHUB_TDMEM109_BASE, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM109_ORIG_TD0, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_ORIG_TD1, 0x40007b6c +.set CYDEV_PHUB_TDMEM110_BASE, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM110_ORIG_TD0, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_ORIG_TD1, 0x40007b74 +.set CYDEV_PHUB_TDMEM111_BASE, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM111_ORIG_TD0, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_ORIG_TD1, 0x40007b7c +.set CYDEV_PHUB_TDMEM112_BASE, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM112_ORIG_TD0, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_ORIG_TD1, 0x40007b84 +.set CYDEV_PHUB_TDMEM113_BASE, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM113_ORIG_TD0, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_ORIG_TD1, 0x40007b8c +.set CYDEV_PHUB_TDMEM114_BASE, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM114_ORIG_TD0, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_ORIG_TD1, 0x40007b94 +.set CYDEV_PHUB_TDMEM115_BASE, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM115_ORIG_TD0, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_ORIG_TD1, 0x40007b9c +.set CYDEV_PHUB_TDMEM116_BASE, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM116_ORIG_TD0, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_ORIG_TD1, 0x40007ba4 +.set CYDEV_PHUB_TDMEM117_BASE, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM117_ORIG_TD0, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_ORIG_TD1, 0x40007bac +.set CYDEV_PHUB_TDMEM118_BASE, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM118_ORIG_TD0, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_ORIG_TD1, 0x40007bb4 +.set CYDEV_PHUB_TDMEM119_BASE, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM119_ORIG_TD0, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_ORIG_TD1, 0x40007bbc +.set CYDEV_PHUB_TDMEM120_BASE, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM120_ORIG_TD0, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_ORIG_TD1, 0x40007bc4 +.set CYDEV_PHUB_TDMEM121_BASE, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM121_ORIG_TD0, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_ORIG_TD1, 0x40007bcc +.set CYDEV_PHUB_TDMEM122_BASE, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM122_ORIG_TD0, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_ORIG_TD1, 0x40007bd4 +.set CYDEV_PHUB_TDMEM123_BASE, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM123_ORIG_TD0, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_ORIG_TD1, 0x40007bdc +.set CYDEV_PHUB_TDMEM124_BASE, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM124_ORIG_TD0, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_ORIG_TD1, 0x40007be4 +.set CYDEV_PHUB_TDMEM125_BASE, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM125_ORIG_TD0, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_ORIG_TD1, 0x40007bec +.set CYDEV_PHUB_TDMEM126_BASE, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM126_ORIG_TD0, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_ORIG_TD1, 0x40007bf4 +.set CYDEV_PHUB_TDMEM127_BASE, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM127_ORIG_TD0, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_ORIG_TD1, 0x40007bfc +.set CYDEV_EE_BASE, 0x40008000 +.set CYDEV_EE_SIZE, 0x00000800 +.set CYDEV_EE_DATA_MBASE, 0x40008000 +.set CYDEV_EE_DATA_MSIZE, 0x00000800 +.set CYDEV_CAN0_BASE, 0x4000a000 +.set CYDEV_CAN0_SIZE, 0x000002a0 +.set CYDEV_CAN0_CSR_BASE, 0x4000a000 +.set CYDEV_CAN0_CSR_SIZE, 0x00000018 +.set CYDEV_CAN0_CSR_INT_SR, 0x4000a000 +.set CYDEV_CAN0_CSR_INT_EN, 0x4000a004 +.set CYDEV_CAN0_CSR_BUF_SR, 0x4000a008 +.set CYDEV_CAN0_CSR_ERR_SR, 0x4000a00c +.set CYDEV_CAN0_CSR_CMD, 0x4000a010 +.set CYDEV_CAN0_CSR_CFG, 0x4000a014 +.set CYDEV_CAN0_TX0_BASE, 0x4000a020 +.set CYDEV_CAN0_TX0_SIZE, 0x00000010 +.set CYDEV_CAN0_TX0_CMD, 0x4000a020 +.set CYDEV_CAN0_TX0_ID, 0x4000a024 +.set CYDEV_CAN0_TX0_DH, 0x4000a028 +.set CYDEV_CAN0_TX0_DL, 0x4000a02c +.set CYDEV_CAN0_TX1_BASE, 0x4000a030 +.set CYDEV_CAN0_TX1_SIZE, 0x00000010 +.set CYDEV_CAN0_TX1_CMD, 0x4000a030 +.set CYDEV_CAN0_TX1_ID, 0x4000a034 +.set CYDEV_CAN0_TX1_DH, 0x4000a038 +.set CYDEV_CAN0_TX1_DL, 0x4000a03c +.set CYDEV_CAN0_TX2_BASE, 0x4000a040 +.set CYDEV_CAN0_TX2_SIZE, 0x00000010 +.set CYDEV_CAN0_TX2_CMD, 0x4000a040 +.set CYDEV_CAN0_TX2_ID, 0x4000a044 +.set CYDEV_CAN0_TX2_DH, 0x4000a048 +.set CYDEV_CAN0_TX2_DL, 0x4000a04c +.set CYDEV_CAN0_TX3_BASE, 0x4000a050 +.set CYDEV_CAN0_TX3_SIZE, 0x00000010 +.set CYDEV_CAN0_TX3_CMD, 0x4000a050 +.set CYDEV_CAN0_TX3_ID, 0x4000a054 +.set CYDEV_CAN0_TX3_DH, 0x4000a058 +.set CYDEV_CAN0_TX3_DL, 0x4000a05c +.set CYDEV_CAN0_TX4_BASE, 0x4000a060 +.set CYDEV_CAN0_TX4_SIZE, 0x00000010 +.set CYDEV_CAN0_TX4_CMD, 0x4000a060 +.set CYDEV_CAN0_TX4_ID, 0x4000a064 +.set CYDEV_CAN0_TX4_DH, 0x4000a068 +.set CYDEV_CAN0_TX4_DL, 0x4000a06c +.set CYDEV_CAN0_TX5_BASE, 0x4000a070 +.set CYDEV_CAN0_TX5_SIZE, 0x00000010 +.set CYDEV_CAN0_TX5_CMD, 0x4000a070 +.set CYDEV_CAN0_TX5_ID, 0x4000a074 +.set CYDEV_CAN0_TX5_DH, 0x4000a078 +.set CYDEV_CAN0_TX5_DL, 0x4000a07c +.set CYDEV_CAN0_TX6_BASE, 0x4000a080 +.set CYDEV_CAN0_TX6_SIZE, 0x00000010 +.set CYDEV_CAN0_TX6_CMD, 0x4000a080 +.set CYDEV_CAN0_TX6_ID, 0x4000a084 +.set CYDEV_CAN0_TX6_DH, 0x4000a088 +.set CYDEV_CAN0_TX6_DL, 0x4000a08c +.set CYDEV_CAN0_TX7_BASE, 0x4000a090 +.set CYDEV_CAN0_TX7_SIZE, 0x00000010 +.set CYDEV_CAN0_TX7_CMD, 0x4000a090 +.set CYDEV_CAN0_TX7_ID, 0x4000a094 +.set CYDEV_CAN0_TX7_DH, 0x4000a098 +.set CYDEV_CAN0_TX7_DL, 0x4000a09c +.set CYDEV_CAN0_RX0_BASE, 0x4000a0a0 +.set CYDEV_CAN0_RX0_SIZE, 0x00000020 +.set CYDEV_CAN0_RX0_CMD, 0x4000a0a0 +.set CYDEV_CAN0_RX0_ID, 0x4000a0a4 +.set CYDEV_CAN0_RX0_DH, 0x4000a0a8 +.set CYDEV_CAN0_RX0_DL, 0x4000a0ac +.set CYDEV_CAN0_RX0_AMR, 0x4000a0b0 +.set CYDEV_CAN0_RX0_ACR, 0x4000a0b4 +.set CYDEV_CAN0_RX0_AMRD, 0x4000a0b8 +.set CYDEV_CAN0_RX0_ACRD, 0x4000a0bc +.set CYDEV_CAN0_RX1_BASE, 0x4000a0c0 +.set CYDEV_CAN0_RX1_SIZE, 0x00000020 +.set CYDEV_CAN0_RX1_CMD, 0x4000a0c0 +.set CYDEV_CAN0_RX1_ID, 0x4000a0c4 +.set CYDEV_CAN0_RX1_DH, 0x4000a0c8 +.set CYDEV_CAN0_RX1_DL, 0x4000a0cc +.set CYDEV_CAN0_RX1_AMR, 0x4000a0d0 +.set CYDEV_CAN0_RX1_ACR, 0x4000a0d4 +.set CYDEV_CAN0_RX1_AMRD, 0x4000a0d8 +.set CYDEV_CAN0_RX1_ACRD, 0x4000a0dc +.set CYDEV_CAN0_RX2_BASE, 0x4000a0e0 +.set CYDEV_CAN0_RX2_SIZE, 0x00000020 +.set CYDEV_CAN0_RX2_CMD, 0x4000a0e0 +.set CYDEV_CAN0_RX2_ID, 0x4000a0e4 +.set CYDEV_CAN0_RX2_DH, 0x4000a0e8 +.set CYDEV_CAN0_RX2_DL, 0x4000a0ec +.set CYDEV_CAN0_RX2_AMR, 0x4000a0f0 +.set CYDEV_CAN0_RX2_ACR, 0x4000a0f4 +.set CYDEV_CAN0_RX2_AMRD, 0x4000a0f8 +.set CYDEV_CAN0_RX2_ACRD, 0x4000a0fc +.set CYDEV_CAN0_RX3_BASE, 0x4000a100 +.set CYDEV_CAN0_RX3_SIZE, 0x00000020 +.set CYDEV_CAN0_RX3_CMD, 0x4000a100 +.set CYDEV_CAN0_RX3_ID, 0x4000a104 +.set CYDEV_CAN0_RX3_DH, 0x4000a108 +.set CYDEV_CAN0_RX3_DL, 0x4000a10c +.set CYDEV_CAN0_RX3_AMR, 0x4000a110 +.set CYDEV_CAN0_RX3_ACR, 0x4000a114 +.set CYDEV_CAN0_RX3_AMRD, 0x4000a118 +.set CYDEV_CAN0_RX3_ACRD, 0x4000a11c +.set CYDEV_CAN0_RX4_BASE, 0x4000a120 +.set CYDEV_CAN0_RX4_SIZE, 0x00000020 +.set CYDEV_CAN0_RX4_CMD, 0x4000a120 +.set CYDEV_CAN0_RX4_ID, 0x4000a124 +.set CYDEV_CAN0_RX4_DH, 0x4000a128 +.set CYDEV_CAN0_RX4_DL, 0x4000a12c +.set CYDEV_CAN0_RX4_AMR, 0x4000a130 +.set CYDEV_CAN0_RX4_ACR, 0x4000a134 +.set CYDEV_CAN0_RX4_AMRD, 0x4000a138 +.set CYDEV_CAN0_RX4_ACRD, 0x4000a13c +.set CYDEV_CAN0_RX5_BASE, 0x4000a140 +.set CYDEV_CAN0_RX5_SIZE, 0x00000020 +.set CYDEV_CAN0_RX5_CMD, 0x4000a140 +.set CYDEV_CAN0_RX5_ID, 0x4000a144 +.set CYDEV_CAN0_RX5_DH, 0x4000a148 +.set CYDEV_CAN0_RX5_DL, 0x4000a14c +.set CYDEV_CAN0_RX5_AMR, 0x4000a150 +.set CYDEV_CAN0_RX5_ACR, 0x4000a154 +.set CYDEV_CAN0_RX5_AMRD, 0x4000a158 +.set CYDEV_CAN0_RX5_ACRD, 0x4000a15c +.set CYDEV_CAN0_RX6_BASE, 0x4000a160 +.set CYDEV_CAN0_RX6_SIZE, 0x00000020 +.set CYDEV_CAN0_RX6_CMD, 0x4000a160 +.set CYDEV_CAN0_RX6_ID, 0x4000a164 +.set CYDEV_CAN0_RX6_DH, 0x4000a168 +.set CYDEV_CAN0_RX6_DL, 0x4000a16c +.set CYDEV_CAN0_RX6_AMR, 0x4000a170 +.set CYDEV_CAN0_RX6_ACR, 0x4000a174 +.set CYDEV_CAN0_RX6_AMRD, 0x4000a178 +.set CYDEV_CAN0_RX6_ACRD, 0x4000a17c +.set CYDEV_CAN0_RX7_BASE, 0x4000a180 +.set CYDEV_CAN0_RX7_SIZE, 0x00000020 +.set CYDEV_CAN0_RX7_CMD, 0x4000a180 +.set CYDEV_CAN0_RX7_ID, 0x4000a184 +.set CYDEV_CAN0_RX7_DH, 0x4000a188 +.set CYDEV_CAN0_RX7_DL, 0x4000a18c +.set CYDEV_CAN0_RX7_AMR, 0x4000a190 +.set CYDEV_CAN0_RX7_ACR, 0x4000a194 +.set CYDEV_CAN0_RX7_AMRD, 0x4000a198 +.set CYDEV_CAN0_RX7_ACRD, 0x4000a19c +.set CYDEV_CAN0_RX8_BASE, 0x4000a1a0 +.set CYDEV_CAN0_RX8_SIZE, 0x00000020 +.set CYDEV_CAN0_RX8_CMD, 0x4000a1a0 +.set CYDEV_CAN0_RX8_ID, 0x4000a1a4 +.set CYDEV_CAN0_RX8_DH, 0x4000a1a8 +.set CYDEV_CAN0_RX8_DL, 0x4000a1ac +.set CYDEV_CAN0_RX8_AMR, 0x4000a1b0 +.set CYDEV_CAN0_RX8_ACR, 0x4000a1b4 +.set CYDEV_CAN0_RX8_AMRD, 0x4000a1b8 +.set CYDEV_CAN0_RX8_ACRD, 0x4000a1bc +.set CYDEV_CAN0_RX9_BASE, 0x4000a1c0 +.set CYDEV_CAN0_RX9_SIZE, 0x00000020 +.set CYDEV_CAN0_RX9_CMD, 0x4000a1c0 +.set CYDEV_CAN0_RX9_ID, 0x4000a1c4 +.set CYDEV_CAN0_RX9_DH, 0x4000a1c8 +.set CYDEV_CAN0_RX9_DL, 0x4000a1cc +.set CYDEV_CAN0_RX9_AMR, 0x4000a1d0 +.set CYDEV_CAN0_RX9_ACR, 0x4000a1d4 +.set CYDEV_CAN0_RX9_AMRD, 0x4000a1d8 +.set CYDEV_CAN0_RX9_ACRD, 0x4000a1dc +.set CYDEV_CAN0_RX10_BASE, 0x4000a1e0 +.set CYDEV_CAN0_RX10_SIZE, 0x00000020 +.set CYDEV_CAN0_RX10_CMD, 0x4000a1e0 +.set CYDEV_CAN0_RX10_ID, 0x4000a1e4 +.set CYDEV_CAN0_RX10_DH, 0x4000a1e8 +.set CYDEV_CAN0_RX10_DL, 0x4000a1ec +.set CYDEV_CAN0_RX10_AMR, 0x4000a1f0 +.set CYDEV_CAN0_RX10_ACR, 0x4000a1f4 +.set CYDEV_CAN0_RX10_AMRD, 0x4000a1f8 +.set CYDEV_CAN0_RX10_ACRD, 0x4000a1fc +.set CYDEV_CAN0_RX11_BASE, 0x4000a200 +.set CYDEV_CAN0_RX11_SIZE, 0x00000020 +.set CYDEV_CAN0_RX11_CMD, 0x4000a200 +.set CYDEV_CAN0_RX11_ID, 0x4000a204 +.set CYDEV_CAN0_RX11_DH, 0x4000a208 +.set CYDEV_CAN0_RX11_DL, 0x4000a20c +.set CYDEV_CAN0_RX11_AMR, 0x4000a210 +.set CYDEV_CAN0_RX11_ACR, 0x4000a214 +.set CYDEV_CAN0_RX11_AMRD, 0x4000a218 +.set CYDEV_CAN0_RX11_ACRD, 0x4000a21c +.set CYDEV_CAN0_RX12_BASE, 0x4000a220 +.set CYDEV_CAN0_RX12_SIZE, 0x00000020 +.set CYDEV_CAN0_RX12_CMD, 0x4000a220 +.set CYDEV_CAN0_RX12_ID, 0x4000a224 +.set CYDEV_CAN0_RX12_DH, 0x4000a228 +.set CYDEV_CAN0_RX12_DL, 0x4000a22c +.set CYDEV_CAN0_RX12_AMR, 0x4000a230 +.set CYDEV_CAN0_RX12_ACR, 0x4000a234 +.set CYDEV_CAN0_RX12_AMRD, 0x4000a238 +.set CYDEV_CAN0_RX12_ACRD, 0x4000a23c +.set CYDEV_CAN0_RX13_BASE, 0x4000a240 +.set CYDEV_CAN0_RX13_SIZE, 0x00000020 +.set CYDEV_CAN0_RX13_CMD, 0x4000a240 +.set CYDEV_CAN0_RX13_ID, 0x4000a244 +.set CYDEV_CAN0_RX13_DH, 0x4000a248 +.set CYDEV_CAN0_RX13_DL, 0x4000a24c +.set CYDEV_CAN0_RX13_AMR, 0x4000a250 +.set CYDEV_CAN0_RX13_ACR, 0x4000a254 +.set CYDEV_CAN0_RX13_AMRD, 0x4000a258 +.set CYDEV_CAN0_RX13_ACRD, 0x4000a25c +.set CYDEV_CAN0_RX14_BASE, 0x4000a260 +.set CYDEV_CAN0_RX14_SIZE, 0x00000020 +.set CYDEV_CAN0_RX14_CMD, 0x4000a260 +.set CYDEV_CAN0_RX14_ID, 0x4000a264 +.set CYDEV_CAN0_RX14_DH, 0x4000a268 +.set CYDEV_CAN0_RX14_DL, 0x4000a26c +.set CYDEV_CAN0_RX14_AMR, 0x4000a270 +.set CYDEV_CAN0_RX14_ACR, 0x4000a274 +.set CYDEV_CAN0_RX14_AMRD, 0x4000a278 +.set CYDEV_CAN0_RX14_ACRD, 0x4000a27c +.set CYDEV_CAN0_RX15_BASE, 0x4000a280 +.set CYDEV_CAN0_RX15_SIZE, 0x00000020 +.set CYDEV_CAN0_RX15_CMD, 0x4000a280 +.set CYDEV_CAN0_RX15_ID, 0x4000a284 +.set CYDEV_CAN0_RX15_DH, 0x4000a288 +.set CYDEV_CAN0_RX15_DL, 0x4000a28c +.set CYDEV_CAN0_RX15_AMR, 0x4000a290 +.set CYDEV_CAN0_RX15_ACR, 0x4000a294 +.set CYDEV_CAN0_RX15_AMRD, 0x4000a298 +.set CYDEV_CAN0_RX15_ACRD, 0x4000a29c +.set CYDEV_DFB0_BASE, 0x4000c000 +.set CYDEV_DFB0_SIZE, 0x000007b5 +.set CYDEV_DFB0_DPA_SRAM_BASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_SIZE, 0x00000200 +.set CYDEV_DFB0_DPA_SRAM_DATA_MBASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_BASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_SIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_DATA_MBASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_CSA_SRAM_BASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_CSA_SRAM_DATA_MBASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_BASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_DATA_MBASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_BASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_DATA_MBASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_ACU_SRAM_BASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_SIZE, 0x00000040 +.set CYDEV_DFB0_ACU_SRAM_DATA_MBASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_DATA_MSIZE, 0x00000040 +.set CYDEV_DFB0_CR, 0x4000c780 +.set CYDEV_DFB0_SR, 0x4000c784 +.set CYDEV_DFB0_RAM_EN, 0x4000c788 +.set CYDEV_DFB0_RAM_DIR, 0x4000c78c +.set CYDEV_DFB0_SEMA, 0x4000c790 +.set CYDEV_DFB0_DSI_CTRL, 0x4000c794 +.set CYDEV_DFB0_INT_CTRL, 0x4000c798 +.set CYDEV_DFB0_DMA_CTRL, 0x4000c79c +.set CYDEV_DFB0_STAGEA, 0x4000c7a0 +.set CYDEV_DFB0_STAGEAM, 0x4000c7a1 +.set CYDEV_DFB0_STAGEAH, 0x4000c7a2 +.set CYDEV_DFB0_STAGEB, 0x4000c7a4 +.set CYDEV_DFB0_STAGEBM, 0x4000c7a5 +.set CYDEV_DFB0_STAGEBH, 0x4000c7a6 +.set CYDEV_DFB0_HOLDA, 0x4000c7a8 +.set CYDEV_DFB0_HOLDAM, 0x4000c7a9 +.set CYDEV_DFB0_HOLDAH, 0x4000c7aa +.set CYDEV_DFB0_HOLDAS, 0x4000c7ab +.set CYDEV_DFB0_HOLDB, 0x4000c7ac +.set CYDEV_DFB0_HOLDBM, 0x4000c7ad +.set CYDEV_DFB0_HOLDBH, 0x4000c7ae +.set CYDEV_DFB0_HOLDBS, 0x4000c7af +.set CYDEV_DFB0_COHER, 0x4000c7b0 +.set CYDEV_DFB0_DALIGN, 0x4000c7b4 +.set CYDEV_UCFG_BASE, 0x40010000 +.set CYDEV_UCFG_SIZE, 0x00005040 +.set CYDEV_UCFG_B0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_SIZE, 0x00000fef +.set CYDEV_UCFG_B0_P0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P0_U0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT0, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT1, 0x40010004 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT2, 0x40010008 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT3, 0x4001000c +.set CYDEV_UCFG_B0_P0_U0_PLD_IT4, 0x40010010 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT5, 0x40010014 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT6, 0x40010018 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT7, 0x4001001c +.set CYDEV_UCFG_B0_P0_U0_PLD_IT8, 0x40010020 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT9, 0x40010024 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT10, 0x40010028 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT11, 0x4001002c +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT0, 0x40010030 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT1, 0x40010032 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT2, 0x40010034 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT3, 0x40010036 +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST, 0x40010038 +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB, 0x4001003a +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET, 0x4001003c +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS, 0x4001003e +.set CYDEV_UCFG_B0_P0_U0_CFG0, 0x40010040 +.set CYDEV_UCFG_B0_P0_U0_CFG1, 0x40010041 +.set CYDEV_UCFG_B0_P0_U0_CFG2, 0x40010042 +.set CYDEV_UCFG_B0_P0_U0_CFG3, 0x40010043 +.set CYDEV_UCFG_B0_P0_U0_CFG4, 0x40010044 +.set CYDEV_UCFG_B0_P0_U0_CFG5, 0x40010045 +.set CYDEV_UCFG_B0_P0_U0_CFG6, 0x40010046 +.set CYDEV_UCFG_B0_P0_U0_CFG7, 0x40010047 +.set CYDEV_UCFG_B0_P0_U0_CFG8, 0x40010048 +.set CYDEV_UCFG_B0_P0_U0_CFG9, 0x40010049 +.set CYDEV_UCFG_B0_P0_U0_CFG10, 0x4001004a +.set CYDEV_UCFG_B0_P0_U0_CFG11, 0x4001004b +.set CYDEV_UCFG_B0_P0_U0_CFG12, 0x4001004c +.set CYDEV_UCFG_B0_P0_U0_CFG13, 0x4001004d +.set CYDEV_UCFG_B0_P0_U0_CFG14, 0x4001004e +.set CYDEV_UCFG_B0_P0_U0_CFG15, 0x4001004f +.set CYDEV_UCFG_B0_P0_U0_CFG16, 0x40010050 +.set CYDEV_UCFG_B0_P0_U0_CFG17, 0x40010051 +.set CYDEV_UCFG_B0_P0_U0_CFG18, 0x40010052 +.set CYDEV_UCFG_B0_P0_U0_CFG19, 0x40010053 +.set CYDEV_UCFG_B0_P0_U0_CFG20, 0x40010054 +.set CYDEV_UCFG_B0_P0_U0_CFG21, 0x40010055 +.set CYDEV_UCFG_B0_P0_U0_CFG22, 0x40010056 +.set CYDEV_UCFG_B0_P0_U0_CFG23, 0x40010057 +.set CYDEV_UCFG_B0_P0_U0_CFG24, 0x40010058 +.set CYDEV_UCFG_B0_P0_U0_CFG25, 0x40010059 +.set CYDEV_UCFG_B0_P0_U0_CFG26, 0x4001005a +.set CYDEV_UCFG_B0_P0_U0_CFG27, 0x4001005b +.set CYDEV_UCFG_B0_P0_U0_CFG28, 0x4001005c +.set CYDEV_UCFG_B0_P0_U0_CFG29, 0x4001005d +.set CYDEV_UCFG_B0_P0_U0_CFG30, 0x4001005e +.set CYDEV_UCFG_B0_P0_U0_CFG31, 0x4001005f +.set CYDEV_UCFG_B0_P0_U0_DCFG0, 0x40010060 +.set CYDEV_UCFG_B0_P0_U0_DCFG1, 0x40010062 +.set CYDEV_UCFG_B0_P0_U0_DCFG2, 0x40010064 +.set CYDEV_UCFG_B0_P0_U0_DCFG3, 0x40010066 +.set CYDEV_UCFG_B0_P0_U0_DCFG4, 0x40010068 +.set CYDEV_UCFG_B0_P0_U0_DCFG5, 0x4001006a +.set CYDEV_UCFG_B0_P0_U0_DCFG6, 0x4001006c +.set CYDEV_UCFG_B0_P0_U0_DCFG7, 0x4001006e +.set CYDEV_UCFG_B0_P0_U1_BASE, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT0, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT1, 0x40010084 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT2, 0x40010088 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT3, 0x4001008c +.set CYDEV_UCFG_B0_P0_U1_PLD_IT4, 0x40010090 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT5, 0x40010094 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT6, 0x40010098 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT7, 0x4001009c +.set CYDEV_UCFG_B0_P0_U1_PLD_IT8, 0x400100a0 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT9, 0x400100a4 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT10, 0x400100a8 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT11, 0x400100ac +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT0, 0x400100b0 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT1, 0x400100b2 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT2, 0x400100b4 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT3, 0x400100b6 +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST, 0x400100b8 +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB, 0x400100ba +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET, 0x400100bc +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS, 0x400100be +.set CYDEV_UCFG_B0_P0_U1_CFG0, 0x400100c0 +.set CYDEV_UCFG_B0_P0_U1_CFG1, 0x400100c1 +.set CYDEV_UCFG_B0_P0_U1_CFG2, 0x400100c2 +.set CYDEV_UCFG_B0_P0_U1_CFG3, 0x400100c3 +.set CYDEV_UCFG_B0_P0_U1_CFG4, 0x400100c4 +.set CYDEV_UCFG_B0_P0_U1_CFG5, 0x400100c5 +.set CYDEV_UCFG_B0_P0_U1_CFG6, 0x400100c6 +.set CYDEV_UCFG_B0_P0_U1_CFG7, 0x400100c7 +.set CYDEV_UCFG_B0_P0_U1_CFG8, 0x400100c8 +.set CYDEV_UCFG_B0_P0_U1_CFG9, 0x400100c9 +.set CYDEV_UCFG_B0_P0_U1_CFG10, 0x400100ca +.set CYDEV_UCFG_B0_P0_U1_CFG11, 0x400100cb +.set CYDEV_UCFG_B0_P0_U1_CFG12, 0x400100cc +.set CYDEV_UCFG_B0_P0_U1_CFG13, 0x400100cd +.set CYDEV_UCFG_B0_P0_U1_CFG14, 0x400100ce +.set CYDEV_UCFG_B0_P0_U1_CFG15, 0x400100cf +.set CYDEV_UCFG_B0_P0_U1_CFG16, 0x400100d0 +.set CYDEV_UCFG_B0_P0_U1_CFG17, 0x400100d1 +.set CYDEV_UCFG_B0_P0_U1_CFG18, 0x400100d2 +.set CYDEV_UCFG_B0_P0_U1_CFG19, 0x400100d3 +.set CYDEV_UCFG_B0_P0_U1_CFG20, 0x400100d4 +.set CYDEV_UCFG_B0_P0_U1_CFG21, 0x400100d5 +.set CYDEV_UCFG_B0_P0_U1_CFG22, 0x400100d6 +.set CYDEV_UCFG_B0_P0_U1_CFG23, 0x400100d7 +.set CYDEV_UCFG_B0_P0_U1_CFG24, 0x400100d8 +.set CYDEV_UCFG_B0_P0_U1_CFG25, 0x400100d9 +.set CYDEV_UCFG_B0_P0_U1_CFG26, 0x400100da +.set CYDEV_UCFG_B0_P0_U1_CFG27, 0x400100db +.set CYDEV_UCFG_B0_P0_U1_CFG28, 0x400100dc +.set CYDEV_UCFG_B0_P0_U1_CFG29, 0x400100dd +.set CYDEV_UCFG_B0_P0_U1_CFG30, 0x400100de +.set CYDEV_UCFG_B0_P0_U1_CFG31, 0x400100df +.set CYDEV_UCFG_B0_P0_U1_DCFG0, 0x400100e0 +.set CYDEV_UCFG_B0_P0_U1_DCFG1, 0x400100e2 +.set CYDEV_UCFG_B0_P0_U1_DCFG2, 0x400100e4 +.set CYDEV_UCFG_B0_P0_U1_DCFG3, 0x400100e6 +.set CYDEV_UCFG_B0_P0_U1_DCFG4, 0x400100e8 +.set CYDEV_UCFG_B0_P0_U1_DCFG5, 0x400100ea +.set CYDEV_UCFG_B0_P0_U1_DCFG6, 0x400100ec +.set CYDEV_UCFG_B0_P0_U1_DCFG7, 0x400100ee +.set CYDEV_UCFG_B0_P0_ROUTE_BASE, 0x40010100 +.set CYDEV_UCFG_B0_P0_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P1_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P1_U0_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT0, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT1, 0x40010204 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT2, 0x40010208 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT3, 0x4001020c +.set CYDEV_UCFG_B0_P1_U0_PLD_IT4, 0x40010210 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT5, 0x40010214 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT6, 0x40010218 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT7, 0x4001021c +.set CYDEV_UCFG_B0_P1_U0_PLD_IT8, 0x40010220 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT9, 0x40010224 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT10, 0x40010228 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT11, 0x4001022c +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT0, 0x40010230 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT1, 0x40010232 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT2, 0x40010234 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT3, 0x40010236 +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST, 0x40010238 +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB, 0x4001023a +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET, 0x4001023c +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS, 0x4001023e +.set CYDEV_UCFG_B0_P1_U0_CFG0, 0x40010240 +.set CYDEV_UCFG_B0_P1_U0_CFG1, 0x40010241 +.set CYDEV_UCFG_B0_P1_U0_CFG2, 0x40010242 +.set CYDEV_UCFG_B0_P1_U0_CFG3, 0x40010243 +.set CYDEV_UCFG_B0_P1_U0_CFG4, 0x40010244 +.set CYDEV_UCFG_B0_P1_U0_CFG5, 0x40010245 +.set CYDEV_UCFG_B0_P1_U0_CFG6, 0x40010246 +.set CYDEV_UCFG_B0_P1_U0_CFG7, 0x40010247 +.set CYDEV_UCFG_B0_P1_U0_CFG8, 0x40010248 +.set CYDEV_UCFG_B0_P1_U0_CFG9, 0x40010249 +.set CYDEV_UCFG_B0_P1_U0_CFG10, 0x4001024a +.set CYDEV_UCFG_B0_P1_U0_CFG11, 0x4001024b +.set CYDEV_UCFG_B0_P1_U0_CFG12, 0x4001024c +.set CYDEV_UCFG_B0_P1_U0_CFG13, 0x4001024d +.set CYDEV_UCFG_B0_P1_U0_CFG14, 0x4001024e +.set CYDEV_UCFG_B0_P1_U0_CFG15, 0x4001024f +.set CYDEV_UCFG_B0_P1_U0_CFG16, 0x40010250 +.set CYDEV_UCFG_B0_P1_U0_CFG17, 0x40010251 +.set CYDEV_UCFG_B0_P1_U0_CFG18, 0x40010252 +.set CYDEV_UCFG_B0_P1_U0_CFG19, 0x40010253 +.set CYDEV_UCFG_B0_P1_U0_CFG20, 0x40010254 +.set CYDEV_UCFG_B0_P1_U0_CFG21, 0x40010255 +.set CYDEV_UCFG_B0_P1_U0_CFG22, 0x40010256 +.set CYDEV_UCFG_B0_P1_U0_CFG23, 0x40010257 +.set CYDEV_UCFG_B0_P1_U0_CFG24, 0x40010258 +.set CYDEV_UCFG_B0_P1_U0_CFG25, 0x40010259 +.set CYDEV_UCFG_B0_P1_U0_CFG26, 0x4001025a +.set CYDEV_UCFG_B0_P1_U0_CFG27, 0x4001025b +.set CYDEV_UCFG_B0_P1_U0_CFG28, 0x4001025c +.set CYDEV_UCFG_B0_P1_U0_CFG29, 0x4001025d +.set CYDEV_UCFG_B0_P1_U0_CFG30, 0x4001025e +.set CYDEV_UCFG_B0_P1_U0_CFG31, 0x4001025f +.set CYDEV_UCFG_B0_P1_U0_DCFG0, 0x40010260 +.set CYDEV_UCFG_B0_P1_U0_DCFG1, 0x40010262 +.set CYDEV_UCFG_B0_P1_U0_DCFG2, 0x40010264 +.set CYDEV_UCFG_B0_P1_U0_DCFG3, 0x40010266 +.set CYDEV_UCFG_B0_P1_U0_DCFG4, 0x40010268 +.set CYDEV_UCFG_B0_P1_U0_DCFG5, 0x4001026a +.set CYDEV_UCFG_B0_P1_U0_DCFG6, 0x4001026c +.set CYDEV_UCFG_B0_P1_U0_DCFG7, 0x4001026e +.set CYDEV_UCFG_B0_P1_U1_BASE, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT0, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT1, 0x40010284 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT2, 0x40010288 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT3, 0x4001028c +.set CYDEV_UCFG_B0_P1_U1_PLD_IT4, 0x40010290 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT5, 0x40010294 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT6, 0x40010298 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT7, 0x4001029c +.set CYDEV_UCFG_B0_P1_U1_PLD_IT8, 0x400102a0 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT9, 0x400102a4 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT10, 0x400102a8 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT11, 0x400102ac +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT0, 0x400102b0 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT1, 0x400102b2 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT2, 0x400102b4 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT3, 0x400102b6 +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST, 0x400102b8 +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB, 0x400102ba +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET, 0x400102bc +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS, 0x400102be +.set CYDEV_UCFG_B0_P1_U1_CFG0, 0x400102c0 +.set CYDEV_UCFG_B0_P1_U1_CFG1, 0x400102c1 +.set CYDEV_UCFG_B0_P1_U1_CFG2, 0x400102c2 +.set CYDEV_UCFG_B0_P1_U1_CFG3, 0x400102c3 +.set CYDEV_UCFG_B0_P1_U1_CFG4, 0x400102c4 +.set CYDEV_UCFG_B0_P1_U1_CFG5, 0x400102c5 +.set CYDEV_UCFG_B0_P1_U1_CFG6, 0x400102c6 +.set CYDEV_UCFG_B0_P1_U1_CFG7, 0x400102c7 +.set CYDEV_UCFG_B0_P1_U1_CFG8, 0x400102c8 +.set CYDEV_UCFG_B0_P1_U1_CFG9, 0x400102c9 +.set CYDEV_UCFG_B0_P1_U1_CFG10, 0x400102ca +.set CYDEV_UCFG_B0_P1_U1_CFG11, 0x400102cb +.set CYDEV_UCFG_B0_P1_U1_CFG12, 0x400102cc +.set CYDEV_UCFG_B0_P1_U1_CFG13, 0x400102cd +.set CYDEV_UCFG_B0_P1_U1_CFG14, 0x400102ce +.set CYDEV_UCFG_B0_P1_U1_CFG15, 0x400102cf +.set CYDEV_UCFG_B0_P1_U1_CFG16, 0x400102d0 +.set CYDEV_UCFG_B0_P1_U1_CFG17, 0x400102d1 +.set CYDEV_UCFG_B0_P1_U1_CFG18, 0x400102d2 +.set CYDEV_UCFG_B0_P1_U1_CFG19, 0x400102d3 +.set CYDEV_UCFG_B0_P1_U1_CFG20, 0x400102d4 +.set CYDEV_UCFG_B0_P1_U1_CFG21, 0x400102d5 +.set CYDEV_UCFG_B0_P1_U1_CFG22, 0x400102d6 +.set CYDEV_UCFG_B0_P1_U1_CFG23, 0x400102d7 +.set CYDEV_UCFG_B0_P1_U1_CFG24, 0x400102d8 +.set CYDEV_UCFG_B0_P1_U1_CFG25, 0x400102d9 +.set CYDEV_UCFG_B0_P1_U1_CFG26, 0x400102da +.set CYDEV_UCFG_B0_P1_U1_CFG27, 0x400102db +.set CYDEV_UCFG_B0_P1_U1_CFG28, 0x400102dc +.set CYDEV_UCFG_B0_P1_U1_CFG29, 0x400102dd +.set CYDEV_UCFG_B0_P1_U1_CFG30, 0x400102de +.set CYDEV_UCFG_B0_P1_U1_CFG31, 0x400102df +.set CYDEV_UCFG_B0_P1_U1_DCFG0, 0x400102e0 +.set CYDEV_UCFG_B0_P1_U1_DCFG1, 0x400102e2 +.set CYDEV_UCFG_B0_P1_U1_DCFG2, 0x400102e4 +.set CYDEV_UCFG_B0_P1_U1_DCFG3, 0x400102e6 +.set CYDEV_UCFG_B0_P1_U1_DCFG4, 0x400102e8 +.set CYDEV_UCFG_B0_P1_U1_DCFG5, 0x400102ea +.set CYDEV_UCFG_B0_P1_U1_DCFG6, 0x400102ec +.set CYDEV_UCFG_B0_P1_U1_DCFG7, 0x400102ee +.set CYDEV_UCFG_B0_P1_ROUTE_BASE, 0x40010300 +.set CYDEV_UCFG_B0_P1_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P2_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P2_U0_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT0, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT1, 0x40010404 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT2, 0x40010408 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT3, 0x4001040c +.set CYDEV_UCFG_B0_P2_U0_PLD_IT4, 0x40010410 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT5, 0x40010414 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT6, 0x40010418 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT7, 0x4001041c +.set CYDEV_UCFG_B0_P2_U0_PLD_IT8, 0x40010420 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT9, 0x40010424 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT10, 0x40010428 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT11, 0x4001042c +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT0, 0x40010430 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT1, 0x40010432 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT2, 0x40010434 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT3, 0x40010436 +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST, 0x40010438 +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB, 0x4001043a +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET, 0x4001043c +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS, 0x4001043e +.set CYDEV_UCFG_B0_P2_U0_CFG0, 0x40010440 +.set CYDEV_UCFG_B0_P2_U0_CFG1, 0x40010441 +.set CYDEV_UCFG_B0_P2_U0_CFG2, 0x40010442 +.set CYDEV_UCFG_B0_P2_U0_CFG3, 0x40010443 +.set CYDEV_UCFG_B0_P2_U0_CFG4, 0x40010444 +.set CYDEV_UCFG_B0_P2_U0_CFG5, 0x40010445 +.set CYDEV_UCFG_B0_P2_U0_CFG6, 0x40010446 +.set CYDEV_UCFG_B0_P2_U0_CFG7, 0x40010447 +.set CYDEV_UCFG_B0_P2_U0_CFG8, 0x40010448 +.set CYDEV_UCFG_B0_P2_U0_CFG9, 0x40010449 +.set CYDEV_UCFG_B0_P2_U0_CFG10, 0x4001044a +.set CYDEV_UCFG_B0_P2_U0_CFG11, 0x4001044b +.set CYDEV_UCFG_B0_P2_U0_CFG12, 0x4001044c +.set CYDEV_UCFG_B0_P2_U0_CFG13, 0x4001044d +.set CYDEV_UCFG_B0_P2_U0_CFG14, 0x4001044e +.set CYDEV_UCFG_B0_P2_U0_CFG15, 0x4001044f +.set CYDEV_UCFG_B0_P2_U0_CFG16, 0x40010450 +.set CYDEV_UCFG_B0_P2_U0_CFG17, 0x40010451 +.set CYDEV_UCFG_B0_P2_U0_CFG18, 0x40010452 +.set CYDEV_UCFG_B0_P2_U0_CFG19, 0x40010453 +.set CYDEV_UCFG_B0_P2_U0_CFG20, 0x40010454 +.set CYDEV_UCFG_B0_P2_U0_CFG21, 0x40010455 +.set CYDEV_UCFG_B0_P2_U0_CFG22, 0x40010456 +.set CYDEV_UCFG_B0_P2_U0_CFG23, 0x40010457 +.set CYDEV_UCFG_B0_P2_U0_CFG24, 0x40010458 +.set CYDEV_UCFG_B0_P2_U0_CFG25, 0x40010459 +.set CYDEV_UCFG_B0_P2_U0_CFG26, 0x4001045a +.set CYDEV_UCFG_B0_P2_U0_CFG27, 0x4001045b +.set CYDEV_UCFG_B0_P2_U0_CFG28, 0x4001045c +.set CYDEV_UCFG_B0_P2_U0_CFG29, 0x4001045d +.set CYDEV_UCFG_B0_P2_U0_CFG30, 0x4001045e +.set CYDEV_UCFG_B0_P2_U0_CFG31, 0x4001045f +.set CYDEV_UCFG_B0_P2_U0_DCFG0, 0x40010460 +.set CYDEV_UCFG_B0_P2_U0_DCFG1, 0x40010462 +.set CYDEV_UCFG_B0_P2_U0_DCFG2, 0x40010464 +.set CYDEV_UCFG_B0_P2_U0_DCFG3, 0x40010466 +.set CYDEV_UCFG_B0_P2_U0_DCFG4, 0x40010468 +.set CYDEV_UCFG_B0_P2_U0_DCFG5, 0x4001046a +.set CYDEV_UCFG_B0_P2_U0_DCFG6, 0x4001046c +.set CYDEV_UCFG_B0_P2_U0_DCFG7, 0x4001046e +.set CYDEV_UCFG_B0_P2_U1_BASE, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT0, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT1, 0x40010484 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT2, 0x40010488 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT3, 0x4001048c +.set CYDEV_UCFG_B0_P2_U1_PLD_IT4, 0x40010490 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT5, 0x40010494 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT6, 0x40010498 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT7, 0x4001049c +.set CYDEV_UCFG_B0_P2_U1_PLD_IT8, 0x400104a0 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT9, 0x400104a4 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT10, 0x400104a8 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT11, 0x400104ac +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT0, 0x400104b0 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT1, 0x400104b2 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT2, 0x400104b4 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT3, 0x400104b6 +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST, 0x400104b8 +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB, 0x400104ba +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET, 0x400104bc +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS, 0x400104be +.set CYDEV_UCFG_B0_P2_U1_CFG0, 0x400104c0 +.set CYDEV_UCFG_B0_P2_U1_CFG1, 0x400104c1 +.set CYDEV_UCFG_B0_P2_U1_CFG2, 0x400104c2 +.set CYDEV_UCFG_B0_P2_U1_CFG3, 0x400104c3 +.set CYDEV_UCFG_B0_P2_U1_CFG4, 0x400104c4 +.set CYDEV_UCFG_B0_P2_U1_CFG5, 0x400104c5 +.set CYDEV_UCFG_B0_P2_U1_CFG6, 0x400104c6 +.set CYDEV_UCFG_B0_P2_U1_CFG7, 0x400104c7 +.set CYDEV_UCFG_B0_P2_U1_CFG8, 0x400104c8 +.set CYDEV_UCFG_B0_P2_U1_CFG9, 0x400104c9 +.set CYDEV_UCFG_B0_P2_U1_CFG10, 0x400104ca +.set CYDEV_UCFG_B0_P2_U1_CFG11, 0x400104cb +.set CYDEV_UCFG_B0_P2_U1_CFG12, 0x400104cc +.set CYDEV_UCFG_B0_P2_U1_CFG13, 0x400104cd +.set CYDEV_UCFG_B0_P2_U1_CFG14, 0x400104ce +.set CYDEV_UCFG_B0_P2_U1_CFG15, 0x400104cf +.set CYDEV_UCFG_B0_P2_U1_CFG16, 0x400104d0 +.set CYDEV_UCFG_B0_P2_U1_CFG17, 0x400104d1 +.set CYDEV_UCFG_B0_P2_U1_CFG18, 0x400104d2 +.set CYDEV_UCFG_B0_P2_U1_CFG19, 0x400104d3 +.set CYDEV_UCFG_B0_P2_U1_CFG20, 0x400104d4 +.set CYDEV_UCFG_B0_P2_U1_CFG21, 0x400104d5 +.set CYDEV_UCFG_B0_P2_U1_CFG22, 0x400104d6 +.set CYDEV_UCFG_B0_P2_U1_CFG23, 0x400104d7 +.set CYDEV_UCFG_B0_P2_U1_CFG24, 0x400104d8 +.set CYDEV_UCFG_B0_P2_U1_CFG25, 0x400104d9 +.set CYDEV_UCFG_B0_P2_U1_CFG26, 0x400104da +.set CYDEV_UCFG_B0_P2_U1_CFG27, 0x400104db +.set CYDEV_UCFG_B0_P2_U1_CFG28, 0x400104dc +.set CYDEV_UCFG_B0_P2_U1_CFG29, 0x400104dd +.set CYDEV_UCFG_B0_P2_U1_CFG30, 0x400104de +.set CYDEV_UCFG_B0_P2_U1_CFG31, 0x400104df +.set CYDEV_UCFG_B0_P2_U1_DCFG0, 0x400104e0 +.set CYDEV_UCFG_B0_P2_U1_DCFG1, 0x400104e2 +.set CYDEV_UCFG_B0_P2_U1_DCFG2, 0x400104e4 +.set CYDEV_UCFG_B0_P2_U1_DCFG3, 0x400104e6 +.set CYDEV_UCFG_B0_P2_U1_DCFG4, 0x400104e8 +.set CYDEV_UCFG_B0_P2_U1_DCFG5, 0x400104ea +.set CYDEV_UCFG_B0_P2_U1_DCFG6, 0x400104ec +.set CYDEV_UCFG_B0_P2_U1_DCFG7, 0x400104ee +.set CYDEV_UCFG_B0_P2_ROUTE_BASE, 0x40010500 +.set CYDEV_UCFG_B0_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P3_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P3_U0_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT0, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT1, 0x40010604 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT2, 0x40010608 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT3, 0x4001060c +.set CYDEV_UCFG_B0_P3_U0_PLD_IT4, 0x40010610 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT5, 0x40010614 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT6, 0x40010618 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT7, 0x4001061c +.set CYDEV_UCFG_B0_P3_U0_PLD_IT8, 0x40010620 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT9, 0x40010624 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT10, 0x40010628 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT11, 0x4001062c +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT0, 0x40010630 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT1, 0x40010632 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT2, 0x40010634 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT3, 0x40010636 +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST, 0x40010638 +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB, 0x4001063a +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET, 0x4001063c +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS, 0x4001063e +.set CYDEV_UCFG_B0_P3_U0_CFG0, 0x40010640 +.set CYDEV_UCFG_B0_P3_U0_CFG1, 0x40010641 +.set CYDEV_UCFG_B0_P3_U0_CFG2, 0x40010642 +.set CYDEV_UCFG_B0_P3_U0_CFG3, 0x40010643 +.set CYDEV_UCFG_B0_P3_U0_CFG4, 0x40010644 +.set CYDEV_UCFG_B0_P3_U0_CFG5, 0x40010645 +.set CYDEV_UCFG_B0_P3_U0_CFG6, 0x40010646 +.set CYDEV_UCFG_B0_P3_U0_CFG7, 0x40010647 +.set CYDEV_UCFG_B0_P3_U0_CFG8, 0x40010648 +.set CYDEV_UCFG_B0_P3_U0_CFG9, 0x40010649 +.set CYDEV_UCFG_B0_P3_U0_CFG10, 0x4001064a +.set CYDEV_UCFG_B0_P3_U0_CFG11, 0x4001064b +.set CYDEV_UCFG_B0_P3_U0_CFG12, 0x4001064c +.set CYDEV_UCFG_B0_P3_U0_CFG13, 0x4001064d +.set CYDEV_UCFG_B0_P3_U0_CFG14, 0x4001064e +.set CYDEV_UCFG_B0_P3_U0_CFG15, 0x4001064f +.set CYDEV_UCFG_B0_P3_U0_CFG16, 0x40010650 +.set CYDEV_UCFG_B0_P3_U0_CFG17, 0x40010651 +.set CYDEV_UCFG_B0_P3_U0_CFG18, 0x40010652 +.set CYDEV_UCFG_B0_P3_U0_CFG19, 0x40010653 +.set CYDEV_UCFG_B0_P3_U0_CFG20, 0x40010654 +.set CYDEV_UCFG_B0_P3_U0_CFG21, 0x40010655 +.set CYDEV_UCFG_B0_P3_U0_CFG22, 0x40010656 +.set CYDEV_UCFG_B0_P3_U0_CFG23, 0x40010657 +.set CYDEV_UCFG_B0_P3_U0_CFG24, 0x40010658 +.set CYDEV_UCFG_B0_P3_U0_CFG25, 0x40010659 +.set CYDEV_UCFG_B0_P3_U0_CFG26, 0x4001065a +.set CYDEV_UCFG_B0_P3_U0_CFG27, 0x4001065b +.set CYDEV_UCFG_B0_P3_U0_CFG28, 0x4001065c +.set CYDEV_UCFG_B0_P3_U0_CFG29, 0x4001065d +.set CYDEV_UCFG_B0_P3_U0_CFG30, 0x4001065e +.set CYDEV_UCFG_B0_P3_U0_CFG31, 0x4001065f +.set CYDEV_UCFG_B0_P3_U0_DCFG0, 0x40010660 +.set CYDEV_UCFG_B0_P3_U0_DCFG1, 0x40010662 +.set CYDEV_UCFG_B0_P3_U0_DCFG2, 0x40010664 +.set CYDEV_UCFG_B0_P3_U0_DCFG3, 0x40010666 +.set CYDEV_UCFG_B0_P3_U0_DCFG4, 0x40010668 +.set CYDEV_UCFG_B0_P3_U0_DCFG5, 0x4001066a +.set CYDEV_UCFG_B0_P3_U0_DCFG6, 0x4001066c +.set CYDEV_UCFG_B0_P3_U0_DCFG7, 0x4001066e +.set CYDEV_UCFG_B0_P3_U1_BASE, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT0, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT1, 0x40010684 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT2, 0x40010688 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT3, 0x4001068c +.set CYDEV_UCFG_B0_P3_U1_PLD_IT4, 0x40010690 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT5, 0x40010694 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT6, 0x40010698 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT7, 0x4001069c +.set CYDEV_UCFG_B0_P3_U1_PLD_IT8, 0x400106a0 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT9, 0x400106a4 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT10, 0x400106a8 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT11, 0x400106ac +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT0, 0x400106b0 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT1, 0x400106b2 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT2, 0x400106b4 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT3, 0x400106b6 +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST, 0x400106b8 +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB, 0x400106ba +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET, 0x400106bc +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS, 0x400106be +.set CYDEV_UCFG_B0_P3_U1_CFG0, 0x400106c0 +.set CYDEV_UCFG_B0_P3_U1_CFG1, 0x400106c1 +.set CYDEV_UCFG_B0_P3_U1_CFG2, 0x400106c2 +.set CYDEV_UCFG_B0_P3_U1_CFG3, 0x400106c3 +.set CYDEV_UCFG_B0_P3_U1_CFG4, 0x400106c4 +.set CYDEV_UCFG_B0_P3_U1_CFG5, 0x400106c5 +.set CYDEV_UCFG_B0_P3_U1_CFG6, 0x400106c6 +.set CYDEV_UCFG_B0_P3_U1_CFG7, 0x400106c7 +.set CYDEV_UCFG_B0_P3_U1_CFG8, 0x400106c8 +.set CYDEV_UCFG_B0_P3_U1_CFG9, 0x400106c9 +.set CYDEV_UCFG_B0_P3_U1_CFG10, 0x400106ca +.set CYDEV_UCFG_B0_P3_U1_CFG11, 0x400106cb +.set CYDEV_UCFG_B0_P3_U1_CFG12, 0x400106cc +.set CYDEV_UCFG_B0_P3_U1_CFG13, 0x400106cd +.set CYDEV_UCFG_B0_P3_U1_CFG14, 0x400106ce +.set CYDEV_UCFG_B0_P3_U1_CFG15, 0x400106cf +.set CYDEV_UCFG_B0_P3_U1_CFG16, 0x400106d0 +.set CYDEV_UCFG_B0_P3_U1_CFG17, 0x400106d1 +.set CYDEV_UCFG_B0_P3_U1_CFG18, 0x400106d2 +.set CYDEV_UCFG_B0_P3_U1_CFG19, 0x400106d3 +.set CYDEV_UCFG_B0_P3_U1_CFG20, 0x400106d4 +.set CYDEV_UCFG_B0_P3_U1_CFG21, 0x400106d5 +.set CYDEV_UCFG_B0_P3_U1_CFG22, 0x400106d6 +.set CYDEV_UCFG_B0_P3_U1_CFG23, 0x400106d7 +.set CYDEV_UCFG_B0_P3_U1_CFG24, 0x400106d8 +.set CYDEV_UCFG_B0_P3_U1_CFG25, 0x400106d9 +.set CYDEV_UCFG_B0_P3_U1_CFG26, 0x400106da +.set CYDEV_UCFG_B0_P3_U1_CFG27, 0x400106db +.set CYDEV_UCFG_B0_P3_U1_CFG28, 0x400106dc +.set CYDEV_UCFG_B0_P3_U1_CFG29, 0x400106dd +.set CYDEV_UCFG_B0_P3_U1_CFG30, 0x400106de +.set CYDEV_UCFG_B0_P3_U1_CFG31, 0x400106df +.set CYDEV_UCFG_B0_P3_U1_DCFG0, 0x400106e0 +.set CYDEV_UCFG_B0_P3_U1_DCFG1, 0x400106e2 +.set CYDEV_UCFG_B0_P3_U1_DCFG2, 0x400106e4 +.set CYDEV_UCFG_B0_P3_U1_DCFG3, 0x400106e6 +.set CYDEV_UCFG_B0_P3_U1_DCFG4, 0x400106e8 +.set CYDEV_UCFG_B0_P3_U1_DCFG5, 0x400106ea +.set CYDEV_UCFG_B0_P3_U1_DCFG6, 0x400106ec +.set CYDEV_UCFG_B0_P3_U1_DCFG7, 0x400106ee +.set CYDEV_UCFG_B0_P3_ROUTE_BASE, 0x40010700 +.set CYDEV_UCFG_B0_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P4_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P4_U0_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT0, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT1, 0x40010804 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT2, 0x40010808 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT3, 0x4001080c +.set CYDEV_UCFG_B0_P4_U0_PLD_IT4, 0x40010810 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT5, 0x40010814 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT6, 0x40010818 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT7, 0x4001081c +.set CYDEV_UCFG_B0_P4_U0_PLD_IT8, 0x40010820 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT9, 0x40010824 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT10, 0x40010828 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT11, 0x4001082c +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT0, 0x40010830 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT1, 0x40010832 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT2, 0x40010834 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT3, 0x40010836 +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST, 0x40010838 +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB, 0x4001083a +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET, 0x4001083c +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS, 0x4001083e +.set CYDEV_UCFG_B0_P4_U0_CFG0, 0x40010840 +.set CYDEV_UCFG_B0_P4_U0_CFG1, 0x40010841 +.set CYDEV_UCFG_B0_P4_U0_CFG2, 0x40010842 +.set CYDEV_UCFG_B0_P4_U0_CFG3, 0x40010843 +.set CYDEV_UCFG_B0_P4_U0_CFG4, 0x40010844 +.set CYDEV_UCFG_B0_P4_U0_CFG5, 0x40010845 +.set CYDEV_UCFG_B0_P4_U0_CFG6, 0x40010846 +.set CYDEV_UCFG_B0_P4_U0_CFG7, 0x40010847 +.set CYDEV_UCFG_B0_P4_U0_CFG8, 0x40010848 +.set CYDEV_UCFG_B0_P4_U0_CFG9, 0x40010849 +.set CYDEV_UCFG_B0_P4_U0_CFG10, 0x4001084a +.set CYDEV_UCFG_B0_P4_U0_CFG11, 0x4001084b +.set CYDEV_UCFG_B0_P4_U0_CFG12, 0x4001084c +.set CYDEV_UCFG_B0_P4_U0_CFG13, 0x4001084d +.set CYDEV_UCFG_B0_P4_U0_CFG14, 0x4001084e +.set CYDEV_UCFG_B0_P4_U0_CFG15, 0x4001084f +.set CYDEV_UCFG_B0_P4_U0_CFG16, 0x40010850 +.set CYDEV_UCFG_B0_P4_U0_CFG17, 0x40010851 +.set CYDEV_UCFG_B0_P4_U0_CFG18, 0x40010852 +.set CYDEV_UCFG_B0_P4_U0_CFG19, 0x40010853 +.set CYDEV_UCFG_B0_P4_U0_CFG20, 0x40010854 +.set CYDEV_UCFG_B0_P4_U0_CFG21, 0x40010855 +.set CYDEV_UCFG_B0_P4_U0_CFG22, 0x40010856 +.set CYDEV_UCFG_B0_P4_U0_CFG23, 0x40010857 +.set CYDEV_UCFG_B0_P4_U0_CFG24, 0x40010858 +.set CYDEV_UCFG_B0_P4_U0_CFG25, 0x40010859 +.set CYDEV_UCFG_B0_P4_U0_CFG26, 0x4001085a +.set CYDEV_UCFG_B0_P4_U0_CFG27, 0x4001085b +.set CYDEV_UCFG_B0_P4_U0_CFG28, 0x4001085c +.set CYDEV_UCFG_B0_P4_U0_CFG29, 0x4001085d +.set CYDEV_UCFG_B0_P4_U0_CFG30, 0x4001085e +.set CYDEV_UCFG_B0_P4_U0_CFG31, 0x4001085f +.set CYDEV_UCFG_B0_P4_U0_DCFG0, 0x40010860 +.set CYDEV_UCFG_B0_P4_U0_DCFG1, 0x40010862 +.set CYDEV_UCFG_B0_P4_U0_DCFG2, 0x40010864 +.set CYDEV_UCFG_B0_P4_U0_DCFG3, 0x40010866 +.set CYDEV_UCFG_B0_P4_U0_DCFG4, 0x40010868 +.set CYDEV_UCFG_B0_P4_U0_DCFG5, 0x4001086a +.set CYDEV_UCFG_B0_P4_U0_DCFG6, 0x4001086c +.set CYDEV_UCFG_B0_P4_U0_DCFG7, 0x4001086e +.set CYDEV_UCFG_B0_P4_U1_BASE, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT0, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT1, 0x40010884 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT2, 0x40010888 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT3, 0x4001088c +.set CYDEV_UCFG_B0_P4_U1_PLD_IT4, 0x40010890 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT5, 0x40010894 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT6, 0x40010898 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT7, 0x4001089c +.set CYDEV_UCFG_B0_P4_U1_PLD_IT8, 0x400108a0 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT9, 0x400108a4 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT10, 0x400108a8 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT11, 0x400108ac +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT0, 0x400108b0 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT1, 0x400108b2 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT2, 0x400108b4 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT3, 0x400108b6 +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST, 0x400108b8 +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB, 0x400108ba +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET, 0x400108bc +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS, 0x400108be +.set CYDEV_UCFG_B0_P4_U1_CFG0, 0x400108c0 +.set CYDEV_UCFG_B0_P4_U1_CFG1, 0x400108c1 +.set CYDEV_UCFG_B0_P4_U1_CFG2, 0x400108c2 +.set CYDEV_UCFG_B0_P4_U1_CFG3, 0x400108c3 +.set CYDEV_UCFG_B0_P4_U1_CFG4, 0x400108c4 +.set CYDEV_UCFG_B0_P4_U1_CFG5, 0x400108c5 +.set CYDEV_UCFG_B0_P4_U1_CFG6, 0x400108c6 +.set CYDEV_UCFG_B0_P4_U1_CFG7, 0x400108c7 +.set CYDEV_UCFG_B0_P4_U1_CFG8, 0x400108c8 +.set CYDEV_UCFG_B0_P4_U1_CFG9, 0x400108c9 +.set CYDEV_UCFG_B0_P4_U1_CFG10, 0x400108ca +.set CYDEV_UCFG_B0_P4_U1_CFG11, 0x400108cb +.set CYDEV_UCFG_B0_P4_U1_CFG12, 0x400108cc +.set CYDEV_UCFG_B0_P4_U1_CFG13, 0x400108cd +.set CYDEV_UCFG_B0_P4_U1_CFG14, 0x400108ce +.set CYDEV_UCFG_B0_P4_U1_CFG15, 0x400108cf +.set CYDEV_UCFG_B0_P4_U1_CFG16, 0x400108d0 +.set CYDEV_UCFG_B0_P4_U1_CFG17, 0x400108d1 +.set CYDEV_UCFG_B0_P4_U1_CFG18, 0x400108d2 +.set CYDEV_UCFG_B0_P4_U1_CFG19, 0x400108d3 +.set CYDEV_UCFG_B0_P4_U1_CFG20, 0x400108d4 +.set CYDEV_UCFG_B0_P4_U1_CFG21, 0x400108d5 +.set CYDEV_UCFG_B0_P4_U1_CFG22, 0x400108d6 +.set CYDEV_UCFG_B0_P4_U1_CFG23, 0x400108d7 +.set CYDEV_UCFG_B0_P4_U1_CFG24, 0x400108d8 +.set CYDEV_UCFG_B0_P4_U1_CFG25, 0x400108d9 +.set CYDEV_UCFG_B0_P4_U1_CFG26, 0x400108da +.set CYDEV_UCFG_B0_P4_U1_CFG27, 0x400108db +.set CYDEV_UCFG_B0_P4_U1_CFG28, 0x400108dc +.set CYDEV_UCFG_B0_P4_U1_CFG29, 0x400108dd +.set CYDEV_UCFG_B0_P4_U1_CFG30, 0x400108de +.set CYDEV_UCFG_B0_P4_U1_CFG31, 0x400108df +.set CYDEV_UCFG_B0_P4_U1_DCFG0, 0x400108e0 +.set CYDEV_UCFG_B0_P4_U1_DCFG1, 0x400108e2 +.set CYDEV_UCFG_B0_P4_U1_DCFG2, 0x400108e4 +.set CYDEV_UCFG_B0_P4_U1_DCFG3, 0x400108e6 +.set CYDEV_UCFG_B0_P4_U1_DCFG4, 0x400108e8 +.set CYDEV_UCFG_B0_P4_U1_DCFG5, 0x400108ea +.set CYDEV_UCFG_B0_P4_U1_DCFG6, 0x400108ec +.set CYDEV_UCFG_B0_P4_U1_DCFG7, 0x400108ee +.set CYDEV_UCFG_B0_P4_ROUTE_BASE, 0x40010900 +.set CYDEV_UCFG_B0_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P5_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P5_U0_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT0, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT1, 0x40010a04 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT2, 0x40010a08 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT3, 0x40010a0c +.set CYDEV_UCFG_B0_P5_U0_PLD_IT4, 0x40010a10 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT5, 0x40010a14 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT6, 0x40010a18 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT7, 0x40010a1c +.set CYDEV_UCFG_B0_P5_U0_PLD_IT8, 0x40010a20 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT9, 0x40010a24 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT10, 0x40010a28 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT11, 0x40010a2c +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT0, 0x40010a30 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT1, 0x40010a32 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT2, 0x40010a34 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT3, 0x40010a36 +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST, 0x40010a38 +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB, 0x40010a3a +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET, 0x40010a3c +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS, 0x40010a3e +.set CYDEV_UCFG_B0_P5_U0_CFG0, 0x40010a40 +.set CYDEV_UCFG_B0_P5_U0_CFG1, 0x40010a41 +.set CYDEV_UCFG_B0_P5_U0_CFG2, 0x40010a42 +.set CYDEV_UCFG_B0_P5_U0_CFG3, 0x40010a43 +.set CYDEV_UCFG_B0_P5_U0_CFG4, 0x40010a44 +.set CYDEV_UCFG_B0_P5_U0_CFG5, 0x40010a45 +.set CYDEV_UCFG_B0_P5_U0_CFG6, 0x40010a46 +.set CYDEV_UCFG_B0_P5_U0_CFG7, 0x40010a47 +.set CYDEV_UCFG_B0_P5_U0_CFG8, 0x40010a48 +.set CYDEV_UCFG_B0_P5_U0_CFG9, 0x40010a49 +.set CYDEV_UCFG_B0_P5_U0_CFG10, 0x40010a4a +.set CYDEV_UCFG_B0_P5_U0_CFG11, 0x40010a4b +.set CYDEV_UCFG_B0_P5_U0_CFG12, 0x40010a4c +.set CYDEV_UCFG_B0_P5_U0_CFG13, 0x40010a4d +.set CYDEV_UCFG_B0_P5_U0_CFG14, 0x40010a4e +.set CYDEV_UCFG_B0_P5_U0_CFG15, 0x40010a4f +.set CYDEV_UCFG_B0_P5_U0_CFG16, 0x40010a50 +.set CYDEV_UCFG_B0_P5_U0_CFG17, 0x40010a51 +.set CYDEV_UCFG_B0_P5_U0_CFG18, 0x40010a52 +.set CYDEV_UCFG_B0_P5_U0_CFG19, 0x40010a53 +.set CYDEV_UCFG_B0_P5_U0_CFG20, 0x40010a54 +.set CYDEV_UCFG_B0_P5_U0_CFG21, 0x40010a55 +.set CYDEV_UCFG_B0_P5_U0_CFG22, 0x40010a56 +.set CYDEV_UCFG_B0_P5_U0_CFG23, 0x40010a57 +.set CYDEV_UCFG_B0_P5_U0_CFG24, 0x40010a58 +.set CYDEV_UCFG_B0_P5_U0_CFG25, 0x40010a59 +.set CYDEV_UCFG_B0_P5_U0_CFG26, 0x40010a5a +.set CYDEV_UCFG_B0_P5_U0_CFG27, 0x40010a5b +.set CYDEV_UCFG_B0_P5_U0_CFG28, 0x40010a5c +.set CYDEV_UCFG_B0_P5_U0_CFG29, 0x40010a5d +.set CYDEV_UCFG_B0_P5_U0_CFG30, 0x40010a5e +.set CYDEV_UCFG_B0_P5_U0_CFG31, 0x40010a5f +.set CYDEV_UCFG_B0_P5_U0_DCFG0, 0x40010a60 +.set CYDEV_UCFG_B0_P5_U0_DCFG1, 0x40010a62 +.set CYDEV_UCFG_B0_P5_U0_DCFG2, 0x40010a64 +.set CYDEV_UCFG_B0_P5_U0_DCFG3, 0x40010a66 +.set CYDEV_UCFG_B0_P5_U0_DCFG4, 0x40010a68 +.set CYDEV_UCFG_B0_P5_U0_DCFG5, 0x40010a6a +.set CYDEV_UCFG_B0_P5_U0_DCFG6, 0x40010a6c +.set CYDEV_UCFG_B0_P5_U0_DCFG7, 0x40010a6e +.set CYDEV_UCFG_B0_P5_U1_BASE, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT0, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT1, 0x40010a84 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT2, 0x40010a88 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT3, 0x40010a8c +.set CYDEV_UCFG_B0_P5_U1_PLD_IT4, 0x40010a90 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT5, 0x40010a94 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT6, 0x40010a98 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT7, 0x40010a9c +.set CYDEV_UCFG_B0_P5_U1_PLD_IT8, 0x40010aa0 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT9, 0x40010aa4 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT10, 0x40010aa8 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT11, 0x40010aac +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT0, 0x40010ab0 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT1, 0x40010ab2 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT2, 0x40010ab4 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT3, 0x40010ab6 +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST, 0x40010ab8 +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB, 0x40010aba +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET, 0x40010abc +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS, 0x40010abe +.set CYDEV_UCFG_B0_P5_U1_CFG0, 0x40010ac0 +.set CYDEV_UCFG_B0_P5_U1_CFG1, 0x40010ac1 +.set CYDEV_UCFG_B0_P5_U1_CFG2, 0x40010ac2 +.set CYDEV_UCFG_B0_P5_U1_CFG3, 0x40010ac3 +.set CYDEV_UCFG_B0_P5_U1_CFG4, 0x40010ac4 +.set CYDEV_UCFG_B0_P5_U1_CFG5, 0x40010ac5 +.set CYDEV_UCFG_B0_P5_U1_CFG6, 0x40010ac6 +.set CYDEV_UCFG_B0_P5_U1_CFG7, 0x40010ac7 +.set CYDEV_UCFG_B0_P5_U1_CFG8, 0x40010ac8 +.set CYDEV_UCFG_B0_P5_U1_CFG9, 0x40010ac9 +.set CYDEV_UCFG_B0_P5_U1_CFG10, 0x40010aca +.set CYDEV_UCFG_B0_P5_U1_CFG11, 0x40010acb +.set CYDEV_UCFG_B0_P5_U1_CFG12, 0x40010acc +.set CYDEV_UCFG_B0_P5_U1_CFG13, 0x40010acd +.set CYDEV_UCFG_B0_P5_U1_CFG14, 0x40010ace +.set CYDEV_UCFG_B0_P5_U1_CFG15, 0x40010acf +.set CYDEV_UCFG_B0_P5_U1_CFG16, 0x40010ad0 +.set CYDEV_UCFG_B0_P5_U1_CFG17, 0x40010ad1 +.set CYDEV_UCFG_B0_P5_U1_CFG18, 0x40010ad2 +.set CYDEV_UCFG_B0_P5_U1_CFG19, 0x40010ad3 +.set CYDEV_UCFG_B0_P5_U1_CFG20, 0x40010ad4 +.set CYDEV_UCFG_B0_P5_U1_CFG21, 0x40010ad5 +.set CYDEV_UCFG_B0_P5_U1_CFG22, 0x40010ad6 +.set CYDEV_UCFG_B0_P5_U1_CFG23, 0x40010ad7 +.set CYDEV_UCFG_B0_P5_U1_CFG24, 0x40010ad8 +.set CYDEV_UCFG_B0_P5_U1_CFG25, 0x40010ad9 +.set CYDEV_UCFG_B0_P5_U1_CFG26, 0x40010ada +.set CYDEV_UCFG_B0_P5_U1_CFG27, 0x40010adb +.set CYDEV_UCFG_B0_P5_U1_CFG28, 0x40010adc +.set CYDEV_UCFG_B0_P5_U1_CFG29, 0x40010add +.set CYDEV_UCFG_B0_P5_U1_CFG30, 0x40010ade +.set CYDEV_UCFG_B0_P5_U1_CFG31, 0x40010adf +.set CYDEV_UCFG_B0_P5_U1_DCFG0, 0x40010ae0 +.set CYDEV_UCFG_B0_P5_U1_DCFG1, 0x40010ae2 +.set CYDEV_UCFG_B0_P5_U1_DCFG2, 0x40010ae4 +.set CYDEV_UCFG_B0_P5_U1_DCFG3, 0x40010ae6 +.set CYDEV_UCFG_B0_P5_U1_DCFG4, 0x40010ae8 +.set CYDEV_UCFG_B0_P5_U1_DCFG5, 0x40010aea +.set CYDEV_UCFG_B0_P5_U1_DCFG6, 0x40010aec +.set CYDEV_UCFG_B0_P5_U1_DCFG7, 0x40010aee +.set CYDEV_UCFG_B0_P5_ROUTE_BASE, 0x40010b00 +.set CYDEV_UCFG_B0_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P6_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P6_U0_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT0, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT1, 0x40010c04 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT2, 0x40010c08 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT3, 0x40010c0c +.set CYDEV_UCFG_B0_P6_U0_PLD_IT4, 0x40010c10 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT5, 0x40010c14 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT6, 0x40010c18 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT7, 0x40010c1c +.set CYDEV_UCFG_B0_P6_U0_PLD_IT8, 0x40010c20 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT9, 0x40010c24 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT10, 0x40010c28 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT11, 0x40010c2c +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT0, 0x40010c30 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT1, 0x40010c32 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT2, 0x40010c34 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT3, 0x40010c36 +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST, 0x40010c38 +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB, 0x40010c3a +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET, 0x40010c3c +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS, 0x40010c3e +.set CYDEV_UCFG_B0_P6_U0_CFG0, 0x40010c40 +.set CYDEV_UCFG_B0_P6_U0_CFG1, 0x40010c41 +.set CYDEV_UCFG_B0_P6_U0_CFG2, 0x40010c42 +.set CYDEV_UCFG_B0_P6_U0_CFG3, 0x40010c43 +.set CYDEV_UCFG_B0_P6_U0_CFG4, 0x40010c44 +.set CYDEV_UCFG_B0_P6_U0_CFG5, 0x40010c45 +.set CYDEV_UCFG_B0_P6_U0_CFG6, 0x40010c46 +.set CYDEV_UCFG_B0_P6_U0_CFG7, 0x40010c47 +.set CYDEV_UCFG_B0_P6_U0_CFG8, 0x40010c48 +.set CYDEV_UCFG_B0_P6_U0_CFG9, 0x40010c49 +.set CYDEV_UCFG_B0_P6_U0_CFG10, 0x40010c4a +.set CYDEV_UCFG_B0_P6_U0_CFG11, 0x40010c4b +.set CYDEV_UCFG_B0_P6_U0_CFG12, 0x40010c4c +.set CYDEV_UCFG_B0_P6_U0_CFG13, 0x40010c4d +.set CYDEV_UCFG_B0_P6_U0_CFG14, 0x40010c4e +.set CYDEV_UCFG_B0_P6_U0_CFG15, 0x40010c4f +.set CYDEV_UCFG_B0_P6_U0_CFG16, 0x40010c50 +.set CYDEV_UCFG_B0_P6_U0_CFG17, 0x40010c51 +.set CYDEV_UCFG_B0_P6_U0_CFG18, 0x40010c52 +.set CYDEV_UCFG_B0_P6_U0_CFG19, 0x40010c53 +.set CYDEV_UCFG_B0_P6_U0_CFG20, 0x40010c54 +.set CYDEV_UCFG_B0_P6_U0_CFG21, 0x40010c55 +.set CYDEV_UCFG_B0_P6_U0_CFG22, 0x40010c56 +.set CYDEV_UCFG_B0_P6_U0_CFG23, 0x40010c57 +.set CYDEV_UCFG_B0_P6_U0_CFG24, 0x40010c58 +.set CYDEV_UCFG_B0_P6_U0_CFG25, 0x40010c59 +.set CYDEV_UCFG_B0_P6_U0_CFG26, 0x40010c5a +.set CYDEV_UCFG_B0_P6_U0_CFG27, 0x40010c5b +.set CYDEV_UCFG_B0_P6_U0_CFG28, 0x40010c5c +.set CYDEV_UCFG_B0_P6_U0_CFG29, 0x40010c5d +.set CYDEV_UCFG_B0_P6_U0_CFG30, 0x40010c5e +.set CYDEV_UCFG_B0_P6_U0_CFG31, 0x40010c5f +.set CYDEV_UCFG_B0_P6_U0_DCFG0, 0x40010c60 +.set CYDEV_UCFG_B0_P6_U0_DCFG1, 0x40010c62 +.set CYDEV_UCFG_B0_P6_U0_DCFG2, 0x40010c64 +.set CYDEV_UCFG_B0_P6_U0_DCFG3, 0x40010c66 +.set CYDEV_UCFG_B0_P6_U0_DCFG4, 0x40010c68 +.set CYDEV_UCFG_B0_P6_U0_DCFG5, 0x40010c6a +.set CYDEV_UCFG_B0_P6_U0_DCFG6, 0x40010c6c +.set CYDEV_UCFG_B0_P6_U0_DCFG7, 0x40010c6e +.set CYDEV_UCFG_B0_P6_U1_BASE, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT0, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT1, 0x40010c84 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT2, 0x40010c88 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT3, 0x40010c8c +.set CYDEV_UCFG_B0_P6_U1_PLD_IT4, 0x40010c90 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT5, 0x40010c94 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT6, 0x40010c98 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT7, 0x40010c9c +.set CYDEV_UCFG_B0_P6_U1_PLD_IT8, 0x40010ca0 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT9, 0x40010ca4 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT10, 0x40010ca8 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT11, 0x40010cac +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT0, 0x40010cb0 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT1, 0x40010cb2 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT2, 0x40010cb4 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT3, 0x40010cb6 +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST, 0x40010cb8 +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB, 0x40010cba +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET, 0x40010cbc +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS, 0x40010cbe +.set CYDEV_UCFG_B0_P6_U1_CFG0, 0x40010cc0 +.set CYDEV_UCFG_B0_P6_U1_CFG1, 0x40010cc1 +.set CYDEV_UCFG_B0_P6_U1_CFG2, 0x40010cc2 +.set CYDEV_UCFG_B0_P6_U1_CFG3, 0x40010cc3 +.set CYDEV_UCFG_B0_P6_U1_CFG4, 0x40010cc4 +.set CYDEV_UCFG_B0_P6_U1_CFG5, 0x40010cc5 +.set CYDEV_UCFG_B0_P6_U1_CFG6, 0x40010cc6 +.set CYDEV_UCFG_B0_P6_U1_CFG7, 0x40010cc7 +.set CYDEV_UCFG_B0_P6_U1_CFG8, 0x40010cc8 +.set CYDEV_UCFG_B0_P6_U1_CFG9, 0x40010cc9 +.set CYDEV_UCFG_B0_P6_U1_CFG10, 0x40010cca +.set CYDEV_UCFG_B0_P6_U1_CFG11, 0x40010ccb +.set CYDEV_UCFG_B0_P6_U1_CFG12, 0x40010ccc +.set CYDEV_UCFG_B0_P6_U1_CFG13, 0x40010ccd +.set CYDEV_UCFG_B0_P6_U1_CFG14, 0x40010cce +.set CYDEV_UCFG_B0_P6_U1_CFG15, 0x40010ccf +.set CYDEV_UCFG_B0_P6_U1_CFG16, 0x40010cd0 +.set CYDEV_UCFG_B0_P6_U1_CFG17, 0x40010cd1 +.set CYDEV_UCFG_B0_P6_U1_CFG18, 0x40010cd2 +.set CYDEV_UCFG_B0_P6_U1_CFG19, 0x40010cd3 +.set CYDEV_UCFG_B0_P6_U1_CFG20, 0x40010cd4 +.set CYDEV_UCFG_B0_P6_U1_CFG21, 0x40010cd5 +.set CYDEV_UCFG_B0_P6_U1_CFG22, 0x40010cd6 +.set CYDEV_UCFG_B0_P6_U1_CFG23, 0x40010cd7 +.set CYDEV_UCFG_B0_P6_U1_CFG24, 0x40010cd8 +.set CYDEV_UCFG_B0_P6_U1_CFG25, 0x40010cd9 +.set CYDEV_UCFG_B0_P6_U1_CFG26, 0x40010cda +.set CYDEV_UCFG_B0_P6_U1_CFG27, 0x40010cdb +.set CYDEV_UCFG_B0_P6_U1_CFG28, 0x40010cdc +.set CYDEV_UCFG_B0_P6_U1_CFG29, 0x40010cdd +.set CYDEV_UCFG_B0_P6_U1_CFG30, 0x40010cde +.set CYDEV_UCFG_B0_P6_U1_CFG31, 0x40010cdf +.set CYDEV_UCFG_B0_P6_U1_DCFG0, 0x40010ce0 +.set CYDEV_UCFG_B0_P6_U1_DCFG1, 0x40010ce2 +.set CYDEV_UCFG_B0_P6_U1_DCFG2, 0x40010ce4 +.set CYDEV_UCFG_B0_P6_U1_DCFG3, 0x40010ce6 +.set CYDEV_UCFG_B0_P6_U1_DCFG4, 0x40010ce8 +.set CYDEV_UCFG_B0_P6_U1_DCFG5, 0x40010cea +.set CYDEV_UCFG_B0_P6_U1_DCFG6, 0x40010cec +.set CYDEV_UCFG_B0_P6_U1_DCFG7, 0x40010cee +.set CYDEV_UCFG_B0_P6_ROUTE_BASE, 0x40010d00 +.set CYDEV_UCFG_B0_P6_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P7_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P7_U0_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT0, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT1, 0x40010e04 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT2, 0x40010e08 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT3, 0x40010e0c +.set CYDEV_UCFG_B0_P7_U0_PLD_IT4, 0x40010e10 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT5, 0x40010e14 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT6, 0x40010e18 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT7, 0x40010e1c +.set CYDEV_UCFG_B0_P7_U0_PLD_IT8, 0x40010e20 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT9, 0x40010e24 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT10, 0x40010e28 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT11, 0x40010e2c +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT0, 0x40010e30 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT1, 0x40010e32 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT2, 0x40010e34 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT3, 0x40010e36 +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST, 0x40010e38 +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB, 0x40010e3a +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET, 0x40010e3c +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS, 0x40010e3e +.set CYDEV_UCFG_B0_P7_U0_CFG0, 0x40010e40 +.set CYDEV_UCFG_B0_P7_U0_CFG1, 0x40010e41 +.set CYDEV_UCFG_B0_P7_U0_CFG2, 0x40010e42 +.set CYDEV_UCFG_B0_P7_U0_CFG3, 0x40010e43 +.set CYDEV_UCFG_B0_P7_U0_CFG4, 0x40010e44 +.set CYDEV_UCFG_B0_P7_U0_CFG5, 0x40010e45 +.set CYDEV_UCFG_B0_P7_U0_CFG6, 0x40010e46 +.set CYDEV_UCFG_B0_P7_U0_CFG7, 0x40010e47 +.set CYDEV_UCFG_B0_P7_U0_CFG8, 0x40010e48 +.set CYDEV_UCFG_B0_P7_U0_CFG9, 0x40010e49 +.set CYDEV_UCFG_B0_P7_U0_CFG10, 0x40010e4a +.set CYDEV_UCFG_B0_P7_U0_CFG11, 0x40010e4b +.set CYDEV_UCFG_B0_P7_U0_CFG12, 0x40010e4c +.set CYDEV_UCFG_B0_P7_U0_CFG13, 0x40010e4d +.set CYDEV_UCFG_B0_P7_U0_CFG14, 0x40010e4e +.set CYDEV_UCFG_B0_P7_U0_CFG15, 0x40010e4f +.set CYDEV_UCFG_B0_P7_U0_CFG16, 0x40010e50 +.set CYDEV_UCFG_B0_P7_U0_CFG17, 0x40010e51 +.set CYDEV_UCFG_B0_P7_U0_CFG18, 0x40010e52 +.set CYDEV_UCFG_B0_P7_U0_CFG19, 0x40010e53 +.set CYDEV_UCFG_B0_P7_U0_CFG20, 0x40010e54 +.set CYDEV_UCFG_B0_P7_U0_CFG21, 0x40010e55 +.set CYDEV_UCFG_B0_P7_U0_CFG22, 0x40010e56 +.set CYDEV_UCFG_B0_P7_U0_CFG23, 0x40010e57 +.set CYDEV_UCFG_B0_P7_U0_CFG24, 0x40010e58 +.set CYDEV_UCFG_B0_P7_U0_CFG25, 0x40010e59 +.set CYDEV_UCFG_B0_P7_U0_CFG26, 0x40010e5a +.set CYDEV_UCFG_B0_P7_U0_CFG27, 0x40010e5b +.set CYDEV_UCFG_B0_P7_U0_CFG28, 0x40010e5c +.set CYDEV_UCFG_B0_P7_U0_CFG29, 0x40010e5d +.set CYDEV_UCFG_B0_P7_U0_CFG30, 0x40010e5e +.set CYDEV_UCFG_B0_P7_U0_CFG31, 0x40010e5f +.set CYDEV_UCFG_B0_P7_U0_DCFG0, 0x40010e60 +.set CYDEV_UCFG_B0_P7_U0_DCFG1, 0x40010e62 +.set CYDEV_UCFG_B0_P7_U0_DCFG2, 0x40010e64 +.set CYDEV_UCFG_B0_P7_U0_DCFG3, 0x40010e66 +.set CYDEV_UCFG_B0_P7_U0_DCFG4, 0x40010e68 +.set CYDEV_UCFG_B0_P7_U0_DCFG5, 0x40010e6a +.set CYDEV_UCFG_B0_P7_U0_DCFG6, 0x40010e6c +.set CYDEV_UCFG_B0_P7_U0_DCFG7, 0x40010e6e +.set CYDEV_UCFG_B0_P7_U1_BASE, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT0, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT1, 0x40010e84 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT2, 0x40010e88 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT3, 0x40010e8c +.set CYDEV_UCFG_B0_P7_U1_PLD_IT4, 0x40010e90 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT5, 0x40010e94 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT6, 0x40010e98 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT7, 0x40010e9c +.set CYDEV_UCFG_B0_P7_U1_PLD_IT8, 0x40010ea0 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT9, 0x40010ea4 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT10, 0x40010ea8 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT11, 0x40010eac +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT0, 0x40010eb0 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT1, 0x40010eb2 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT2, 0x40010eb4 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT3, 0x40010eb6 +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST, 0x40010eb8 +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB, 0x40010eba +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET, 0x40010ebc +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS, 0x40010ebe +.set CYDEV_UCFG_B0_P7_U1_CFG0, 0x40010ec0 +.set CYDEV_UCFG_B0_P7_U1_CFG1, 0x40010ec1 +.set CYDEV_UCFG_B0_P7_U1_CFG2, 0x40010ec2 +.set CYDEV_UCFG_B0_P7_U1_CFG3, 0x40010ec3 +.set CYDEV_UCFG_B0_P7_U1_CFG4, 0x40010ec4 +.set CYDEV_UCFG_B0_P7_U1_CFG5, 0x40010ec5 +.set CYDEV_UCFG_B0_P7_U1_CFG6, 0x40010ec6 +.set CYDEV_UCFG_B0_P7_U1_CFG7, 0x40010ec7 +.set CYDEV_UCFG_B0_P7_U1_CFG8, 0x40010ec8 +.set CYDEV_UCFG_B0_P7_U1_CFG9, 0x40010ec9 +.set CYDEV_UCFG_B0_P7_U1_CFG10, 0x40010eca +.set CYDEV_UCFG_B0_P7_U1_CFG11, 0x40010ecb +.set CYDEV_UCFG_B0_P7_U1_CFG12, 0x40010ecc +.set CYDEV_UCFG_B0_P7_U1_CFG13, 0x40010ecd +.set CYDEV_UCFG_B0_P7_U1_CFG14, 0x40010ece +.set CYDEV_UCFG_B0_P7_U1_CFG15, 0x40010ecf +.set CYDEV_UCFG_B0_P7_U1_CFG16, 0x40010ed0 +.set CYDEV_UCFG_B0_P7_U1_CFG17, 0x40010ed1 +.set CYDEV_UCFG_B0_P7_U1_CFG18, 0x40010ed2 +.set CYDEV_UCFG_B0_P7_U1_CFG19, 0x40010ed3 +.set CYDEV_UCFG_B0_P7_U1_CFG20, 0x40010ed4 +.set CYDEV_UCFG_B0_P7_U1_CFG21, 0x40010ed5 +.set CYDEV_UCFG_B0_P7_U1_CFG22, 0x40010ed6 +.set CYDEV_UCFG_B0_P7_U1_CFG23, 0x40010ed7 +.set CYDEV_UCFG_B0_P7_U1_CFG24, 0x40010ed8 +.set CYDEV_UCFG_B0_P7_U1_CFG25, 0x40010ed9 +.set CYDEV_UCFG_B0_P7_U1_CFG26, 0x40010eda +.set CYDEV_UCFG_B0_P7_U1_CFG27, 0x40010edb +.set CYDEV_UCFG_B0_P7_U1_CFG28, 0x40010edc +.set CYDEV_UCFG_B0_P7_U1_CFG29, 0x40010edd +.set CYDEV_UCFG_B0_P7_U1_CFG30, 0x40010ede +.set CYDEV_UCFG_B0_P7_U1_CFG31, 0x40010edf +.set CYDEV_UCFG_B0_P7_U1_DCFG0, 0x40010ee0 +.set CYDEV_UCFG_B0_P7_U1_DCFG1, 0x40010ee2 +.set CYDEV_UCFG_B0_P7_U1_DCFG2, 0x40010ee4 +.set CYDEV_UCFG_B0_P7_U1_DCFG3, 0x40010ee6 +.set CYDEV_UCFG_B0_P7_U1_DCFG4, 0x40010ee8 +.set CYDEV_UCFG_B0_P7_U1_DCFG5, 0x40010eea +.set CYDEV_UCFG_B0_P7_U1_DCFG6, 0x40010eec +.set CYDEV_UCFG_B0_P7_U1_DCFG7, 0x40010eee +.set CYDEV_UCFG_B0_P7_ROUTE_BASE, 0x40010f00 +.set CYDEV_UCFG_B0_P7_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_BASE, 0x40011000 +.set CYDEV_UCFG_B1_SIZE, 0x00000fef +.set CYDEV_UCFG_B1_P2_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P2_U0_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT0, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT1, 0x40011404 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT2, 0x40011408 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT3, 0x4001140c +.set CYDEV_UCFG_B1_P2_U0_PLD_IT4, 0x40011410 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT5, 0x40011414 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT6, 0x40011418 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT7, 0x4001141c +.set CYDEV_UCFG_B1_P2_U0_PLD_IT8, 0x40011420 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT9, 0x40011424 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT10, 0x40011428 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT11, 0x4001142c +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT0, 0x40011430 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT1, 0x40011432 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT2, 0x40011434 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT3, 0x40011436 +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST, 0x40011438 +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB, 0x4001143a +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET, 0x4001143c +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS, 0x4001143e +.set CYDEV_UCFG_B1_P2_U0_CFG0, 0x40011440 +.set CYDEV_UCFG_B1_P2_U0_CFG1, 0x40011441 +.set CYDEV_UCFG_B1_P2_U0_CFG2, 0x40011442 +.set CYDEV_UCFG_B1_P2_U0_CFG3, 0x40011443 +.set CYDEV_UCFG_B1_P2_U0_CFG4, 0x40011444 +.set CYDEV_UCFG_B1_P2_U0_CFG5, 0x40011445 +.set CYDEV_UCFG_B1_P2_U0_CFG6, 0x40011446 +.set CYDEV_UCFG_B1_P2_U0_CFG7, 0x40011447 +.set CYDEV_UCFG_B1_P2_U0_CFG8, 0x40011448 +.set CYDEV_UCFG_B1_P2_U0_CFG9, 0x40011449 +.set CYDEV_UCFG_B1_P2_U0_CFG10, 0x4001144a +.set CYDEV_UCFG_B1_P2_U0_CFG11, 0x4001144b +.set CYDEV_UCFG_B1_P2_U0_CFG12, 0x4001144c +.set CYDEV_UCFG_B1_P2_U0_CFG13, 0x4001144d +.set CYDEV_UCFG_B1_P2_U0_CFG14, 0x4001144e +.set CYDEV_UCFG_B1_P2_U0_CFG15, 0x4001144f +.set CYDEV_UCFG_B1_P2_U0_CFG16, 0x40011450 +.set CYDEV_UCFG_B1_P2_U0_CFG17, 0x40011451 +.set CYDEV_UCFG_B1_P2_U0_CFG18, 0x40011452 +.set CYDEV_UCFG_B1_P2_U0_CFG19, 0x40011453 +.set CYDEV_UCFG_B1_P2_U0_CFG20, 0x40011454 +.set CYDEV_UCFG_B1_P2_U0_CFG21, 0x40011455 +.set CYDEV_UCFG_B1_P2_U0_CFG22, 0x40011456 +.set CYDEV_UCFG_B1_P2_U0_CFG23, 0x40011457 +.set CYDEV_UCFG_B1_P2_U0_CFG24, 0x40011458 +.set CYDEV_UCFG_B1_P2_U0_CFG25, 0x40011459 +.set CYDEV_UCFG_B1_P2_U0_CFG26, 0x4001145a +.set CYDEV_UCFG_B1_P2_U0_CFG27, 0x4001145b +.set CYDEV_UCFG_B1_P2_U0_CFG28, 0x4001145c +.set CYDEV_UCFG_B1_P2_U0_CFG29, 0x4001145d +.set CYDEV_UCFG_B1_P2_U0_CFG30, 0x4001145e +.set CYDEV_UCFG_B1_P2_U0_CFG31, 0x4001145f +.set CYDEV_UCFG_B1_P2_U0_DCFG0, 0x40011460 +.set CYDEV_UCFG_B1_P2_U0_DCFG1, 0x40011462 +.set CYDEV_UCFG_B1_P2_U0_DCFG2, 0x40011464 +.set CYDEV_UCFG_B1_P2_U0_DCFG3, 0x40011466 +.set CYDEV_UCFG_B1_P2_U0_DCFG4, 0x40011468 +.set CYDEV_UCFG_B1_P2_U0_DCFG5, 0x4001146a +.set CYDEV_UCFG_B1_P2_U0_DCFG6, 0x4001146c +.set CYDEV_UCFG_B1_P2_U0_DCFG7, 0x4001146e +.set CYDEV_UCFG_B1_P2_U1_BASE, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT0, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT1, 0x40011484 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT2, 0x40011488 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT3, 0x4001148c +.set CYDEV_UCFG_B1_P2_U1_PLD_IT4, 0x40011490 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT5, 0x40011494 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT6, 0x40011498 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT7, 0x4001149c +.set CYDEV_UCFG_B1_P2_U1_PLD_IT8, 0x400114a0 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT9, 0x400114a4 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT10, 0x400114a8 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT11, 0x400114ac +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT0, 0x400114b0 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT1, 0x400114b2 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT2, 0x400114b4 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT3, 0x400114b6 +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST, 0x400114b8 +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB, 0x400114ba +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET, 0x400114bc +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS, 0x400114be +.set CYDEV_UCFG_B1_P2_U1_CFG0, 0x400114c0 +.set CYDEV_UCFG_B1_P2_U1_CFG1, 0x400114c1 +.set CYDEV_UCFG_B1_P2_U1_CFG2, 0x400114c2 +.set CYDEV_UCFG_B1_P2_U1_CFG3, 0x400114c3 +.set CYDEV_UCFG_B1_P2_U1_CFG4, 0x400114c4 +.set CYDEV_UCFG_B1_P2_U1_CFG5, 0x400114c5 +.set CYDEV_UCFG_B1_P2_U1_CFG6, 0x400114c6 +.set CYDEV_UCFG_B1_P2_U1_CFG7, 0x400114c7 +.set CYDEV_UCFG_B1_P2_U1_CFG8, 0x400114c8 +.set CYDEV_UCFG_B1_P2_U1_CFG9, 0x400114c9 +.set CYDEV_UCFG_B1_P2_U1_CFG10, 0x400114ca +.set CYDEV_UCFG_B1_P2_U1_CFG11, 0x400114cb +.set CYDEV_UCFG_B1_P2_U1_CFG12, 0x400114cc +.set CYDEV_UCFG_B1_P2_U1_CFG13, 0x400114cd +.set CYDEV_UCFG_B1_P2_U1_CFG14, 0x400114ce +.set CYDEV_UCFG_B1_P2_U1_CFG15, 0x400114cf +.set CYDEV_UCFG_B1_P2_U1_CFG16, 0x400114d0 +.set CYDEV_UCFG_B1_P2_U1_CFG17, 0x400114d1 +.set CYDEV_UCFG_B1_P2_U1_CFG18, 0x400114d2 +.set CYDEV_UCFG_B1_P2_U1_CFG19, 0x400114d3 +.set CYDEV_UCFG_B1_P2_U1_CFG20, 0x400114d4 +.set CYDEV_UCFG_B1_P2_U1_CFG21, 0x400114d5 +.set CYDEV_UCFG_B1_P2_U1_CFG22, 0x400114d6 +.set CYDEV_UCFG_B1_P2_U1_CFG23, 0x400114d7 +.set CYDEV_UCFG_B1_P2_U1_CFG24, 0x400114d8 +.set CYDEV_UCFG_B1_P2_U1_CFG25, 0x400114d9 +.set CYDEV_UCFG_B1_P2_U1_CFG26, 0x400114da +.set CYDEV_UCFG_B1_P2_U1_CFG27, 0x400114db +.set CYDEV_UCFG_B1_P2_U1_CFG28, 0x400114dc +.set CYDEV_UCFG_B1_P2_U1_CFG29, 0x400114dd +.set CYDEV_UCFG_B1_P2_U1_CFG30, 0x400114de +.set CYDEV_UCFG_B1_P2_U1_CFG31, 0x400114df +.set CYDEV_UCFG_B1_P2_U1_DCFG0, 0x400114e0 +.set CYDEV_UCFG_B1_P2_U1_DCFG1, 0x400114e2 +.set CYDEV_UCFG_B1_P2_U1_DCFG2, 0x400114e4 +.set CYDEV_UCFG_B1_P2_U1_DCFG3, 0x400114e6 +.set CYDEV_UCFG_B1_P2_U1_DCFG4, 0x400114e8 +.set CYDEV_UCFG_B1_P2_U1_DCFG5, 0x400114ea +.set CYDEV_UCFG_B1_P2_U1_DCFG6, 0x400114ec +.set CYDEV_UCFG_B1_P2_U1_DCFG7, 0x400114ee +.set CYDEV_UCFG_B1_P2_ROUTE_BASE, 0x40011500 +.set CYDEV_UCFG_B1_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P3_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P3_U0_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT0, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT1, 0x40011604 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT2, 0x40011608 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT3, 0x4001160c +.set CYDEV_UCFG_B1_P3_U0_PLD_IT4, 0x40011610 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT5, 0x40011614 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT6, 0x40011618 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT7, 0x4001161c +.set CYDEV_UCFG_B1_P3_U0_PLD_IT8, 0x40011620 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT9, 0x40011624 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT10, 0x40011628 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT11, 0x4001162c +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT0, 0x40011630 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT1, 0x40011632 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT2, 0x40011634 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT3, 0x40011636 +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST, 0x40011638 +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB, 0x4001163a +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET, 0x4001163c +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS, 0x4001163e +.set CYDEV_UCFG_B1_P3_U0_CFG0, 0x40011640 +.set CYDEV_UCFG_B1_P3_U0_CFG1, 0x40011641 +.set CYDEV_UCFG_B1_P3_U0_CFG2, 0x40011642 +.set CYDEV_UCFG_B1_P3_U0_CFG3, 0x40011643 +.set CYDEV_UCFG_B1_P3_U0_CFG4, 0x40011644 +.set CYDEV_UCFG_B1_P3_U0_CFG5, 0x40011645 +.set CYDEV_UCFG_B1_P3_U0_CFG6, 0x40011646 +.set CYDEV_UCFG_B1_P3_U0_CFG7, 0x40011647 +.set CYDEV_UCFG_B1_P3_U0_CFG8, 0x40011648 +.set CYDEV_UCFG_B1_P3_U0_CFG9, 0x40011649 +.set CYDEV_UCFG_B1_P3_U0_CFG10, 0x4001164a +.set CYDEV_UCFG_B1_P3_U0_CFG11, 0x4001164b +.set CYDEV_UCFG_B1_P3_U0_CFG12, 0x4001164c +.set CYDEV_UCFG_B1_P3_U0_CFG13, 0x4001164d +.set CYDEV_UCFG_B1_P3_U0_CFG14, 0x4001164e +.set CYDEV_UCFG_B1_P3_U0_CFG15, 0x4001164f +.set CYDEV_UCFG_B1_P3_U0_CFG16, 0x40011650 +.set CYDEV_UCFG_B1_P3_U0_CFG17, 0x40011651 +.set CYDEV_UCFG_B1_P3_U0_CFG18, 0x40011652 +.set CYDEV_UCFG_B1_P3_U0_CFG19, 0x40011653 +.set CYDEV_UCFG_B1_P3_U0_CFG20, 0x40011654 +.set CYDEV_UCFG_B1_P3_U0_CFG21, 0x40011655 +.set CYDEV_UCFG_B1_P3_U0_CFG22, 0x40011656 +.set CYDEV_UCFG_B1_P3_U0_CFG23, 0x40011657 +.set CYDEV_UCFG_B1_P3_U0_CFG24, 0x40011658 +.set CYDEV_UCFG_B1_P3_U0_CFG25, 0x40011659 +.set CYDEV_UCFG_B1_P3_U0_CFG26, 0x4001165a +.set CYDEV_UCFG_B1_P3_U0_CFG27, 0x4001165b +.set CYDEV_UCFG_B1_P3_U0_CFG28, 0x4001165c +.set CYDEV_UCFG_B1_P3_U0_CFG29, 0x4001165d +.set CYDEV_UCFG_B1_P3_U0_CFG30, 0x4001165e +.set CYDEV_UCFG_B1_P3_U0_CFG31, 0x4001165f +.set CYDEV_UCFG_B1_P3_U0_DCFG0, 0x40011660 +.set CYDEV_UCFG_B1_P3_U0_DCFG1, 0x40011662 +.set CYDEV_UCFG_B1_P3_U0_DCFG2, 0x40011664 +.set CYDEV_UCFG_B1_P3_U0_DCFG3, 0x40011666 +.set CYDEV_UCFG_B1_P3_U0_DCFG4, 0x40011668 +.set CYDEV_UCFG_B1_P3_U0_DCFG5, 0x4001166a +.set CYDEV_UCFG_B1_P3_U0_DCFG6, 0x4001166c +.set CYDEV_UCFG_B1_P3_U0_DCFG7, 0x4001166e +.set CYDEV_UCFG_B1_P3_U1_BASE, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT0, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT1, 0x40011684 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT2, 0x40011688 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT3, 0x4001168c +.set CYDEV_UCFG_B1_P3_U1_PLD_IT4, 0x40011690 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT5, 0x40011694 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT6, 0x40011698 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT7, 0x4001169c +.set CYDEV_UCFG_B1_P3_U1_PLD_IT8, 0x400116a0 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT9, 0x400116a4 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT10, 0x400116a8 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT11, 0x400116ac +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT0, 0x400116b0 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT1, 0x400116b2 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT2, 0x400116b4 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT3, 0x400116b6 +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST, 0x400116b8 +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB, 0x400116ba +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET, 0x400116bc +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS, 0x400116be +.set CYDEV_UCFG_B1_P3_U1_CFG0, 0x400116c0 +.set CYDEV_UCFG_B1_P3_U1_CFG1, 0x400116c1 +.set CYDEV_UCFG_B1_P3_U1_CFG2, 0x400116c2 +.set CYDEV_UCFG_B1_P3_U1_CFG3, 0x400116c3 +.set CYDEV_UCFG_B1_P3_U1_CFG4, 0x400116c4 +.set CYDEV_UCFG_B1_P3_U1_CFG5, 0x400116c5 +.set CYDEV_UCFG_B1_P3_U1_CFG6, 0x400116c6 +.set CYDEV_UCFG_B1_P3_U1_CFG7, 0x400116c7 +.set CYDEV_UCFG_B1_P3_U1_CFG8, 0x400116c8 +.set CYDEV_UCFG_B1_P3_U1_CFG9, 0x400116c9 +.set CYDEV_UCFG_B1_P3_U1_CFG10, 0x400116ca +.set CYDEV_UCFG_B1_P3_U1_CFG11, 0x400116cb +.set CYDEV_UCFG_B1_P3_U1_CFG12, 0x400116cc +.set CYDEV_UCFG_B1_P3_U1_CFG13, 0x400116cd +.set CYDEV_UCFG_B1_P3_U1_CFG14, 0x400116ce +.set CYDEV_UCFG_B1_P3_U1_CFG15, 0x400116cf +.set CYDEV_UCFG_B1_P3_U1_CFG16, 0x400116d0 +.set CYDEV_UCFG_B1_P3_U1_CFG17, 0x400116d1 +.set CYDEV_UCFG_B1_P3_U1_CFG18, 0x400116d2 +.set CYDEV_UCFG_B1_P3_U1_CFG19, 0x400116d3 +.set CYDEV_UCFG_B1_P3_U1_CFG20, 0x400116d4 +.set CYDEV_UCFG_B1_P3_U1_CFG21, 0x400116d5 +.set CYDEV_UCFG_B1_P3_U1_CFG22, 0x400116d6 +.set CYDEV_UCFG_B1_P3_U1_CFG23, 0x400116d7 +.set CYDEV_UCFG_B1_P3_U1_CFG24, 0x400116d8 +.set CYDEV_UCFG_B1_P3_U1_CFG25, 0x400116d9 +.set CYDEV_UCFG_B1_P3_U1_CFG26, 0x400116da +.set CYDEV_UCFG_B1_P3_U1_CFG27, 0x400116db +.set CYDEV_UCFG_B1_P3_U1_CFG28, 0x400116dc +.set CYDEV_UCFG_B1_P3_U1_CFG29, 0x400116dd +.set CYDEV_UCFG_B1_P3_U1_CFG30, 0x400116de +.set CYDEV_UCFG_B1_P3_U1_CFG31, 0x400116df +.set CYDEV_UCFG_B1_P3_U1_DCFG0, 0x400116e0 +.set CYDEV_UCFG_B1_P3_U1_DCFG1, 0x400116e2 +.set CYDEV_UCFG_B1_P3_U1_DCFG2, 0x400116e4 +.set CYDEV_UCFG_B1_P3_U1_DCFG3, 0x400116e6 +.set CYDEV_UCFG_B1_P3_U1_DCFG4, 0x400116e8 +.set CYDEV_UCFG_B1_P3_U1_DCFG5, 0x400116ea +.set CYDEV_UCFG_B1_P3_U1_DCFG6, 0x400116ec +.set CYDEV_UCFG_B1_P3_U1_DCFG7, 0x400116ee +.set CYDEV_UCFG_B1_P3_ROUTE_BASE, 0x40011700 +.set CYDEV_UCFG_B1_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P4_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P4_U0_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT0, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT1, 0x40011804 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT2, 0x40011808 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT3, 0x4001180c +.set CYDEV_UCFG_B1_P4_U0_PLD_IT4, 0x40011810 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT5, 0x40011814 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT6, 0x40011818 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT7, 0x4001181c +.set CYDEV_UCFG_B1_P4_U0_PLD_IT8, 0x40011820 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT9, 0x40011824 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT10, 0x40011828 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT11, 0x4001182c +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT0, 0x40011830 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT1, 0x40011832 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT2, 0x40011834 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT3, 0x40011836 +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST, 0x40011838 +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB, 0x4001183a +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET, 0x4001183c +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS, 0x4001183e +.set CYDEV_UCFG_B1_P4_U0_CFG0, 0x40011840 +.set CYDEV_UCFG_B1_P4_U0_CFG1, 0x40011841 +.set CYDEV_UCFG_B1_P4_U0_CFG2, 0x40011842 +.set CYDEV_UCFG_B1_P4_U0_CFG3, 0x40011843 +.set CYDEV_UCFG_B1_P4_U0_CFG4, 0x40011844 +.set CYDEV_UCFG_B1_P4_U0_CFG5, 0x40011845 +.set CYDEV_UCFG_B1_P4_U0_CFG6, 0x40011846 +.set CYDEV_UCFG_B1_P4_U0_CFG7, 0x40011847 +.set CYDEV_UCFG_B1_P4_U0_CFG8, 0x40011848 +.set CYDEV_UCFG_B1_P4_U0_CFG9, 0x40011849 +.set CYDEV_UCFG_B1_P4_U0_CFG10, 0x4001184a +.set CYDEV_UCFG_B1_P4_U0_CFG11, 0x4001184b +.set CYDEV_UCFG_B1_P4_U0_CFG12, 0x4001184c +.set CYDEV_UCFG_B1_P4_U0_CFG13, 0x4001184d +.set CYDEV_UCFG_B1_P4_U0_CFG14, 0x4001184e +.set CYDEV_UCFG_B1_P4_U0_CFG15, 0x4001184f +.set CYDEV_UCFG_B1_P4_U0_CFG16, 0x40011850 +.set CYDEV_UCFG_B1_P4_U0_CFG17, 0x40011851 +.set CYDEV_UCFG_B1_P4_U0_CFG18, 0x40011852 +.set CYDEV_UCFG_B1_P4_U0_CFG19, 0x40011853 +.set CYDEV_UCFG_B1_P4_U0_CFG20, 0x40011854 +.set CYDEV_UCFG_B1_P4_U0_CFG21, 0x40011855 +.set CYDEV_UCFG_B1_P4_U0_CFG22, 0x40011856 +.set CYDEV_UCFG_B1_P4_U0_CFG23, 0x40011857 +.set CYDEV_UCFG_B1_P4_U0_CFG24, 0x40011858 +.set CYDEV_UCFG_B1_P4_U0_CFG25, 0x40011859 +.set CYDEV_UCFG_B1_P4_U0_CFG26, 0x4001185a +.set CYDEV_UCFG_B1_P4_U0_CFG27, 0x4001185b +.set CYDEV_UCFG_B1_P4_U0_CFG28, 0x4001185c +.set CYDEV_UCFG_B1_P4_U0_CFG29, 0x4001185d +.set CYDEV_UCFG_B1_P4_U0_CFG30, 0x4001185e +.set CYDEV_UCFG_B1_P4_U0_CFG31, 0x4001185f +.set CYDEV_UCFG_B1_P4_U0_DCFG0, 0x40011860 +.set CYDEV_UCFG_B1_P4_U0_DCFG1, 0x40011862 +.set CYDEV_UCFG_B1_P4_U0_DCFG2, 0x40011864 +.set CYDEV_UCFG_B1_P4_U0_DCFG3, 0x40011866 +.set CYDEV_UCFG_B1_P4_U0_DCFG4, 0x40011868 +.set CYDEV_UCFG_B1_P4_U0_DCFG5, 0x4001186a +.set CYDEV_UCFG_B1_P4_U0_DCFG6, 0x4001186c +.set CYDEV_UCFG_B1_P4_U0_DCFG7, 0x4001186e +.set CYDEV_UCFG_B1_P4_U1_BASE, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT0, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT1, 0x40011884 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT2, 0x40011888 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT3, 0x4001188c +.set CYDEV_UCFG_B1_P4_U1_PLD_IT4, 0x40011890 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT5, 0x40011894 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT6, 0x40011898 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT7, 0x4001189c +.set CYDEV_UCFG_B1_P4_U1_PLD_IT8, 0x400118a0 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT9, 0x400118a4 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT10, 0x400118a8 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT11, 0x400118ac +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT0, 0x400118b0 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT1, 0x400118b2 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT2, 0x400118b4 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT3, 0x400118b6 +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST, 0x400118b8 +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB, 0x400118ba +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET, 0x400118bc +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS, 0x400118be +.set CYDEV_UCFG_B1_P4_U1_CFG0, 0x400118c0 +.set CYDEV_UCFG_B1_P4_U1_CFG1, 0x400118c1 +.set CYDEV_UCFG_B1_P4_U1_CFG2, 0x400118c2 +.set CYDEV_UCFG_B1_P4_U1_CFG3, 0x400118c3 +.set CYDEV_UCFG_B1_P4_U1_CFG4, 0x400118c4 +.set CYDEV_UCFG_B1_P4_U1_CFG5, 0x400118c5 +.set CYDEV_UCFG_B1_P4_U1_CFG6, 0x400118c6 +.set CYDEV_UCFG_B1_P4_U1_CFG7, 0x400118c7 +.set CYDEV_UCFG_B1_P4_U1_CFG8, 0x400118c8 +.set CYDEV_UCFG_B1_P4_U1_CFG9, 0x400118c9 +.set CYDEV_UCFG_B1_P4_U1_CFG10, 0x400118ca +.set CYDEV_UCFG_B1_P4_U1_CFG11, 0x400118cb +.set CYDEV_UCFG_B1_P4_U1_CFG12, 0x400118cc +.set CYDEV_UCFG_B1_P4_U1_CFG13, 0x400118cd +.set CYDEV_UCFG_B1_P4_U1_CFG14, 0x400118ce +.set CYDEV_UCFG_B1_P4_U1_CFG15, 0x400118cf +.set CYDEV_UCFG_B1_P4_U1_CFG16, 0x400118d0 +.set CYDEV_UCFG_B1_P4_U1_CFG17, 0x400118d1 +.set CYDEV_UCFG_B1_P4_U1_CFG18, 0x400118d2 +.set CYDEV_UCFG_B1_P4_U1_CFG19, 0x400118d3 +.set CYDEV_UCFG_B1_P4_U1_CFG20, 0x400118d4 +.set CYDEV_UCFG_B1_P4_U1_CFG21, 0x400118d5 +.set CYDEV_UCFG_B1_P4_U1_CFG22, 0x400118d6 +.set CYDEV_UCFG_B1_P4_U1_CFG23, 0x400118d7 +.set CYDEV_UCFG_B1_P4_U1_CFG24, 0x400118d8 +.set CYDEV_UCFG_B1_P4_U1_CFG25, 0x400118d9 +.set CYDEV_UCFG_B1_P4_U1_CFG26, 0x400118da +.set CYDEV_UCFG_B1_P4_U1_CFG27, 0x400118db +.set CYDEV_UCFG_B1_P4_U1_CFG28, 0x400118dc +.set CYDEV_UCFG_B1_P4_U1_CFG29, 0x400118dd +.set CYDEV_UCFG_B1_P4_U1_CFG30, 0x400118de +.set CYDEV_UCFG_B1_P4_U1_CFG31, 0x400118df +.set CYDEV_UCFG_B1_P4_U1_DCFG0, 0x400118e0 +.set CYDEV_UCFG_B1_P4_U1_DCFG1, 0x400118e2 +.set CYDEV_UCFG_B1_P4_U1_DCFG2, 0x400118e4 +.set CYDEV_UCFG_B1_P4_U1_DCFG3, 0x400118e6 +.set CYDEV_UCFG_B1_P4_U1_DCFG4, 0x400118e8 +.set CYDEV_UCFG_B1_P4_U1_DCFG5, 0x400118ea +.set CYDEV_UCFG_B1_P4_U1_DCFG6, 0x400118ec +.set CYDEV_UCFG_B1_P4_U1_DCFG7, 0x400118ee +.set CYDEV_UCFG_B1_P4_ROUTE_BASE, 0x40011900 +.set CYDEV_UCFG_B1_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P5_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P5_U0_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT0, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT1, 0x40011a04 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT2, 0x40011a08 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT3, 0x40011a0c +.set CYDEV_UCFG_B1_P5_U0_PLD_IT4, 0x40011a10 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT5, 0x40011a14 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT6, 0x40011a18 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT7, 0x40011a1c +.set CYDEV_UCFG_B1_P5_U0_PLD_IT8, 0x40011a20 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT9, 0x40011a24 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT10, 0x40011a28 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT11, 0x40011a2c +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT0, 0x40011a30 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT1, 0x40011a32 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT2, 0x40011a34 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT3, 0x40011a36 +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST, 0x40011a38 +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB, 0x40011a3a +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET, 0x40011a3c +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS, 0x40011a3e +.set CYDEV_UCFG_B1_P5_U0_CFG0, 0x40011a40 +.set CYDEV_UCFG_B1_P5_U0_CFG1, 0x40011a41 +.set CYDEV_UCFG_B1_P5_U0_CFG2, 0x40011a42 +.set CYDEV_UCFG_B1_P5_U0_CFG3, 0x40011a43 +.set CYDEV_UCFG_B1_P5_U0_CFG4, 0x40011a44 +.set CYDEV_UCFG_B1_P5_U0_CFG5, 0x40011a45 +.set CYDEV_UCFG_B1_P5_U0_CFG6, 0x40011a46 +.set CYDEV_UCFG_B1_P5_U0_CFG7, 0x40011a47 +.set CYDEV_UCFG_B1_P5_U0_CFG8, 0x40011a48 +.set CYDEV_UCFG_B1_P5_U0_CFG9, 0x40011a49 +.set CYDEV_UCFG_B1_P5_U0_CFG10, 0x40011a4a +.set CYDEV_UCFG_B1_P5_U0_CFG11, 0x40011a4b +.set CYDEV_UCFG_B1_P5_U0_CFG12, 0x40011a4c +.set CYDEV_UCFG_B1_P5_U0_CFG13, 0x40011a4d +.set CYDEV_UCFG_B1_P5_U0_CFG14, 0x40011a4e +.set CYDEV_UCFG_B1_P5_U0_CFG15, 0x40011a4f +.set CYDEV_UCFG_B1_P5_U0_CFG16, 0x40011a50 +.set CYDEV_UCFG_B1_P5_U0_CFG17, 0x40011a51 +.set CYDEV_UCFG_B1_P5_U0_CFG18, 0x40011a52 +.set CYDEV_UCFG_B1_P5_U0_CFG19, 0x40011a53 +.set CYDEV_UCFG_B1_P5_U0_CFG20, 0x40011a54 +.set CYDEV_UCFG_B1_P5_U0_CFG21, 0x40011a55 +.set CYDEV_UCFG_B1_P5_U0_CFG22, 0x40011a56 +.set CYDEV_UCFG_B1_P5_U0_CFG23, 0x40011a57 +.set CYDEV_UCFG_B1_P5_U0_CFG24, 0x40011a58 +.set CYDEV_UCFG_B1_P5_U0_CFG25, 0x40011a59 +.set CYDEV_UCFG_B1_P5_U0_CFG26, 0x40011a5a +.set CYDEV_UCFG_B1_P5_U0_CFG27, 0x40011a5b +.set CYDEV_UCFG_B1_P5_U0_CFG28, 0x40011a5c +.set CYDEV_UCFG_B1_P5_U0_CFG29, 0x40011a5d +.set CYDEV_UCFG_B1_P5_U0_CFG30, 0x40011a5e +.set CYDEV_UCFG_B1_P5_U0_CFG31, 0x40011a5f +.set CYDEV_UCFG_B1_P5_U0_DCFG0, 0x40011a60 +.set CYDEV_UCFG_B1_P5_U0_DCFG1, 0x40011a62 +.set CYDEV_UCFG_B1_P5_U0_DCFG2, 0x40011a64 +.set CYDEV_UCFG_B1_P5_U0_DCFG3, 0x40011a66 +.set CYDEV_UCFG_B1_P5_U0_DCFG4, 0x40011a68 +.set CYDEV_UCFG_B1_P5_U0_DCFG5, 0x40011a6a +.set CYDEV_UCFG_B1_P5_U0_DCFG6, 0x40011a6c +.set CYDEV_UCFG_B1_P5_U0_DCFG7, 0x40011a6e +.set CYDEV_UCFG_B1_P5_U1_BASE, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT0, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT1, 0x40011a84 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT2, 0x40011a88 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT3, 0x40011a8c +.set CYDEV_UCFG_B1_P5_U1_PLD_IT4, 0x40011a90 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT5, 0x40011a94 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT6, 0x40011a98 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT7, 0x40011a9c +.set CYDEV_UCFG_B1_P5_U1_PLD_IT8, 0x40011aa0 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT9, 0x40011aa4 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT10, 0x40011aa8 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT11, 0x40011aac +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT0, 0x40011ab0 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT1, 0x40011ab2 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT2, 0x40011ab4 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT3, 0x40011ab6 +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST, 0x40011ab8 +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB, 0x40011aba +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET, 0x40011abc +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS, 0x40011abe +.set CYDEV_UCFG_B1_P5_U1_CFG0, 0x40011ac0 +.set CYDEV_UCFG_B1_P5_U1_CFG1, 0x40011ac1 +.set CYDEV_UCFG_B1_P5_U1_CFG2, 0x40011ac2 +.set CYDEV_UCFG_B1_P5_U1_CFG3, 0x40011ac3 +.set CYDEV_UCFG_B1_P5_U1_CFG4, 0x40011ac4 +.set CYDEV_UCFG_B1_P5_U1_CFG5, 0x40011ac5 +.set CYDEV_UCFG_B1_P5_U1_CFG6, 0x40011ac6 +.set CYDEV_UCFG_B1_P5_U1_CFG7, 0x40011ac7 +.set CYDEV_UCFG_B1_P5_U1_CFG8, 0x40011ac8 +.set CYDEV_UCFG_B1_P5_U1_CFG9, 0x40011ac9 +.set CYDEV_UCFG_B1_P5_U1_CFG10, 0x40011aca +.set CYDEV_UCFG_B1_P5_U1_CFG11, 0x40011acb +.set CYDEV_UCFG_B1_P5_U1_CFG12, 0x40011acc +.set CYDEV_UCFG_B1_P5_U1_CFG13, 0x40011acd +.set CYDEV_UCFG_B1_P5_U1_CFG14, 0x40011ace +.set CYDEV_UCFG_B1_P5_U1_CFG15, 0x40011acf +.set CYDEV_UCFG_B1_P5_U1_CFG16, 0x40011ad0 +.set CYDEV_UCFG_B1_P5_U1_CFG17, 0x40011ad1 +.set CYDEV_UCFG_B1_P5_U1_CFG18, 0x40011ad2 +.set CYDEV_UCFG_B1_P5_U1_CFG19, 0x40011ad3 +.set CYDEV_UCFG_B1_P5_U1_CFG20, 0x40011ad4 +.set CYDEV_UCFG_B1_P5_U1_CFG21, 0x40011ad5 +.set CYDEV_UCFG_B1_P5_U1_CFG22, 0x40011ad6 +.set CYDEV_UCFG_B1_P5_U1_CFG23, 0x40011ad7 +.set CYDEV_UCFG_B1_P5_U1_CFG24, 0x40011ad8 +.set CYDEV_UCFG_B1_P5_U1_CFG25, 0x40011ad9 +.set CYDEV_UCFG_B1_P5_U1_CFG26, 0x40011ada +.set CYDEV_UCFG_B1_P5_U1_CFG27, 0x40011adb +.set CYDEV_UCFG_B1_P5_U1_CFG28, 0x40011adc +.set CYDEV_UCFG_B1_P5_U1_CFG29, 0x40011add +.set CYDEV_UCFG_B1_P5_U1_CFG30, 0x40011ade +.set CYDEV_UCFG_B1_P5_U1_CFG31, 0x40011adf +.set CYDEV_UCFG_B1_P5_U1_DCFG0, 0x40011ae0 +.set CYDEV_UCFG_B1_P5_U1_DCFG1, 0x40011ae2 +.set CYDEV_UCFG_B1_P5_U1_DCFG2, 0x40011ae4 +.set CYDEV_UCFG_B1_P5_U1_DCFG3, 0x40011ae6 +.set CYDEV_UCFG_B1_P5_U1_DCFG4, 0x40011ae8 +.set CYDEV_UCFG_B1_P5_U1_DCFG5, 0x40011aea +.set CYDEV_UCFG_B1_P5_U1_DCFG6, 0x40011aec +.set CYDEV_UCFG_B1_P5_U1_DCFG7, 0x40011aee +.set CYDEV_UCFG_B1_P5_ROUTE_BASE, 0x40011b00 +.set CYDEV_UCFG_B1_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI0_BASE, 0x40014000 +.set CYDEV_UCFG_DSI0_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI1_BASE, 0x40014100 +.set CYDEV_UCFG_DSI1_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI2_BASE, 0x40014200 +.set CYDEV_UCFG_DSI2_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI3_BASE, 0x40014300 +.set CYDEV_UCFG_DSI3_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI4_BASE, 0x40014400 +.set CYDEV_UCFG_DSI4_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI5_BASE, 0x40014500 +.set CYDEV_UCFG_DSI5_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI6_BASE, 0x40014600 +.set CYDEV_UCFG_DSI6_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI7_BASE, 0x40014700 +.set CYDEV_UCFG_DSI7_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI8_BASE, 0x40014800 +.set CYDEV_UCFG_DSI8_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI9_BASE, 0x40014900 +.set CYDEV_UCFG_DSI9_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI12_BASE, 0x40014c00 +.set CYDEV_UCFG_DSI12_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI13_BASE, 0x40014d00 +.set CYDEV_UCFG_DSI13_SIZE, 0x000000ef +.set CYDEV_UCFG_BCTL0_BASE, 0x40015000 +.set CYDEV_UCFG_BCTL0_SIZE, 0x00000010 +.set CYDEV_UCFG_BCTL0_MDCLK_EN, 0x40015000 +.set CYDEV_UCFG_BCTL0_MBCLK_EN, 0x40015001 +.set CYDEV_UCFG_BCTL0_WAIT_CFG, 0x40015002 +.set CYDEV_UCFG_BCTL0_BANK_CTL, 0x40015003 +.set CYDEV_UCFG_BCTL0_UDB_TEST_3, 0x40015007 +.set CYDEV_UCFG_BCTL0_DCLK_EN0, 0x40015008 +.set CYDEV_UCFG_BCTL0_BCLK_EN0, 0x40015009 +.set CYDEV_UCFG_BCTL0_DCLK_EN1, 0x4001500a +.set CYDEV_UCFG_BCTL0_BCLK_EN1, 0x4001500b +.set CYDEV_UCFG_BCTL0_DCLK_EN2, 0x4001500c +.set CYDEV_UCFG_BCTL0_BCLK_EN2, 0x4001500d +.set CYDEV_UCFG_BCTL0_DCLK_EN3, 0x4001500e +.set CYDEV_UCFG_BCTL0_BCLK_EN3, 0x4001500f +.set CYDEV_UCFG_BCTL1_BASE, 0x40015010 +.set CYDEV_UCFG_BCTL1_SIZE, 0x00000010 +.set CYDEV_UCFG_BCTL1_MDCLK_EN, 0x40015010 +.set CYDEV_UCFG_BCTL1_MBCLK_EN, 0x40015011 +.set CYDEV_UCFG_BCTL1_WAIT_CFG, 0x40015012 +.set CYDEV_UCFG_BCTL1_BANK_CTL, 0x40015013 +.set CYDEV_UCFG_BCTL1_UDB_TEST_3, 0x40015017 +.set CYDEV_UCFG_BCTL1_DCLK_EN0, 0x40015018 +.set CYDEV_UCFG_BCTL1_BCLK_EN0, 0x40015019 +.set CYDEV_UCFG_BCTL1_DCLK_EN1, 0x4001501a +.set CYDEV_UCFG_BCTL1_BCLK_EN1, 0x4001501b +.set CYDEV_UCFG_BCTL1_DCLK_EN2, 0x4001501c +.set CYDEV_UCFG_BCTL1_BCLK_EN2, 0x4001501d +.set CYDEV_UCFG_BCTL1_DCLK_EN3, 0x4001501e +.set CYDEV_UCFG_BCTL1_BCLK_EN3, 0x4001501f +.set CYDEV_IDMUX_BASE, 0x40015100 +.set CYDEV_IDMUX_SIZE, 0x00000016 +.set CYDEV_IDMUX_IRQ_CTL0, 0x40015100 +.set CYDEV_IDMUX_IRQ_CTL1, 0x40015101 +.set CYDEV_IDMUX_IRQ_CTL2, 0x40015102 +.set CYDEV_IDMUX_IRQ_CTL3, 0x40015103 +.set CYDEV_IDMUX_IRQ_CTL4, 0x40015104 +.set CYDEV_IDMUX_IRQ_CTL5, 0x40015105 +.set CYDEV_IDMUX_IRQ_CTL6, 0x40015106 +.set CYDEV_IDMUX_IRQ_CTL7, 0x40015107 +.set CYDEV_IDMUX_DRQ_CTL0, 0x40015110 +.set CYDEV_IDMUX_DRQ_CTL1, 0x40015111 +.set CYDEV_IDMUX_DRQ_CTL2, 0x40015112 +.set CYDEV_IDMUX_DRQ_CTL3, 0x40015113 +.set CYDEV_IDMUX_DRQ_CTL4, 0x40015114 +.set CYDEV_IDMUX_DRQ_CTL5, 0x40015115 +.set CYDEV_CACHERAM_BASE, 0x40030000 +.set CYDEV_CACHERAM_SIZE, 0x00000400 +.set CYDEV_CACHERAM_DATA_MBASE, 0x40030000 +.set CYDEV_CACHERAM_DATA_MSIZE, 0x00000400 +.set CYDEV_SFR_BASE, 0x40050100 +.set CYDEV_SFR_SIZE, 0x000000fb +.set CYDEV_SFR_GPIO0, 0x40050180 +.set CYDEV_SFR_GPIRD0, 0x40050189 +.set CYDEV_SFR_GPIO0_SEL, 0x4005018a +.set CYDEV_SFR_GPIO1, 0x40050190 +.set CYDEV_SFR_GPIRD1, 0x40050191 +.set CYDEV_SFR_GPIO2, 0x40050198 +.set CYDEV_SFR_GPIRD2, 0x40050199 +.set CYDEV_SFR_GPIO2_SEL, 0x4005019a +.set CYDEV_SFR_GPIO1_SEL, 0x400501a2 +.set CYDEV_SFR_GPIO3, 0x400501b0 +.set CYDEV_SFR_GPIRD3, 0x400501b1 +.set CYDEV_SFR_GPIO3_SEL, 0x400501b2 +.set CYDEV_SFR_GPIO4, 0x400501c0 +.set CYDEV_SFR_GPIRD4, 0x400501c1 +.set CYDEV_SFR_GPIO4_SEL, 0x400501c2 +.set CYDEV_SFR_GPIO5, 0x400501c8 +.set CYDEV_SFR_GPIRD5, 0x400501c9 +.set CYDEV_SFR_GPIO5_SEL, 0x400501ca +.set CYDEV_SFR_GPIO6, 0x400501d8 +.set CYDEV_SFR_GPIRD6, 0x400501d9 +.set CYDEV_SFR_GPIO6_SEL, 0x400501da +.set CYDEV_SFR_GPIO12, 0x400501e8 +.set CYDEV_SFR_GPIRD12, 0x400501e9 +.set CYDEV_SFR_GPIO12_SEL, 0x400501f2 +.set CYDEV_SFR_GPIO15, 0x400501f8 +.set CYDEV_SFR_GPIRD15, 0x400501f9 +.set CYDEV_SFR_GPIO15_SEL, 0x400501fa +.set CYDEV_P3BA_BASE, 0x40050300 +.set CYDEV_P3BA_SIZE, 0x0000002b +.set CYDEV_P3BA_Y_START, 0x40050300 +.set CYDEV_P3BA_YROLL, 0x40050301 +.set CYDEV_P3BA_YCFG, 0x40050302 +.set CYDEV_P3BA_X_START1, 0x40050303 +.set CYDEV_P3BA_X_START2, 0x40050304 +.set CYDEV_P3BA_XROLL1, 0x40050305 +.set CYDEV_P3BA_XROLL2, 0x40050306 +.set CYDEV_P3BA_XINC, 0x40050307 +.set CYDEV_P3BA_XCFG, 0x40050308 +.set CYDEV_P3BA_OFFSETADDR1, 0x40050309 +.set CYDEV_P3BA_OFFSETADDR2, 0x4005030a +.set CYDEV_P3BA_OFFSETADDR3, 0x4005030b +.set CYDEV_P3BA_ABSADDR1, 0x4005030c +.set CYDEV_P3BA_ABSADDR2, 0x4005030d +.set CYDEV_P3BA_ABSADDR3, 0x4005030e +.set CYDEV_P3BA_ABSADDR4, 0x4005030f +.set CYDEV_P3BA_DATCFG1, 0x40050310 +.set CYDEV_P3BA_DATCFG2, 0x40050311 +.set CYDEV_P3BA_CMP_RSLT1, 0x40050314 +.set CYDEV_P3BA_CMP_RSLT2, 0x40050315 +.set CYDEV_P3BA_CMP_RSLT3, 0x40050316 +.set CYDEV_P3BA_CMP_RSLT4, 0x40050317 +.set CYDEV_P3BA_DATA_REG1, 0x40050318 +.set CYDEV_P3BA_DATA_REG2, 0x40050319 +.set CYDEV_P3BA_DATA_REG3, 0x4005031a +.set CYDEV_P3BA_DATA_REG4, 0x4005031b +.set CYDEV_P3BA_EXP_DATA1, 0x4005031c +.set CYDEV_P3BA_EXP_DATA2, 0x4005031d +.set CYDEV_P3BA_EXP_DATA3, 0x4005031e +.set CYDEV_P3BA_EXP_DATA4, 0x4005031f +.set CYDEV_P3BA_MSTR_HRDATA1, 0x40050320 +.set CYDEV_P3BA_MSTR_HRDATA2, 0x40050321 +.set CYDEV_P3BA_MSTR_HRDATA3, 0x40050322 +.set CYDEV_P3BA_MSTR_HRDATA4, 0x40050323 +.set CYDEV_P3BA_BIST_EN, 0x40050324 +.set CYDEV_P3BA_PHUB_MASTER_SSR, 0x40050325 +.set CYDEV_P3BA_SEQCFG1, 0x40050326 +.set CYDEV_P3BA_SEQCFG2, 0x40050327 +.set CYDEV_P3BA_Y_CURR, 0x40050328 +.set CYDEV_P3BA_X_CURR1, 0x40050329 +.set CYDEV_P3BA_X_CURR2, 0x4005032a +.set CYDEV_PANTHER_BASE, 0x40080000 +.set CYDEV_PANTHER_SIZE, 0x00000020 +.set CYDEV_PANTHER_STCALIB_CFG, 0x40080000 +.set CYDEV_PANTHER_WAITPIPE, 0x40080004 +.set CYDEV_PANTHER_TRACE_CFG, 0x40080008 +.set CYDEV_PANTHER_DBG_CFG, 0x4008000c +.set CYDEV_PANTHER_CM3_LCKRST_STAT, 0x40080018 +.set CYDEV_PANTHER_DEVICE_ID, 0x4008001c +.set CYDEV_FLSECC_BASE, 0x48000000 +.set CYDEV_FLSECC_SIZE, 0x00008000 +.set CYDEV_FLSECC_DATA_MBASE, 0x48000000 +.set CYDEV_FLSECC_DATA_MSIZE, 0x00008000 +.set CYDEV_FLSHID_BASE, 0x49000000 +.set CYDEV_FLSHID_SIZE, 0x00000200 +.set CYDEV_FLSHID_RSVD_MBASE, 0x49000000 +.set CYDEV_FLSHID_RSVD_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_MDATA_MBASE, 0x49000080 +.set CYDEV_FLSHID_CUST_MDATA_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_TABLES_BASE, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_SIZE, 0x00000040 +.set CYDEV_FLSHID_CUST_TABLES_Y_LOC, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_X_LOC, 0x49000101 +.set CYDEV_FLSHID_CUST_TABLES_WAFER_NUM, 0x49000102 +.set CYDEV_FLSHID_CUST_TABLES_LOT_LSB, 0x49000103 +.set CYDEV_FLSHID_CUST_TABLES_LOT_MSB, 0x49000104 +.set CYDEV_FLSHID_CUST_TABLES_WRK_WK, 0x49000105 +.set CYDEV_FLSHID_CUST_TABLES_FAB_YR, 0x49000106 +.set CYDEV_FLSHID_CUST_TABLES_MINOR, 0x49000107 +.set CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ, 0x49000108 +.set CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ, 0x49000109 +.set CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ, 0x4900010a +.set CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ, 0x4900010b +.set CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ, 0x4900010c +.set CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ, 0x4900010d +.set CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ, 0x4900010e +.set CYDEV_FLSHID_CUST_TABLES_IMO_USB, 0x4900010f +.set CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS, 0x49000110 +.set CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS, 0x49000111 +.set CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS, 0x49000112 +.set CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS, 0x49000113 +.set CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS, 0x49000114 +.set CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS, 0x49000115 +.set CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS, 0x49000116 +.set CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS, 0x49000117 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M1, 0x49000118 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M2, 0x49000119 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M3, 0x4900011a +.set CYDEV_FLSHID_CUST_TABLES_DEC_M4, 0x4900011b +.set CYDEV_FLSHID_CUST_TABLES_DEC_M5, 0x4900011c +.set CYDEV_FLSHID_CUST_TABLES_DEC_M6, 0x4900011d +.set CYDEV_FLSHID_CUST_TABLES_DEC_M7, 0x4900011e +.set CYDEV_FLSHID_CUST_TABLES_DEC_M8, 0x4900011f +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M1, 0x49000120 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M2, 0x49000121 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M3, 0x49000122 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M4, 0x49000123 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M5, 0x49000124 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M6, 0x49000125 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M7, 0x49000126 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M8, 0x49000127 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M1, 0x49000128 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M2, 0x49000129 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M3, 0x4900012a +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M4, 0x4900012b +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M5, 0x4900012c +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M6, 0x4900012d +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M7, 0x4900012e +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M8, 0x4900012f +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M1, 0x49000130 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M2, 0x49000131 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M3, 0x49000132 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M4, 0x49000133 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M5, 0x49000134 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M6, 0x49000135 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M7, 0x49000136 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M8, 0x49000137 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M1, 0x49000138 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M2, 0x49000139 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M3, 0x4900013a +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M4, 0x4900013b +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M5, 0x4900013c +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M6, 0x4900013d +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M7, 0x4900013e +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M8, 0x4900013f +.set CYDEV_FLSHID_MFG_CFG_BASE, 0x49000180 +.set CYDEV_FLSHID_MFG_CFG_SIZE, 0x00000080 +.set CYDEV_FLSHID_MFG_CFG_IMO_TR1, 0x49000188 +.set CYDEV_FLSHID_MFG_CFG_CMP0_TR0, 0x490001ac +.set CYDEV_FLSHID_MFG_CFG_CMP1_TR0, 0x490001ae +.set CYDEV_FLSHID_MFG_CFG_CMP2_TR0, 0x490001b0 +.set CYDEV_FLSHID_MFG_CFG_CMP3_TR0, 0x490001b2 +.set CYDEV_FLSHID_MFG_CFG_CMP0_TR1, 0x490001b4 +.set CYDEV_FLSHID_MFG_CFG_CMP1_TR1, 0x490001b6 +.set CYDEV_FLSHID_MFG_CFG_CMP2_TR1, 0x490001b8 +.set CYDEV_FLSHID_MFG_CFG_CMP3_TR1, 0x490001ba +.set CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM, 0x490001ce +.set CYDEV_EXTMEM_BASE, 0x60000000 +.set CYDEV_EXTMEM_SIZE, 0x00800000 +.set CYDEV_EXTMEM_DATA_MBASE, 0x60000000 +.set CYDEV_EXTMEM_DATA_MSIZE, 0x00800000 +.set CYDEV_ITM_BASE, 0xe0000000 +.set CYDEV_ITM_SIZE, 0x00001000 +.set CYDEV_ITM_TRACE_EN, 0xe0000e00 +.set CYDEV_ITM_TRACE_PRIVILEGE, 0xe0000e40 +.set CYDEV_ITM_TRACE_CTRL, 0xe0000e80 +.set CYDEV_ITM_LOCK_ACCESS, 0xe0000fb0 +.set CYDEV_ITM_LOCK_STATUS, 0xe0000fb4 +.set CYDEV_ITM_PID4, 0xe0000fd0 +.set CYDEV_ITM_PID5, 0xe0000fd4 +.set CYDEV_ITM_PID6, 0xe0000fd8 +.set CYDEV_ITM_PID7, 0xe0000fdc +.set CYDEV_ITM_PID0, 0xe0000fe0 +.set CYDEV_ITM_PID1, 0xe0000fe4 +.set CYDEV_ITM_PID2, 0xe0000fe8 +.set CYDEV_ITM_PID3, 0xe0000fec +.set CYDEV_ITM_CID0, 0xe0000ff0 +.set CYDEV_ITM_CID1, 0xe0000ff4 +.set CYDEV_ITM_CID2, 0xe0000ff8 +.set CYDEV_ITM_CID3, 0xe0000ffc +.set CYDEV_DWT_BASE, 0xe0001000 +.set CYDEV_DWT_SIZE, 0x0000005c +.set CYDEV_DWT_CTRL, 0xe0001000 +.set CYDEV_DWT_CYCLE_COUNT, 0xe0001004 +.set CYDEV_DWT_CPI_COUNT, 0xe0001008 +.set CYDEV_DWT_EXC_OVHD_COUNT, 0xe000100c +.set CYDEV_DWT_SLEEP_COUNT, 0xe0001010 +.set CYDEV_DWT_LSU_COUNT, 0xe0001014 +.set CYDEV_DWT_FOLD_COUNT, 0xe0001018 +.set CYDEV_DWT_PC_SAMPLE, 0xe000101c +.set CYDEV_DWT_COMP_0, 0xe0001020 +.set CYDEV_DWT_MASK_0, 0xe0001024 +.set CYDEV_DWT_FUNCTION_0, 0xe0001028 +.set CYDEV_DWT_COMP_1, 0xe0001030 +.set CYDEV_DWT_MASK_1, 0xe0001034 +.set CYDEV_DWT_FUNCTION_1, 0xe0001038 +.set CYDEV_DWT_COMP_2, 0xe0001040 +.set CYDEV_DWT_MASK_2, 0xe0001044 +.set CYDEV_DWT_FUNCTION_2, 0xe0001048 +.set CYDEV_DWT_COMP_3, 0xe0001050 +.set CYDEV_DWT_MASK_3, 0xe0001054 +.set CYDEV_DWT_FUNCTION_3, 0xe0001058 +.set CYDEV_FPB_BASE, 0xe0002000 +.set CYDEV_FPB_SIZE, 0x00001000 +.set CYDEV_FPB_CTRL, 0xe0002000 +.set CYDEV_FPB_REMAP, 0xe0002004 +.set CYDEV_FPB_FP_COMP_0, 0xe0002008 +.set CYDEV_FPB_FP_COMP_1, 0xe000200c +.set CYDEV_FPB_FP_COMP_2, 0xe0002010 +.set CYDEV_FPB_FP_COMP_3, 0xe0002014 +.set CYDEV_FPB_FP_COMP_4, 0xe0002018 +.set CYDEV_FPB_FP_COMP_5, 0xe000201c +.set CYDEV_FPB_FP_COMP_6, 0xe0002020 +.set CYDEV_FPB_FP_COMP_7, 0xe0002024 +.set CYDEV_FPB_PID4, 0xe0002fd0 +.set CYDEV_FPB_PID5, 0xe0002fd4 +.set CYDEV_FPB_PID6, 0xe0002fd8 +.set CYDEV_FPB_PID7, 0xe0002fdc +.set CYDEV_FPB_PID0, 0xe0002fe0 +.set CYDEV_FPB_PID1, 0xe0002fe4 +.set CYDEV_FPB_PID2, 0xe0002fe8 +.set CYDEV_FPB_PID3, 0xe0002fec +.set CYDEV_FPB_CID0, 0xe0002ff0 +.set CYDEV_FPB_CID1, 0xe0002ff4 +.set CYDEV_FPB_CID2, 0xe0002ff8 +.set CYDEV_FPB_CID3, 0xe0002ffc +.set CYDEV_NVIC_BASE, 0xe000e000 +.set CYDEV_NVIC_SIZE, 0x00000d3c +.set CYDEV_NVIC_INT_CTL_TYPE, 0xe000e004 +.set CYDEV_NVIC_SYSTICK_CTL, 0xe000e010 +.set CYDEV_NVIC_SYSTICK_RELOAD, 0xe000e014 +.set CYDEV_NVIC_SYSTICK_CURRENT, 0xe000e018 +.set CYDEV_NVIC_SYSTICK_CAL, 0xe000e01c +.set CYDEV_NVIC_SETENA0, 0xe000e100 +.set CYDEV_NVIC_CLRENA0, 0xe000e180 +.set CYDEV_NVIC_SETPEND0, 0xe000e200 +.set CYDEV_NVIC_CLRPEND0, 0xe000e280 +.set CYDEV_NVIC_ACTIVE0, 0xe000e300 +.set CYDEV_NVIC_PRI_0, 0xe000e400 +.set CYDEV_NVIC_PRI_1, 0xe000e401 +.set CYDEV_NVIC_PRI_2, 0xe000e402 +.set CYDEV_NVIC_PRI_3, 0xe000e403 +.set CYDEV_NVIC_PRI_4, 0xe000e404 +.set CYDEV_NVIC_PRI_5, 0xe000e405 +.set CYDEV_NVIC_PRI_6, 0xe000e406 +.set CYDEV_NVIC_PRI_7, 0xe000e407 +.set CYDEV_NVIC_PRI_8, 0xe000e408 +.set CYDEV_NVIC_PRI_9, 0xe000e409 +.set CYDEV_NVIC_PRI_10, 0xe000e40a +.set CYDEV_NVIC_PRI_11, 0xe000e40b +.set CYDEV_NVIC_PRI_12, 0xe000e40c +.set CYDEV_NVIC_PRI_13, 0xe000e40d +.set CYDEV_NVIC_PRI_14, 0xe000e40e +.set CYDEV_NVIC_PRI_15, 0xe000e40f +.set CYDEV_NVIC_PRI_16, 0xe000e410 +.set CYDEV_NVIC_PRI_17, 0xe000e411 +.set CYDEV_NVIC_PRI_18, 0xe000e412 +.set CYDEV_NVIC_PRI_19, 0xe000e413 +.set CYDEV_NVIC_PRI_20, 0xe000e414 +.set CYDEV_NVIC_PRI_21, 0xe000e415 +.set CYDEV_NVIC_PRI_22, 0xe000e416 +.set CYDEV_NVIC_PRI_23, 0xe000e417 +.set CYDEV_NVIC_PRI_24, 0xe000e418 +.set CYDEV_NVIC_PRI_25, 0xe000e419 +.set CYDEV_NVIC_PRI_26, 0xe000e41a +.set CYDEV_NVIC_PRI_27, 0xe000e41b +.set CYDEV_NVIC_PRI_28, 0xe000e41c +.set CYDEV_NVIC_PRI_29, 0xe000e41d +.set CYDEV_NVIC_PRI_30, 0xe000e41e +.set CYDEV_NVIC_PRI_31, 0xe000e41f +.set CYDEV_NVIC_CPUID_BASE, 0xe000ed00 +.set CYDEV_NVIC_INTR_CTRL_STATE, 0xe000ed04 +.set CYDEV_NVIC_VECT_OFFSET, 0xe000ed08 +.set CYDEV_NVIC_APPLN_INTR, 0xe000ed0c +.set CYDEV_NVIC_SYSTEM_CONTROL, 0xe000ed10 +.set CYDEV_NVIC_CFG_CONTROL, 0xe000ed14 +.set CYDEV_NVIC_SYS_PRIO_HANDLER_4_7, 0xe000ed18 +.set CYDEV_NVIC_SYS_PRIO_HANDLER_8_11, 0xe000ed1c +.set CYDEV_NVIC_SYS_PRIO_HANDLER_12_15, 0xe000ed20 +.set CYDEV_NVIC_SYS_HANDLER_CSR, 0xe000ed24 +.set CYDEV_NVIC_MEMMAN_FAULT_STATUS, 0xe000ed28 +.set CYDEV_NVIC_BUS_FAULT_STATUS, 0xe000ed29 +.set CYDEV_NVIC_USAGE_FAULT_STATUS, 0xe000ed2a +.set CYDEV_NVIC_HARD_FAULT_STATUS, 0xe000ed2c +.set CYDEV_NVIC_DEBUG_FAULT_STATUS, 0xe000ed30 +.set CYDEV_NVIC_MEMMAN_FAULT_ADD, 0xe000ed34 +.set CYDEV_NVIC_BUS_FAULT_ADD, 0xe000ed38 +.set CYDEV_CORE_DBG_BASE, 0xe000edf0 +.set CYDEV_CORE_DBG_SIZE, 0x00000010 +.set CYDEV_CORE_DBG_DBG_HLT_CS, 0xe000edf0 +.set CYDEV_CORE_DBG_DBG_REG_SEL, 0xe000edf4 +.set CYDEV_CORE_DBG_DBG_REG_DATA, 0xe000edf8 +.set CYDEV_CORE_DBG_EXC_MON_CTL, 0xe000edfc +.set CYDEV_TPIU_BASE, 0xe0040000 +.set CYDEV_TPIU_SIZE, 0x00001000 +.set CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ, 0xe0040000 +.set CYDEV_TPIU_CURRENT_SYNC_PRT_SZ, 0xe0040004 +.set CYDEV_TPIU_ASYNC_CLK_PRESCALER, 0xe0040010 +.set CYDEV_TPIU_PROTOCOL, 0xe00400f0 +.set CYDEV_TPIU_FORM_FLUSH_STAT, 0xe0040300 +.set CYDEV_TPIU_FORM_FLUSH_CTRL, 0xe0040304 +.set CYDEV_TPIU_TRIGGER, 0xe0040ee8 +.set CYDEV_TPIU_ITETMDATA, 0xe0040eec +.set CYDEV_TPIU_ITATBCTR2, 0xe0040ef0 +.set CYDEV_TPIU_ITATBCTR0, 0xe0040ef8 +.set CYDEV_TPIU_ITITMDATA, 0xe0040efc +.set CYDEV_TPIU_ITCTRL, 0xe0040f00 +.set CYDEV_TPIU_DEVID, 0xe0040fc8 +.set CYDEV_TPIU_DEVTYPE, 0xe0040fcc +.set CYDEV_TPIU_PID4, 0xe0040fd0 +.set CYDEV_TPIU_PID5, 0xe0040fd4 +.set CYDEV_TPIU_PID6, 0xe0040fd8 +.set CYDEV_TPIU_PID7, 0xe0040fdc +.set CYDEV_TPIU_PID0, 0xe0040fe0 +.set CYDEV_TPIU_PID1, 0xe0040fe4 +.set CYDEV_TPIU_PID2, 0xe0040fe8 +.set CYDEV_TPIU_PID3, 0xe0040fec +.set CYDEV_TPIU_CID0, 0xe0040ff0 +.set CYDEV_TPIU_CID1, 0xe0040ff4 +.set CYDEV_TPIU_CID2, 0xe0040ff8 +.set CYDEV_TPIU_CID3, 0xe0040ffc +.set CYDEV_ETM_BASE, 0xe0041000 +.set CYDEV_ETM_SIZE, 0x00001000 +.set CYDEV_ETM_CTL, 0xe0041000 +.set CYDEV_ETM_CFG_CODE, 0xe0041004 +.set CYDEV_ETM_TRIG_EVENT, 0xe0041008 +.set CYDEV_ETM_STATUS, 0xe0041010 +.set CYDEV_ETM_SYS_CFG, 0xe0041014 +.set CYDEV_ETM_TRACE_ENB_EVENT, 0xe0041020 +.set CYDEV_ETM_TRACE_EN_CTRL1, 0xe0041024 +.set CYDEV_ETM_FIFOFULL_LEVEL, 0xe004102c +.set CYDEV_ETM_SYNC_FREQ, 0xe00411e0 +.set CYDEV_ETM_ETM_ID, 0xe00411e4 +.set CYDEV_ETM_CFG_CODE_EXT, 0xe00411e8 +.set CYDEV_ETM_TR_SS_EMBICE_CTRL, 0xe00411f0 +.set CYDEV_ETM_CS_TRACE_ID, 0xe0041200 +.set CYDEV_ETM_OS_LOCK_ACCESS, 0xe0041300 +.set CYDEV_ETM_OS_LOCK_STATUS, 0xe0041304 +.set CYDEV_ETM_PDSR, 0xe0041314 +.set CYDEV_ETM_ITMISCIN, 0xe0041ee0 +.set CYDEV_ETM_ITTRIGOUT, 0xe0041ee8 +.set CYDEV_ETM_ITATBCTR2, 0xe0041ef0 +.set CYDEV_ETM_ITATBCTR0, 0xe0041ef8 +.set CYDEV_ETM_INT_MODE_CTRL, 0xe0041f00 +.set CYDEV_ETM_CLM_TAG_SET, 0xe0041fa0 +.set CYDEV_ETM_CLM_TAG_CLR, 0xe0041fa4 +.set CYDEV_ETM_LOCK_ACCESS, 0xe0041fb0 +.set CYDEV_ETM_LOCK_STATUS, 0xe0041fb4 +.set CYDEV_ETM_AUTH_STATUS, 0xe0041fb8 +.set CYDEV_ETM_DEV_TYPE, 0xe0041fcc +.set CYDEV_ETM_PID4, 0xe0041fd0 +.set CYDEV_ETM_PID5, 0xe0041fd4 +.set CYDEV_ETM_PID6, 0xe0041fd8 +.set CYDEV_ETM_PID7, 0xe0041fdc +.set CYDEV_ETM_PID0, 0xe0041fe0 +.set CYDEV_ETM_PID1, 0xe0041fe4 +.set CYDEV_ETM_PID2, 0xe0041fe8 +.set CYDEV_ETM_PID3, 0xe0041fec +.set CYDEV_ETM_CID0, 0xe0041ff0 +.set CYDEV_ETM_CID1, 0xe0041ff4 +.set CYDEV_ETM_CID2, 0xe0041ff8 +.set CYDEV_ETM_CID3, 0xe0041ffc +.set CYDEV_ROM_TABLE_BASE, 0xe00ff000 +.set CYDEV_ROM_TABLE_SIZE, 0x00001000 +.set CYDEV_ROM_TABLE_NVIC, 0xe00ff000 +.set CYDEV_ROM_TABLE_DWT, 0xe00ff004 +.set CYDEV_ROM_TABLE_FPB, 0xe00ff008 +.set CYDEV_ROM_TABLE_ITM, 0xe00ff00c +.set CYDEV_ROM_TABLE_TPIU, 0xe00ff010 +.set CYDEV_ROM_TABLE_ETM, 0xe00ff014 +.set CYDEV_ROM_TABLE_END, 0xe00ff018 +.set CYDEV_ROM_TABLE_MEMTYPE, 0xe00fffcc +.set CYDEV_ROM_TABLE_PID4, 0xe00fffd0 +.set CYDEV_ROM_TABLE_PID5, 0xe00fffd4 +.set CYDEV_ROM_TABLE_PID6, 0xe00fffd8 +.set CYDEV_ROM_TABLE_PID7, 0xe00fffdc +.set CYDEV_ROM_TABLE_PID0, 0xe00fffe0 +.set CYDEV_ROM_TABLE_PID1, 0xe00fffe4 +.set CYDEV_ROM_TABLE_PID2, 0xe00fffe8 +.set CYDEV_ROM_TABLE_PID3, 0xe00fffec +.set CYDEV_ROM_TABLE_CID0, 0xe00ffff0 +.set CYDEV_ROM_TABLE_CID1, 0xe00ffff4 +.set CYDEV_ROM_TABLE_CID2, 0xe00ffff8 +.set CYDEV_ROM_TABLE_CID3, 0xe00ffffc +.set CYDEV_FLS_SIZE, CYDEV_FLASH_SIZE +.set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE +.set CYDEV_FLS_SECTOR_SIZE, 0x00010000 +.set CYDEV_FLS_ROW_SIZE, 0x00000100 +.set CYDEV_ECC_SECTOR_SIZE, 0x00002000 +.set CYDEV_ECC_ROW_SIZE, 0x00000020 +.set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 +.set CYDEV_EEPROM_ROW_SIZE, 0x00000010 +.set CYDEV_PERIPH_BASE, CYDEV_CLKDIST_BASE +.set CYCLK_LD_DISABLE, 0x00000004 +.set CYCLK_LD_SYNC_EN, 0x00000002 +.set CYCLK_LD_LOAD, 0x00000001 +.set CYCLK_PIPE, 0x00000080 +.set CYCLK_SSS, 0x00000040 +.set CYCLK_EARLY, 0x00000020 +.set CYCLK_DUTY, 0x00000010 +.set CYCLK_SYNC, 0x00000008 +.set CYCLK_SRC_SEL_CLK_SYNC_D, 0 +.set CYCLK_SRC_SEL_SYNC_DIG, 0 +.set CYCLK_SRC_SEL_IMO, 1 +.set CYCLK_SRC_SEL_XTAL_MHZ, 2 +.set CYCLK_SRC_SEL_XTALM, 2 +.set CYCLK_SRC_SEL_ILO, 3 +.set CYCLK_SRC_SEL_PLL, 4 +.set CYCLK_SRC_SEL_XTAL_KHZ, 5 +.set CYCLK_SRC_SEL_XTALK, 5 +.set CYCLK_SRC_SEL_DSI_G, 6 +.set CYCLK_SRC_SEL_DSI_D, 7 +.set CYCLK_SRC_SEL_CLK_SYNC_A, 0 +.set CYCLK_SRC_SEL_DSI_A, 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc new file mode 100644 index 0000000..ffbe68b --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc @@ -0,0 +1,5357 @@ +/******************************************************************************* +* FILENAME: cydevicegnu_trm.inc +* +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +.set CYDEV_FLASH_BASE, 0x00000000 +.set CYDEV_FLASH_SIZE, 0x00020000 +.set CYREG_FLASH_DATA_MBASE, 0x00000000 +.set CYREG_FLASH_DATA_MSIZE, 0x00020000 +.set CYDEV_SRAM_BASE, 0x1fffc000 +.set CYDEV_SRAM_SIZE, 0x00008000 +.set CYREG_SRAM_CODE64K_MBASE, 0x1fff8000 +.set CYREG_SRAM_CODE64K_MSIZE, 0x00004000 +.set CYREG_SRAM_CODE32K_MBASE, 0x1fffc000 +.set CYREG_SRAM_CODE32K_MSIZE, 0x00002000 +.set CYREG_SRAM_CODE16K_MBASE, 0x1fffe000 +.set CYREG_SRAM_CODE16K_MSIZE, 0x00001000 +.set CYREG_SRAM_CODE_MBASE, 0x1fffc000 +.set CYREG_SRAM_CODE_MSIZE, 0x00004000 +.set CYREG_SRAM_DATA_MBASE, 0x20000000 +.set CYREG_SRAM_DATA_MSIZE, 0x00004000 +.set CYREG_SRAM_DATA16K_MBASE, 0x20001000 +.set CYREG_SRAM_DATA16K_MSIZE, 0x00001000 +.set CYREG_SRAM_DATA32K_MBASE, 0x20002000 +.set CYREG_SRAM_DATA32K_MSIZE, 0x00002000 +.set CYREG_SRAM_DATA64K_MBASE, 0x20004000 +.set CYREG_SRAM_DATA64K_MSIZE, 0x00004000 +.set CYDEV_DMA_BASE, 0x20008000 +.set CYDEV_DMA_SIZE, 0x00008000 +.set CYREG_DMA_SRAM64K_MBASE, 0x20008000 +.set CYREG_DMA_SRAM64K_MSIZE, 0x00004000 +.set CYREG_DMA_SRAM32K_MBASE, 0x2000c000 +.set CYREG_DMA_SRAM32K_MSIZE, 0x00002000 +.set CYREG_DMA_SRAM16K_MBASE, 0x2000e000 +.set CYREG_DMA_SRAM16K_MSIZE, 0x00001000 +.set CYREG_DMA_SRAM_MBASE, 0x2000f000 +.set CYREG_DMA_SRAM_MSIZE, 0x00001000 +.set CYDEV_CLKDIST_BASE, 0x40004000 +.set CYDEV_CLKDIST_SIZE, 0x00000110 +.set CYREG_CLKDIST_CR, 0x40004000 +.set CYREG_CLKDIST_LD, 0x40004001 +.set CYREG_CLKDIST_WRK0, 0x40004002 +.set CYREG_CLKDIST_WRK1, 0x40004003 +.set CYREG_CLKDIST_MSTR0, 0x40004004 +.set CYREG_CLKDIST_MSTR1, 0x40004005 +.set CYREG_CLKDIST_BCFG0, 0x40004006 +.set CYREG_CLKDIST_BCFG1, 0x40004007 +.set CYREG_CLKDIST_BCFG2, 0x40004008 +.set CYREG_CLKDIST_UCFG, 0x40004009 +.set CYREG_CLKDIST_DLY0, 0x4000400a +.set CYREG_CLKDIST_DLY1, 0x4000400b +.set CYREG_CLKDIST_DMASK, 0x40004010 +.set CYREG_CLKDIST_AMASK, 0x40004014 +.set CYDEV_CLKDIST_DCFG0_BASE, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG0_CFG0, 0x40004080 +.set CYREG_CLKDIST_DCFG0_CFG1, 0x40004081 +.set CYREG_CLKDIST_DCFG0_CFG2, 0x40004082 +.set CYDEV_CLKDIST_DCFG1_BASE, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG1_CFG0, 0x40004084 +.set CYREG_CLKDIST_DCFG1_CFG1, 0x40004085 +.set CYREG_CLKDIST_DCFG1_CFG2, 0x40004086 +.set CYDEV_CLKDIST_DCFG2_BASE, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG2_CFG0, 0x40004088 +.set CYREG_CLKDIST_DCFG2_CFG1, 0x40004089 +.set CYREG_CLKDIST_DCFG2_CFG2, 0x4000408a +.set CYDEV_CLKDIST_DCFG3_BASE, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG3_CFG0, 0x4000408c +.set CYREG_CLKDIST_DCFG3_CFG1, 0x4000408d +.set CYREG_CLKDIST_DCFG3_CFG2, 0x4000408e +.set CYDEV_CLKDIST_DCFG4_BASE, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG4_CFG0, 0x40004090 +.set CYREG_CLKDIST_DCFG4_CFG1, 0x40004091 +.set CYREG_CLKDIST_DCFG4_CFG2, 0x40004092 +.set CYDEV_CLKDIST_DCFG5_BASE, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG5_CFG0, 0x40004094 +.set CYREG_CLKDIST_DCFG5_CFG1, 0x40004095 +.set CYREG_CLKDIST_DCFG5_CFG2, 0x40004096 +.set CYDEV_CLKDIST_DCFG6_BASE, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG6_CFG0, 0x40004098 +.set CYREG_CLKDIST_DCFG6_CFG1, 0x40004099 +.set CYREG_CLKDIST_DCFG6_CFG2, 0x4000409a +.set CYDEV_CLKDIST_DCFG7_BASE, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG7_CFG0, 0x4000409c +.set CYREG_CLKDIST_DCFG7_CFG1, 0x4000409d +.set CYREG_CLKDIST_DCFG7_CFG2, 0x4000409e +.set CYDEV_CLKDIST_ACFG0_BASE, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG0_CFG0, 0x40004100 +.set CYREG_CLKDIST_ACFG0_CFG1, 0x40004101 +.set CYREG_CLKDIST_ACFG0_CFG2, 0x40004102 +.set CYREG_CLKDIST_ACFG0_CFG3, 0x40004103 +.set CYDEV_CLKDIST_ACFG1_BASE, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG1_CFG0, 0x40004104 +.set CYREG_CLKDIST_ACFG1_CFG1, 0x40004105 +.set CYREG_CLKDIST_ACFG1_CFG2, 0x40004106 +.set CYREG_CLKDIST_ACFG1_CFG3, 0x40004107 +.set CYDEV_CLKDIST_ACFG2_BASE, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG2_CFG0, 0x40004108 +.set CYREG_CLKDIST_ACFG2_CFG1, 0x40004109 +.set CYREG_CLKDIST_ACFG2_CFG2, 0x4000410a +.set CYREG_CLKDIST_ACFG2_CFG3, 0x4000410b +.set CYDEV_CLKDIST_ACFG3_BASE, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG3_CFG0, 0x4000410c +.set CYREG_CLKDIST_ACFG3_CFG1, 0x4000410d +.set CYREG_CLKDIST_ACFG3_CFG2, 0x4000410e +.set CYREG_CLKDIST_ACFG3_CFG3, 0x4000410f +.set CYDEV_FASTCLK_BASE, 0x40004200 +.set CYDEV_FASTCLK_SIZE, 0x00000026 +.set CYDEV_FASTCLK_IMO_BASE, 0x40004200 +.set CYDEV_FASTCLK_IMO_SIZE, 0x00000001 +.set CYREG_FASTCLK_IMO_CR, 0x40004200 +.set CYDEV_FASTCLK_XMHZ_BASE, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_SIZE, 0x00000004 +.set CYREG_FASTCLK_XMHZ_CSR, 0x40004210 +.set CYREG_FASTCLK_XMHZ_CFG0, 0x40004212 +.set CYREG_FASTCLK_XMHZ_CFG1, 0x40004213 +.set CYDEV_FASTCLK_PLL_BASE, 0x40004220 +.set CYDEV_FASTCLK_PLL_SIZE, 0x00000006 +.set CYREG_FASTCLK_PLL_CFG0, 0x40004220 +.set CYREG_FASTCLK_PLL_CFG1, 0x40004221 +.set CYREG_FASTCLK_PLL_P, 0x40004222 +.set CYREG_FASTCLK_PLL_Q, 0x40004223 +.set CYREG_FASTCLK_PLL_SR, 0x40004225 +.set CYDEV_SLOWCLK_BASE, 0x40004300 +.set CYDEV_SLOWCLK_SIZE, 0x0000000b +.set CYDEV_SLOWCLK_ILO_BASE, 0x40004300 +.set CYDEV_SLOWCLK_ILO_SIZE, 0x00000002 +.set CYREG_SLOWCLK_ILO_CR0, 0x40004300 +.set CYREG_SLOWCLK_ILO_CR1, 0x40004301 +.set CYDEV_SLOWCLK_X32_BASE, 0x40004308 +.set CYDEV_SLOWCLK_X32_SIZE, 0x00000003 +.set CYREG_SLOWCLK_X32_CR, 0x40004308 +.set CYREG_SLOWCLK_X32_CFG, 0x40004309 +.set CYREG_SLOWCLK_X32_TST, 0x4000430a +.set CYDEV_BOOST_BASE, 0x40004320 +.set CYDEV_BOOST_SIZE, 0x00000007 +.set CYREG_BOOST_CR0, 0x40004320 +.set CYREG_BOOST_CR1, 0x40004321 +.set CYREG_BOOST_CR2, 0x40004322 +.set CYREG_BOOST_CR3, 0x40004323 +.set CYREG_BOOST_SR, 0x40004324 +.set CYREG_BOOST_CR4, 0x40004325 +.set CYREG_BOOST_SR2, 0x40004326 +.set CYDEV_PWRSYS_BASE, 0x40004330 +.set CYDEV_PWRSYS_SIZE, 0x00000002 +.set CYREG_PWRSYS_CR0, 0x40004330 +.set CYREG_PWRSYS_CR1, 0x40004331 +.set CYDEV_PM_BASE, 0x40004380 +.set CYDEV_PM_SIZE, 0x00000057 +.set CYREG_PM_TW_CFG0, 0x40004380 +.set CYREG_PM_TW_CFG1, 0x40004381 +.set CYREG_PM_TW_CFG2, 0x40004382 +.set CYREG_PM_WDT_CFG, 0x40004383 +.set CYREG_PM_WDT_CR, 0x40004384 +.set CYREG_PM_INT_SR, 0x40004390 +.set CYREG_PM_MODE_CFG0, 0x40004391 +.set CYREG_PM_MODE_CFG1, 0x40004392 +.set CYREG_PM_MODE_CSR, 0x40004393 +.set CYREG_PM_USB_CR0, 0x40004394 +.set CYREG_PM_WAKEUP_CFG0, 0x40004398 +.set CYREG_PM_WAKEUP_CFG1, 0x40004399 +.set CYREG_PM_WAKEUP_CFG2, 0x4000439a +.set CYDEV_PM_ACT_BASE, 0x400043a0 +.set CYDEV_PM_ACT_SIZE, 0x0000000e +.set CYREG_PM_ACT_CFG0, 0x400043a0 +.set CYREG_PM_ACT_CFG1, 0x400043a1 +.set CYREG_PM_ACT_CFG2, 0x400043a2 +.set CYREG_PM_ACT_CFG3, 0x400043a3 +.set CYREG_PM_ACT_CFG4, 0x400043a4 +.set CYREG_PM_ACT_CFG5, 0x400043a5 +.set CYREG_PM_ACT_CFG6, 0x400043a6 +.set CYREG_PM_ACT_CFG7, 0x400043a7 +.set CYREG_PM_ACT_CFG8, 0x400043a8 +.set CYREG_PM_ACT_CFG9, 0x400043a9 +.set CYREG_PM_ACT_CFG10, 0x400043aa +.set CYREG_PM_ACT_CFG11, 0x400043ab +.set CYREG_PM_ACT_CFG12, 0x400043ac +.set CYREG_PM_ACT_CFG13, 0x400043ad +.set CYDEV_PM_STBY_BASE, 0x400043b0 +.set CYDEV_PM_STBY_SIZE, 0x0000000e +.set CYREG_PM_STBY_CFG0, 0x400043b0 +.set CYREG_PM_STBY_CFG1, 0x400043b1 +.set CYREG_PM_STBY_CFG2, 0x400043b2 +.set CYREG_PM_STBY_CFG3, 0x400043b3 +.set CYREG_PM_STBY_CFG4, 0x400043b4 +.set CYREG_PM_STBY_CFG5, 0x400043b5 +.set CYREG_PM_STBY_CFG6, 0x400043b6 +.set CYREG_PM_STBY_CFG7, 0x400043b7 +.set CYREG_PM_STBY_CFG8, 0x400043b8 +.set CYREG_PM_STBY_CFG9, 0x400043b9 +.set CYREG_PM_STBY_CFG10, 0x400043ba +.set CYREG_PM_STBY_CFG11, 0x400043bb +.set CYREG_PM_STBY_CFG12, 0x400043bc +.set CYREG_PM_STBY_CFG13, 0x400043bd +.set CYDEV_PM_AVAIL_BASE, 0x400043c0 +.set CYDEV_PM_AVAIL_SIZE, 0x00000017 +.set CYREG_PM_AVAIL_CR0, 0x400043c0 +.set CYREG_PM_AVAIL_CR1, 0x400043c1 +.set CYREG_PM_AVAIL_CR2, 0x400043c2 +.set CYREG_PM_AVAIL_CR3, 0x400043c3 +.set CYREG_PM_AVAIL_CR4, 0x400043c4 +.set CYREG_PM_AVAIL_CR5, 0x400043c5 +.set CYREG_PM_AVAIL_CR6, 0x400043c6 +.set CYREG_PM_AVAIL_SR0, 0x400043d0 +.set CYREG_PM_AVAIL_SR1, 0x400043d1 +.set CYREG_PM_AVAIL_SR2, 0x400043d2 +.set CYREG_PM_AVAIL_SR3, 0x400043d3 +.set CYREG_PM_AVAIL_SR4, 0x400043d4 +.set CYREG_PM_AVAIL_SR5, 0x400043d5 +.set CYREG_PM_AVAIL_SR6, 0x400043d6 +.set CYDEV_PICU_BASE, 0x40004500 +.set CYDEV_PICU_SIZE, 0x000000b0 +.set CYDEV_PICU_INTTYPE_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_SIZE, 0x00000080 +.set CYDEV_PICU_INTTYPE_PICU0_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_SIZE, 0x00000008 +.set CYREG_PICU0_INTTYPE0, 0x40004500 +.set CYREG_PICU0_INTTYPE1, 0x40004501 +.set CYREG_PICU0_INTTYPE2, 0x40004502 +.set CYREG_PICU0_INTTYPE3, 0x40004503 +.set CYREG_PICU0_INTTYPE4, 0x40004504 +.set CYREG_PICU0_INTTYPE5, 0x40004505 +.set CYREG_PICU0_INTTYPE6, 0x40004506 +.set CYREG_PICU0_INTTYPE7, 0x40004507 +.set CYDEV_PICU_INTTYPE_PICU1_BASE, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_SIZE, 0x00000008 +.set CYREG_PICU1_INTTYPE0, 0x40004508 +.set CYREG_PICU1_INTTYPE1, 0x40004509 +.set CYREG_PICU1_INTTYPE2, 0x4000450a +.set CYREG_PICU1_INTTYPE3, 0x4000450b +.set CYREG_PICU1_INTTYPE4, 0x4000450c +.set CYREG_PICU1_INTTYPE5, 0x4000450d +.set CYREG_PICU1_INTTYPE6, 0x4000450e +.set CYREG_PICU1_INTTYPE7, 0x4000450f +.set CYDEV_PICU_INTTYPE_PICU2_BASE, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_SIZE, 0x00000008 +.set CYREG_PICU2_INTTYPE0, 0x40004510 +.set CYREG_PICU2_INTTYPE1, 0x40004511 +.set CYREG_PICU2_INTTYPE2, 0x40004512 +.set CYREG_PICU2_INTTYPE3, 0x40004513 +.set CYREG_PICU2_INTTYPE4, 0x40004514 +.set CYREG_PICU2_INTTYPE5, 0x40004515 +.set CYREG_PICU2_INTTYPE6, 0x40004516 +.set CYREG_PICU2_INTTYPE7, 0x40004517 +.set CYDEV_PICU_INTTYPE_PICU3_BASE, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_SIZE, 0x00000008 +.set CYREG_PICU3_INTTYPE0, 0x40004518 +.set CYREG_PICU3_INTTYPE1, 0x40004519 +.set CYREG_PICU3_INTTYPE2, 0x4000451a +.set CYREG_PICU3_INTTYPE3, 0x4000451b +.set CYREG_PICU3_INTTYPE4, 0x4000451c +.set CYREG_PICU3_INTTYPE5, 0x4000451d +.set CYREG_PICU3_INTTYPE6, 0x4000451e +.set CYREG_PICU3_INTTYPE7, 0x4000451f +.set CYDEV_PICU_INTTYPE_PICU4_BASE, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_SIZE, 0x00000008 +.set CYREG_PICU4_INTTYPE0, 0x40004520 +.set CYREG_PICU4_INTTYPE1, 0x40004521 +.set CYREG_PICU4_INTTYPE2, 0x40004522 +.set CYREG_PICU4_INTTYPE3, 0x40004523 +.set CYREG_PICU4_INTTYPE4, 0x40004524 +.set CYREG_PICU4_INTTYPE5, 0x40004525 +.set CYREG_PICU4_INTTYPE6, 0x40004526 +.set CYREG_PICU4_INTTYPE7, 0x40004527 +.set CYDEV_PICU_INTTYPE_PICU5_BASE, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_SIZE, 0x00000008 +.set CYREG_PICU5_INTTYPE0, 0x40004528 +.set CYREG_PICU5_INTTYPE1, 0x40004529 +.set CYREG_PICU5_INTTYPE2, 0x4000452a +.set CYREG_PICU5_INTTYPE3, 0x4000452b +.set CYREG_PICU5_INTTYPE4, 0x4000452c +.set CYREG_PICU5_INTTYPE5, 0x4000452d +.set CYREG_PICU5_INTTYPE6, 0x4000452e +.set CYREG_PICU5_INTTYPE7, 0x4000452f +.set CYDEV_PICU_INTTYPE_PICU6_BASE, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_SIZE, 0x00000008 +.set CYREG_PICU6_INTTYPE0, 0x40004530 +.set CYREG_PICU6_INTTYPE1, 0x40004531 +.set CYREG_PICU6_INTTYPE2, 0x40004532 +.set CYREG_PICU6_INTTYPE3, 0x40004533 +.set CYREG_PICU6_INTTYPE4, 0x40004534 +.set CYREG_PICU6_INTTYPE5, 0x40004535 +.set CYREG_PICU6_INTTYPE6, 0x40004536 +.set CYREG_PICU6_INTTYPE7, 0x40004537 +.set CYDEV_PICU_INTTYPE_PICU12_BASE, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_SIZE, 0x00000008 +.set CYREG_PICU12_INTTYPE0, 0x40004560 +.set CYREG_PICU12_INTTYPE1, 0x40004561 +.set CYREG_PICU12_INTTYPE2, 0x40004562 +.set CYREG_PICU12_INTTYPE3, 0x40004563 +.set CYREG_PICU12_INTTYPE4, 0x40004564 +.set CYREG_PICU12_INTTYPE5, 0x40004565 +.set CYREG_PICU12_INTTYPE6, 0x40004566 +.set CYREG_PICU12_INTTYPE7, 0x40004567 +.set CYDEV_PICU_INTTYPE_PICU15_BASE, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_SIZE, 0x00000008 +.set CYREG_PICU15_INTTYPE0, 0x40004578 +.set CYREG_PICU15_INTTYPE1, 0x40004579 +.set CYREG_PICU15_INTTYPE2, 0x4000457a +.set CYREG_PICU15_INTTYPE3, 0x4000457b +.set CYREG_PICU15_INTTYPE4, 0x4000457c +.set CYREG_PICU15_INTTYPE5, 0x4000457d +.set CYREG_PICU15_INTTYPE6, 0x4000457e +.set CYREG_PICU15_INTTYPE7, 0x4000457f +.set CYDEV_PICU_STAT_BASE, 0x40004580 +.set CYDEV_PICU_STAT_SIZE, 0x00000010 +.set CYDEV_PICU_STAT_PICU0_BASE, 0x40004580 +.set CYDEV_PICU_STAT_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_INTSTAT, 0x40004580 +.set CYDEV_PICU_STAT_PICU1_BASE, 0x40004581 +.set CYDEV_PICU_STAT_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_INTSTAT, 0x40004581 +.set CYDEV_PICU_STAT_PICU2_BASE, 0x40004582 +.set CYDEV_PICU_STAT_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_INTSTAT, 0x40004582 +.set CYDEV_PICU_STAT_PICU3_BASE, 0x40004583 +.set CYDEV_PICU_STAT_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_INTSTAT, 0x40004583 +.set CYDEV_PICU_STAT_PICU4_BASE, 0x40004584 +.set CYDEV_PICU_STAT_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_INTSTAT, 0x40004584 +.set CYDEV_PICU_STAT_PICU5_BASE, 0x40004585 +.set CYDEV_PICU_STAT_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_INTSTAT, 0x40004585 +.set CYDEV_PICU_STAT_PICU6_BASE, 0x40004586 +.set CYDEV_PICU_STAT_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_INTSTAT, 0x40004586 +.set CYDEV_PICU_STAT_PICU12_BASE, 0x4000458c +.set CYDEV_PICU_STAT_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_INTSTAT, 0x4000458c +.set CYDEV_PICU_STAT_PICU15_BASE, 0x4000458f +.set CYDEV_PICU_STAT_PICU15_SIZE, 0x00000001 +.set CYREG_PICU15_INTSTAT, 0x4000458f +.set CYDEV_PICU_SNAP_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_SIZE, 0x00000010 +.set CYDEV_PICU_SNAP_PICU0_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_SNAP, 0x40004590 +.set CYDEV_PICU_SNAP_PICU1_BASE, 0x40004591 +.set CYDEV_PICU_SNAP_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_SNAP, 0x40004591 +.set CYDEV_PICU_SNAP_PICU2_BASE, 0x40004592 +.set CYDEV_PICU_SNAP_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_SNAP, 0x40004592 +.set CYDEV_PICU_SNAP_PICU3_BASE, 0x40004593 +.set CYDEV_PICU_SNAP_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_SNAP, 0x40004593 +.set CYDEV_PICU_SNAP_PICU4_BASE, 0x40004594 +.set CYDEV_PICU_SNAP_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_SNAP, 0x40004594 +.set CYDEV_PICU_SNAP_PICU5_BASE, 0x40004595 +.set CYDEV_PICU_SNAP_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_SNAP, 0x40004595 +.set CYDEV_PICU_SNAP_PICU6_BASE, 0x40004596 +.set CYDEV_PICU_SNAP_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_SNAP, 0x40004596 +.set CYDEV_PICU_SNAP_PICU12_BASE, 0x4000459c +.set CYDEV_PICU_SNAP_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_SNAP, 0x4000459c +.set CYDEV_PICU_SNAP_PICU_15_BASE, 0x4000459f +.set CYDEV_PICU_SNAP_PICU_15_SIZE, 0x00000001 +.set CYREG_PICU_15_SNAP_15, 0x4000459f +.set CYDEV_PICU_DISABLE_COR_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_SIZE, 0x00000010 +.set CYDEV_PICU_DISABLE_COR_PICU0_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_DISABLE_COR, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU1_BASE, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_DISABLE_COR, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU2_BASE, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_DISABLE_COR, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU3_BASE, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_DISABLE_COR, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU4_BASE, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_DISABLE_COR, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU5_BASE, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_DISABLE_COR, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU6_BASE, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_DISABLE_COR, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU12_BASE, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_DISABLE_COR, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU15_BASE, 0x400045af +.set CYDEV_PICU_DISABLE_COR_PICU15_SIZE, 0x00000001 +.set CYREG_PICU15_DISABLE_COR, 0x400045af +.set CYDEV_MFGCFG_BASE, 0x40004600 +.set CYDEV_MFGCFG_SIZE, 0x000000ed +.set CYDEV_MFGCFG_ANAIF_BASE, 0x40004600 +.set CYDEV_MFGCFG_ANAIF_SIZE, 0x00000038 +.set CYDEV_MFGCFG_ANAIF_DAC0_BASE, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC0_SIZE, 0x00000001 +.set CYREG_DAC0_TR, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC1_BASE, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC1_SIZE, 0x00000001 +.set CYREG_DAC1_TR, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC2_BASE, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC2_SIZE, 0x00000001 +.set CYREG_DAC2_TR, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC3_BASE, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_DAC3_SIZE, 0x00000001 +.set CYREG_DAC3_TR, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE, 0x00000001 +.set CYREG_NPUMP_DSM_TR0, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE, 0x00000001 +.set CYREG_NPUMP_SC_TR0, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE, 0x00000001 +.set CYREG_NPUMP_OPAMP_TR0, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_SAR0_BASE, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR0_SIZE, 0x00000001 +.set CYREG_SAR0_TR0, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR1_BASE, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_SAR1_SIZE, 0x00000001 +.set CYREG_SAR1_TR0, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_BASE, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_TR0, 0x40004620 +.set CYREG_OPAMP0_TR1, 0x40004621 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_BASE, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_TR0, 0x40004622 +.set CYREG_OPAMP1_TR1, 0x40004623 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_BASE, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_TR0, 0x40004624 +.set CYREG_OPAMP2_TR1, 0x40004625 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_BASE, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_TR0, 0x40004626 +.set CYREG_OPAMP3_TR1, 0x40004627 +.set CYDEV_MFGCFG_ANAIF_CMP0_BASE, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_SIZE, 0x00000002 +.set CYREG_CMP0_TR0, 0x40004630 +.set CYREG_CMP0_TR1, 0x40004631 +.set CYDEV_MFGCFG_ANAIF_CMP1_BASE, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_SIZE, 0x00000002 +.set CYREG_CMP1_TR0, 0x40004632 +.set CYREG_CMP1_TR1, 0x40004633 +.set CYDEV_MFGCFG_ANAIF_CMP2_BASE, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_SIZE, 0x00000002 +.set CYREG_CMP2_TR0, 0x40004634 +.set CYREG_CMP2_TR1, 0x40004635 +.set CYDEV_MFGCFG_ANAIF_CMP3_BASE, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_SIZE, 0x00000002 +.set CYREG_CMP3_TR0, 0x40004636 +.set CYREG_CMP3_TR1, 0x40004637 +.set CYDEV_MFGCFG_PWRSYS_BASE, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_SIZE, 0x0000000b +.set CYREG_PWRSYS_HIB_TR0, 0x40004680 +.set CYREG_PWRSYS_HIB_TR1, 0x40004681 +.set CYREG_PWRSYS_I2C_TR, 0x40004682 +.set CYREG_PWRSYS_SLP_TR, 0x40004683 +.set CYREG_PWRSYS_BUZZ_TR, 0x40004684 +.set CYREG_PWRSYS_WAKE_TR0, 0x40004685 +.set CYREG_PWRSYS_WAKE_TR1, 0x40004686 +.set CYREG_PWRSYS_BREF_TR, 0x40004687 +.set CYREG_PWRSYS_BG_TR, 0x40004688 +.set CYREG_PWRSYS_WAKE_TR2, 0x40004689 +.set CYREG_PWRSYS_WAKE_TR3, 0x4000468a +.set CYDEV_MFGCFG_ILO_BASE, 0x40004690 +.set CYDEV_MFGCFG_ILO_SIZE, 0x00000002 +.set CYREG_ILO_TR0, 0x40004690 +.set CYREG_ILO_TR1, 0x40004691 +.set CYDEV_MFGCFG_X32_BASE, 0x40004698 +.set CYDEV_MFGCFG_X32_SIZE, 0x00000001 +.set CYREG_X32_TR, 0x40004698 +.set CYDEV_MFGCFG_IMO_BASE, 0x400046a0 +.set CYDEV_MFGCFG_IMO_SIZE, 0x00000005 +.set CYREG_IMO_TR0, 0x400046a0 +.set CYREG_IMO_TR1, 0x400046a1 +.set CYREG_IMO_GAIN, 0x400046a2 +.set CYREG_IMO_C36M, 0x400046a3 +.set CYREG_IMO_TR2, 0x400046a4 +.set CYDEV_MFGCFG_XMHZ_BASE, 0x400046a8 +.set CYDEV_MFGCFG_XMHZ_SIZE, 0x00000001 +.set CYREG_XMHZ_TR, 0x400046a8 +.set CYREG_MFGCFG_DLY, 0x400046c0 +.set CYDEV_MFGCFG_MLOGIC_BASE, 0x400046e0 +.set CYDEV_MFGCFG_MLOGIC_SIZE, 0x0000000d +.set CYREG_MLOGIC_DMPSTR, 0x400046e2 +.set CYDEV_MFGCFG_MLOGIC_SEG_BASE, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_SIZE, 0x00000002 +.set CYREG_MLOGIC_SEG_CR, 0x400046e4 +.set CYREG_MLOGIC_SEG_CFG0, 0x400046e5 +.set CYREG_MLOGIC_DEBUG, 0x400046e8 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE, 0x00000001 +.set CYREG_MLOGIC_CPU_SCR_CPU_SCR, 0x400046ea +.set CYREG_MLOGIC_REV_ID, 0x400046ec +.set CYDEV_RESET_BASE, 0x400046f0 +.set CYDEV_RESET_SIZE, 0x0000000f +.set CYREG_RESET_IPOR_CR0, 0x400046f0 +.set CYREG_RESET_IPOR_CR1, 0x400046f1 +.set CYREG_RESET_IPOR_CR2, 0x400046f2 +.set CYREG_RESET_IPOR_CR3, 0x400046f3 +.set CYREG_RESET_CR0, 0x400046f4 +.set CYREG_RESET_CR1, 0x400046f5 +.set CYREG_RESET_CR2, 0x400046f6 +.set CYREG_RESET_CR3, 0x400046f7 +.set CYREG_RESET_CR4, 0x400046f8 +.set CYREG_RESET_CR5, 0x400046f9 +.set CYREG_RESET_SR0, 0x400046fa +.set CYREG_RESET_SR1, 0x400046fb +.set CYREG_RESET_SR2, 0x400046fc +.set CYREG_RESET_SR3, 0x400046fd +.set CYREG_RESET_TR, 0x400046fe +.set CYDEV_SPC_BASE, 0x40004700 +.set CYDEV_SPC_SIZE, 0x00000100 +.set CYREG_SPC_FM_EE_CR, 0x40004700 +.set CYREG_SPC_FM_EE_WAKE_CNT, 0x40004701 +.set CYREG_SPC_EE_SCR, 0x40004702 +.set CYREG_SPC_EE_ERR, 0x40004703 +.set CYREG_SPC_CPU_DATA, 0x40004720 +.set CYREG_SPC_DMA_DATA, 0x40004721 +.set CYREG_SPC_SR, 0x40004722 +.set CYREG_SPC_CR, 0x40004723 +.set CYDEV_SPC_DMM_MAP_BASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SIZE, 0x00000080 +.set CYREG_SPC_DMM_MAP_SRAM_MBASE, 0x40004780 +.set CYREG_SPC_DMM_MAP_SRAM_MSIZE, 0x00000080 +.set CYDEV_CACHE_BASE, 0x40004800 +.set CYDEV_CACHE_SIZE, 0x0000009c +.set CYREG_CACHE_CC_CTL, 0x40004800 +.set CYREG_CACHE_ECC_CORR, 0x40004880 +.set CYREG_CACHE_ECC_ERR, 0x40004888 +.set CYREG_CACHE_FLASH_ERR, 0x40004890 +.set CYREG_CACHE_HITMISS, 0x40004898 +.set CYDEV_I2C_BASE, 0x40004900 +.set CYDEV_I2C_SIZE, 0x000000e1 +.set CYREG_I2C_XCFG, 0x400049c8 +.set CYREG_I2C_ADR, 0x400049ca +.set CYREG_I2C_CFG, 0x400049d6 +.set CYREG_I2C_CSR, 0x400049d7 +.set CYREG_I2C_D, 0x400049d8 +.set CYREG_I2C_MCSR, 0x400049d9 +.set CYREG_I2C_CLK_DIV1, 0x400049db +.set CYREG_I2C_CLK_DIV2, 0x400049dc +.set CYREG_I2C_TMOUT_CSR, 0x400049dd +.set CYREG_I2C_TMOUT_SR, 0x400049de +.set CYREG_I2C_TMOUT_CFG0, 0x400049df +.set CYREG_I2C_TMOUT_CFG1, 0x400049e0 +.set CYDEV_DEC_BASE, 0x40004e00 +.set CYDEV_DEC_SIZE, 0x00000015 +.set CYREG_DEC_CR, 0x40004e00 +.set CYREG_DEC_SR, 0x40004e01 +.set CYREG_DEC_SHIFT1, 0x40004e02 +.set CYREG_DEC_SHIFT2, 0x40004e03 +.set CYREG_DEC_DR2, 0x40004e04 +.set CYREG_DEC_DR2H, 0x40004e05 +.set CYREG_DEC_DR1, 0x40004e06 +.set CYREG_DEC_OCOR, 0x40004e08 +.set CYREG_DEC_OCORM, 0x40004e09 +.set CYREG_DEC_OCORH, 0x40004e0a +.set CYREG_DEC_GCOR, 0x40004e0c +.set CYREG_DEC_GCORH, 0x40004e0d +.set CYREG_DEC_GVAL, 0x40004e0e +.set CYREG_DEC_OUTSAMP, 0x40004e10 +.set CYREG_DEC_OUTSAMPM, 0x40004e11 +.set CYREG_DEC_OUTSAMPH, 0x40004e12 +.set CYREG_DEC_OUTSAMPS, 0x40004e13 +.set CYREG_DEC_COHER, 0x40004e14 +.set CYDEV_TMR0_BASE, 0x40004f00 +.set CYDEV_TMR0_SIZE, 0x0000000c +.set CYREG_TMR0_CFG0, 0x40004f00 +.set CYREG_TMR0_CFG1, 0x40004f01 +.set CYREG_TMR0_CFG2, 0x40004f02 +.set CYREG_TMR0_SR0, 0x40004f03 +.set CYREG_TMR0_PER0, 0x40004f04 +.set CYREG_TMR0_PER1, 0x40004f05 +.set CYREG_TMR0_CNT_CMP0, 0x40004f06 +.set CYREG_TMR0_CNT_CMP1, 0x40004f07 +.set CYREG_TMR0_CAP0, 0x40004f08 +.set CYREG_TMR0_CAP1, 0x40004f09 +.set CYREG_TMR0_RT0, 0x40004f0a +.set CYREG_TMR0_RT1, 0x40004f0b +.set CYDEV_TMR1_BASE, 0x40004f0c +.set CYDEV_TMR1_SIZE, 0x0000000c +.set CYREG_TMR1_CFG0, 0x40004f0c +.set CYREG_TMR1_CFG1, 0x40004f0d +.set CYREG_TMR1_CFG2, 0x40004f0e +.set CYREG_TMR1_SR0, 0x40004f0f +.set CYREG_TMR1_PER0, 0x40004f10 +.set CYREG_TMR1_PER1, 0x40004f11 +.set CYREG_TMR1_CNT_CMP0, 0x40004f12 +.set CYREG_TMR1_CNT_CMP1, 0x40004f13 +.set CYREG_TMR1_CAP0, 0x40004f14 +.set CYREG_TMR1_CAP1, 0x40004f15 +.set CYREG_TMR1_RT0, 0x40004f16 +.set CYREG_TMR1_RT1, 0x40004f17 +.set CYDEV_TMR2_BASE, 0x40004f18 +.set CYDEV_TMR2_SIZE, 0x0000000c +.set CYREG_TMR2_CFG0, 0x40004f18 +.set CYREG_TMR2_CFG1, 0x40004f19 +.set CYREG_TMR2_CFG2, 0x40004f1a +.set CYREG_TMR2_SR0, 0x40004f1b +.set CYREG_TMR2_PER0, 0x40004f1c +.set CYREG_TMR2_PER1, 0x40004f1d +.set CYREG_TMR2_CNT_CMP0, 0x40004f1e +.set CYREG_TMR2_CNT_CMP1, 0x40004f1f +.set CYREG_TMR2_CAP0, 0x40004f20 +.set CYREG_TMR2_CAP1, 0x40004f21 +.set CYREG_TMR2_RT0, 0x40004f22 +.set CYREG_TMR2_RT1, 0x40004f23 +.set CYDEV_TMR3_BASE, 0x40004f24 +.set CYDEV_TMR3_SIZE, 0x0000000c +.set CYREG_TMR3_CFG0, 0x40004f24 +.set CYREG_TMR3_CFG1, 0x40004f25 +.set CYREG_TMR3_CFG2, 0x40004f26 +.set CYREG_TMR3_SR0, 0x40004f27 +.set CYREG_TMR3_PER0, 0x40004f28 +.set CYREG_TMR3_PER1, 0x40004f29 +.set CYREG_TMR3_CNT_CMP0, 0x40004f2a +.set CYREG_TMR3_CNT_CMP1, 0x40004f2b +.set CYREG_TMR3_CAP0, 0x40004f2c +.set CYREG_TMR3_CAP1, 0x40004f2d +.set CYREG_TMR3_RT0, 0x40004f2e +.set CYREG_TMR3_RT1, 0x40004f2f +.set CYDEV_IO_BASE, 0x40005000 +.set CYDEV_IO_SIZE, 0x00000200 +.set CYDEV_IO_PC_BASE, 0x40005000 +.set CYDEV_IO_PC_SIZE, 0x00000080 +.set CYDEV_IO_PC_PRT0_BASE, 0x40005000 +.set CYDEV_IO_PC_PRT0_SIZE, 0x00000008 +.set CYREG_PRT0_PC0, 0x40005000 +.set CYREG_PRT0_PC1, 0x40005001 +.set CYREG_PRT0_PC2, 0x40005002 +.set CYREG_PRT0_PC3, 0x40005003 +.set CYREG_PRT0_PC4, 0x40005004 +.set CYREG_PRT0_PC5, 0x40005005 +.set CYREG_PRT0_PC6, 0x40005006 +.set CYREG_PRT0_PC7, 0x40005007 +.set CYDEV_IO_PC_PRT1_BASE, 0x40005008 +.set CYDEV_IO_PC_PRT1_SIZE, 0x00000008 +.set CYREG_PRT1_PC0, 0x40005008 +.set CYREG_PRT1_PC1, 0x40005009 +.set CYREG_PRT1_PC2, 0x4000500a +.set CYREG_PRT1_PC3, 0x4000500b +.set CYREG_PRT1_PC4, 0x4000500c +.set CYREG_PRT1_PC5, 0x4000500d +.set CYREG_PRT1_PC6, 0x4000500e +.set CYREG_PRT1_PC7, 0x4000500f +.set CYDEV_IO_PC_PRT2_BASE, 0x40005010 +.set CYDEV_IO_PC_PRT2_SIZE, 0x00000008 +.set CYREG_PRT2_PC0, 0x40005010 +.set CYREG_PRT2_PC1, 0x40005011 +.set CYREG_PRT2_PC2, 0x40005012 +.set CYREG_PRT2_PC3, 0x40005013 +.set CYREG_PRT2_PC4, 0x40005014 +.set CYREG_PRT2_PC5, 0x40005015 +.set CYREG_PRT2_PC6, 0x40005016 +.set CYREG_PRT2_PC7, 0x40005017 +.set CYDEV_IO_PC_PRT3_BASE, 0x40005018 +.set CYDEV_IO_PC_PRT3_SIZE, 0x00000008 +.set CYREG_PRT3_PC0, 0x40005018 +.set CYREG_PRT3_PC1, 0x40005019 +.set CYREG_PRT3_PC2, 0x4000501a +.set CYREG_PRT3_PC3, 0x4000501b +.set CYREG_PRT3_PC4, 0x4000501c +.set CYREG_PRT3_PC5, 0x4000501d +.set CYREG_PRT3_PC6, 0x4000501e +.set CYREG_PRT3_PC7, 0x4000501f +.set CYDEV_IO_PC_PRT4_BASE, 0x40005020 +.set CYDEV_IO_PC_PRT4_SIZE, 0x00000008 +.set CYREG_PRT4_PC0, 0x40005020 +.set CYREG_PRT4_PC1, 0x40005021 +.set CYREG_PRT4_PC2, 0x40005022 +.set CYREG_PRT4_PC3, 0x40005023 +.set CYREG_PRT4_PC4, 0x40005024 +.set CYREG_PRT4_PC5, 0x40005025 +.set CYREG_PRT4_PC6, 0x40005026 +.set CYREG_PRT4_PC7, 0x40005027 +.set CYDEV_IO_PC_PRT5_BASE, 0x40005028 +.set CYDEV_IO_PC_PRT5_SIZE, 0x00000008 +.set CYREG_PRT5_PC0, 0x40005028 +.set CYREG_PRT5_PC1, 0x40005029 +.set CYREG_PRT5_PC2, 0x4000502a +.set CYREG_PRT5_PC3, 0x4000502b +.set CYREG_PRT5_PC4, 0x4000502c +.set CYREG_PRT5_PC5, 0x4000502d +.set CYREG_PRT5_PC6, 0x4000502e +.set CYREG_PRT5_PC7, 0x4000502f +.set CYDEV_IO_PC_PRT6_BASE, 0x40005030 +.set CYDEV_IO_PC_PRT6_SIZE, 0x00000008 +.set CYREG_PRT6_PC0, 0x40005030 +.set CYREG_PRT6_PC1, 0x40005031 +.set CYREG_PRT6_PC2, 0x40005032 +.set CYREG_PRT6_PC3, 0x40005033 +.set CYREG_PRT6_PC4, 0x40005034 +.set CYREG_PRT6_PC5, 0x40005035 +.set CYREG_PRT6_PC6, 0x40005036 +.set CYREG_PRT6_PC7, 0x40005037 +.set CYDEV_IO_PC_PRT12_BASE, 0x40005060 +.set CYDEV_IO_PC_PRT12_SIZE, 0x00000008 +.set CYREG_PRT12_PC0, 0x40005060 +.set CYREG_PRT12_PC1, 0x40005061 +.set CYREG_PRT12_PC2, 0x40005062 +.set CYREG_PRT12_PC3, 0x40005063 +.set CYREG_PRT12_PC4, 0x40005064 +.set CYREG_PRT12_PC5, 0x40005065 +.set CYREG_PRT12_PC6, 0x40005066 +.set CYREG_PRT12_PC7, 0x40005067 +.set CYDEV_IO_PC_PRT15_BASE, 0x40005078 +.set CYDEV_IO_PC_PRT15_SIZE, 0x00000006 +.set CYREG_IO_PC_PRT15_PC0, 0x40005078 +.set CYREG_IO_PC_PRT15_PC1, 0x40005079 +.set CYREG_IO_PC_PRT15_PC2, 0x4000507a +.set CYREG_IO_PC_PRT15_PC3, 0x4000507b +.set CYREG_IO_PC_PRT15_PC4, 0x4000507c +.set CYREG_IO_PC_PRT15_PC5, 0x4000507d +.set CYDEV_IO_PC_PRT15_7_6_BASE, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_SIZE, 0x00000002 +.set CYREG_IO_PC_PRT15_7_6_PC0, 0x4000507e +.set CYREG_IO_PC_PRT15_7_6_PC1, 0x4000507f +.set CYDEV_IO_DR_BASE, 0x40005080 +.set CYDEV_IO_DR_SIZE, 0x00000010 +.set CYDEV_IO_DR_PRT0_BASE, 0x40005080 +.set CYDEV_IO_DR_PRT0_SIZE, 0x00000001 +.set CYREG_PRT0_DR_ALIAS, 0x40005080 +.set CYDEV_IO_DR_PRT1_BASE, 0x40005081 +.set CYDEV_IO_DR_PRT1_SIZE, 0x00000001 +.set CYREG_PRT1_DR_ALIAS, 0x40005081 +.set CYDEV_IO_DR_PRT2_BASE, 0x40005082 +.set CYDEV_IO_DR_PRT2_SIZE, 0x00000001 +.set CYREG_PRT2_DR_ALIAS, 0x40005082 +.set CYDEV_IO_DR_PRT3_BASE, 0x40005083 +.set CYDEV_IO_DR_PRT3_SIZE, 0x00000001 +.set CYREG_PRT3_DR_ALIAS, 0x40005083 +.set CYDEV_IO_DR_PRT4_BASE, 0x40005084 +.set CYDEV_IO_DR_PRT4_SIZE, 0x00000001 +.set CYREG_PRT4_DR_ALIAS, 0x40005084 +.set CYDEV_IO_DR_PRT5_BASE, 0x40005085 +.set CYDEV_IO_DR_PRT5_SIZE, 0x00000001 +.set CYREG_PRT5_DR_ALIAS, 0x40005085 +.set CYDEV_IO_DR_PRT6_BASE, 0x40005086 +.set CYDEV_IO_DR_PRT6_SIZE, 0x00000001 +.set CYREG_PRT6_DR_ALIAS, 0x40005086 +.set CYDEV_IO_DR_PRT12_BASE, 0x4000508c +.set CYDEV_IO_DR_PRT12_SIZE, 0x00000001 +.set CYREG_PRT12_DR_ALIAS, 0x4000508c +.set CYDEV_IO_DR_PRT15_BASE, 0x4000508f +.set CYDEV_IO_DR_PRT15_SIZE, 0x00000001 +.set CYREG_PRT15_DR_15_ALIAS, 0x4000508f +.set CYDEV_IO_PS_BASE, 0x40005090 +.set CYDEV_IO_PS_SIZE, 0x00000010 +.set CYDEV_IO_PS_PRT0_BASE, 0x40005090 +.set CYDEV_IO_PS_PRT0_SIZE, 0x00000001 +.set CYREG_PRT0_PS_ALIAS, 0x40005090 +.set CYDEV_IO_PS_PRT1_BASE, 0x40005091 +.set CYDEV_IO_PS_PRT1_SIZE, 0x00000001 +.set CYREG_PRT1_PS_ALIAS, 0x40005091 +.set CYDEV_IO_PS_PRT2_BASE, 0x40005092 +.set CYDEV_IO_PS_PRT2_SIZE, 0x00000001 +.set CYREG_PRT2_PS_ALIAS, 0x40005092 +.set CYDEV_IO_PS_PRT3_BASE, 0x40005093 +.set CYDEV_IO_PS_PRT3_SIZE, 0x00000001 +.set CYREG_PRT3_PS_ALIAS, 0x40005093 +.set CYDEV_IO_PS_PRT4_BASE, 0x40005094 +.set CYDEV_IO_PS_PRT4_SIZE, 0x00000001 +.set CYREG_PRT4_PS_ALIAS, 0x40005094 +.set CYDEV_IO_PS_PRT5_BASE, 0x40005095 +.set CYDEV_IO_PS_PRT5_SIZE, 0x00000001 +.set CYREG_PRT5_PS_ALIAS, 0x40005095 +.set CYDEV_IO_PS_PRT6_BASE, 0x40005096 +.set CYDEV_IO_PS_PRT6_SIZE, 0x00000001 +.set CYREG_PRT6_PS_ALIAS, 0x40005096 +.set CYDEV_IO_PS_PRT12_BASE, 0x4000509c +.set CYDEV_IO_PS_PRT12_SIZE, 0x00000001 +.set CYREG_PRT12_PS_ALIAS, 0x4000509c +.set CYDEV_IO_PS_PRT15_BASE, 0x4000509f +.set CYDEV_IO_PS_PRT15_SIZE, 0x00000001 +.set CYREG_PRT15_PS15_ALIAS, 0x4000509f +.set CYDEV_IO_PRT_BASE, 0x40005100 +.set CYDEV_IO_PRT_SIZE, 0x00000100 +.set CYDEV_IO_PRT_PRT0_BASE, 0x40005100 +.set CYDEV_IO_PRT_PRT0_SIZE, 0x00000010 +.set CYREG_PRT0_DR, 0x40005100 +.set CYREG_PRT0_PS, 0x40005101 +.set CYREG_PRT0_DM0, 0x40005102 +.set CYREG_PRT0_DM1, 0x40005103 +.set CYREG_PRT0_DM2, 0x40005104 +.set CYREG_PRT0_SLW, 0x40005105 +.set CYREG_PRT0_BYP, 0x40005106 +.set CYREG_PRT0_BIE, 0x40005107 +.set CYREG_PRT0_INP_DIS, 0x40005108 +.set CYREG_PRT0_CTL, 0x40005109 +.set CYREG_PRT0_PRT, 0x4000510a +.set CYREG_PRT0_BIT_MASK, 0x4000510b +.set CYREG_PRT0_AMUX, 0x4000510c +.set CYREG_PRT0_AG, 0x4000510d +.set CYREG_PRT0_LCD_COM_SEG, 0x4000510e +.set CYREG_PRT0_LCD_EN, 0x4000510f +.set CYDEV_IO_PRT_PRT1_BASE, 0x40005110 +.set CYDEV_IO_PRT_PRT1_SIZE, 0x00000010 +.set CYREG_PRT1_DR, 0x40005110 +.set CYREG_PRT1_PS, 0x40005111 +.set CYREG_PRT1_DM0, 0x40005112 +.set CYREG_PRT1_DM1, 0x40005113 +.set CYREG_PRT1_DM2, 0x40005114 +.set CYREG_PRT1_SLW, 0x40005115 +.set CYREG_PRT1_BYP, 0x40005116 +.set CYREG_PRT1_BIE, 0x40005117 +.set CYREG_PRT1_INP_DIS, 0x40005118 +.set CYREG_PRT1_CTL, 0x40005119 +.set CYREG_PRT1_PRT, 0x4000511a +.set CYREG_PRT1_BIT_MASK, 0x4000511b +.set CYREG_PRT1_AMUX, 0x4000511c +.set CYREG_PRT1_AG, 0x4000511d +.set CYREG_PRT1_LCD_COM_SEG, 0x4000511e +.set CYREG_PRT1_LCD_EN, 0x4000511f +.set CYDEV_IO_PRT_PRT2_BASE, 0x40005120 +.set CYDEV_IO_PRT_PRT2_SIZE, 0x00000010 +.set CYREG_PRT2_DR, 0x40005120 +.set CYREG_PRT2_PS, 0x40005121 +.set CYREG_PRT2_DM0, 0x40005122 +.set CYREG_PRT2_DM1, 0x40005123 +.set CYREG_PRT2_DM2, 0x40005124 +.set CYREG_PRT2_SLW, 0x40005125 +.set CYREG_PRT2_BYP, 0x40005126 +.set CYREG_PRT2_BIE, 0x40005127 +.set CYREG_PRT2_INP_DIS, 0x40005128 +.set CYREG_PRT2_CTL, 0x40005129 +.set CYREG_PRT2_PRT, 0x4000512a +.set CYREG_PRT2_BIT_MASK, 0x4000512b +.set CYREG_PRT2_AMUX, 0x4000512c +.set CYREG_PRT2_AG, 0x4000512d +.set CYREG_PRT2_LCD_COM_SEG, 0x4000512e +.set CYREG_PRT2_LCD_EN, 0x4000512f +.set CYDEV_IO_PRT_PRT3_BASE, 0x40005130 +.set CYDEV_IO_PRT_PRT3_SIZE, 0x00000010 +.set CYREG_PRT3_DR, 0x40005130 +.set CYREG_PRT3_PS, 0x40005131 +.set CYREG_PRT3_DM0, 0x40005132 +.set CYREG_PRT3_DM1, 0x40005133 +.set CYREG_PRT3_DM2, 0x40005134 +.set CYREG_PRT3_SLW, 0x40005135 +.set CYREG_PRT3_BYP, 0x40005136 +.set CYREG_PRT3_BIE, 0x40005137 +.set CYREG_PRT3_INP_DIS, 0x40005138 +.set CYREG_PRT3_CTL, 0x40005139 +.set CYREG_PRT3_PRT, 0x4000513a +.set CYREG_PRT3_BIT_MASK, 0x4000513b +.set CYREG_PRT3_AMUX, 0x4000513c +.set CYREG_PRT3_AG, 0x4000513d +.set CYREG_PRT3_LCD_COM_SEG, 0x4000513e +.set CYREG_PRT3_LCD_EN, 0x4000513f +.set CYDEV_IO_PRT_PRT4_BASE, 0x40005140 +.set CYDEV_IO_PRT_PRT4_SIZE, 0x00000010 +.set CYREG_PRT4_DR, 0x40005140 +.set CYREG_PRT4_PS, 0x40005141 +.set CYREG_PRT4_DM0, 0x40005142 +.set CYREG_PRT4_DM1, 0x40005143 +.set CYREG_PRT4_DM2, 0x40005144 +.set CYREG_PRT4_SLW, 0x40005145 +.set CYREG_PRT4_BYP, 0x40005146 +.set CYREG_PRT4_BIE, 0x40005147 +.set CYREG_PRT4_INP_DIS, 0x40005148 +.set CYREG_PRT4_CTL, 0x40005149 +.set CYREG_PRT4_PRT, 0x4000514a +.set CYREG_PRT4_BIT_MASK, 0x4000514b +.set CYREG_PRT4_AMUX, 0x4000514c +.set CYREG_PRT4_AG, 0x4000514d +.set CYREG_PRT4_LCD_COM_SEG, 0x4000514e +.set CYREG_PRT4_LCD_EN, 0x4000514f +.set CYDEV_IO_PRT_PRT5_BASE, 0x40005150 +.set CYDEV_IO_PRT_PRT5_SIZE, 0x00000010 +.set CYREG_PRT5_DR, 0x40005150 +.set CYREG_PRT5_PS, 0x40005151 +.set CYREG_PRT5_DM0, 0x40005152 +.set CYREG_PRT5_DM1, 0x40005153 +.set CYREG_PRT5_DM2, 0x40005154 +.set CYREG_PRT5_SLW, 0x40005155 +.set CYREG_PRT5_BYP, 0x40005156 +.set CYREG_PRT5_BIE, 0x40005157 +.set CYREG_PRT5_INP_DIS, 0x40005158 +.set CYREG_PRT5_CTL, 0x40005159 +.set CYREG_PRT5_PRT, 0x4000515a +.set CYREG_PRT5_BIT_MASK, 0x4000515b +.set CYREG_PRT5_AMUX, 0x4000515c +.set CYREG_PRT5_AG, 0x4000515d +.set CYREG_PRT5_LCD_COM_SEG, 0x4000515e +.set CYREG_PRT5_LCD_EN, 0x4000515f +.set CYDEV_IO_PRT_PRT6_BASE, 0x40005160 +.set CYDEV_IO_PRT_PRT6_SIZE, 0x00000010 +.set CYREG_PRT6_DR, 0x40005160 +.set CYREG_PRT6_PS, 0x40005161 +.set CYREG_PRT6_DM0, 0x40005162 +.set CYREG_PRT6_DM1, 0x40005163 +.set CYREG_PRT6_DM2, 0x40005164 +.set CYREG_PRT6_SLW, 0x40005165 +.set CYREG_PRT6_BYP, 0x40005166 +.set CYREG_PRT6_BIE, 0x40005167 +.set CYREG_PRT6_INP_DIS, 0x40005168 +.set CYREG_PRT6_CTL, 0x40005169 +.set CYREG_PRT6_PRT, 0x4000516a +.set CYREG_PRT6_BIT_MASK, 0x4000516b +.set CYREG_PRT6_AMUX, 0x4000516c +.set CYREG_PRT6_AG, 0x4000516d +.set CYREG_PRT6_LCD_COM_SEG, 0x4000516e +.set CYREG_PRT6_LCD_EN, 0x4000516f +.set CYDEV_IO_PRT_PRT12_BASE, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_SIZE, 0x00000010 +.set CYREG_PRT12_DR, 0x400051c0 +.set CYREG_PRT12_PS, 0x400051c1 +.set CYREG_PRT12_DM0, 0x400051c2 +.set CYREG_PRT12_DM1, 0x400051c3 +.set CYREG_PRT12_DM2, 0x400051c4 +.set CYREG_PRT12_SLW, 0x400051c5 +.set CYREG_PRT12_BYP, 0x400051c6 +.set CYREG_PRT12_BIE, 0x400051c7 +.set CYREG_PRT12_INP_DIS, 0x400051c8 +.set CYREG_PRT12_SIO_HYST_EN, 0x400051c9 +.set CYREG_PRT12_PRT, 0x400051ca +.set CYREG_PRT12_BIT_MASK, 0x400051cb +.set CYREG_PRT12_SIO_REG_HIFREQ, 0x400051cc +.set CYREG_PRT12_AG, 0x400051cd +.set CYREG_PRT12_SIO_CFG, 0x400051ce +.set CYREG_PRT12_SIO_DIFF, 0x400051cf +.set CYDEV_IO_PRT_PRT15_BASE, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_SIZE, 0x00000010 +.set CYREG_PRT15_DR, 0x400051f0 +.set CYREG_PRT15_PS, 0x400051f1 +.set CYREG_PRT15_DM0, 0x400051f2 +.set CYREG_PRT15_DM1, 0x400051f3 +.set CYREG_PRT15_DM2, 0x400051f4 +.set CYREG_PRT15_SLW, 0x400051f5 +.set CYREG_PRT15_BYP, 0x400051f6 +.set CYREG_PRT15_BIE, 0x400051f7 +.set CYREG_PRT15_INP_DIS, 0x400051f8 +.set CYREG_PRT15_CTL, 0x400051f9 +.set CYREG_PRT15_PRT, 0x400051fa +.set CYREG_PRT15_BIT_MASK, 0x400051fb +.set CYREG_PRT15_AMUX, 0x400051fc +.set CYREG_PRT15_AG, 0x400051fd +.set CYREG_PRT15_LCD_COM_SEG, 0x400051fe +.set CYREG_PRT15_LCD_EN, 0x400051ff +.set CYDEV_PRTDSI_BASE, 0x40005200 +.set CYDEV_PRTDSI_SIZE, 0x0000007f +.set CYDEV_PRTDSI_PRT0_BASE, 0x40005200 +.set CYDEV_PRTDSI_PRT0_SIZE, 0x00000007 +.set CYREG_PRT0_OUT_SEL0, 0x40005200 +.set CYREG_PRT0_OUT_SEL1, 0x40005201 +.set CYREG_PRT0_OE_SEL0, 0x40005202 +.set CYREG_PRT0_OE_SEL1, 0x40005203 +.set CYREG_PRT0_DBL_SYNC_IN, 0x40005204 +.set CYREG_PRT0_SYNC_OUT, 0x40005205 +.set CYREG_PRT0_CAPS_SEL, 0x40005206 +.set CYDEV_PRTDSI_PRT1_BASE, 0x40005208 +.set CYDEV_PRTDSI_PRT1_SIZE, 0x00000007 +.set CYREG_PRT1_OUT_SEL0, 0x40005208 +.set CYREG_PRT1_OUT_SEL1, 0x40005209 +.set CYREG_PRT1_OE_SEL0, 0x4000520a +.set CYREG_PRT1_OE_SEL1, 0x4000520b +.set CYREG_PRT1_DBL_SYNC_IN, 0x4000520c +.set CYREG_PRT1_SYNC_OUT, 0x4000520d +.set CYREG_PRT1_CAPS_SEL, 0x4000520e +.set CYDEV_PRTDSI_PRT2_BASE, 0x40005210 +.set CYDEV_PRTDSI_PRT2_SIZE, 0x00000007 +.set CYREG_PRT2_OUT_SEL0, 0x40005210 +.set CYREG_PRT2_OUT_SEL1, 0x40005211 +.set CYREG_PRT2_OE_SEL0, 0x40005212 +.set CYREG_PRT2_OE_SEL1, 0x40005213 +.set CYREG_PRT2_DBL_SYNC_IN, 0x40005214 +.set CYREG_PRT2_SYNC_OUT, 0x40005215 +.set CYREG_PRT2_CAPS_SEL, 0x40005216 +.set CYDEV_PRTDSI_PRT3_BASE, 0x40005218 +.set CYDEV_PRTDSI_PRT3_SIZE, 0x00000007 +.set CYREG_PRT3_OUT_SEL0, 0x40005218 +.set CYREG_PRT3_OUT_SEL1, 0x40005219 +.set CYREG_PRT3_OE_SEL0, 0x4000521a +.set CYREG_PRT3_OE_SEL1, 0x4000521b +.set CYREG_PRT3_DBL_SYNC_IN, 0x4000521c +.set CYREG_PRT3_SYNC_OUT, 0x4000521d +.set CYREG_PRT3_CAPS_SEL, 0x4000521e +.set CYDEV_PRTDSI_PRT4_BASE, 0x40005220 +.set CYDEV_PRTDSI_PRT4_SIZE, 0x00000007 +.set CYREG_PRT4_OUT_SEL0, 0x40005220 +.set CYREG_PRT4_OUT_SEL1, 0x40005221 +.set CYREG_PRT4_OE_SEL0, 0x40005222 +.set CYREG_PRT4_OE_SEL1, 0x40005223 +.set CYREG_PRT4_DBL_SYNC_IN, 0x40005224 +.set CYREG_PRT4_SYNC_OUT, 0x40005225 +.set CYREG_PRT4_CAPS_SEL, 0x40005226 +.set CYDEV_PRTDSI_PRT5_BASE, 0x40005228 +.set CYDEV_PRTDSI_PRT5_SIZE, 0x00000007 +.set CYREG_PRT5_OUT_SEL0, 0x40005228 +.set CYREG_PRT5_OUT_SEL1, 0x40005229 +.set CYREG_PRT5_OE_SEL0, 0x4000522a +.set CYREG_PRT5_OE_SEL1, 0x4000522b +.set CYREG_PRT5_DBL_SYNC_IN, 0x4000522c +.set CYREG_PRT5_SYNC_OUT, 0x4000522d +.set CYREG_PRT5_CAPS_SEL, 0x4000522e +.set CYDEV_PRTDSI_PRT6_BASE, 0x40005230 +.set CYDEV_PRTDSI_PRT6_SIZE, 0x00000007 +.set CYREG_PRT6_OUT_SEL0, 0x40005230 +.set CYREG_PRT6_OUT_SEL1, 0x40005231 +.set CYREG_PRT6_OE_SEL0, 0x40005232 +.set CYREG_PRT6_OE_SEL1, 0x40005233 +.set CYREG_PRT6_DBL_SYNC_IN, 0x40005234 +.set CYREG_PRT6_SYNC_OUT, 0x40005235 +.set CYREG_PRT6_CAPS_SEL, 0x40005236 +.set CYDEV_PRTDSI_PRT12_BASE, 0x40005260 +.set CYDEV_PRTDSI_PRT12_SIZE, 0x00000006 +.set CYREG_PRT12_OUT_SEL0, 0x40005260 +.set CYREG_PRT12_OUT_SEL1, 0x40005261 +.set CYREG_PRT12_OE_SEL0, 0x40005262 +.set CYREG_PRT12_OE_SEL1, 0x40005263 +.set CYREG_PRT12_DBL_SYNC_IN, 0x40005264 +.set CYREG_PRT12_SYNC_OUT, 0x40005265 +.set CYDEV_PRTDSI_PRT15_BASE, 0x40005278 +.set CYDEV_PRTDSI_PRT15_SIZE, 0x00000007 +.set CYREG_PRT15_OUT_SEL0, 0x40005278 +.set CYREG_PRT15_OUT_SEL1, 0x40005279 +.set CYREG_PRT15_OE_SEL0, 0x4000527a +.set CYREG_PRT15_OE_SEL1, 0x4000527b +.set CYREG_PRT15_DBL_SYNC_IN, 0x4000527c +.set CYREG_PRT15_SYNC_OUT, 0x4000527d +.set CYREG_PRT15_CAPS_SEL, 0x4000527e +.set CYDEV_EMIF_BASE, 0x40005400 +.set CYDEV_EMIF_SIZE, 0x00000007 +.set CYREG_EMIF_NO_UDB, 0x40005400 +.set CYREG_EMIF_RP_WAIT_STATES, 0x40005401 +.set CYREG_EMIF_MEM_DWN, 0x40005402 +.set CYREG_EMIF_MEMCLK_DIV, 0x40005403 +.set CYREG_EMIF_CLOCK_EN, 0x40005404 +.set CYREG_EMIF_EM_TYPE, 0x40005405 +.set CYREG_EMIF_WP_WAIT_STATES, 0x40005406 +.set CYDEV_ANAIF_BASE, 0x40005800 +.set CYDEV_ANAIF_SIZE, 0x000003a9 +.set CYDEV_ANAIF_CFG_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SIZE, 0x0000010f +.set CYDEV_ANAIF_CFG_SC0_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_SIZE, 0x00000003 +.set CYREG_SC0_CR0, 0x40005800 +.set CYREG_SC0_CR1, 0x40005801 +.set CYREG_SC0_CR2, 0x40005802 +.set CYDEV_ANAIF_CFG_SC1_BASE, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_SIZE, 0x00000003 +.set CYREG_SC1_CR0, 0x40005804 +.set CYREG_SC1_CR1, 0x40005805 +.set CYREG_SC1_CR2, 0x40005806 +.set CYDEV_ANAIF_CFG_SC2_BASE, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_SIZE, 0x00000003 +.set CYREG_SC2_CR0, 0x40005808 +.set CYREG_SC2_CR1, 0x40005809 +.set CYREG_SC2_CR2, 0x4000580a +.set CYDEV_ANAIF_CFG_SC3_BASE, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_SIZE, 0x00000003 +.set CYREG_SC3_CR0, 0x4000580c +.set CYREG_SC3_CR1, 0x4000580d +.set CYREG_SC3_CR2, 0x4000580e +.set CYDEV_ANAIF_CFG_DAC0_BASE, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_SIZE, 0x00000003 +.set CYREG_DAC0_CR0, 0x40005820 +.set CYREG_DAC0_CR1, 0x40005821 +.set CYREG_DAC0_TST, 0x40005822 +.set CYDEV_ANAIF_CFG_DAC1_BASE, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_SIZE, 0x00000003 +.set CYREG_DAC1_CR0, 0x40005824 +.set CYREG_DAC1_CR1, 0x40005825 +.set CYREG_DAC1_TST, 0x40005826 +.set CYDEV_ANAIF_CFG_DAC2_BASE, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_SIZE, 0x00000003 +.set CYREG_DAC2_CR0, 0x40005828 +.set CYREG_DAC2_CR1, 0x40005829 +.set CYREG_DAC2_TST, 0x4000582a +.set CYDEV_ANAIF_CFG_DAC3_BASE, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_SIZE, 0x00000003 +.set CYREG_DAC3_CR0, 0x4000582c +.set CYREG_DAC3_CR1, 0x4000582d +.set CYREG_DAC3_TST, 0x4000582e +.set CYDEV_ANAIF_CFG_CMP0_BASE, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP0_SIZE, 0x00000001 +.set CYREG_CMP0_CR, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP1_BASE, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP1_SIZE, 0x00000001 +.set CYREG_CMP1_CR, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP2_BASE, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP2_SIZE, 0x00000001 +.set CYREG_CMP2_CR, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP3_BASE, 0x40005843 +.set CYDEV_ANAIF_CFG_CMP3_SIZE, 0x00000001 +.set CYREG_CMP3_CR, 0x40005843 +.set CYDEV_ANAIF_CFG_LUT0_BASE, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_SIZE, 0x00000002 +.set CYREG_LUT0_CR, 0x40005848 +.set CYREG_LUT0_MX, 0x40005849 +.set CYDEV_ANAIF_CFG_LUT1_BASE, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_SIZE, 0x00000002 +.set CYREG_LUT1_CR, 0x4000584a +.set CYREG_LUT1_MX, 0x4000584b +.set CYDEV_ANAIF_CFG_LUT2_BASE, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_SIZE, 0x00000002 +.set CYREG_LUT2_CR, 0x4000584c +.set CYREG_LUT2_MX, 0x4000584d +.set CYDEV_ANAIF_CFG_LUT3_BASE, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_SIZE, 0x00000002 +.set CYREG_LUT3_CR, 0x4000584e +.set CYREG_LUT3_MX, 0x4000584f +.set CYDEV_ANAIF_CFG_OPAMP0_BASE, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_CR, 0x40005858 +.set CYREG_OPAMP0_RSVD, 0x40005859 +.set CYDEV_ANAIF_CFG_OPAMP1_BASE, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_CR, 0x4000585a +.set CYREG_OPAMP1_RSVD, 0x4000585b +.set CYDEV_ANAIF_CFG_OPAMP2_BASE, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_CR, 0x4000585c +.set CYREG_OPAMP2_RSVD, 0x4000585d +.set CYDEV_ANAIF_CFG_OPAMP3_BASE, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_CR, 0x4000585e +.set CYREG_OPAMP3_RSVD, 0x4000585f +.set CYDEV_ANAIF_CFG_LCDDAC_BASE, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_SIZE, 0x00000002 +.set CYREG_LCDDAC_CR0, 0x40005868 +.set CYREG_LCDDAC_CR1, 0x40005869 +.set CYDEV_ANAIF_CFG_LCDDRV_BASE, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDDRV_SIZE, 0x00000001 +.set CYREG_LCDDRV_CR, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDTMR_BASE, 0x4000586b +.set CYDEV_ANAIF_CFG_LCDTMR_SIZE, 0x00000001 +.set CYREG_LCDTMR_CFG, 0x4000586b +.set CYDEV_ANAIF_CFG_BG_BASE, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_SIZE, 0x00000004 +.set CYREG_BG_CR0, 0x4000586c +.set CYREG_BG_RSVD, 0x4000586d +.set CYREG_BG_DFT0, 0x4000586e +.set CYREG_BG_DFT1, 0x4000586f +.set CYDEV_ANAIF_CFG_CAPSL_BASE, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_SIZE, 0x00000002 +.set CYREG_CAPSL_CFG0, 0x40005870 +.set CYREG_CAPSL_CFG1, 0x40005871 +.set CYDEV_ANAIF_CFG_CAPSR_BASE, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_SIZE, 0x00000002 +.set CYREG_CAPSR_CFG0, 0x40005872 +.set CYREG_CAPSR_CFG1, 0x40005873 +.set CYDEV_ANAIF_CFG_PUMP_BASE, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_SIZE, 0x00000002 +.set CYREG_PUMP_CR0, 0x40005876 +.set CYREG_PUMP_CR1, 0x40005877 +.set CYDEV_ANAIF_CFG_LPF0_BASE, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_SIZE, 0x00000002 +.set CYREG_LPF0_CR0, 0x40005878 +.set CYREG_LPF0_RSVD, 0x40005879 +.set CYDEV_ANAIF_CFG_LPF1_BASE, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_SIZE, 0x00000002 +.set CYREG_LPF1_CR0, 0x4000587a +.set CYREG_LPF1_RSVD, 0x4000587b +.set CYDEV_ANAIF_CFG_MISC_BASE, 0x4000587c +.set CYDEV_ANAIF_CFG_MISC_SIZE, 0x00000001 +.set CYREG_ANAIF_CFG_MISC_CR0, 0x4000587c +.set CYDEV_ANAIF_CFG_DSM0_BASE, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_SIZE, 0x00000020 +.set CYREG_DSM0_CR0, 0x40005880 +.set CYREG_DSM0_CR1, 0x40005881 +.set CYREG_DSM0_CR2, 0x40005882 +.set CYREG_DSM0_CR3, 0x40005883 +.set CYREG_DSM0_CR4, 0x40005884 +.set CYREG_DSM0_CR5, 0x40005885 +.set CYREG_DSM0_CR6, 0x40005886 +.set CYREG_DSM0_CR7, 0x40005887 +.set CYREG_DSM0_CR8, 0x40005888 +.set CYREG_DSM0_CR9, 0x40005889 +.set CYREG_DSM0_CR10, 0x4000588a +.set CYREG_DSM0_CR11, 0x4000588b +.set CYREG_DSM0_CR12, 0x4000588c +.set CYREG_DSM0_CR13, 0x4000588d +.set CYREG_DSM0_CR14, 0x4000588e +.set CYREG_DSM0_CR15, 0x4000588f +.set CYREG_DSM0_CR16, 0x40005890 +.set CYREG_DSM0_CR17, 0x40005891 +.set CYREG_DSM0_REF0, 0x40005892 +.set CYREG_DSM0_REF1, 0x40005893 +.set CYREG_DSM0_REF2, 0x40005894 +.set CYREG_DSM0_REF3, 0x40005895 +.set CYREG_DSM0_DEM0, 0x40005896 +.set CYREG_DSM0_DEM1, 0x40005897 +.set CYREG_DSM0_TST0, 0x40005898 +.set CYREG_DSM0_TST1, 0x40005899 +.set CYREG_DSM0_BUF0, 0x4000589a +.set CYREG_DSM0_BUF1, 0x4000589b +.set CYREG_DSM0_BUF2, 0x4000589c +.set CYREG_DSM0_BUF3, 0x4000589d +.set CYREG_DSM0_MISC, 0x4000589e +.set CYREG_DSM0_RSVD1, 0x4000589f +.set CYDEV_ANAIF_CFG_SAR0_BASE, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_SIZE, 0x00000007 +.set CYREG_SAR0_CSR0, 0x40005900 +.set CYREG_SAR0_CSR1, 0x40005901 +.set CYREG_SAR0_CSR2, 0x40005902 +.set CYREG_SAR0_CSR3, 0x40005903 +.set CYREG_SAR0_CSR4, 0x40005904 +.set CYREG_SAR0_CSR5, 0x40005905 +.set CYREG_SAR0_CSR6, 0x40005906 +.set CYDEV_ANAIF_CFG_SAR1_BASE, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_SIZE, 0x00000007 +.set CYREG_SAR1_CSR0, 0x40005908 +.set CYREG_SAR1_CSR1, 0x40005909 +.set CYREG_SAR1_CSR2, 0x4000590a +.set CYREG_SAR1_CSR3, 0x4000590b +.set CYREG_SAR1_CSR4, 0x4000590c +.set CYREG_SAR1_CSR5, 0x4000590d +.set CYREG_SAR1_CSR6, 0x4000590e +.set CYDEV_ANAIF_RT_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SIZE, 0x00000162 +.set CYDEV_ANAIF_RT_SC0_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SIZE, 0x0000000d +.set CYREG_SC0_SW0, 0x40005a00 +.set CYREG_SC0_SW2, 0x40005a02 +.set CYREG_SC0_SW3, 0x40005a03 +.set CYREG_SC0_SW4, 0x40005a04 +.set CYREG_SC0_SW6, 0x40005a06 +.set CYREG_SC0_SW7, 0x40005a07 +.set CYREG_SC0_SW8, 0x40005a08 +.set CYREG_SC0_SW10, 0x40005a0a +.set CYREG_SC0_CLK, 0x40005a0b +.set CYREG_SC0_BST, 0x40005a0c +.set CYDEV_ANAIF_RT_SC1_BASE, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SIZE, 0x0000000d +.set CYREG_SC1_SW0, 0x40005a10 +.set CYREG_SC1_SW2, 0x40005a12 +.set CYREG_SC1_SW3, 0x40005a13 +.set CYREG_SC1_SW4, 0x40005a14 +.set CYREG_SC1_SW6, 0x40005a16 +.set CYREG_SC1_SW7, 0x40005a17 +.set CYREG_SC1_SW8, 0x40005a18 +.set CYREG_SC1_SW10, 0x40005a1a +.set CYREG_SC1_CLK, 0x40005a1b +.set CYREG_SC1_BST, 0x40005a1c +.set CYDEV_ANAIF_RT_SC2_BASE, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SIZE, 0x0000000d +.set CYREG_SC2_SW0, 0x40005a20 +.set CYREG_SC2_SW2, 0x40005a22 +.set CYREG_SC2_SW3, 0x40005a23 +.set CYREG_SC2_SW4, 0x40005a24 +.set CYREG_SC2_SW6, 0x40005a26 +.set CYREG_SC2_SW7, 0x40005a27 +.set CYREG_SC2_SW8, 0x40005a28 +.set CYREG_SC2_SW10, 0x40005a2a +.set CYREG_SC2_CLK, 0x40005a2b +.set CYREG_SC2_BST, 0x40005a2c +.set CYDEV_ANAIF_RT_SC3_BASE, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SIZE, 0x0000000d +.set CYREG_SC3_SW0, 0x40005a30 +.set CYREG_SC3_SW2, 0x40005a32 +.set CYREG_SC3_SW3, 0x40005a33 +.set CYREG_SC3_SW4, 0x40005a34 +.set CYREG_SC3_SW6, 0x40005a36 +.set CYREG_SC3_SW7, 0x40005a37 +.set CYREG_SC3_SW8, 0x40005a38 +.set CYREG_SC3_SW10, 0x40005a3a +.set CYREG_SC3_CLK, 0x40005a3b +.set CYREG_SC3_BST, 0x40005a3c +.set CYDEV_ANAIF_RT_DAC0_BASE, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SIZE, 0x00000008 +.set CYREG_DAC0_SW0, 0x40005a80 +.set CYREG_DAC0_SW2, 0x40005a82 +.set CYREG_DAC0_SW3, 0x40005a83 +.set CYREG_DAC0_SW4, 0x40005a84 +.set CYREG_DAC0_STROBE, 0x40005a87 +.set CYDEV_ANAIF_RT_DAC1_BASE, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SIZE, 0x00000008 +.set CYREG_DAC1_SW0, 0x40005a88 +.set CYREG_DAC1_SW2, 0x40005a8a +.set CYREG_DAC1_SW3, 0x40005a8b +.set CYREG_DAC1_SW4, 0x40005a8c +.set CYREG_DAC1_STROBE, 0x40005a8f +.set CYDEV_ANAIF_RT_DAC2_BASE, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SIZE, 0x00000008 +.set CYREG_DAC2_SW0, 0x40005a90 +.set CYREG_DAC2_SW2, 0x40005a92 +.set CYREG_DAC2_SW3, 0x40005a93 +.set CYREG_DAC2_SW4, 0x40005a94 +.set CYREG_DAC2_STROBE, 0x40005a97 +.set CYDEV_ANAIF_RT_DAC3_BASE, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SIZE, 0x00000008 +.set CYREG_DAC3_SW0, 0x40005a98 +.set CYREG_DAC3_SW2, 0x40005a9a +.set CYREG_DAC3_SW3, 0x40005a9b +.set CYREG_DAC3_SW4, 0x40005a9c +.set CYREG_DAC3_STROBE, 0x40005a9f +.set CYDEV_ANAIF_RT_CMP0_BASE, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SIZE, 0x00000008 +.set CYREG_CMP0_SW0, 0x40005ac0 +.set CYREG_CMP0_SW2, 0x40005ac2 +.set CYREG_CMP0_SW3, 0x40005ac3 +.set CYREG_CMP0_SW4, 0x40005ac4 +.set CYREG_CMP0_SW6, 0x40005ac6 +.set CYREG_CMP0_CLK, 0x40005ac7 +.set CYDEV_ANAIF_RT_CMP1_BASE, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SIZE, 0x00000008 +.set CYREG_CMP1_SW0, 0x40005ac8 +.set CYREG_CMP1_SW2, 0x40005aca +.set CYREG_CMP1_SW3, 0x40005acb +.set CYREG_CMP1_SW4, 0x40005acc +.set CYREG_CMP1_SW6, 0x40005ace +.set CYREG_CMP1_CLK, 0x40005acf +.set CYDEV_ANAIF_RT_CMP2_BASE, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SIZE, 0x00000008 +.set CYREG_CMP2_SW0, 0x40005ad0 +.set CYREG_CMP2_SW2, 0x40005ad2 +.set CYREG_CMP2_SW3, 0x40005ad3 +.set CYREG_CMP2_SW4, 0x40005ad4 +.set CYREG_CMP2_SW6, 0x40005ad6 +.set CYREG_CMP2_CLK, 0x40005ad7 +.set CYDEV_ANAIF_RT_CMP3_BASE, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SIZE, 0x00000008 +.set CYREG_CMP3_SW0, 0x40005ad8 +.set CYREG_CMP3_SW2, 0x40005ada +.set CYREG_CMP3_SW3, 0x40005adb +.set CYREG_CMP3_SW4, 0x40005adc +.set CYREG_CMP3_SW6, 0x40005ade +.set CYREG_CMP3_CLK, 0x40005adf +.set CYDEV_ANAIF_RT_DSM0_BASE, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SIZE, 0x00000008 +.set CYREG_DSM0_SW0, 0x40005b00 +.set CYREG_DSM0_SW2, 0x40005b02 +.set CYREG_DSM0_SW3, 0x40005b03 +.set CYREG_DSM0_SW4, 0x40005b04 +.set CYREG_DSM0_SW6, 0x40005b06 +.set CYREG_DSM0_CLK, 0x40005b07 +.set CYDEV_ANAIF_RT_SAR0_BASE, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SIZE, 0x00000008 +.set CYREG_SAR0_SW0, 0x40005b20 +.set CYREG_SAR0_SW2, 0x40005b22 +.set CYREG_SAR0_SW3, 0x40005b23 +.set CYREG_SAR0_SW4, 0x40005b24 +.set CYREG_SAR0_SW6, 0x40005b26 +.set CYREG_SAR0_CLK, 0x40005b27 +.set CYDEV_ANAIF_RT_SAR1_BASE, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SIZE, 0x00000008 +.set CYREG_SAR1_SW0, 0x40005b28 +.set CYREG_SAR1_SW2, 0x40005b2a +.set CYREG_SAR1_SW3, 0x40005b2b +.set CYREG_SAR1_SW4, 0x40005b2c +.set CYREG_SAR1_SW6, 0x40005b2e +.set CYREG_SAR1_CLK, 0x40005b2f +.set CYDEV_ANAIF_RT_OPAMP0_BASE, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_MX, 0x40005b40 +.set CYREG_OPAMP0_SW, 0x40005b41 +.set CYDEV_ANAIF_RT_OPAMP1_BASE, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_MX, 0x40005b42 +.set CYREG_OPAMP1_SW, 0x40005b43 +.set CYDEV_ANAIF_RT_OPAMP2_BASE, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_MX, 0x40005b44 +.set CYREG_OPAMP2_SW, 0x40005b45 +.set CYDEV_ANAIF_RT_OPAMP3_BASE, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_MX, 0x40005b46 +.set CYREG_OPAMP3_SW, 0x40005b47 +.set CYDEV_ANAIF_RT_LCDDAC_BASE, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SIZE, 0x00000005 +.set CYREG_LCDDAC_SW0, 0x40005b50 +.set CYREG_LCDDAC_SW1, 0x40005b51 +.set CYREG_LCDDAC_SW2, 0x40005b52 +.set CYREG_LCDDAC_SW3, 0x40005b53 +.set CYREG_LCDDAC_SW4, 0x40005b54 +.set CYDEV_ANAIF_RT_SC_BASE, 0x40005b56 +.set CYDEV_ANAIF_RT_SC_SIZE, 0x00000001 +.set CYREG_SC_MISC, 0x40005b56 +.set CYDEV_ANAIF_RT_BUS_BASE, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SIZE, 0x00000004 +.set CYREG_BUS_SW0, 0x40005b58 +.set CYREG_BUS_SW2, 0x40005b5a +.set CYREG_BUS_SW3, 0x40005b5b +.set CYDEV_ANAIF_RT_DFT_BASE, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_SIZE, 0x00000006 +.set CYREG_DFT_CR0, 0x40005b5c +.set CYREG_DFT_CR1, 0x40005b5d +.set CYREG_DFT_CR2, 0x40005b5e +.set CYREG_DFT_CR3, 0x40005b5f +.set CYREG_DFT_CR4, 0x40005b60 +.set CYREG_DFT_CR5, 0x40005b61 +.set CYDEV_ANAIF_WRK_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_SIZE, 0x00000029 +.set CYDEV_ANAIF_WRK_DAC0_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC0_SIZE, 0x00000001 +.set CYREG_DAC0_D, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC1_BASE, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC1_SIZE, 0x00000001 +.set CYREG_DAC1_D, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC2_BASE, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC2_SIZE, 0x00000001 +.set CYREG_DAC2_D, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC3_BASE, 0x40005b83 +.set CYDEV_ANAIF_WRK_DAC3_SIZE, 0x00000001 +.set CYREG_DAC3_D, 0x40005b83 +.set CYDEV_ANAIF_WRK_DSM0_BASE, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_SIZE, 0x00000002 +.set CYREG_DSM0_OUT0, 0x40005b88 +.set CYREG_DSM0_OUT1, 0x40005b89 +.set CYDEV_ANAIF_WRK_LUT_BASE, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_SIZE, 0x00000005 +.set CYREG_LUT_SR, 0x40005b90 +.set CYREG_LUT_WRK1, 0x40005b91 +.set CYREG_LUT_MSK, 0x40005b92 +.set CYREG_LUT_CLK, 0x40005b93 +.set CYREG_LUT_CPTR, 0x40005b94 +.set CYDEV_ANAIF_WRK_CMP_BASE, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_SIZE, 0x00000002 +.set CYREG_CMP_WRK, 0x40005b96 +.set CYREG_CMP_TST, 0x40005b97 +.set CYDEV_ANAIF_WRK_SC_BASE, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_SIZE, 0x00000005 +.set CYREG_SC_SR, 0x40005b98 +.set CYREG_SC_WRK1, 0x40005b99 +.set CYREG_SC_MSK, 0x40005b9a +.set CYREG_SC_CMPINV, 0x40005b9b +.set CYREG_SC_CPTR, 0x40005b9c +.set CYDEV_ANAIF_WRK_SAR0_BASE, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_SIZE, 0x00000002 +.set CYREG_SAR0_WRK0, 0x40005ba0 +.set CYREG_SAR0_WRK1, 0x40005ba1 +.set CYDEV_ANAIF_WRK_SAR1_BASE, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_SIZE, 0x00000002 +.set CYREG_SAR1_WRK0, 0x40005ba2 +.set CYREG_SAR1_WRK1, 0x40005ba3 +.set CYDEV_ANAIF_WRK_SARS_BASE, 0x40005ba8 +.set CYDEV_ANAIF_WRK_SARS_SIZE, 0x00000001 +.set CYREG_ANAIF_WRK_SARS_SOF, 0x40005ba8 +.set CYDEV_USB_BASE, 0x40006000 +.set CYDEV_USB_SIZE, 0x00000300 +.set CYREG_USB_EP0_DR0, 0x40006000 +.set CYREG_USB_EP0_DR1, 0x40006001 +.set CYREG_USB_EP0_DR2, 0x40006002 +.set CYREG_USB_EP0_DR3, 0x40006003 +.set CYREG_USB_EP0_DR4, 0x40006004 +.set CYREG_USB_EP0_DR5, 0x40006005 +.set CYREG_USB_EP0_DR6, 0x40006006 +.set CYREG_USB_EP0_DR7, 0x40006007 +.set CYREG_USB_CR0, 0x40006008 +.set CYREG_USB_CR1, 0x40006009 +.set CYREG_USB_SIE_EP_INT_EN, 0x4000600a +.set CYREG_USB_SIE_EP_INT_SR, 0x4000600b +.set CYDEV_USB_SIE_EP1_BASE, 0x4000600c +.set CYDEV_USB_SIE_EP1_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP1_CNT0, 0x4000600c +.set CYREG_USB_SIE_EP1_CNT1, 0x4000600d +.set CYREG_USB_SIE_EP1_CR0, 0x4000600e +.set CYREG_USB_USBIO_CR0, 0x40006010 +.set CYREG_USB_USBIO_CR1, 0x40006012 +.set CYREG_USB_DYN_RECONFIG, 0x40006014 +.set CYREG_USB_SOF0, 0x40006018 +.set CYREG_USB_SOF1, 0x40006019 +.set CYDEV_USB_SIE_EP2_BASE, 0x4000601c +.set CYDEV_USB_SIE_EP2_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP2_CNT0, 0x4000601c +.set CYREG_USB_SIE_EP2_CNT1, 0x4000601d +.set CYREG_USB_SIE_EP2_CR0, 0x4000601e +.set CYREG_USB_EP0_CR, 0x40006028 +.set CYREG_USB_EP0_CNT, 0x40006029 +.set CYDEV_USB_SIE_EP3_BASE, 0x4000602c +.set CYDEV_USB_SIE_EP3_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP3_CNT0, 0x4000602c +.set CYREG_USB_SIE_EP3_CNT1, 0x4000602d +.set CYREG_USB_SIE_EP3_CR0, 0x4000602e +.set CYDEV_USB_SIE_EP4_BASE, 0x4000603c +.set CYDEV_USB_SIE_EP4_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP4_CNT0, 0x4000603c +.set CYREG_USB_SIE_EP4_CNT1, 0x4000603d +.set CYREG_USB_SIE_EP4_CR0, 0x4000603e +.set CYDEV_USB_SIE_EP5_BASE, 0x4000604c +.set CYDEV_USB_SIE_EP5_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP5_CNT0, 0x4000604c +.set CYREG_USB_SIE_EP5_CNT1, 0x4000604d +.set CYREG_USB_SIE_EP5_CR0, 0x4000604e +.set CYDEV_USB_SIE_EP6_BASE, 0x4000605c +.set CYDEV_USB_SIE_EP6_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP6_CNT0, 0x4000605c +.set CYREG_USB_SIE_EP6_CNT1, 0x4000605d +.set CYREG_USB_SIE_EP6_CR0, 0x4000605e +.set CYDEV_USB_SIE_EP7_BASE, 0x4000606c +.set CYDEV_USB_SIE_EP7_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP7_CNT0, 0x4000606c +.set CYREG_USB_SIE_EP7_CNT1, 0x4000606d +.set CYREG_USB_SIE_EP7_CR0, 0x4000606e +.set CYDEV_USB_SIE_EP8_BASE, 0x4000607c +.set CYDEV_USB_SIE_EP8_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP8_CNT0, 0x4000607c +.set CYREG_USB_SIE_EP8_CNT1, 0x4000607d +.set CYREG_USB_SIE_EP8_CR0, 0x4000607e +.set CYDEV_USB_ARB_EP1_BASE, 0x40006080 +.set CYDEV_USB_ARB_EP1_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP1_CFG, 0x40006080 +.set CYREG_USB_ARB_EP1_INT_EN, 0x40006081 +.set CYREG_USB_ARB_EP1_SR, 0x40006082 +.set CYDEV_USB_ARB_RW1_BASE, 0x40006084 +.set CYDEV_USB_ARB_RW1_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW1_WA, 0x40006084 +.set CYREG_USB_ARB_RW1_WA_MSB, 0x40006085 +.set CYREG_USB_ARB_RW1_RA, 0x40006086 +.set CYREG_USB_ARB_RW1_RA_MSB, 0x40006087 +.set CYREG_USB_ARB_RW1_DR, 0x40006088 +.set CYREG_USB_BUF_SIZE, 0x4000608c +.set CYREG_USB_EP_ACTIVE, 0x4000608e +.set CYREG_USB_EP_TYPE, 0x4000608f +.set CYDEV_USB_ARB_EP2_BASE, 0x40006090 +.set CYDEV_USB_ARB_EP2_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP2_CFG, 0x40006090 +.set CYREG_USB_ARB_EP2_INT_EN, 0x40006091 +.set CYREG_USB_ARB_EP2_SR, 0x40006092 +.set CYDEV_USB_ARB_RW2_BASE, 0x40006094 +.set CYDEV_USB_ARB_RW2_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW2_WA, 0x40006094 +.set CYREG_USB_ARB_RW2_WA_MSB, 0x40006095 +.set CYREG_USB_ARB_RW2_RA, 0x40006096 +.set CYREG_USB_ARB_RW2_RA_MSB, 0x40006097 +.set CYREG_USB_ARB_RW2_DR, 0x40006098 +.set CYREG_USB_ARB_CFG, 0x4000609c +.set CYREG_USB_USB_CLK_EN, 0x4000609d +.set CYREG_USB_ARB_INT_EN, 0x4000609e +.set CYREG_USB_ARB_INT_SR, 0x4000609f +.set CYDEV_USB_ARB_EP3_BASE, 0x400060a0 +.set CYDEV_USB_ARB_EP3_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP3_CFG, 0x400060a0 +.set CYREG_USB_ARB_EP3_INT_EN, 0x400060a1 +.set CYREG_USB_ARB_EP3_SR, 0x400060a2 +.set CYDEV_USB_ARB_RW3_BASE, 0x400060a4 +.set CYDEV_USB_ARB_RW3_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW3_WA, 0x400060a4 +.set CYREG_USB_ARB_RW3_WA_MSB, 0x400060a5 +.set CYREG_USB_ARB_RW3_RA, 0x400060a6 +.set CYREG_USB_ARB_RW3_RA_MSB, 0x400060a7 +.set CYREG_USB_ARB_RW3_DR, 0x400060a8 +.set CYREG_USB_CWA, 0x400060ac +.set CYREG_USB_CWA_MSB, 0x400060ad +.set CYDEV_USB_ARB_EP4_BASE, 0x400060b0 +.set CYDEV_USB_ARB_EP4_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP4_CFG, 0x400060b0 +.set CYREG_USB_ARB_EP4_INT_EN, 0x400060b1 +.set CYREG_USB_ARB_EP4_SR, 0x400060b2 +.set CYDEV_USB_ARB_RW4_BASE, 0x400060b4 +.set CYDEV_USB_ARB_RW4_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW4_WA, 0x400060b4 +.set CYREG_USB_ARB_RW4_WA_MSB, 0x400060b5 +.set CYREG_USB_ARB_RW4_RA, 0x400060b6 +.set CYREG_USB_ARB_RW4_RA_MSB, 0x400060b7 +.set CYREG_USB_ARB_RW4_DR, 0x400060b8 +.set CYREG_USB_DMA_THRES, 0x400060bc +.set CYREG_USB_DMA_THRES_MSB, 0x400060bd +.set CYDEV_USB_ARB_EP5_BASE, 0x400060c0 +.set CYDEV_USB_ARB_EP5_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP5_CFG, 0x400060c0 +.set CYREG_USB_ARB_EP5_INT_EN, 0x400060c1 +.set CYREG_USB_ARB_EP5_SR, 0x400060c2 +.set CYDEV_USB_ARB_RW5_BASE, 0x400060c4 +.set CYDEV_USB_ARB_RW5_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW5_WA, 0x400060c4 +.set CYREG_USB_ARB_RW5_WA_MSB, 0x400060c5 +.set CYREG_USB_ARB_RW5_RA, 0x400060c6 +.set CYREG_USB_ARB_RW5_RA_MSB, 0x400060c7 +.set CYREG_USB_ARB_RW5_DR, 0x400060c8 +.set CYREG_USB_BUS_RST_CNT, 0x400060cc +.set CYDEV_USB_ARB_EP6_BASE, 0x400060d0 +.set CYDEV_USB_ARB_EP6_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP6_CFG, 0x400060d0 +.set CYREG_USB_ARB_EP6_INT_EN, 0x400060d1 +.set CYREG_USB_ARB_EP6_SR, 0x400060d2 +.set CYDEV_USB_ARB_RW6_BASE, 0x400060d4 +.set CYDEV_USB_ARB_RW6_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW6_WA, 0x400060d4 +.set CYREG_USB_ARB_RW6_WA_MSB, 0x400060d5 +.set CYREG_USB_ARB_RW6_RA, 0x400060d6 +.set CYREG_USB_ARB_RW6_RA_MSB, 0x400060d7 +.set CYREG_USB_ARB_RW6_DR, 0x400060d8 +.set CYDEV_USB_ARB_EP7_BASE, 0x400060e0 +.set CYDEV_USB_ARB_EP7_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP7_CFG, 0x400060e0 +.set CYREG_USB_ARB_EP7_INT_EN, 0x400060e1 +.set CYREG_USB_ARB_EP7_SR, 0x400060e2 +.set CYDEV_USB_ARB_RW7_BASE, 0x400060e4 +.set CYDEV_USB_ARB_RW7_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW7_WA, 0x400060e4 +.set CYREG_USB_ARB_RW7_WA_MSB, 0x400060e5 +.set CYREG_USB_ARB_RW7_RA, 0x400060e6 +.set CYREG_USB_ARB_RW7_RA_MSB, 0x400060e7 +.set CYREG_USB_ARB_RW7_DR, 0x400060e8 +.set CYDEV_USB_ARB_EP8_BASE, 0x400060f0 +.set CYDEV_USB_ARB_EP8_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP8_CFG, 0x400060f0 +.set CYREG_USB_ARB_EP8_INT_EN, 0x400060f1 +.set CYREG_USB_ARB_EP8_SR, 0x400060f2 +.set CYDEV_USB_ARB_RW8_BASE, 0x400060f4 +.set CYDEV_USB_ARB_RW8_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW8_WA, 0x400060f4 +.set CYREG_USB_ARB_RW8_WA_MSB, 0x400060f5 +.set CYREG_USB_ARB_RW8_RA, 0x400060f6 +.set CYREG_USB_ARB_RW8_RA_MSB, 0x400060f7 +.set CYREG_USB_ARB_RW8_DR, 0x400060f8 +.set CYDEV_USB_MEM_BASE, 0x40006100 +.set CYDEV_USB_MEM_SIZE, 0x00000200 +.set CYREG_USB_MEM_DATA_MBASE, 0x40006100 +.set CYREG_USB_MEM_DATA_MSIZE, 0x00000200 +.set CYDEV_UWRK_BASE, 0x40006400 +.set CYDEV_UWRK_SIZE, 0x00000b60 +.set CYDEV_UWRK_UWRK8_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_SIZE, 0x000003b0 +.set CYDEV_UWRK_UWRK8_B0_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_SIZE, 0x000000b0 +.set CYREG_B0_UDB00_A0, 0x40006400 +.set CYREG_B0_UDB01_A0, 0x40006401 +.set CYREG_B0_UDB02_A0, 0x40006402 +.set CYREG_B0_UDB03_A0, 0x40006403 +.set CYREG_B0_UDB04_A0, 0x40006404 +.set CYREG_B0_UDB05_A0, 0x40006405 +.set CYREG_B0_UDB06_A0, 0x40006406 +.set CYREG_B0_UDB07_A0, 0x40006407 +.set CYREG_B0_UDB08_A0, 0x40006408 +.set CYREG_B0_UDB09_A0, 0x40006409 +.set CYREG_B0_UDB10_A0, 0x4000640a +.set CYREG_B0_UDB11_A0, 0x4000640b +.set CYREG_B0_UDB12_A0, 0x4000640c +.set CYREG_B0_UDB13_A0, 0x4000640d +.set CYREG_B0_UDB14_A0, 0x4000640e +.set CYREG_B0_UDB15_A0, 0x4000640f +.set CYREG_B0_UDB00_A1, 0x40006410 +.set CYREG_B0_UDB01_A1, 0x40006411 +.set CYREG_B0_UDB02_A1, 0x40006412 +.set CYREG_B0_UDB03_A1, 0x40006413 +.set CYREG_B0_UDB04_A1, 0x40006414 +.set CYREG_B0_UDB05_A1, 0x40006415 +.set CYREG_B0_UDB06_A1, 0x40006416 +.set CYREG_B0_UDB07_A1, 0x40006417 +.set CYREG_B0_UDB08_A1, 0x40006418 +.set CYREG_B0_UDB09_A1, 0x40006419 +.set CYREG_B0_UDB10_A1, 0x4000641a +.set CYREG_B0_UDB11_A1, 0x4000641b +.set CYREG_B0_UDB12_A1, 0x4000641c +.set CYREG_B0_UDB13_A1, 0x4000641d +.set CYREG_B0_UDB14_A1, 0x4000641e +.set CYREG_B0_UDB15_A1, 0x4000641f +.set CYREG_B0_UDB00_D0, 0x40006420 +.set CYREG_B0_UDB01_D0, 0x40006421 +.set CYREG_B0_UDB02_D0, 0x40006422 +.set CYREG_B0_UDB03_D0, 0x40006423 +.set CYREG_B0_UDB04_D0, 0x40006424 +.set CYREG_B0_UDB05_D0, 0x40006425 +.set CYREG_B0_UDB06_D0, 0x40006426 +.set CYREG_B0_UDB07_D0, 0x40006427 +.set CYREG_B0_UDB08_D0, 0x40006428 +.set CYREG_B0_UDB09_D0, 0x40006429 +.set CYREG_B0_UDB10_D0, 0x4000642a +.set CYREG_B0_UDB11_D0, 0x4000642b +.set CYREG_B0_UDB12_D0, 0x4000642c +.set CYREG_B0_UDB13_D0, 0x4000642d +.set CYREG_B0_UDB14_D0, 0x4000642e +.set CYREG_B0_UDB15_D0, 0x4000642f +.set CYREG_B0_UDB00_D1, 0x40006430 +.set CYREG_B0_UDB01_D1, 0x40006431 +.set CYREG_B0_UDB02_D1, 0x40006432 +.set CYREG_B0_UDB03_D1, 0x40006433 +.set CYREG_B0_UDB04_D1, 0x40006434 +.set CYREG_B0_UDB05_D1, 0x40006435 +.set CYREG_B0_UDB06_D1, 0x40006436 +.set CYREG_B0_UDB07_D1, 0x40006437 +.set CYREG_B0_UDB08_D1, 0x40006438 +.set CYREG_B0_UDB09_D1, 0x40006439 +.set CYREG_B0_UDB10_D1, 0x4000643a +.set CYREG_B0_UDB11_D1, 0x4000643b +.set CYREG_B0_UDB12_D1, 0x4000643c +.set CYREG_B0_UDB13_D1, 0x4000643d +.set CYREG_B0_UDB14_D1, 0x4000643e +.set CYREG_B0_UDB15_D1, 0x4000643f +.set CYREG_B0_UDB00_F0, 0x40006440 +.set CYREG_B0_UDB01_F0, 0x40006441 +.set CYREG_B0_UDB02_F0, 0x40006442 +.set CYREG_B0_UDB03_F0, 0x40006443 +.set CYREG_B0_UDB04_F0, 0x40006444 +.set CYREG_B0_UDB05_F0, 0x40006445 +.set CYREG_B0_UDB06_F0, 0x40006446 +.set CYREG_B0_UDB07_F0, 0x40006447 +.set CYREG_B0_UDB08_F0, 0x40006448 +.set CYREG_B0_UDB09_F0, 0x40006449 +.set CYREG_B0_UDB10_F0, 0x4000644a +.set CYREG_B0_UDB11_F0, 0x4000644b +.set CYREG_B0_UDB12_F0, 0x4000644c +.set CYREG_B0_UDB13_F0, 0x4000644d +.set CYREG_B0_UDB14_F0, 0x4000644e +.set CYREG_B0_UDB15_F0, 0x4000644f +.set CYREG_B0_UDB00_F1, 0x40006450 +.set CYREG_B0_UDB01_F1, 0x40006451 +.set CYREG_B0_UDB02_F1, 0x40006452 +.set CYREG_B0_UDB03_F1, 0x40006453 +.set CYREG_B0_UDB04_F1, 0x40006454 +.set CYREG_B0_UDB05_F1, 0x40006455 +.set CYREG_B0_UDB06_F1, 0x40006456 +.set CYREG_B0_UDB07_F1, 0x40006457 +.set CYREG_B0_UDB08_F1, 0x40006458 +.set CYREG_B0_UDB09_F1, 0x40006459 +.set CYREG_B0_UDB10_F1, 0x4000645a +.set CYREG_B0_UDB11_F1, 0x4000645b +.set CYREG_B0_UDB12_F1, 0x4000645c +.set CYREG_B0_UDB13_F1, 0x4000645d +.set CYREG_B0_UDB14_F1, 0x4000645e +.set CYREG_B0_UDB15_F1, 0x4000645f +.set CYREG_B0_UDB00_ST, 0x40006460 +.set CYREG_B0_UDB01_ST, 0x40006461 +.set CYREG_B0_UDB02_ST, 0x40006462 +.set CYREG_B0_UDB03_ST, 0x40006463 +.set CYREG_B0_UDB04_ST, 0x40006464 +.set CYREG_B0_UDB05_ST, 0x40006465 +.set CYREG_B0_UDB06_ST, 0x40006466 +.set CYREG_B0_UDB07_ST, 0x40006467 +.set CYREG_B0_UDB08_ST, 0x40006468 +.set CYREG_B0_UDB09_ST, 0x40006469 +.set CYREG_B0_UDB10_ST, 0x4000646a +.set CYREG_B0_UDB11_ST, 0x4000646b +.set CYREG_B0_UDB12_ST, 0x4000646c +.set CYREG_B0_UDB13_ST, 0x4000646d +.set CYREG_B0_UDB14_ST, 0x4000646e +.set CYREG_B0_UDB15_ST, 0x4000646f +.set CYREG_B0_UDB00_CTL, 0x40006470 +.set CYREG_B0_UDB01_CTL, 0x40006471 +.set CYREG_B0_UDB02_CTL, 0x40006472 +.set CYREG_B0_UDB03_CTL, 0x40006473 +.set CYREG_B0_UDB04_CTL, 0x40006474 +.set CYREG_B0_UDB05_CTL, 0x40006475 +.set CYREG_B0_UDB06_CTL, 0x40006476 +.set CYREG_B0_UDB07_CTL, 0x40006477 +.set CYREG_B0_UDB08_CTL, 0x40006478 +.set CYREG_B0_UDB09_CTL, 0x40006479 +.set CYREG_B0_UDB10_CTL, 0x4000647a +.set CYREG_B0_UDB11_CTL, 0x4000647b +.set CYREG_B0_UDB12_CTL, 0x4000647c +.set CYREG_B0_UDB13_CTL, 0x4000647d +.set CYREG_B0_UDB14_CTL, 0x4000647e +.set CYREG_B0_UDB15_CTL, 0x4000647f +.set CYREG_B0_UDB00_MSK, 0x40006480 +.set CYREG_B0_UDB01_MSK, 0x40006481 +.set CYREG_B0_UDB02_MSK, 0x40006482 +.set CYREG_B0_UDB03_MSK, 0x40006483 +.set CYREG_B0_UDB04_MSK, 0x40006484 +.set CYREG_B0_UDB05_MSK, 0x40006485 +.set CYREG_B0_UDB06_MSK, 0x40006486 +.set CYREG_B0_UDB07_MSK, 0x40006487 +.set CYREG_B0_UDB08_MSK, 0x40006488 +.set CYREG_B0_UDB09_MSK, 0x40006489 +.set CYREG_B0_UDB10_MSK, 0x4000648a +.set CYREG_B0_UDB11_MSK, 0x4000648b +.set CYREG_B0_UDB12_MSK, 0x4000648c +.set CYREG_B0_UDB13_MSK, 0x4000648d +.set CYREG_B0_UDB14_MSK, 0x4000648e +.set CYREG_B0_UDB15_MSK, 0x4000648f +.set CYREG_B0_UDB00_ACTL, 0x40006490 +.set CYREG_B0_UDB01_ACTL, 0x40006491 +.set CYREG_B0_UDB02_ACTL, 0x40006492 +.set CYREG_B0_UDB03_ACTL, 0x40006493 +.set CYREG_B0_UDB04_ACTL, 0x40006494 +.set CYREG_B0_UDB05_ACTL, 0x40006495 +.set CYREG_B0_UDB06_ACTL, 0x40006496 +.set CYREG_B0_UDB07_ACTL, 0x40006497 +.set CYREG_B0_UDB08_ACTL, 0x40006498 +.set CYREG_B0_UDB09_ACTL, 0x40006499 +.set CYREG_B0_UDB10_ACTL, 0x4000649a +.set CYREG_B0_UDB11_ACTL, 0x4000649b +.set CYREG_B0_UDB12_ACTL, 0x4000649c +.set CYREG_B0_UDB13_ACTL, 0x4000649d +.set CYREG_B0_UDB14_ACTL, 0x4000649e +.set CYREG_B0_UDB15_ACTL, 0x4000649f +.set CYREG_B0_UDB00_MC, 0x400064a0 +.set CYREG_B0_UDB01_MC, 0x400064a1 +.set CYREG_B0_UDB02_MC, 0x400064a2 +.set CYREG_B0_UDB03_MC, 0x400064a3 +.set CYREG_B0_UDB04_MC, 0x400064a4 +.set CYREG_B0_UDB05_MC, 0x400064a5 +.set CYREG_B0_UDB06_MC, 0x400064a6 +.set CYREG_B0_UDB07_MC, 0x400064a7 +.set CYREG_B0_UDB08_MC, 0x400064a8 +.set CYREG_B0_UDB09_MC, 0x400064a9 +.set CYREG_B0_UDB10_MC, 0x400064aa +.set CYREG_B0_UDB11_MC, 0x400064ab +.set CYREG_B0_UDB12_MC, 0x400064ac +.set CYREG_B0_UDB13_MC, 0x400064ad +.set CYREG_B0_UDB14_MC, 0x400064ae +.set CYREG_B0_UDB15_MC, 0x400064af +.set CYDEV_UWRK_UWRK8_B1_BASE, 0x40006500 +.set CYDEV_UWRK_UWRK8_B1_SIZE, 0x000000b0 +.set CYREG_B1_UDB04_A0, 0x40006504 +.set CYREG_B1_UDB05_A0, 0x40006505 +.set CYREG_B1_UDB06_A0, 0x40006506 +.set CYREG_B1_UDB07_A0, 0x40006507 +.set CYREG_B1_UDB08_A0, 0x40006508 +.set CYREG_B1_UDB09_A0, 0x40006509 +.set CYREG_B1_UDB10_A0, 0x4000650a +.set CYREG_B1_UDB11_A0, 0x4000650b +.set CYREG_B1_UDB04_A1, 0x40006514 +.set CYREG_B1_UDB05_A1, 0x40006515 +.set CYREG_B1_UDB06_A1, 0x40006516 +.set CYREG_B1_UDB07_A1, 0x40006517 +.set CYREG_B1_UDB08_A1, 0x40006518 +.set CYREG_B1_UDB09_A1, 0x40006519 +.set CYREG_B1_UDB10_A1, 0x4000651a +.set CYREG_B1_UDB11_A1, 0x4000651b +.set CYREG_B1_UDB04_D0, 0x40006524 +.set CYREG_B1_UDB05_D0, 0x40006525 +.set CYREG_B1_UDB06_D0, 0x40006526 +.set CYREG_B1_UDB07_D0, 0x40006527 +.set CYREG_B1_UDB08_D0, 0x40006528 +.set CYREG_B1_UDB09_D0, 0x40006529 +.set CYREG_B1_UDB10_D0, 0x4000652a +.set CYREG_B1_UDB11_D0, 0x4000652b +.set CYREG_B1_UDB04_D1, 0x40006534 +.set CYREG_B1_UDB05_D1, 0x40006535 +.set CYREG_B1_UDB06_D1, 0x40006536 +.set CYREG_B1_UDB07_D1, 0x40006537 +.set CYREG_B1_UDB08_D1, 0x40006538 +.set CYREG_B1_UDB09_D1, 0x40006539 +.set CYREG_B1_UDB10_D1, 0x4000653a +.set CYREG_B1_UDB11_D1, 0x4000653b +.set CYREG_B1_UDB04_F0, 0x40006544 +.set CYREG_B1_UDB05_F0, 0x40006545 +.set CYREG_B1_UDB06_F0, 0x40006546 +.set CYREG_B1_UDB07_F0, 0x40006547 +.set CYREG_B1_UDB08_F0, 0x40006548 +.set CYREG_B1_UDB09_F0, 0x40006549 +.set CYREG_B1_UDB10_F0, 0x4000654a +.set CYREG_B1_UDB11_F0, 0x4000654b +.set CYREG_B1_UDB04_F1, 0x40006554 +.set CYREG_B1_UDB05_F1, 0x40006555 +.set CYREG_B1_UDB06_F1, 0x40006556 +.set CYREG_B1_UDB07_F1, 0x40006557 +.set CYREG_B1_UDB08_F1, 0x40006558 +.set CYREG_B1_UDB09_F1, 0x40006559 +.set CYREG_B1_UDB10_F1, 0x4000655a +.set CYREG_B1_UDB11_F1, 0x4000655b +.set CYREG_B1_UDB04_ST, 0x40006564 +.set CYREG_B1_UDB05_ST, 0x40006565 +.set CYREG_B1_UDB06_ST, 0x40006566 +.set CYREG_B1_UDB07_ST, 0x40006567 +.set CYREG_B1_UDB08_ST, 0x40006568 +.set CYREG_B1_UDB09_ST, 0x40006569 +.set CYREG_B1_UDB10_ST, 0x4000656a +.set CYREG_B1_UDB11_ST, 0x4000656b +.set CYREG_B1_UDB04_CTL, 0x40006574 +.set CYREG_B1_UDB05_CTL, 0x40006575 +.set CYREG_B1_UDB06_CTL, 0x40006576 +.set CYREG_B1_UDB07_CTL, 0x40006577 +.set CYREG_B1_UDB08_CTL, 0x40006578 +.set CYREG_B1_UDB09_CTL, 0x40006579 +.set CYREG_B1_UDB10_CTL, 0x4000657a +.set CYREG_B1_UDB11_CTL, 0x4000657b +.set CYREG_B1_UDB04_MSK, 0x40006584 +.set CYREG_B1_UDB05_MSK, 0x40006585 +.set CYREG_B1_UDB06_MSK, 0x40006586 +.set CYREG_B1_UDB07_MSK, 0x40006587 +.set CYREG_B1_UDB08_MSK, 0x40006588 +.set CYREG_B1_UDB09_MSK, 0x40006589 +.set CYREG_B1_UDB10_MSK, 0x4000658a +.set CYREG_B1_UDB11_MSK, 0x4000658b +.set CYREG_B1_UDB04_ACTL, 0x40006594 +.set CYREG_B1_UDB05_ACTL, 0x40006595 +.set CYREG_B1_UDB06_ACTL, 0x40006596 +.set CYREG_B1_UDB07_ACTL, 0x40006597 +.set CYREG_B1_UDB08_ACTL, 0x40006598 +.set CYREG_B1_UDB09_ACTL, 0x40006599 +.set CYREG_B1_UDB10_ACTL, 0x4000659a +.set CYREG_B1_UDB11_ACTL, 0x4000659b +.set CYREG_B1_UDB04_MC, 0x400065a4 +.set CYREG_B1_UDB05_MC, 0x400065a5 +.set CYREG_B1_UDB06_MC, 0x400065a6 +.set CYREG_B1_UDB07_MC, 0x400065a7 +.set CYREG_B1_UDB08_MC, 0x400065a8 +.set CYREG_B1_UDB09_MC, 0x400065a9 +.set CYREG_B1_UDB10_MC, 0x400065aa +.set CYREG_B1_UDB11_MC, 0x400065ab +.set CYDEV_UWRK_UWRK16_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_SIZE, 0x00000160 +.set CYREG_B0_UDB00_A0_A1, 0x40006800 +.set CYREG_B0_UDB01_A0_A1, 0x40006802 +.set CYREG_B0_UDB02_A0_A1, 0x40006804 +.set CYREG_B0_UDB03_A0_A1, 0x40006806 +.set CYREG_B0_UDB04_A0_A1, 0x40006808 +.set CYREG_B0_UDB05_A0_A1, 0x4000680a +.set CYREG_B0_UDB06_A0_A1, 0x4000680c +.set CYREG_B0_UDB07_A0_A1, 0x4000680e +.set CYREG_B0_UDB08_A0_A1, 0x40006810 +.set CYREG_B0_UDB09_A0_A1, 0x40006812 +.set CYREG_B0_UDB10_A0_A1, 0x40006814 +.set CYREG_B0_UDB11_A0_A1, 0x40006816 +.set CYREG_B0_UDB12_A0_A1, 0x40006818 +.set CYREG_B0_UDB13_A0_A1, 0x4000681a +.set CYREG_B0_UDB14_A0_A1, 0x4000681c +.set CYREG_B0_UDB15_A0_A1, 0x4000681e +.set CYREG_B0_UDB00_D0_D1, 0x40006840 +.set CYREG_B0_UDB01_D0_D1, 0x40006842 +.set CYREG_B0_UDB02_D0_D1, 0x40006844 +.set CYREG_B0_UDB03_D0_D1, 0x40006846 +.set CYREG_B0_UDB04_D0_D1, 0x40006848 +.set CYREG_B0_UDB05_D0_D1, 0x4000684a +.set CYREG_B0_UDB06_D0_D1, 0x4000684c +.set CYREG_B0_UDB07_D0_D1, 0x4000684e +.set CYREG_B0_UDB08_D0_D1, 0x40006850 +.set CYREG_B0_UDB09_D0_D1, 0x40006852 +.set CYREG_B0_UDB10_D0_D1, 0x40006854 +.set CYREG_B0_UDB11_D0_D1, 0x40006856 +.set CYREG_B0_UDB12_D0_D1, 0x40006858 +.set CYREG_B0_UDB13_D0_D1, 0x4000685a +.set CYREG_B0_UDB14_D0_D1, 0x4000685c +.set CYREG_B0_UDB15_D0_D1, 0x4000685e +.set CYREG_B0_UDB00_F0_F1, 0x40006880 +.set CYREG_B0_UDB01_F0_F1, 0x40006882 +.set CYREG_B0_UDB02_F0_F1, 0x40006884 +.set CYREG_B0_UDB03_F0_F1, 0x40006886 +.set CYREG_B0_UDB04_F0_F1, 0x40006888 +.set CYREG_B0_UDB05_F0_F1, 0x4000688a +.set CYREG_B0_UDB06_F0_F1, 0x4000688c +.set CYREG_B0_UDB07_F0_F1, 0x4000688e +.set CYREG_B0_UDB08_F0_F1, 0x40006890 +.set CYREG_B0_UDB09_F0_F1, 0x40006892 +.set CYREG_B0_UDB10_F0_F1, 0x40006894 +.set CYREG_B0_UDB11_F0_F1, 0x40006896 +.set CYREG_B0_UDB12_F0_F1, 0x40006898 +.set CYREG_B0_UDB13_F0_F1, 0x4000689a +.set CYREG_B0_UDB14_F0_F1, 0x4000689c +.set CYREG_B0_UDB15_F0_F1, 0x4000689e +.set CYREG_B0_UDB00_ST_CTL, 0x400068c0 +.set CYREG_B0_UDB01_ST_CTL, 0x400068c2 +.set CYREG_B0_UDB02_ST_CTL, 0x400068c4 +.set CYREG_B0_UDB03_ST_CTL, 0x400068c6 +.set CYREG_B0_UDB04_ST_CTL, 0x400068c8 +.set CYREG_B0_UDB05_ST_CTL, 0x400068ca +.set CYREG_B0_UDB06_ST_CTL, 0x400068cc +.set CYREG_B0_UDB07_ST_CTL, 0x400068ce +.set CYREG_B0_UDB08_ST_CTL, 0x400068d0 +.set CYREG_B0_UDB09_ST_CTL, 0x400068d2 +.set CYREG_B0_UDB10_ST_CTL, 0x400068d4 +.set CYREG_B0_UDB11_ST_CTL, 0x400068d6 +.set CYREG_B0_UDB12_ST_CTL, 0x400068d8 +.set CYREG_B0_UDB13_ST_CTL, 0x400068da +.set CYREG_B0_UDB14_ST_CTL, 0x400068dc +.set CYREG_B0_UDB15_ST_CTL, 0x400068de +.set CYREG_B0_UDB00_MSK_ACTL, 0x40006900 +.set CYREG_B0_UDB01_MSK_ACTL, 0x40006902 +.set CYREG_B0_UDB02_MSK_ACTL, 0x40006904 +.set CYREG_B0_UDB03_MSK_ACTL, 0x40006906 +.set CYREG_B0_UDB04_MSK_ACTL, 0x40006908 +.set CYREG_B0_UDB05_MSK_ACTL, 0x4000690a +.set CYREG_B0_UDB06_MSK_ACTL, 0x4000690c +.set CYREG_B0_UDB07_MSK_ACTL, 0x4000690e +.set CYREG_B0_UDB08_MSK_ACTL, 0x40006910 +.set CYREG_B0_UDB09_MSK_ACTL, 0x40006912 +.set CYREG_B0_UDB10_MSK_ACTL, 0x40006914 +.set CYREG_B0_UDB11_MSK_ACTL, 0x40006916 +.set CYREG_B0_UDB12_MSK_ACTL, 0x40006918 +.set CYREG_B0_UDB13_MSK_ACTL, 0x4000691a +.set CYREG_B0_UDB14_MSK_ACTL, 0x4000691c +.set CYREG_B0_UDB15_MSK_ACTL, 0x4000691e +.set CYREG_B0_UDB00_MC_00, 0x40006940 +.set CYREG_B0_UDB01_MC_00, 0x40006942 +.set CYREG_B0_UDB02_MC_00, 0x40006944 +.set CYREG_B0_UDB03_MC_00, 0x40006946 +.set CYREG_B0_UDB04_MC_00, 0x40006948 +.set CYREG_B0_UDB05_MC_00, 0x4000694a +.set CYREG_B0_UDB06_MC_00, 0x4000694c +.set CYREG_B0_UDB07_MC_00, 0x4000694e +.set CYREG_B0_UDB08_MC_00, 0x40006950 +.set CYREG_B0_UDB09_MC_00, 0x40006952 +.set CYREG_B0_UDB10_MC_00, 0x40006954 +.set CYREG_B0_UDB11_MC_00, 0x40006956 +.set CYREG_B0_UDB12_MC_00, 0x40006958 +.set CYREG_B0_UDB13_MC_00, 0x4000695a +.set CYREG_B0_UDB14_MC_00, 0x4000695c +.set CYREG_B0_UDB15_MC_00, 0x4000695e +.set CYDEV_UWRK_UWRK16_CAT_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_CAT_B1_SIZE, 0x00000160 +.set CYREG_B1_UDB04_A0_A1, 0x40006a08 +.set CYREG_B1_UDB05_A0_A1, 0x40006a0a +.set CYREG_B1_UDB06_A0_A1, 0x40006a0c +.set CYREG_B1_UDB07_A0_A1, 0x40006a0e +.set CYREG_B1_UDB08_A0_A1, 0x40006a10 +.set CYREG_B1_UDB09_A0_A1, 0x40006a12 +.set CYREG_B1_UDB10_A0_A1, 0x40006a14 +.set CYREG_B1_UDB11_A0_A1, 0x40006a16 +.set CYREG_B1_UDB04_D0_D1, 0x40006a48 +.set CYREG_B1_UDB05_D0_D1, 0x40006a4a +.set CYREG_B1_UDB06_D0_D1, 0x40006a4c +.set CYREG_B1_UDB07_D0_D1, 0x40006a4e +.set CYREG_B1_UDB08_D0_D1, 0x40006a50 +.set CYREG_B1_UDB09_D0_D1, 0x40006a52 +.set CYREG_B1_UDB10_D0_D1, 0x40006a54 +.set CYREG_B1_UDB11_D0_D1, 0x40006a56 +.set CYREG_B1_UDB04_F0_F1, 0x40006a88 +.set CYREG_B1_UDB05_F0_F1, 0x40006a8a +.set CYREG_B1_UDB06_F0_F1, 0x40006a8c +.set CYREG_B1_UDB07_F0_F1, 0x40006a8e +.set CYREG_B1_UDB08_F0_F1, 0x40006a90 +.set CYREG_B1_UDB09_F0_F1, 0x40006a92 +.set CYREG_B1_UDB10_F0_F1, 0x40006a94 +.set CYREG_B1_UDB11_F0_F1, 0x40006a96 +.set CYREG_B1_UDB04_ST_CTL, 0x40006ac8 +.set CYREG_B1_UDB05_ST_CTL, 0x40006aca +.set CYREG_B1_UDB06_ST_CTL, 0x40006acc +.set CYREG_B1_UDB07_ST_CTL, 0x40006ace +.set CYREG_B1_UDB08_ST_CTL, 0x40006ad0 +.set CYREG_B1_UDB09_ST_CTL, 0x40006ad2 +.set CYREG_B1_UDB10_ST_CTL, 0x40006ad4 +.set CYREG_B1_UDB11_ST_CTL, 0x40006ad6 +.set CYREG_B1_UDB04_MSK_ACTL, 0x40006b08 +.set CYREG_B1_UDB05_MSK_ACTL, 0x40006b0a +.set CYREG_B1_UDB06_MSK_ACTL, 0x40006b0c +.set CYREG_B1_UDB07_MSK_ACTL, 0x40006b0e +.set CYREG_B1_UDB08_MSK_ACTL, 0x40006b10 +.set CYREG_B1_UDB09_MSK_ACTL, 0x40006b12 +.set CYREG_B1_UDB10_MSK_ACTL, 0x40006b14 +.set CYREG_B1_UDB11_MSK_ACTL, 0x40006b16 +.set CYREG_B1_UDB04_MC_00, 0x40006b48 +.set CYREG_B1_UDB05_MC_00, 0x40006b4a +.set CYREG_B1_UDB06_MC_00, 0x40006b4c +.set CYREG_B1_UDB07_MC_00, 0x40006b4e +.set CYREG_B1_UDB08_MC_00, 0x40006b50 +.set CYREG_B1_UDB09_MC_00, 0x40006b52 +.set CYREG_B1_UDB10_MC_00, 0x40006b54 +.set CYREG_B1_UDB11_MC_00, 0x40006b56 +.set CYDEV_UWRK_UWRK16_DEF_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_SIZE, 0x0000075e +.set CYDEV_UWRK_UWRK16_DEF_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_SIZE, 0x0000015e +.set CYREG_B0_UDB00_01_A0, 0x40006800 +.set CYREG_B0_UDB01_02_A0, 0x40006802 +.set CYREG_B0_UDB02_03_A0, 0x40006804 +.set CYREG_B0_UDB03_04_A0, 0x40006806 +.set CYREG_B0_UDB04_05_A0, 0x40006808 +.set CYREG_B0_UDB05_06_A0, 0x4000680a +.set CYREG_B0_UDB06_07_A0, 0x4000680c +.set CYREG_B0_UDB07_08_A0, 0x4000680e +.set CYREG_B0_UDB08_09_A0, 0x40006810 +.set CYREG_B0_UDB09_10_A0, 0x40006812 +.set CYREG_B0_UDB10_11_A0, 0x40006814 +.set CYREG_B0_UDB11_12_A0, 0x40006816 +.set CYREG_B0_UDB12_13_A0, 0x40006818 +.set CYREG_B0_UDB13_14_A0, 0x4000681a +.set CYREG_B0_UDB14_15_A0, 0x4000681c +.set CYREG_B0_UDB00_01_A1, 0x40006820 +.set CYREG_B0_UDB01_02_A1, 0x40006822 +.set CYREG_B0_UDB02_03_A1, 0x40006824 +.set CYREG_B0_UDB03_04_A1, 0x40006826 +.set CYREG_B0_UDB04_05_A1, 0x40006828 +.set CYREG_B0_UDB05_06_A1, 0x4000682a +.set CYREG_B0_UDB06_07_A1, 0x4000682c +.set CYREG_B0_UDB07_08_A1, 0x4000682e +.set CYREG_B0_UDB08_09_A1, 0x40006830 +.set CYREG_B0_UDB09_10_A1, 0x40006832 +.set CYREG_B0_UDB10_11_A1, 0x40006834 +.set CYREG_B0_UDB11_12_A1, 0x40006836 +.set CYREG_B0_UDB12_13_A1, 0x40006838 +.set CYREG_B0_UDB13_14_A1, 0x4000683a +.set CYREG_B0_UDB14_15_A1, 0x4000683c +.set CYREG_B0_UDB00_01_D0, 0x40006840 +.set CYREG_B0_UDB01_02_D0, 0x40006842 +.set CYREG_B0_UDB02_03_D0, 0x40006844 +.set CYREG_B0_UDB03_04_D0, 0x40006846 +.set CYREG_B0_UDB04_05_D0, 0x40006848 +.set CYREG_B0_UDB05_06_D0, 0x4000684a +.set CYREG_B0_UDB06_07_D0, 0x4000684c +.set CYREG_B0_UDB07_08_D0, 0x4000684e +.set CYREG_B0_UDB08_09_D0, 0x40006850 +.set CYREG_B0_UDB09_10_D0, 0x40006852 +.set CYREG_B0_UDB10_11_D0, 0x40006854 +.set CYREG_B0_UDB11_12_D0, 0x40006856 +.set CYREG_B0_UDB12_13_D0, 0x40006858 +.set CYREG_B0_UDB13_14_D0, 0x4000685a +.set CYREG_B0_UDB14_15_D0, 0x4000685c +.set CYREG_B0_UDB00_01_D1, 0x40006860 +.set CYREG_B0_UDB01_02_D1, 0x40006862 +.set CYREG_B0_UDB02_03_D1, 0x40006864 +.set CYREG_B0_UDB03_04_D1, 0x40006866 +.set CYREG_B0_UDB04_05_D1, 0x40006868 +.set CYREG_B0_UDB05_06_D1, 0x4000686a +.set CYREG_B0_UDB06_07_D1, 0x4000686c +.set CYREG_B0_UDB07_08_D1, 0x4000686e +.set CYREG_B0_UDB08_09_D1, 0x40006870 +.set CYREG_B0_UDB09_10_D1, 0x40006872 +.set CYREG_B0_UDB10_11_D1, 0x40006874 +.set CYREG_B0_UDB11_12_D1, 0x40006876 +.set CYREG_B0_UDB12_13_D1, 0x40006878 +.set CYREG_B0_UDB13_14_D1, 0x4000687a +.set CYREG_B0_UDB14_15_D1, 0x4000687c +.set CYREG_B0_UDB00_01_F0, 0x40006880 +.set CYREG_B0_UDB01_02_F0, 0x40006882 +.set CYREG_B0_UDB02_03_F0, 0x40006884 +.set CYREG_B0_UDB03_04_F0, 0x40006886 +.set CYREG_B0_UDB04_05_F0, 0x40006888 +.set CYREG_B0_UDB05_06_F0, 0x4000688a +.set CYREG_B0_UDB06_07_F0, 0x4000688c +.set CYREG_B0_UDB07_08_F0, 0x4000688e +.set CYREG_B0_UDB08_09_F0, 0x40006890 +.set CYREG_B0_UDB09_10_F0, 0x40006892 +.set CYREG_B0_UDB10_11_F0, 0x40006894 +.set CYREG_B0_UDB11_12_F0, 0x40006896 +.set CYREG_B0_UDB12_13_F0, 0x40006898 +.set CYREG_B0_UDB13_14_F0, 0x4000689a +.set CYREG_B0_UDB14_15_F0, 0x4000689c +.set CYREG_B0_UDB00_01_F1, 0x400068a0 +.set CYREG_B0_UDB01_02_F1, 0x400068a2 +.set CYREG_B0_UDB02_03_F1, 0x400068a4 +.set CYREG_B0_UDB03_04_F1, 0x400068a6 +.set CYREG_B0_UDB04_05_F1, 0x400068a8 +.set CYREG_B0_UDB05_06_F1, 0x400068aa +.set CYREG_B0_UDB06_07_F1, 0x400068ac +.set CYREG_B0_UDB07_08_F1, 0x400068ae +.set CYREG_B0_UDB08_09_F1, 0x400068b0 +.set CYREG_B0_UDB09_10_F1, 0x400068b2 +.set CYREG_B0_UDB10_11_F1, 0x400068b4 +.set CYREG_B0_UDB11_12_F1, 0x400068b6 +.set CYREG_B0_UDB12_13_F1, 0x400068b8 +.set CYREG_B0_UDB13_14_F1, 0x400068ba +.set CYREG_B0_UDB14_15_F1, 0x400068bc +.set CYREG_B0_UDB00_01_ST, 0x400068c0 +.set CYREG_B0_UDB01_02_ST, 0x400068c2 +.set CYREG_B0_UDB02_03_ST, 0x400068c4 +.set CYREG_B0_UDB03_04_ST, 0x400068c6 +.set CYREG_B0_UDB04_05_ST, 0x400068c8 +.set CYREG_B0_UDB05_06_ST, 0x400068ca +.set CYREG_B0_UDB06_07_ST, 0x400068cc +.set CYREG_B0_UDB07_08_ST, 0x400068ce +.set CYREG_B0_UDB08_09_ST, 0x400068d0 +.set CYREG_B0_UDB09_10_ST, 0x400068d2 +.set CYREG_B0_UDB10_11_ST, 0x400068d4 +.set CYREG_B0_UDB11_12_ST, 0x400068d6 +.set CYREG_B0_UDB12_13_ST, 0x400068d8 +.set CYREG_B0_UDB13_14_ST, 0x400068da +.set CYREG_B0_UDB14_15_ST, 0x400068dc +.set CYREG_B0_UDB00_01_CTL, 0x400068e0 +.set CYREG_B0_UDB01_02_CTL, 0x400068e2 +.set CYREG_B0_UDB02_03_CTL, 0x400068e4 +.set CYREG_B0_UDB03_04_CTL, 0x400068e6 +.set CYREG_B0_UDB04_05_CTL, 0x400068e8 +.set CYREG_B0_UDB05_06_CTL, 0x400068ea +.set CYREG_B0_UDB06_07_CTL, 0x400068ec +.set CYREG_B0_UDB07_08_CTL, 0x400068ee +.set CYREG_B0_UDB08_09_CTL, 0x400068f0 +.set CYREG_B0_UDB09_10_CTL, 0x400068f2 +.set CYREG_B0_UDB10_11_CTL, 0x400068f4 +.set CYREG_B0_UDB11_12_CTL, 0x400068f6 +.set CYREG_B0_UDB12_13_CTL, 0x400068f8 +.set CYREG_B0_UDB13_14_CTL, 0x400068fa +.set CYREG_B0_UDB14_15_CTL, 0x400068fc +.set CYREG_B0_UDB00_01_MSK, 0x40006900 +.set CYREG_B0_UDB01_02_MSK, 0x40006902 +.set CYREG_B0_UDB02_03_MSK, 0x40006904 +.set CYREG_B0_UDB03_04_MSK, 0x40006906 +.set CYREG_B0_UDB04_05_MSK, 0x40006908 +.set CYREG_B0_UDB05_06_MSK, 0x4000690a +.set CYREG_B0_UDB06_07_MSK, 0x4000690c +.set CYREG_B0_UDB07_08_MSK, 0x4000690e +.set CYREG_B0_UDB08_09_MSK, 0x40006910 +.set CYREG_B0_UDB09_10_MSK, 0x40006912 +.set CYREG_B0_UDB10_11_MSK, 0x40006914 +.set CYREG_B0_UDB11_12_MSK, 0x40006916 +.set CYREG_B0_UDB12_13_MSK, 0x40006918 +.set CYREG_B0_UDB13_14_MSK, 0x4000691a +.set CYREG_B0_UDB14_15_MSK, 0x4000691c +.set CYREG_B0_UDB00_01_ACTL, 0x40006920 +.set CYREG_B0_UDB01_02_ACTL, 0x40006922 +.set CYREG_B0_UDB02_03_ACTL, 0x40006924 +.set CYREG_B0_UDB03_04_ACTL, 0x40006926 +.set CYREG_B0_UDB04_05_ACTL, 0x40006928 +.set CYREG_B0_UDB05_06_ACTL, 0x4000692a +.set CYREG_B0_UDB06_07_ACTL, 0x4000692c +.set CYREG_B0_UDB07_08_ACTL, 0x4000692e +.set CYREG_B0_UDB08_09_ACTL, 0x40006930 +.set CYREG_B0_UDB09_10_ACTL, 0x40006932 +.set CYREG_B0_UDB10_11_ACTL, 0x40006934 +.set CYREG_B0_UDB11_12_ACTL, 0x40006936 +.set CYREG_B0_UDB12_13_ACTL, 0x40006938 +.set CYREG_B0_UDB13_14_ACTL, 0x4000693a +.set CYREG_B0_UDB14_15_ACTL, 0x4000693c +.set CYREG_B0_UDB00_01_MC, 0x40006940 +.set CYREG_B0_UDB01_02_MC, 0x40006942 +.set CYREG_B0_UDB02_03_MC, 0x40006944 +.set CYREG_B0_UDB03_04_MC, 0x40006946 +.set CYREG_B0_UDB04_05_MC, 0x40006948 +.set CYREG_B0_UDB05_06_MC, 0x4000694a +.set CYREG_B0_UDB06_07_MC, 0x4000694c +.set CYREG_B0_UDB07_08_MC, 0x4000694e +.set CYREG_B0_UDB08_09_MC, 0x40006950 +.set CYREG_B0_UDB09_10_MC, 0x40006952 +.set CYREG_B0_UDB10_11_MC, 0x40006954 +.set CYREG_B0_UDB11_12_MC, 0x40006956 +.set CYREG_B0_UDB12_13_MC, 0x40006958 +.set CYREG_B0_UDB13_14_MC, 0x4000695a +.set CYREG_B0_UDB14_15_MC, 0x4000695c +.set CYDEV_UWRK_UWRK16_DEF_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_DEF_B1_SIZE, 0x0000015e +.set CYREG_B1_UDB04_05_A0, 0x40006a08 +.set CYREG_B1_UDB05_06_A0, 0x40006a0a +.set CYREG_B1_UDB06_07_A0, 0x40006a0c +.set CYREG_B1_UDB07_08_A0, 0x40006a0e +.set CYREG_B1_UDB08_09_A0, 0x40006a10 +.set CYREG_B1_UDB09_10_A0, 0x40006a12 +.set CYREG_B1_UDB10_11_A0, 0x40006a14 +.set CYREG_B1_UDB11_12_A0, 0x40006a16 +.set CYREG_B1_UDB04_05_A1, 0x40006a28 +.set CYREG_B1_UDB05_06_A1, 0x40006a2a +.set CYREG_B1_UDB06_07_A1, 0x40006a2c +.set CYREG_B1_UDB07_08_A1, 0x40006a2e +.set CYREG_B1_UDB08_09_A1, 0x40006a30 +.set CYREG_B1_UDB09_10_A1, 0x40006a32 +.set CYREG_B1_UDB10_11_A1, 0x40006a34 +.set CYREG_B1_UDB11_12_A1, 0x40006a36 +.set CYREG_B1_UDB04_05_D0, 0x40006a48 +.set CYREG_B1_UDB05_06_D0, 0x40006a4a +.set CYREG_B1_UDB06_07_D0, 0x40006a4c +.set CYREG_B1_UDB07_08_D0, 0x40006a4e +.set CYREG_B1_UDB08_09_D0, 0x40006a50 +.set CYREG_B1_UDB09_10_D0, 0x40006a52 +.set CYREG_B1_UDB10_11_D0, 0x40006a54 +.set CYREG_B1_UDB11_12_D0, 0x40006a56 +.set CYREG_B1_UDB04_05_D1, 0x40006a68 +.set CYREG_B1_UDB05_06_D1, 0x40006a6a +.set CYREG_B1_UDB06_07_D1, 0x40006a6c +.set CYREG_B1_UDB07_08_D1, 0x40006a6e +.set CYREG_B1_UDB08_09_D1, 0x40006a70 +.set CYREG_B1_UDB09_10_D1, 0x40006a72 +.set CYREG_B1_UDB10_11_D1, 0x40006a74 +.set CYREG_B1_UDB11_12_D1, 0x40006a76 +.set CYREG_B1_UDB04_05_F0, 0x40006a88 +.set CYREG_B1_UDB05_06_F0, 0x40006a8a +.set CYREG_B1_UDB06_07_F0, 0x40006a8c +.set CYREG_B1_UDB07_08_F0, 0x40006a8e +.set CYREG_B1_UDB08_09_F0, 0x40006a90 +.set CYREG_B1_UDB09_10_F0, 0x40006a92 +.set CYREG_B1_UDB10_11_F0, 0x40006a94 +.set CYREG_B1_UDB11_12_F0, 0x40006a96 +.set CYREG_B1_UDB04_05_F1, 0x40006aa8 +.set CYREG_B1_UDB05_06_F1, 0x40006aaa +.set CYREG_B1_UDB06_07_F1, 0x40006aac +.set CYREG_B1_UDB07_08_F1, 0x40006aae +.set CYREG_B1_UDB08_09_F1, 0x40006ab0 +.set CYREG_B1_UDB09_10_F1, 0x40006ab2 +.set CYREG_B1_UDB10_11_F1, 0x40006ab4 +.set CYREG_B1_UDB11_12_F1, 0x40006ab6 +.set CYREG_B1_UDB04_05_ST, 0x40006ac8 +.set CYREG_B1_UDB05_06_ST, 0x40006aca +.set CYREG_B1_UDB06_07_ST, 0x40006acc +.set CYREG_B1_UDB07_08_ST, 0x40006ace +.set CYREG_B1_UDB08_09_ST, 0x40006ad0 +.set CYREG_B1_UDB09_10_ST, 0x40006ad2 +.set CYREG_B1_UDB10_11_ST, 0x40006ad4 +.set CYREG_B1_UDB11_12_ST, 0x40006ad6 +.set CYREG_B1_UDB04_05_CTL, 0x40006ae8 +.set CYREG_B1_UDB05_06_CTL, 0x40006aea +.set CYREG_B1_UDB06_07_CTL, 0x40006aec +.set CYREG_B1_UDB07_08_CTL, 0x40006aee +.set CYREG_B1_UDB08_09_CTL, 0x40006af0 +.set CYREG_B1_UDB09_10_CTL, 0x40006af2 +.set CYREG_B1_UDB10_11_CTL, 0x40006af4 +.set CYREG_B1_UDB11_12_CTL, 0x40006af6 +.set CYREG_B1_UDB04_05_MSK, 0x40006b08 +.set CYREG_B1_UDB05_06_MSK, 0x40006b0a +.set CYREG_B1_UDB06_07_MSK, 0x40006b0c +.set CYREG_B1_UDB07_08_MSK, 0x40006b0e +.set CYREG_B1_UDB08_09_MSK, 0x40006b10 +.set CYREG_B1_UDB09_10_MSK, 0x40006b12 +.set CYREG_B1_UDB10_11_MSK, 0x40006b14 +.set CYREG_B1_UDB11_12_MSK, 0x40006b16 +.set CYREG_B1_UDB04_05_ACTL, 0x40006b28 +.set CYREG_B1_UDB05_06_ACTL, 0x40006b2a +.set CYREG_B1_UDB06_07_ACTL, 0x40006b2c +.set CYREG_B1_UDB07_08_ACTL, 0x40006b2e +.set CYREG_B1_UDB08_09_ACTL, 0x40006b30 +.set CYREG_B1_UDB09_10_ACTL, 0x40006b32 +.set CYREG_B1_UDB10_11_ACTL, 0x40006b34 +.set CYREG_B1_UDB11_12_ACTL, 0x40006b36 +.set CYREG_B1_UDB04_05_MC, 0x40006b48 +.set CYREG_B1_UDB05_06_MC, 0x40006b4a +.set CYREG_B1_UDB06_07_MC, 0x40006b4c +.set CYREG_B1_UDB07_08_MC, 0x40006b4e +.set CYREG_B1_UDB08_09_MC, 0x40006b50 +.set CYREG_B1_UDB09_10_MC, 0x40006b52 +.set CYREG_B1_UDB10_11_MC, 0x40006b54 +.set CYREG_B1_UDB11_12_MC, 0x40006b56 +.set CYDEV_PHUB_BASE, 0x40007000 +.set CYDEV_PHUB_SIZE, 0x00000c00 +.set CYREG_PHUB_CFG, 0x40007000 +.set CYREG_PHUB_ERR, 0x40007004 +.set CYREG_PHUB_ERR_ADR, 0x40007008 +.set CYDEV_PHUB_CH0_BASE, 0x40007010 +.set CYDEV_PHUB_CH0_SIZE, 0x0000000c +.set CYREG_PHUB_CH0_BASIC_CFG, 0x40007010 +.set CYREG_PHUB_CH0_ACTION, 0x40007014 +.set CYREG_PHUB_CH0_BASIC_STATUS, 0x40007018 +.set CYDEV_PHUB_CH1_BASE, 0x40007020 +.set CYDEV_PHUB_CH1_SIZE, 0x0000000c +.set CYREG_PHUB_CH1_BASIC_CFG, 0x40007020 +.set CYREG_PHUB_CH1_ACTION, 0x40007024 +.set CYREG_PHUB_CH1_BASIC_STATUS, 0x40007028 +.set CYDEV_PHUB_CH2_BASE, 0x40007030 +.set CYDEV_PHUB_CH2_SIZE, 0x0000000c +.set CYREG_PHUB_CH2_BASIC_CFG, 0x40007030 +.set CYREG_PHUB_CH2_ACTION, 0x40007034 +.set CYREG_PHUB_CH2_BASIC_STATUS, 0x40007038 +.set CYDEV_PHUB_CH3_BASE, 0x40007040 +.set CYDEV_PHUB_CH3_SIZE, 0x0000000c +.set CYREG_PHUB_CH3_BASIC_CFG, 0x40007040 +.set CYREG_PHUB_CH3_ACTION, 0x40007044 +.set CYREG_PHUB_CH3_BASIC_STATUS, 0x40007048 +.set CYDEV_PHUB_CH4_BASE, 0x40007050 +.set CYDEV_PHUB_CH4_SIZE, 0x0000000c +.set CYREG_PHUB_CH4_BASIC_CFG, 0x40007050 +.set CYREG_PHUB_CH4_ACTION, 0x40007054 +.set CYREG_PHUB_CH4_BASIC_STATUS, 0x40007058 +.set CYDEV_PHUB_CH5_BASE, 0x40007060 +.set CYDEV_PHUB_CH5_SIZE, 0x0000000c +.set CYREG_PHUB_CH5_BASIC_CFG, 0x40007060 +.set CYREG_PHUB_CH5_ACTION, 0x40007064 +.set CYREG_PHUB_CH5_BASIC_STATUS, 0x40007068 +.set CYDEV_PHUB_CH6_BASE, 0x40007070 +.set CYDEV_PHUB_CH6_SIZE, 0x0000000c +.set CYREG_PHUB_CH6_BASIC_CFG, 0x40007070 +.set CYREG_PHUB_CH6_ACTION, 0x40007074 +.set CYREG_PHUB_CH6_BASIC_STATUS, 0x40007078 +.set CYDEV_PHUB_CH7_BASE, 0x40007080 +.set CYDEV_PHUB_CH7_SIZE, 0x0000000c +.set CYREG_PHUB_CH7_BASIC_CFG, 0x40007080 +.set CYREG_PHUB_CH7_ACTION, 0x40007084 +.set CYREG_PHUB_CH7_BASIC_STATUS, 0x40007088 +.set CYDEV_PHUB_CH8_BASE, 0x40007090 +.set CYDEV_PHUB_CH8_SIZE, 0x0000000c +.set CYREG_PHUB_CH8_BASIC_CFG, 0x40007090 +.set CYREG_PHUB_CH8_ACTION, 0x40007094 +.set CYREG_PHUB_CH8_BASIC_STATUS, 0x40007098 +.set CYDEV_PHUB_CH9_BASE, 0x400070a0 +.set CYDEV_PHUB_CH9_SIZE, 0x0000000c +.set CYREG_PHUB_CH9_BASIC_CFG, 0x400070a0 +.set CYREG_PHUB_CH9_ACTION, 0x400070a4 +.set CYREG_PHUB_CH9_BASIC_STATUS, 0x400070a8 +.set CYDEV_PHUB_CH10_BASE, 0x400070b0 +.set CYDEV_PHUB_CH10_SIZE, 0x0000000c +.set CYREG_PHUB_CH10_BASIC_CFG, 0x400070b0 +.set CYREG_PHUB_CH10_ACTION, 0x400070b4 +.set CYREG_PHUB_CH10_BASIC_STATUS, 0x400070b8 +.set CYDEV_PHUB_CH11_BASE, 0x400070c0 +.set CYDEV_PHUB_CH11_SIZE, 0x0000000c +.set CYREG_PHUB_CH11_BASIC_CFG, 0x400070c0 +.set CYREG_PHUB_CH11_ACTION, 0x400070c4 +.set CYREG_PHUB_CH11_BASIC_STATUS, 0x400070c8 +.set CYDEV_PHUB_CH12_BASE, 0x400070d0 +.set CYDEV_PHUB_CH12_SIZE, 0x0000000c +.set CYREG_PHUB_CH12_BASIC_CFG, 0x400070d0 +.set CYREG_PHUB_CH12_ACTION, 0x400070d4 +.set CYREG_PHUB_CH12_BASIC_STATUS, 0x400070d8 +.set CYDEV_PHUB_CH13_BASE, 0x400070e0 +.set CYDEV_PHUB_CH13_SIZE, 0x0000000c +.set CYREG_PHUB_CH13_BASIC_CFG, 0x400070e0 +.set CYREG_PHUB_CH13_ACTION, 0x400070e4 +.set CYREG_PHUB_CH13_BASIC_STATUS, 0x400070e8 +.set CYDEV_PHUB_CH14_BASE, 0x400070f0 +.set CYDEV_PHUB_CH14_SIZE, 0x0000000c +.set CYREG_PHUB_CH14_BASIC_CFG, 0x400070f0 +.set CYREG_PHUB_CH14_ACTION, 0x400070f4 +.set CYREG_PHUB_CH14_BASIC_STATUS, 0x400070f8 +.set CYDEV_PHUB_CH15_BASE, 0x40007100 +.set CYDEV_PHUB_CH15_SIZE, 0x0000000c +.set CYREG_PHUB_CH15_BASIC_CFG, 0x40007100 +.set CYREG_PHUB_CH15_ACTION, 0x40007104 +.set CYREG_PHUB_CH15_BASIC_STATUS, 0x40007108 +.set CYDEV_PHUB_CH16_BASE, 0x40007110 +.set CYDEV_PHUB_CH16_SIZE, 0x0000000c +.set CYREG_PHUB_CH16_BASIC_CFG, 0x40007110 +.set CYREG_PHUB_CH16_ACTION, 0x40007114 +.set CYREG_PHUB_CH16_BASIC_STATUS, 0x40007118 +.set CYDEV_PHUB_CH17_BASE, 0x40007120 +.set CYDEV_PHUB_CH17_SIZE, 0x0000000c +.set CYREG_PHUB_CH17_BASIC_CFG, 0x40007120 +.set CYREG_PHUB_CH17_ACTION, 0x40007124 +.set CYREG_PHUB_CH17_BASIC_STATUS, 0x40007128 +.set CYDEV_PHUB_CH18_BASE, 0x40007130 +.set CYDEV_PHUB_CH18_SIZE, 0x0000000c +.set CYREG_PHUB_CH18_BASIC_CFG, 0x40007130 +.set CYREG_PHUB_CH18_ACTION, 0x40007134 +.set CYREG_PHUB_CH18_BASIC_STATUS, 0x40007138 +.set CYDEV_PHUB_CH19_BASE, 0x40007140 +.set CYDEV_PHUB_CH19_SIZE, 0x0000000c +.set CYREG_PHUB_CH19_BASIC_CFG, 0x40007140 +.set CYREG_PHUB_CH19_ACTION, 0x40007144 +.set CYREG_PHUB_CH19_BASIC_STATUS, 0x40007148 +.set CYDEV_PHUB_CH20_BASE, 0x40007150 +.set CYDEV_PHUB_CH20_SIZE, 0x0000000c +.set CYREG_PHUB_CH20_BASIC_CFG, 0x40007150 +.set CYREG_PHUB_CH20_ACTION, 0x40007154 +.set CYREG_PHUB_CH20_BASIC_STATUS, 0x40007158 +.set CYDEV_PHUB_CH21_BASE, 0x40007160 +.set CYDEV_PHUB_CH21_SIZE, 0x0000000c +.set CYREG_PHUB_CH21_BASIC_CFG, 0x40007160 +.set CYREG_PHUB_CH21_ACTION, 0x40007164 +.set CYREG_PHUB_CH21_BASIC_STATUS, 0x40007168 +.set CYDEV_PHUB_CH22_BASE, 0x40007170 +.set CYDEV_PHUB_CH22_SIZE, 0x0000000c +.set CYREG_PHUB_CH22_BASIC_CFG, 0x40007170 +.set CYREG_PHUB_CH22_ACTION, 0x40007174 +.set CYREG_PHUB_CH22_BASIC_STATUS, 0x40007178 +.set CYDEV_PHUB_CH23_BASE, 0x40007180 +.set CYDEV_PHUB_CH23_SIZE, 0x0000000c +.set CYREG_PHUB_CH23_BASIC_CFG, 0x40007180 +.set CYREG_PHUB_CH23_ACTION, 0x40007184 +.set CYREG_PHUB_CH23_BASIC_STATUS, 0x40007188 +.set CYDEV_PHUB_CFGMEM0_BASE, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM0_CFG0, 0x40007600 +.set CYREG_PHUB_CFGMEM0_CFG1, 0x40007604 +.set CYDEV_PHUB_CFGMEM1_BASE, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM1_CFG0, 0x40007608 +.set CYREG_PHUB_CFGMEM1_CFG1, 0x4000760c +.set CYDEV_PHUB_CFGMEM2_BASE, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM2_CFG0, 0x40007610 +.set CYREG_PHUB_CFGMEM2_CFG1, 0x40007614 +.set CYDEV_PHUB_CFGMEM3_BASE, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM3_CFG0, 0x40007618 +.set CYREG_PHUB_CFGMEM3_CFG1, 0x4000761c +.set CYDEV_PHUB_CFGMEM4_BASE, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM4_CFG0, 0x40007620 +.set CYREG_PHUB_CFGMEM4_CFG1, 0x40007624 +.set CYDEV_PHUB_CFGMEM5_BASE, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM5_CFG0, 0x40007628 +.set CYREG_PHUB_CFGMEM5_CFG1, 0x4000762c +.set CYDEV_PHUB_CFGMEM6_BASE, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM6_CFG0, 0x40007630 +.set CYREG_PHUB_CFGMEM6_CFG1, 0x40007634 +.set CYDEV_PHUB_CFGMEM7_BASE, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM7_CFG0, 0x40007638 +.set CYREG_PHUB_CFGMEM7_CFG1, 0x4000763c +.set CYDEV_PHUB_CFGMEM8_BASE, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM8_CFG0, 0x40007640 +.set CYREG_PHUB_CFGMEM8_CFG1, 0x40007644 +.set CYDEV_PHUB_CFGMEM9_BASE, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM9_CFG0, 0x40007648 +.set CYREG_PHUB_CFGMEM9_CFG1, 0x4000764c +.set CYDEV_PHUB_CFGMEM10_BASE, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM10_CFG0, 0x40007650 +.set CYREG_PHUB_CFGMEM10_CFG1, 0x40007654 +.set CYDEV_PHUB_CFGMEM11_BASE, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM11_CFG0, 0x40007658 +.set CYREG_PHUB_CFGMEM11_CFG1, 0x4000765c +.set CYDEV_PHUB_CFGMEM12_BASE, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM12_CFG0, 0x40007660 +.set CYREG_PHUB_CFGMEM12_CFG1, 0x40007664 +.set CYDEV_PHUB_CFGMEM13_BASE, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM13_CFG0, 0x40007668 +.set CYREG_PHUB_CFGMEM13_CFG1, 0x4000766c +.set CYDEV_PHUB_CFGMEM14_BASE, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM14_CFG0, 0x40007670 +.set CYREG_PHUB_CFGMEM14_CFG1, 0x40007674 +.set CYDEV_PHUB_CFGMEM15_BASE, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM15_CFG0, 0x40007678 +.set CYREG_PHUB_CFGMEM15_CFG1, 0x4000767c +.set CYDEV_PHUB_CFGMEM16_BASE, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM16_CFG0, 0x40007680 +.set CYREG_PHUB_CFGMEM16_CFG1, 0x40007684 +.set CYDEV_PHUB_CFGMEM17_BASE, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM17_CFG0, 0x40007688 +.set CYREG_PHUB_CFGMEM17_CFG1, 0x4000768c +.set CYDEV_PHUB_CFGMEM18_BASE, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM18_CFG0, 0x40007690 +.set CYREG_PHUB_CFGMEM18_CFG1, 0x40007694 +.set CYDEV_PHUB_CFGMEM19_BASE, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM19_CFG0, 0x40007698 +.set CYREG_PHUB_CFGMEM19_CFG1, 0x4000769c +.set CYDEV_PHUB_CFGMEM20_BASE, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM20_CFG0, 0x400076a0 +.set CYREG_PHUB_CFGMEM20_CFG1, 0x400076a4 +.set CYDEV_PHUB_CFGMEM21_BASE, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM21_CFG0, 0x400076a8 +.set CYREG_PHUB_CFGMEM21_CFG1, 0x400076ac +.set CYDEV_PHUB_CFGMEM22_BASE, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM22_CFG0, 0x400076b0 +.set CYREG_PHUB_CFGMEM22_CFG1, 0x400076b4 +.set CYDEV_PHUB_CFGMEM23_BASE, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM23_CFG0, 0x400076b8 +.set CYREG_PHUB_CFGMEM23_CFG1, 0x400076bc +.set CYDEV_PHUB_TDMEM0_BASE, 0x40007800 +.set CYDEV_PHUB_TDMEM0_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM0_ORIG_TD0, 0x40007800 +.set CYREG_PHUB_TDMEM0_ORIG_TD1, 0x40007804 +.set CYDEV_PHUB_TDMEM1_BASE, 0x40007808 +.set CYDEV_PHUB_TDMEM1_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM1_ORIG_TD0, 0x40007808 +.set CYREG_PHUB_TDMEM1_ORIG_TD1, 0x4000780c +.set CYDEV_PHUB_TDMEM2_BASE, 0x40007810 +.set CYDEV_PHUB_TDMEM2_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM2_ORIG_TD0, 0x40007810 +.set CYREG_PHUB_TDMEM2_ORIG_TD1, 0x40007814 +.set CYDEV_PHUB_TDMEM3_BASE, 0x40007818 +.set CYDEV_PHUB_TDMEM3_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM3_ORIG_TD0, 0x40007818 +.set CYREG_PHUB_TDMEM3_ORIG_TD1, 0x4000781c +.set CYDEV_PHUB_TDMEM4_BASE, 0x40007820 +.set CYDEV_PHUB_TDMEM4_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM4_ORIG_TD0, 0x40007820 +.set CYREG_PHUB_TDMEM4_ORIG_TD1, 0x40007824 +.set CYDEV_PHUB_TDMEM5_BASE, 0x40007828 +.set CYDEV_PHUB_TDMEM5_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM5_ORIG_TD0, 0x40007828 +.set CYREG_PHUB_TDMEM5_ORIG_TD1, 0x4000782c +.set CYDEV_PHUB_TDMEM6_BASE, 0x40007830 +.set CYDEV_PHUB_TDMEM6_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM6_ORIG_TD0, 0x40007830 +.set CYREG_PHUB_TDMEM6_ORIG_TD1, 0x40007834 +.set CYDEV_PHUB_TDMEM7_BASE, 0x40007838 +.set CYDEV_PHUB_TDMEM7_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM7_ORIG_TD0, 0x40007838 +.set CYREG_PHUB_TDMEM7_ORIG_TD1, 0x4000783c +.set CYDEV_PHUB_TDMEM8_BASE, 0x40007840 +.set CYDEV_PHUB_TDMEM8_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM8_ORIG_TD0, 0x40007840 +.set CYREG_PHUB_TDMEM8_ORIG_TD1, 0x40007844 +.set CYDEV_PHUB_TDMEM9_BASE, 0x40007848 +.set CYDEV_PHUB_TDMEM9_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM9_ORIG_TD0, 0x40007848 +.set CYREG_PHUB_TDMEM9_ORIG_TD1, 0x4000784c +.set CYDEV_PHUB_TDMEM10_BASE, 0x40007850 +.set CYDEV_PHUB_TDMEM10_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM10_ORIG_TD0, 0x40007850 +.set CYREG_PHUB_TDMEM10_ORIG_TD1, 0x40007854 +.set CYDEV_PHUB_TDMEM11_BASE, 0x40007858 +.set CYDEV_PHUB_TDMEM11_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM11_ORIG_TD0, 0x40007858 +.set CYREG_PHUB_TDMEM11_ORIG_TD1, 0x4000785c +.set CYDEV_PHUB_TDMEM12_BASE, 0x40007860 +.set CYDEV_PHUB_TDMEM12_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM12_ORIG_TD0, 0x40007860 +.set CYREG_PHUB_TDMEM12_ORIG_TD1, 0x40007864 +.set CYDEV_PHUB_TDMEM13_BASE, 0x40007868 +.set CYDEV_PHUB_TDMEM13_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM13_ORIG_TD0, 0x40007868 +.set CYREG_PHUB_TDMEM13_ORIG_TD1, 0x4000786c +.set CYDEV_PHUB_TDMEM14_BASE, 0x40007870 +.set CYDEV_PHUB_TDMEM14_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM14_ORIG_TD0, 0x40007870 +.set CYREG_PHUB_TDMEM14_ORIG_TD1, 0x40007874 +.set CYDEV_PHUB_TDMEM15_BASE, 0x40007878 +.set CYDEV_PHUB_TDMEM15_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM15_ORIG_TD0, 0x40007878 +.set CYREG_PHUB_TDMEM15_ORIG_TD1, 0x4000787c +.set CYDEV_PHUB_TDMEM16_BASE, 0x40007880 +.set CYDEV_PHUB_TDMEM16_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM16_ORIG_TD0, 0x40007880 +.set CYREG_PHUB_TDMEM16_ORIG_TD1, 0x40007884 +.set CYDEV_PHUB_TDMEM17_BASE, 0x40007888 +.set CYDEV_PHUB_TDMEM17_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM17_ORIG_TD0, 0x40007888 +.set CYREG_PHUB_TDMEM17_ORIG_TD1, 0x4000788c +.set CYDEV_PHUB_TDMEM18_BASE, 0x40007890 +.set CYDEV_PHUB_TDMEM18_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM18_ORIG_TD0, 0x40007890 +.set CYREG_PHUB_TDMEM18_ORIG_TD1, 0x40007894 +.set CYDEV_PHUB_TDMEM19_BASE, 0x40007898 +.set CYDEV_PHUB_TDMEM19_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM19_ORIG_TD0, 0x40007898 +.set CYREG_PHUB_TDMEM19_ORIG_TD1, 0x4000789c +.set CYDEV_PHUB_TDMEM20_BASE, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM20_ORIG_TD0, 0x400078a0 +.set CYREG_PHUB_TDMEM20_ORIG_TD1, 0x400078a4 +.set CYDEV_PHUB_TDMEM21_BASE, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM21_ORIG_TD0, 0x400078a8 +.set CYREG_PHUB_TDMEM21_ORIG_TD1, 0x400078ac +.set CYDEV_PHUB_TDMEM22_BASE, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM22_ORIG_TD0, 0x400078b0 +.set CYREG_PHUB_TDMEM22_ORIG_TD1, 0x400078b4 +.set CYDEV_PHUB_TDMEM23_BASE, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM23_ORIG_TD0, 0x400078b8 +.set CYREG_PHUB_TDMEM23_ORIG_TD1, 0x400078bc +.set CYDEV_PHUB_TDMEM24_BASE, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM24_ORIG_TD0, 0x400078c0 +.set CYREG_PHUB_TDMEM24_ORIG_TD1, 0x400078c4 +.set CYDEV_PHUB_TDMEM25_BASE, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM25_ORIG_TD0, 0x400078c8 +.set CYREG_PHUB_TDMEM25_ORIG_TD1, 0x400078cc +.set CYDEV_PHUB_TDMEM26_BASE, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM26_ORIG_TD0, 0x400078d0 +.set CYREG_PHUB_TDMEM26_ORIG_TD1, 0x400078d4 +.set CYDEV_PHUB_TDMEM27_BASE, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM27_ORIG_TD0, 0x400078d8 +.set CYREG_PHUB_TDMEM27_ORIG_TD1, 0x400078dc +.set CYDEV_PHUB_TDMEM28_BASE, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM28_ORIG_TD0, 0x400078e0 +.set CYREG_PHUB_TDMEM28_ORIG_TD1, 0x400078e4 +.set CYDEV_PHUB_TDMEM29_BASE, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM29_ORIG_TD0, 0x400078e8 +.set CYREG_PHUB_TDMEM29_ORIG_TD1, 0x400078ec +.set CYDEV_PHUB_TDMEM30_BASE, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM30_ORIG_TD0, 0x400078f0 +.set CYREG_PHUB_TDMEM30_ORIG_TD1, 0x400078f4 +.set CYDEV_PHUB_TDMEM31_BASE, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM31_ORIG_TD0, 0x400078f8 +.set CYREG_PHUB_TDMEM31_ORIG_TD1, 0x400078fc +.set CYDEV_PHUB_TDMEM32_BASE, 0x40007900 +.set CYDEV_PHUB_TDMEM32_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM32_ORIG_TD0, 0x40007900 +.set CYREG_PHUB_TDMEM32_ORIG_TD1, 0x40007904 +.set CYDEV_PHUB_TDMEM33_BASE, 0x40007908 +.set CYDEV_PHUB_TDMEM33_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM33_ORIG_TD0, 0x40007908 +.set CYREG_PHUB_TDMEM33_ORIG_TD1, 0x4000790c +.set CYDEV_PHUB_TDMEM34_BASE, 0x40007910 +.set CYDEV_PHUB_TDMEM34_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM34_ORIG_TD0, 0x40007910 +.set CYREG_PHUB_TDMEM34_ORIG_TD1, 0x40007914 +.set CYDEV_PHUB_TDMEM35_BASE, 0x40007918 +.set CYDEV_PHUB_TDMEM35_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM35_ORIG_TD0, 0x40007918 +.set CYREG_PHUB_TDMEM35_ORIG_TD1, 0x4000791c +.set CYDEV_PHUB_TDMEM36_BASE, 0x40007920 +.set CYDEV_PHUB_TDMEM36_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM36_ORIG_TD0, 0x40007920 +.set CYREG_PHUB_TDMEM36_ORIG_TD1, 0x40007924 +.set CYDEV_PHUB_TDMEM37_BASE, 0x40007928 +.set CYDEV_PHUB_TDMEM37_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM37_ORIG_TD0, 0x40007928 +.set CYREG_PHUB_TDMEM37_ORIG_TD1, 0x4000792c +.set CYDEV_PHUB_TDMEM38_BASE, 0x40007930 +.set CYDEV_PHUB_TDMEM38_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM38_ORIG_TD0, 0x40007930 +.set CYREG_PHUB_TDMEM38_ORIG_TD1, 0x40007934 +.set CYDEV_PHUB_TDMEM39_BASE, 0x40007938 +.set CYDEV_PHUB_TDMEM39_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM39_ORIG_TD0, 0x40007938 +.set CYREG_PHUB_TDMEM39_ORIG_TD1, 0x4000793c +.set CYDEV_PHUB_TDMEM40_BASE, 0x40007940 +.set CYDEV_PHUB_TDMEM40_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM40_ORIG_TD0, 0x40007940 +.set CYREG_PHUB_TDMEM40_ORIG_TD1, 0x40007944 +.set CYDEV_PHUB_TDMEM41_BASE, 0x40007948 +.set CYDEV_PHUB_TDMEM41_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM41_ORIG_TD0, 0x40007948 +.set CYREG_PHUB_TDMEM41_ORIG_TD1, 0x4000794c +.set CYDEV_PHUB_TDMEM42_BASE, 0x40007950 +.set CYDEV_PHUB_TDMEM42_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM42_ORIG_TD0, 0x40007950 +.set CYREG_PHUB_TDMEM42_ORIG_TD1, 0x40007954 +.set CYDEV_PHUB_TDMEM43_BASE, 0x40007958 +.set CYDEV_PHUB_TDMEM43_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM43_ORIG_TD0, 0x40007958 +.set CYREG_PHUB_TDMEM43_ORIG_TD1, 0x4000795c +.set CYDEV_PHUB_TDMEM44_BASE, 0x40007960 +.set CYDEV_PHUB_TDMEM44_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM44_ORIG_TD0, 0x40007960 +.set CYREG_PHUB_TDMEM44_ORIG_TD1, 0x40007964 +.set CYDEV_PHUB_TDMEM45_BASE, 0x40007968 +.set CYDEV_PHUB_TDMEM45_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM45_ORIG_TD0, 0x40007968 +.set CYREG_PHUB_TDMEM45_ORIG_TD1, 0x4000796c +.set CYDEV_PHUB_TDMEM46_BASE, 0x40007970 +.set CYDEV_PHUB_TDMEM46_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM46_ORIG_TD0, 0x40007970 +.set CYREG_PHUB_TDMEM46_ORIG_TD1, 0x40007974 +.set CYDEV_PHUB_TDMEM47_BASE, 0x40007978 +.set CYDEV_PHUB_TDMEM47_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM47_ORIG_TD0, 0x40007978 +.set CYREG_PHUB_TDMEM47_ORIG_TD1, 0x4000797c +.set CYDEV_PHUB_TDMEM48_BASE, 0x40007980 +.set CYDEV_PHUB_TDMEM48_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM48_ORIG_TD0, 0x40007980 +.set CYREG_PHUB_TDMEM48_ORIG_TD1, 0x40007984 +.set CYDEV_PHUB_TDMEM49_BASE, 0x40007988 +.set CYDEV_PHUB_TDMEM49_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM49_ORIG_TD0, 0x40007988 +.set CYREG_PHUB_TDMEM49_ORIG_TD1, 0x4000798c +.set CYDEV_PHUB_TDMEM50_BASE, 0x40007990 +.set CYDEV_PHUB_TDMEM50_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM50_ORIG_TD0, 0x40007990 +.set CYREG_PHUB_TDMEM50_ORIG_TD1, 0x40007994 +.set CYDEV_PHUB_TDMEM51_BASE, 0x40007998 +.set CYDEV_PHUB_TDMEM51_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM51_ORIG_TD0, 0x40007998 +.set CYREG_PHUB_TDMEM51_ORIG_TD1, 0x4000799c +.set CYDEV_PHUB_TDMEM52_BASE, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM52_ORIG_TD0, 0x400079a0 +.set CYREG_PHUB_TDMEM52_ORIG_TD1, 0x400079a4 +.set CYDEV_PHUB_TDMEM53_BASE, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM53_ORIG_TD0, 0x400079a8 +.set CYREG_PHUB_TDMEM53_ORIG_TD1, 0x400079ac +.set CYDEV_PHUB_TDMEM54_BASE, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM54_ORIG_TD0, 0x400079b0 +.set CYREG_PHUB_TDMEM54_ORIG_TD1, 0x400079b4 +.set CYDEV_PHUB_TDMEM55_BASE, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM55_ORIG_TD0, 0x400079b8 +.set CYREG_PHUB_TDMEM55_ORIG_TD1, 0x400079bc +.set CYDEV_PHUB_TDMEM56_BASE, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM56_ORIG_TD0, 0x400079c0 +.set CYREG_PHUB_TDMEM56_ORIG_TD1, 0x400079c4 +.set CYDEV_PHUB_TDMEM57_BASE, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM57_ORIG_TD0, 0x400079c8 +.set CYREG_PHUB_TDMEM57_ORIG_TD1, 0x400079cc +.set CYDEV_PHUB_TDMEM58_BASE, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM58_ORIG_TD0, 0x400079d0 +.set CYREG_PHUB_TDMEM58_ORIG_TD1, 0x400079d4 +.set CYDEV_PHUB_TDMEM59_BASE, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM59_ORIG_TD0, 0x400079d8 +.set CYREG_PHUB_TDMEM59_ORIG_TD1, 0x400079dc +.set CYDEV_PHUB_TDMEM60_BASE, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM60_ORIG_TD0, 0x400079e0 +.set CYREG_PHUB_TDMEM60_ORIG_TD1, 0x400079e4 +.set CYDEV_PHUB_TDMEM61_BASE, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM61_ORIG_TD0, 0x400079e8 +.set CYREG_PHUB_TDMEM61_ORIG_TD1, 0x400079ec +.set CYDEV_PHUB_TDMEM62_BASE, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM62_ORIG_TD0, 0x400079f0 +.set CYREG_PHUB_TDMEM62_ORIG_TD1, 0x400079f4 +.set CYDEV_PHUB_TDMEM63_BASE, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM63_ORIG_TD0, 0x400079f8 +.set CYREG_PHUB_TDMEM63_ORIG_TD1, 0x400079fc +.set CYDEV_PHUB_TDMEM64_BASE, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM64_ORIG_TD0, 0x40007a00 +.set CYREG_PHUB_TDMEM64_ORIG_TD1, 0x40007a04 +.set CYDEV_PHUB_TDMEM65_BASE, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM65_ORIG_TD0, 0x40007a08 +.set CYREG_PHUB_TDMEM65_ORIG_TD1, 0x40007a0c +.set CYDEV_PHUB_TDMEM66_BASE, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM66_ORIG_TD0, 0x40007a10 +.set CYREG_PHUB_TDMEM66_ORIG_TD1, 0x40007a14 +.set CYDEV_PHUB_TDMEM67_BASE, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM67_ORIG_TD0, 0x40007a18 +.set CYREG_PHUB_TDMEM67_ORIG_TD1, 0x40007a1c +.set CYDEV_PHUB_TDMEM68_BASE, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM68_ORIG_TD0, 0x40007a20 +.set CYREG_PHUB_TDMEM68_ORIG_TD1, 0x40007a24 +.set CYDEV_PHUB_TDMEM69_BASE, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM69_ORIG_TD0, 0x40007a28 +.set CYREG_PHUB_TDMEM69_ORIG_TD1, 0x40007a2c +.set CYDEV_PHUB_TDMEM70_BASE, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM70_ORIG_TD0, 0x40007a30 +.set CYREG_PHUB_TDMEM70_ORIG_TD1, 0x40007a34 +.set CYDEV_PHUB_TDMEM71_BASE, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM71_ORIG_TD0, 0x40007a38 +.set CYREG_PHUB_TDMEM71_ORIG_TD1, 0x40007a3c +.set CYDEV_PHUB_TDMEM72_BASE, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM72_ORIG_TD0, 0x40007a40 +.set CYREG_PHUB_TDMEM72_ORIG_TD1, 0x40007a44 +.set CYDEV_PHUB_TDMEM73_BASE, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM73_ORIG_TD0, 0x40007a48 +.set CYREG_PHUB_TDMEM73_ORIG_TD1, 0x40007a4c +.set CYDEV_PHUB_TDMEM74_BASE, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM74_ORIG_TD0, 0x40007a50 +.set CYREG_PHUB_TDMEM74_ORIG_TD1, 0x40007a54 +.set CYDEV_PHUB_TDMEM75_BASE, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM75_ORIG_TD0, 0x40007a58 +.set CYREG_PHUB_TDMEM75_ORIG_TD1, 0x40007a5c +.set CYDEV_PHUB_TDMEM76_BASE, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM76_ORIG_TD0, 0x40007a60 +.set CYREG_PHUB_TDMEM76_ORIG_TD1, 0x40007a64 +.set CYDEV_PHUB_TDMEM77_BASE, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM77_ORIG_TD0, 0x40007a68 +.set CYREG_PHUB_TDMEM77_ORIG_TD1, 0x40007a6c +.set CYDEV_PHUB_TDMEM78_BASE, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM78_ORIG_TD0, 0x40007a70 +.set CYREG_PHUB_TDMEM78_ORIG_TD1, 0x40007a74 +.set CYDEV_PHUB_TDMEM79_BASE, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM79_ORIG_TD0, 0x40007a78 +.set CYREG_PHUB_TDMEM79_ORIG_TD1, 0x40007a7c +.set CYDEV_PHUB_TDMEM80_BASE, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM80_ORIG_TD0, 0x40007a80 +.set CYREG_PHUB_TDMEM80_ORIG_TD1, 0x40007a84 +.set CYDEV_PHUB_TDMEM81_BASE, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM81_ORIG_TD0, 0x40007a88 +.set CYREG_PHUB_TDMEM81_ORIG_TD1, 0x40007a8c +.set CYDEV_PHUB_TDMEM82_BASE, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM82_ORIG_TD0, 0x40007a90 +.set CYREG_PHUB_TDMEM82_ORIG_TD1, 0x40007a94 +.set CYDEV_PHUB_TDMEM83_BASE, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM83_ORIG_TD0, 0x40007a98 +.set CYREG_PHUB_TDMEM83_ORIG_TD1, 0x40007a9c +.set CYDEV_PHUB_TDMEM84_BASE, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM84_ORIG_TD0, 0x40007aa0 +.set CYREG_PHUB_TDMEM84_ORIG_TD1, 0x40007aa4 +.set CYDEV_PHUB_TDMEM85_BASE, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM85_ORIG_TD0, 0x40007aa8 +.set CYREG_PHUB_TDMEM85_ORIG_TD1, 0x40007aac +.set CYDEV_PHUB_TDMEM86_BASE, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM86_ORIG_TD0, 0x40007ab0 +.set CYREG_PHUB_TDMEM86_ORIG_TD1, 0x40007ab4 +.set CYDEV_PHUB_TDMEM87_BASE, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM87_ORIG_TD0, 0x40007ab8 +.set CYREG_PHUB_TDMEM87_ORIG_TD1, 0x40007abc +.set CYDEV_PHUB_TDMEM88_BASE, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM88_ORIG_TD0, 0x40007ac0 +.set CYREG_PHUB_TDMEM88_ORIG_TD1, 0x40007ac4 +.set CYDEV_PHUB_TDMEM89_BASE, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM89_ORIG_TD0, 0x40007ac8 +.set CYREG_PHUB_TDMEM89_ORIG_TD1, 0x40007acc +.set CYDEV_PHUB_TDMEM90_BASE, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM90_ORIG_TD0, 0x40007ad0 +.set CYREG_PHUB_TDMEM90_ORIG_TD1, 0x40007ad4 +.set CYDEV_PHUB_TDMEM91_BASE, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM91_ORIG_TD0, 0x40007ad8 +.set CYREG_PHUB_TDMEM91_ORIG_TD1, 0x40007adc +.set CYDEV_PHUB_TDMEM92_BASE, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM92_ORIG_TD0, 0x40007ae0 +.set CYREG_PHUB_TDMEM92_ORIG_TD1, 0x40007ae4 +.set CYDEV_PHUB_TDMEM93_BASE, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM93_ORIG_TD0, 0x40007ae8 +.set CYREG_PHUB_TDMEM93_ORIG_TD1, 0x40007aec +.set CYDEV_PHUB_TDMEM94_BASE, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM94_ORIG_TD0, 0x40007af0 +.set CYREG_PHUB_TDMEM94_ORIG_TD1, 0x40007af4 +.set CYDEV_PHUB_TDMEM95_BASE, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM95_ORIG_TD0, 0x40007af8 +.set CYREG_PHUB_TDMEM95_ORIG_TD1, 0x40007afc +.set CYDEV_PHUB_TDMEM96_BASE, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM96_ORIG_TD0, 0x40007b00 +.set CYREG_PHUB_TDMEM96_ORIG_TD1, 0x40007b04 +.set CYDEV_PHUB_TDMEM97_BASE, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM97_ORIG_TD0, 0x40007b08 +.set CYREG_PHUB_TDMEM97_ORIG_TD1, 0x40007b0c +.set CYDEV_PHUB_TDMEM98_BASE, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM98_ORIG_TD0, 0x40007b10 +.set CYREG_PHUB_TDMEM98_ORIG_TD1, 0x40007b14 +.set CYDEV_PHUB_TDMEM99_BASE, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM99_ORIG_TD0, 0x40007b18 +.set CYREG_PHUB_TDMEM99_ORIG_TD1, 0x40007b1c +.set CYDEV_PHUB_TDMEM100_BASE, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM100_ORIG_TD0, 0x40007b20 +.set CYREG_PHUB_TDMEM100_ORIG_TD1, 0x40007b24 +.set CYDEV_PHUB_TDMEM101_BASE, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM101_ORIG_TD0, 0x40007b28 +.set CYREG_PHUB_TDMEM101_ORIG_TD1, 0x40007b2c +.set CYDEV_PHUB_TDMEM102_BASE, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM102_ORIG_TD0, 0x40007b30 +.set CYREG_PHUB_TDMEM102_ORIG_TD1, 0x40007b34 +.set CYDEV_PHUB_TDMEM103_BASE, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM103_ORIG_TD0, 0x40007b38 +.set CYREG_PHUB_TDMEM103_ORIG_TD1, 0x40007b3c +.set CYDEV_PHUB_TDMEM104_BASE, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM104_ORIG_TD0, 0x40007b40 +.set CYREG_PHUB_TDMEM104_ORIG_TD1, 0x40007b44 +.set CYDEV_PHUB_TDMEM105_BASE, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM105_ORIG_TD0, 0x40007b48 +.set CYREG_PHUB_TDMEM105_ORIG_TD1, 0x40007b4c +.set CYDEV_PHUB_TDMEM106_BASE, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM106_ORIG_TD0, 0x40007b50 +.set CYREG_PHUB_TDMEM106_ORIG_TD1, 0x40007b54 +.set CYDEV_PHUB_TDMEM107_BASE, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM107_ORIG_TD0, 0x40007b58 +.set CYREG_PHUB_TDMEM107_ORIG_TD1, 0x40007b5c +.set CYDEV_PHUB_TDMEM108_BASE, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM108_ORIG_TD0, 0x40007b60 +.set CYREG_PHUB_TDMEM108_ORIG_TD1, 0x40007b64 +.set CYDEV_PHUB_TDMEM109_BASE, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM109_ORIG_TD0, 0x40007b68 +.set CYREG_PHUB_TDMEM109_ORIG_TD1, 0x40007b6c +.set CYDEV_PHUB_TDMEM110_BASE, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM110_ORIG_TD0, 0x40007b70 +.set CYREG_PHUB_TDMEM110_ORIG_TD1, 0x40007b74 +.set CYDEV_PHUB_TDMEM111_BASE, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM111_ORIG_TD0, 0x40007b78 +.set CYREG_PHUB_TDMEM111_ORIG_TD1, 0x40007b7c +.set CYDEV_PHUB_TDMEM112_BASE, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM112_ORIG_TD0, 0x40007b80 +.set CYREG_PHUB_TDMEM112_ORIG_TD1, 0x40007b84 +.set CYDEV_PHUB_TDMEM113_BASE, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM113_ORIG_TD0, 0x40007b88 +.set CYREG_PHUB_TDMEM113_ORIG_TD1, 0x40007b8c +.set CYDEV_PHUB_TDMEM114_BASE, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM114_ORIG_TD0, 0x40007b90 +.set CYREG_PHUB_TDMEM114_ORIG_TD1, 0x40007b94 +.set CYDEV_PHUB_TDMEM115_BASE, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM115_ORIG_TD0, 0x40007b98 +.set CYREG_PHUB_TDMEM115_ORIG_TD1, 0x40007b9c +.set CYDEV_PHUB_TDMEM116_BASE, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM116_ORIG_TD0, 0x40007ba0 +.set CYREG_PHUB_TDMEM116_ORIG_TD1, 0x40007ba4 +.set CYDEV_PHUB_TDMEM117_BASE, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM117_ORIG_TD0, 0x40007ba8 +.set CYREG_PHUB_TDMEM117_ORIG_TD1, 0x40007bac +.set CYDEV_PHUB_TDMEM118_BASE, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM118_ORIG_TD0, 0x40007bb0 +.set CYREG_PHUB_TDMEM118_ORIG_TD1, 0x40007bb4 +.set CYDEV_PHUB_TDMEM119_BASE, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM119_ORIG_TD0, 0x40007bb8 +.set CYREG_PHUB_TDMEM119_ORIG_TD1, 0x40007bbc +.set CYDEV_PHUB_TDMEM120_BASE, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM120_ORIG_TD0, 0x40007bc0 +.set CYREG_PHUB_TDMEM120_ORIG_TD1, 0x40007bc4 +.set CYDEV_PHUB_TDMEM121_BASE, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM121_ORIG_TD0, 0x40007bc8 +.set CYREG_PHUB_TDMEM121_ORIG_TD1, 0x40007bcc +.set CYDEV_PHUB_TDMEM122_BASE, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM122_ORIG_TD0, 0x40007bd0 +.set CYREG_PHUB_TDMEM122_ORIG_TD1, 0x40007bd4 +.set CYDEV_PHUB_TDMEM123_BASE, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM123_ORIG_TD0, 0x40007bd8 +.set CYREG_PHUB_TDMEM123_ORIG_TD1, 0x40007bdc +.set CYDEV_PHUB_TDMEM124_BASE, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM124_ORIG_TD0, 0x40007be0 +.set CYREG_PHUB_TDMEM124_ORIG_TD1, 0x40007be4 +.set CYDEV_PHUB_TDMEM125_BASE, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM125_ORIG_TD0, 0x40007be8 +.set CYREG_PHUB_TDMEM125_ORIG_TD1, 0x40007bec +.set CYDEV_PHUB_TDMEM126_BASE, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM126_ORIG_TD0, 0x40007bf0 +.set CYREG_PHUB_TDMEM126_ORIG_TD1, 0x40007bf4 +.set CYDEV_PHUB_TDMEM127_BASE, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM127_ORIG_TD0, 0x40007bf8 +.set CYREG_PHUB_TDMEM127_ORIG_TD1, 0x40007bfc +.set CYDEV_EE_BASE, 0x40008000 +.set CYDEV_EE_SIZE, 0x00000800 +.set CYREG_EE_DATA_MBASE, 0x40008000 +.set CYREG_EE_DATA_MSIZE, 0x00000800 +.set CYDEV_CAN0_BASE, 0x4000a000 +.set CYDEV_CAN0_SIZE, 0x000002a0 +.set CYDEV_CAN0_CSR_BASE, 0x4000a000 +.set CYDEV_CAN0_CSR_SIZE, 0x00000018 +.set CYREG_CAN0_CSR_INT_SR, 0x4000a000 +.set CYREG_CAN0_CSR_INT_EN, 0x4000a004 +.set CYREG_CAN0_CSR_BUF_SR, 0x4000a008 +.set CYREG_CAN0_CSR_ERR_SR, 0x4000a00c +.set CYREG_CAN0_CSR_CMD, 0x4000a010 +.set CYREG_CAN0_CSR_CFG, 0x4000a014 +.set CYDEV_CAN0_TX0_BASE, 0x4000a020 +.set CYDEV_CAN0_TX0_SIZE, 0x00000010 +.set CYREG_CAN0_TX0_CMD, 0x4000a020 +.set CYREG_CAN0_TX0_ID, 0x4000a024 +.set CYREG_CAN0_TX0_DH, 0x4000a028 +.set CYREG_CAN0_TX0_DL, 0x4000a02c +.set CYDEV_CAN0_TX1_BASE, 0x4000a030 +.set CYDEV_CAN0_TX1_SIZE, 0x00000010 +.set CYREG_CAN0_TX1_CMD, 0x4000a030 +.set CYREG_CAN0_TX1_ID, 0x4000a034 +.set CYREG_CAN0_TX1_DH, 0x4000a038 +.set CYREG_CAN0_TX1_DL, 0x4000a03c +.set CYDEV_CAN0_TX2_BASE, 0x4000a040 +.set CYDEV_CAN0_TX2_SIZE, 0x00000010 +.set CYREG_CAN0_TX2_CMD, 0x4000a040 +.set CYREG_CAN0_TX2_ID, 0x4000a044 +.set CYREG_CAN0_TX2_DH, 0x4000a048 +.set CYREG_CAN0_TX2_DL, 0x4000a04c +.set CYDEV_CAN0_TX3_BASE, 0x4000a050 +.set CYDEV_CAN0_TX3_SIZE, 0x00000010 +.set CYREG_CAN0_TX3_CMD, 0x4000a050 +.set CYREG_CAN0_TX3_ID, 0x4000a054 +.set CYREG_CAN0_TX3_DH, 0x4000a058 +.set CYREG_CAN0_TX3_DL, 0x4000a05c +.set CYDEV_CAN0_TX4_BASE, 0x4000a060 +.set CYDEV_CAN0_TX4_SIZE, 0x00000010 +.set CYREG_CAN0_TX4_CMD, 0x4000a060 +.set CYREG_CAN0_TX4_ID, 0x4000a064 +.set CYREG_CAN0_TX4_DH, 0x4000a068 +.set CYREG_CAN0_TX4_DL, 0x4000a06c +.set CYDEV_CAN0_TX5_BASE, 0x4000a070 +.set CYDEV_CAN0_TX5_SIZE, 0x00000010 +.set CYREG_CAN0_TX5_CMD, 0x4000a070 +.set CYREG_CAN0_TX5_ID, 0x4000a074 +.set CYREG_CAN0_TX5_DH, 0x4000a078 +.set CYREG_CAN0_TX5_DL, 0x4000a07c +.set CYDEV_CAN0_TX6_BASE, 0x4000a080 +.set CYDEV_CAN0_TX6_SIZE, 0x00000010 +.set CYREG_CAN0_TX6_CMD, 0x4000a080 +.set CYREG_CAN0_TX6_ID, 0x4000a084 +.set CYREG_CAN0_TX6_DH, 0x4000a088 +.set CYREG_CAN0_TX6_DL, 0x4000a08c +.set CYDEV_CAN0_TX7_BASE, 0x4000a090 +.set CYDEV_CAN0_TX7_SIZE, 0x00000010 +.set CYREG_CAN0_TX7_CMD, 0x4000a090 +.set CYREG_CAN0_TX7_ID, 0x4000a094 +.set CYREG_CAN0_TX7_DH, 0x4000a098 +.set CYREG_CAN0_TX7_DL, 0x4000a09c +.set CYDEV_CAN0_RX0_BASE, 0x4000a0a0 +.set CYDEV_CAN0_RX0_SIZE, 0x00000020 +.set CYREG_CAN0_RX0_CMD, 0x4000a0a0 +.set CYREG_CAN0_RX0_ID, 0x4000a0a4 +.set CYREG_CAN0_RX0_DH, 0x4000a0a8 +.set CYREG_CAN0_RX0_DL, 0x4000a0ac +.set CYREG_CAN0_RX0_AMR, 0x4000a0b0 +.set CYREG_CAN0_RX0_ACR, 0x4000a0b4 +.set CYREG_CAN0_RX0_AMRD, 0x4000a0b8 +.set CYREG_CAN0_RX0_ACRD, 0x4000a0bc +.set CYDEV_CAN0_RX1_BASE, 0x4000a0c0 +.set CYDEV_CAN0_RX1_SIZE, 0x00000020 +.set CYREG_CAN0_RX1_CMD, 0x4000a0c0 +.set CYREG_CAN0_RX1_ID, 0x4000a0c4 +.set CYREG_CAN0_RX1_DH, 0x4000a0c8 +.set CYREG_CAN0_RX1_DL, 0x4000a0cc +.set CYREG_CAN0_RX1_AMR, 0x4000a0d0 +.set CYREG_CAN0_RX1_ACR, 0x4000a0d4 +.set CYREG_CAN0_RX1_AMRD, 0x4000a0d8 +.set CYREG_CAN0_RX1_ACRD, 0x4000a0dc +.set CYDEV_CAN0_RX2_BASE, 0x4000a0e0 +.set CYDEV_CAN0_RX2_SIZE, 0x00000020 +.set CYREG_CAN0_RX2_CMD, 0x4000a0e0 +.set CYREG_CAN0_RX2_ID, 0x4000a0e4 +.set CYREG_CAN0_RX2_DH, 0x4000a0e8 +.set CYREG_CAN0_RX2_DL, 0x4000a0ec +.set CYREG_CAN0_RX2_AMR, 0x4000a0f0 +.set CYREG_CAN0_RX2_ACR, 0x4000a0f4 +.set CYREG_CAN0_RX2_AMRD, 0x4000a0f8 +.set CYREG_CAN0_RX2_ACRD, 0x4000a0fc +.set CYDEV_CAN0_RX3_BASE, 0x4000a100 +.set CYDEV_CAN0_RX3_SIZE, 0x00000020 +.set CYREG_CAN0_RX3_CMD, 0x4000a100 +.set CYREG_CAN0_RX3_ID, 0x4000a104 +.set CYREG_CAN0_RX3_DH, 0x4000a108 +.set CYREG_CAN0_RX3_DL, 0x4000a10c +.set CYREG_CAN0_RX3_AMR, 0x4000a110 +.set CYREG_CAN0_RX3_ACR, 0x4000a114 +.set CYREG_CAN0_RX3_AMRD, 0x4000a118 +.set CYREG_CAN0_RX3_ACRD, 0x4000a11c +.set CYDEV_CAN0_RX4_BASE, 0x4000a120 +.set CYDEV_CAN0_RX4_SIZE, 0x00000020 +.set CYREG_CAN0_RX4_CMD, 0x4000a120 +.set CYREG_CAN0_RX4_ID, 0x4000a124 +.set CYREG_CAN0_RX4_DH, 0x4000a128 +.set CYREG_CAN0_RX4_DL, 0x4000a12c +.set CYREG_CAN0_RX4_AMR, 0x4000a130 +.set CYREG_CAN0_RX4_ACR, 0x4000a134 +.set CYREG_CAN0_RX4_AMRD, 0x4000a138 +.set CYREG_CAN0_RX4_ACRD, 0x4000a13c +.set CYDEV_CAN0_RX5_BASE, 0x4000a140 +.set CYDEV_CAN0_RX5_SIZE, 0x00000020 +.set CYREG_CAN0_RX5_CMD, 0x4000a140 +.set CYREG_CAN0_RX5_ID, 0x4000a144 +.set CYREG_CAN0_RX5_DH, 0x4000a148 +.set CYREG_CAN0_RX5_DL, 0x4000a14c +.set CYREG_CAN0_RX5_AMR, 0x4000a150 +.set CYREG_CAN0_RX5_ACR, 0x4000a154 +.set CYREG_CAN0_RX5_AMRD, 0x4000a158 +.set CYREG_CAN0_RX5_ACRD, 0x4000a15c +.set CYDEV_CAN0_RX6_BASE, 0x4000a160 +.set CYDEV_CAN0_RX6_SIZE, 0x00000020 +.set CYREG_CAN0_RX6_CMD, 0x4000a160 +.set CYREG_CAN0_RX6_ID, 0x4000a164 +.set CYREG_CAN0_RX6_DH, 0x4000a168 +.set CYREG_CAN0_RX6_DL, 0x4000a16c +.set CYREG_CAN0_RX6_AMR, 0x4000a170 +.set CYREG_CAN0_RX6_ACR, 0x4000a174 +.set CYREG_CAN0_RX6_AMRD, 0x4000a178 +.set CYREG_CAN0_RX6_ACRD, 0x4000a17c +.set CYDEV_CAN0_RX7_BASE, 0x4000a180 +.set CYDEV_CAN0_RX7_SIZE, 0x00000020 +.set CYREG_CAN0_RX7_CMD, 0x4000a180 +.set CYREG_CAN0_RX7_ID, 0x4000a184 +.set CYREG_CAN0_RX7_DH, 0x4000a188 +.set CYREG_CAN0_RX7_DL, 0x4000a18c +.set CYREG_CAN0_RX7_AMR, 0x4000a190 +.set CYREG_CAN0_RX7_ACR, 0x4000a194 +.set CYREG_CAN0_RX7_AMRD, 0x4000a198 +.set CYREG_CAN0_RX7_ACRD, 0x4000a19c +.set CYDEV_CAN0_RX8_BASE, 0x4000a1a0 +.set CYDEV_CAN0_RX8_SIZE, 0x00000020 +.set CYREG_CAN0_RX8_CMD, 0x4000a1a0 +.set CYREG_CAN0_RX8_ID, 0x4000a1a4 +.set CYREG_CAN0_RX8_DH, 0x4000a1a8 +.set CYREG_CAN0_RX8_DL, 0x4000a1ac +.set CYREG_CAN0_RX8_AMR, 0x4000a1b0 +.set CYREG_CAN0_RX8_ACR, 0x4000a1b4 +.set CYREG_CAN0_RX8_AMRD, 0x4000a1b8 +.set CYREG_CAN0_RX8_ACRD, 0x4000a1bc +.set CYDEV_CAN0_RX9_BASE, 0x4000a1c0 +.set CYDEV_CAN0_RX9_SIZE, 0x00000020 +.set CYREG_CAN0_RX9_CMD, 0x4000a1c0 +.set CYREG_CAN0_RX9_ID, 0x4000a1c4 +.set CYREG_CAN0_RX9_DH, 0x4000a1c8 +.set CYREG_CAN0_RX9_DL, 0x4000a1cc +.set CYREG_CAN0_RX9_AMR, 0x4000a1d0 +.set CYREG_CAN0_RX9_ACR, 0x4000a1d4 +.set CYREG_CAN0_RX9_AMRD, 0x4000a1d8 +.set CYREG_CAN0_RX9_ACRD, 0x4000a1dc +.set CYDEV_CAN0_RX10_BASE, 0x4000a1e0 +.set CYDEV_CAN0_RX10_SIZE, 0x00000020 +.set CYREG_CAN0_RX10_CMD, 0x4000a1e0 +.set CYREG_CAN0_RX10_ID, 0x4000a1e4 +.set CYREG_CAN0_RX10_DH, 0x4000a1e8 +.set CYREG_CAN0_RX10_DL, 0x4000a1ec +.set CYREG_CAN0_RX10_AMR, 0x4000a1f0 +.set CYREG_CAN0_RX10_ACR, 0x4000a1f4 +.set CYREG_CAN0_RX10_AMRD, 0x4000a1f8 +.set CYREG_CAN0_RX10_ACRD, 0x4000a1fc +.set CYDEV_CAN0_RX11_BASE, 0x4000a200 +.set CYDEV_CAN0_RX11_SIZE, 0x00000020 +.set CYREG_CAN0_RX11_CMD, 0x4000a200 +.set CYREG_CAN0_RX11_ID, 0x4000a204 +.set CYREG_CAN0_RX11_DH, 0x4000a208 +.set CYREG_CAN0_RX11_DL, 0x4000a20c +.set CYREG_CAN0_RX11_AMR, 0x4000a210 +.set CYREG_CAN0_RX11_ACR, 0x4000a214 +.set CYREG_CAN0_RX11_AMRD, 0x4000a218 +.set CYREG_CAN0_RX11_ACRD, 0x4000a21c +.set CYDEV_CAN0_RX12_BASE, 0x4000a220 +.set CYDEV_CAN0_RX12_SIZE, 0x00000020 +.set CYREG_CAN0_RX12_CMD, 0x4000a220 +.set CYREG_CAN0_RX12_ID, 0x4000a224 +.set CYREG_CAN0_RX12_DH, 0x4000a228 +.set CYREG_CAN0_RX12_DL, 0x4000a22c +.set CYREG_CAN0_RX12_AMR, 0x4000a230 +.set CYREG_CAN0_RX12_ACR, 0x4000a234 +.set CYREG_CAN0_RX12_AMRD, 0x4000a238 +.set CYREG_CAN0_RX12_ACRD, 0x4000a23c +.set CYDEV_CAN0_RX13_BASE, 0x4000a240 +.set CYDEV_CAN0_RX13_SIZE, 0x00000020 +.set CYREG_CAN0_RX13_CMD, 0x4000a240 +.set CYREG_CAN0_RX13_ID, 0x4000a244 +.set CYREG_CAN0_RX13_DH, 0x4000a248 +.set CYREG_CAN0_RX13_DL, 0x4000a24c +.set CYREG_CAN0_RX13_AMR, 0x4000a250 +.set CYREG_CAN0_RX13_ACR, 0x4000a254 +.set CYREG_CAN0_RX13_AMRD, 0x4000a258 +.set CYREG_CAN0_RX13_ACRD, 0x4000a25c +.set CYDEV_CAN0_RX14_BASE, 0x4000a260 +.set CYDEV_CAN0_RX14_SIZE, 0x00000020 +.set CYREG_CAN0_RX14_CMD, 0x4000a260 +.set CYREG_CAN0_RX14_ID, 0x4000a264 +.set CYREG_CAN0_RX14_DH, 0x4000a268 +.set CYREG_CAN0_RX14_DL, 0x4000a26c +.set CYREG_CAN0_RX14_AMR, 0x4000a270 +.set CYREG_CAN0_RX14_ACR, 0x4000a274 +.set CYREG_CAN0_RX14_AMRD, 0x4000a278 +.set CYREG_CAN0_RX14_ACRD, 0x4000a27c +.set CYDEV_CAN0_RX15_BASE, 0x4000a280 +.set CYDEV_CAN0_RX15_SIZE, 0x00000020 +.set CYREG_CAN0_RX15_CMD, 0x4000a280 +.set CYREG_CAN0_RX15_ID, 0x4000a284 +.set CYREG_CAN0_RX15_DH, 0x4000a288 +.set CYREG_CAN0_RX15_DL, 0x4000a28c +.set CYREG_CAN0_RX15_AMR, 0x4000a290 +.set CYREG_CAN0_RX15_ACR, 0x4000a294 +.set CYREG_CAN0_RX15_AMRD, 0x4000a298 +.set CYREG_CAN0_RX15_ACRD, 0x4000a29c +.set CYDEV_DFB0_BASE, 0x4000c000 +.set CYDEV_DFB0_SIZE, 0x000007b5 +.set CYDEV_DFB0_DPA_SRAM_BASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_SIZE, 0x00000200 +.set CYREG_DFB0_DPA_SRAM_DATA_MBASE, 0x4000c000 +.set CYREG_DFB0_DPA_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_BASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_SIZE, 0x00000200 +.set CYREG_DFB0_DPB_SRAM_DATA_MBASE, 0x4000c200 +.set CYREG_DFB0_DPB_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_CSA_SRAM_BASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_CSA_SRAM_DATA_MBASE, 0x4000c400 +.set CYREG_DFB0_CSA_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_BASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_CSB_SRAM_DATA_MBASE, 0x4000c500 +.set CYREG_DFB0_CSB_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_BASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_FSM_SRAM_DATA_MBASE, 0x4000c600 +.set CYREG_DFB0_FSM_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_ACU_SRAM_BASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_SIZE, 0x00000040 +.set CYREG_DFB0_ACU_SRAM_DATA_MBASE, 0x4000c700 +.set CYREG_DFB0_ACU_SRAM_DATA_MSIZE, 0x00000040 +.set CYREG_DFB0_CR, 0x4000c780 +.set CYREG_DFB0_SR, 0x4000c784 +.set CYREG_DFB0_RAM_EN, 0x4000c788 +.set CYREG_DFB0_RAM_DIR, 0x4000c78c +.set CYREG_DFB0_SEMA, 0x4000c790 +.set CYREG_DFB0_DSI_CTRL, 0x4000c794 +.set CYREG_DFB0_INT_CTRL, 0x4000c798 +.set CYREG_DFB0_DMA_CTRL, 0x4000c79c +.set CYREG_DFB0_STAGEA, 0x4000c7a0 +.set CYREG_DFB0_STAGEAM, 0x4000c7a1 +.set CYREG_DFB0_STAGEAH, 0x4000c7a2 +.set CYREG_DFB0_STAGEB, 0x4000c7a4 +.set CYREG_DFB0_STAGEBM, 0x4000c7a5 +.set CYREG_DFB0_STAGEBH, 0x4000c7a6 +.set CYREG_DFB0_HOLDA, 0x4000c7a8 +.set CYREG_DFB0_HOLDAM, 0x4000c7a9 +.set CYREG_DFB0_HOLDAH, 0x4000c7aa +.set CYREG_DFB0_HOLDAS, 0x4000c7ab +.set CYREG_DFB0_HOLDB, 0x4000c7ac +.set CYREG_DFB0_HOLDBM, 0x4000c7ad +.set CYREG_DFB0_HOLDBH, 0x4000c7ae +.set CYREG_DFB0_HOLDBS, 0x4000c7af +.set CYREG_DFB0_COHER, 0x4000c7b0 +.set CYREG_DFB0_DALIGN, 0x4000c7b4 +.set CYDEV_UCFG_BASE, 0x40010000 +.set CYDEV_UCFG_SIZE, 0x00005040 +.set CYDEV_UCFG_B0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_SIZE, 0x00000fef +.set CYDEV_UCFG_B0_P0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P0_U0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_SIZE, 0x00000070 +.set CYREG_B0_P0_U0_PLD_IT0, 0x40010000 +.set CYREG_B0_P0_U0_PLD_IT1, 0x40010004 +.set CYREG_B0_P0_U0_PLD_IT2, 0x40010008 +.set CYREG_B0_P0_U0_PLD_IT3, 0x4001000c +.set CYREG_B0_P0_U0_PLD_IT4, 0x40010010 +.set CYREG_B0_P0_U0_PLD_IT5, 0x40010014 +.set CYREG_B0_P0_U0_PLD_IT6, 0x40010018 +.set CYREG_B0_P0_U0_PLD_IT7, 0x4001001c +.set CYREG_B0_P0_U0_PLD_IT8, 0x40010020 +.set CYREG_B0_P0_U0_PLD_IT9, 0x40010024 +.set CYREG_B0_P0_U0_PLD_IT10, 0x40010028 +.set CYREG_B0_P0_U0_PLD_IT11, 0x4001002c +.set CYREG_B0_P0_U0_PLD_ORT0, 0x40010030 +.set CYREG_B0_P0_U0_PLD_ORT1, 0x40010032 +.set CYREG_B0_P0_U0_PLD_ORT2, 0x40010034 +.set CYREG_B0_P0_U0_PLD_ORT3, 0x40010036 +.set CYREG_B0_P0_U0_MC_CFG_CEN_CONST, 0x40010038 +.set CYREG_B0_P0_U0_MC_CFG_XORFB, 0x4001003a +.set CYREG_B0_P0_U0_MC_CFG_SET_RESET, 0x4001003c +.set CYREG_B0_P0_U0_MC_CFG_BYPASS, 0x4001003e +.set CYREG_B0_P0_U0_CFG0, 0x40010040 +.set CYREG_B0_P0_U0_CFG1, 0x40010041 +.set CYREG_B0_P0_U0_CFG2, 0x40010042 +.set CYREG_B0_P0_U0_CFG3, 0x40010043 +.set CYREG_B0_P0_U0_CFG4, 0x40010044 +.set CYREG_B0_P0_U0_CFG5, 0x40010045 +.set CYREG_B0_P0_U0_CFG6, 0x40010046 +.set CYREG_B0_P0_U0_CFG7, 0x40010047 +.set CYREG_B0_P0_U0_CFG8, 0x40010048 +.set CYREG_B0_P0_U0_CFG9, 0x40010049 +.set CYREG_B0_P0_U0_CFG10, 0x4001004a +.set CYREG_B0_P0_U0_CFG11, 0x4001004b +.set CYREG_B0_P0_U0_CFG12, 0x4001004c +.set CYREG_B0_P0_U0_CFG13, 0x4001004d +.set CYREG_B0_P0_U0_CFG14, 0x4001004e +.set CYREG_B0_P0_U0_CFG15, 0x4001004f +.set CYREG_B0_P0_U0_CFG16, 0x40010050 +.set CYREG_B0_P0_U0_CFG17, 0x40010051 +.set CYREG_B0_P0_U0_CFG18, 0x40010052 +.set CYREG_B0_P0_U0_CFG19, 0x40010053 +.set CYREG_B0_P0_U0_CFG20, 0x40010054 +.set CYREG_B0_P0_U0_CFG21, 0x40010055 +.set CYREG_B0_P0_U0_CFG22, 0x40010056 +.set CYREG_B0_P0_U0_CFG23, 0x40010057 +.set CYREG_B0_P0_U0_CFG24, 0x40010058 +.set CYREG_B0_P0_U0_CFG25, 0x40010059 +.set CYREG_B0_P0_U0_CFG26, 0x4001005a +.set CYREG_B0_P0_U0_CFG27, 0x4001005b +.set CYREG_B0_P0_U0_CFG28, 0x4001005c +.set CYREG_B0_P0_U0_CFG29, 0x4001005d +.set CYREG_B0_P0_U0_CFG30, 0x4001005e +.set CYREG_B0_P0_U0_CFG31, 0x4001005f +.set CYREG_B0_P0_U0_DCFG0, 0x40010060 +.set CYREG_B0_P0_U0_DCFG1, 0x40010062 +.set CYREG_B0_P0_U0_DCFG2, 0x40010064 +.set CYREG_B0_P0_U0_DCFG3, 0x40010066 +.set CYREG_B0_P0_U0_DCFG4, 0x40010068 +.set CYREG_B0_P0_U0_DCFG5, 0x4001006a +.set CYREG_B0_P0_U0_DCFG6, 0x4001006c +.set CYREG_B0_P0_U0_DCFG7, 0x4001006e +.set CYDEV_UCFG_B0_P0_U1_BASE, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_SIZE, 0x00000070 +.set CYREG_B0_P0_U1_PLD_IT0, 0x40010080 +.set CYREG_B0_P0_U1_PLD_IT1, 0x40010084 +.set CYREG_B0_P0_U1_PLD_IT2, 0x40010088 +.set CYREG_B0_P0_U1_PLD_IT3, 0x4001008c +.set CYREG_B0_P0_U1_PLD_IT4, 0x40010090 +.set CYREG_B0_P0_U1_PLD_IT5, 0x40010094 +.set CYREG_B0_P0_U1_PLD_IT6, 0x40010098 +.set CYREG_B0_P0_U1_PLD_IT7, 0x4001009c +.set CYREG_B0_P0_U1_PLD_IT8, 0x400100a0 +.set CYREG_B0_P0_U1_PLD_IT9, 0x400100a4 +.set CYREG_B0_P0_U1_PLD_IT10, 0x400100a8 +.set CYREG_B0_P0_U1_PLD_IT11, 0x400100ac +.set CYREG_B0_P0_U1_PLD_ORT0, 0x400100b0 +.set CYREG_B0_P0_U1_PLD_ORT1, 0x400100b2 +.set CYREG_B0_P0_U1_PLD_ORT2, 0x400100b4 +.set CYREG_B0_P0_U1_PLD_ORT3, 0x400100b6 +.set CYREG_B0_P0_U1_MC_CFG_CEN_CONST, 0x400100b8 +.set CYREG_B0_P0_U1_MC_CFG_XORFB, 0x400100ba +.set CYREG_B0_P0_U1_MC_CFG_SET_RESET, 0x400100bc +.set CYREG_B0_P0_U1_MC_CFG_BYPASS, 0x400100be +.set CYREG_B0_P0_U1_CFG0, 0x400100c0 +.set CYREG_B0_P0_U1_CFG1, 0x400100c1 +.set CYREG_B0_P0_U1_CFG2, 0x400100c2 +.set CYREG_B0_P0_U1_CFG3, 0x400100c3 +.set CYREG_B0_P0_U1_CFG4, 0x400100c4 +.set CYREG_B0_P0_U1_CFG5, 0x400100c5 +.set CYREG_B0_P0_U1_CFG6, 0x400100c6 +.set CYREG_B0_P0_U1_CFG7, 0x400100c7 +.set CYREG_B0_P0_U1_CFG8, 0x400100c8 +.set CYREG_B0_P0_U1_CFG9, 0x400100c9 +.set CYREG_B0_P0_U1_CFG10, 0x400100ca +.set CYREG_B0_P0_U1_CFG11, 0x400100cb +.set CYREG_B0_P0_U1_CFG12, 0x400100cc +.set CYREG_B0_P0_U1_CFG13, 0x400100cd +.set CYREG_B0_P0_U1_CFG14, 0x400100ce +.set CYREG_B0_P0_U1_CFG15, 0x400100cf +.set CYREG_B0_P0_U1_CFG16, 0x400100d0 +.set CYREG_B0_P0_U1_CFG17, 0x400100d1 +.set CYREG_B0_P0_U1_CFG18, 0x400100d2 +.set CYREG_B0_P0_U1_CFG19, 0x400100d3 +.set CYREG_B0_P0_U1_CFG20, 0x400100d4 +.set CYREG_B0_P0_U1_CFG21, 0x400100d5 +.set CYREG_B0_P0_U1_CFG22, 0x400100d6 +.set CYREG_B0_P0_U1_CFG23, 0x400100d7 +.set CYREG_B0_P0_U1_CFG24, 0x400100d8 +.set CYREG_B0_P0_U1_CFG25, 0x400100d9 +.set CYREG_B0_P0_U1_CFG26, 0x400100da +.set CYREG_B0_P0_U1_CFG27, 0x400100db +.set CYREG_B0_P0_U1_CFG28, 0x400100dc +.set CYREG_B0_P0_U1_CFG29, 0x400100dd +.set CYREG_B0_P0_U1_CFG30, 0x400100de +.set CYREG_B0_P0_U1_CFG31, 0x400100df +.set CYREG_B0_P0_U1_DCFG0, 0x400100e0 +.set CYREG_B0_P0_U1_DCFG1, 0x400100e2 +.set CYREG_B0_P0_U1_DCFG2, 0x400100e4 +.set CYREG_B0_P0_U1_DCFG3, 0x400100e6 +.set CYREG_B0_P0_U1_DCFG4, 0x400100e8 +.set CYREG_B0_P0_U1_DCFG5, 0x400100ea +.set CYREG_B0_P0_U1_DCFG6, 0x400100ec +.set CYREG_B0_P0_U1_DCFG7, 0x400100ee +.set CYDEV_UCFG_B0_P0_ROUTE_BASE, 0x40010100 +.set CYDEV_UCFG_B0_P0_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P1_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P1_U0_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_SIZE, 0x00000070 +.set CYREG_B0_P1_U0_PLD_IT0, 0x40010200 +.set CYREG_B0_P1_U0_PLD_IT1, 0x40010204 +.set CYREG_B0_P1_U0_PLD_IT2, 0x40010208 +.set CYREG_B0_P1_U0_PLD_IT3, 0x4001020c +.set CYREG_B0_P1_U0_PLD_IT4, 0x40010210 +.set CYREG_B0_P1_U0_PLD_IT5, 0x40010214 +.set CYREG_B0_P1_U0_PLD_IT6, 0x40010218 +.set CYREG_B0_P1_U0_PLD_IT7, 0x4001021c +.set CYREG_B0_P1_U0_PLD_IT8, 0x40010220 +.set CYREG_B0_P1_U0_PLD_IT9, 0x40010224 +.set CYREG_B0_P1_U0_PLD_IT10, 0x40010228 +.set CYREG_B0_P1_U0_PLD_IT11, 0x4001022c +.set CYREG_B0_P1_U0_PLD_ORT0, 0x40010230 +.set CYREG_B0_P1_U0_PLD_ORT1, 0x40010232 +.set CYREG_B0_P1_U0_PLD_ORT2, 0x40010234 +.set CYREG_B0_P1_U0_PLD_ORT3, 0x40010236 +.set CYREG_B0_P1_U0_MC_CFG_CEN_CONST, 0x40010238 +.set CYREG_B0_P1_U0_MC_CFG_XORFB, 0x4001023a +.set CYREG_B0_P1_U0_MC_CFG_SET_RESET, 0x4001023c +.set CYREG_B0_P1_U0_MC_CFG_BYPASS, 0x4001023e +.set CYREG_B0_P1_U0_CFG0, 0x40010240 +.set CYREG_B0_P1_U0_CFG1, 0x40010241 +.set CYREG_B0_P1_U0_CFG2, 0x40010242 +.set CYREG_B0_P1_U0_CFG3, 0x40010243 +.set CYREG_B0_P1_U0_CFG4, 0x40010244 +.set CYREG_B0_P1_U0_CFG5, 0x40010245 +.set CYREG_B0_P1_U0_CFG6, 0x40010246 +.set CYREG_B0_P1_U0_CFG7, 0x40010247 +.set CYREG_B0_P1_U0_CFG8, 0x40010248 +.set CYREG_B0_P1_U0_CFG9, 0x40010249 +.set CYREG_B0_P1_U0_CFG10, 0x4001024a +.set CYREG_B0_P1_U0_CFG11, 0x4001024b +.set CYREG_B0_P1_U0_CFG12, 0x4001024c +.set CYREG_B0_P1_U0_CFG13, 0x4001024d +.set CYREG_B0_P1_U0_CFG14, 0x4001024e +.set CYREG_B0_P1_U0_CFG15, 0x4001024f +.set CYREG_B0_P1_U0_CFG16, 0x40010250 +.set CYREG_B0_P1_U0_CFG17, 0x40010251 +.set CYREG_B0_P1_U0_CFG18, 0x40010252 +.set CYREG_B0_P1_U0_CFG19, 0x40010253 +.set CYREG_B0_P1_U0_CFG20, 0x40010254 +.set CYREG_B0_P1_U0_CFG21, 0x40010255 +.set CYREG_B0_P1_U0_CFG22, 0x40010256 +.set CYREG_B0_P1_U0_CFG23, 0x40010257 +.set CYREG_B0_P1_U0_CFG24, 0x40010258 +.set CYREG_B0_P1_U0_CFG25, 0x40010259 +.set CYREG_B0_P1_U0_CFG26, 0x4001025a +.set CYREG_B0_P1_U0_CFG27, 0x4001025b +.set CYREG_B0_P1_U0_CFG28, 0x4001025c +.set CYREG_B0_P1_U0_CFG29, 0x4001025d +.set CYREG_B0_P1_U0_CFG30, 0x4001025e +.set CYREG_B0_P1_U0_CFG31, 0x4001025f +.set CYREG_B0_P1_U0_DCFG0, 0x40010260 +.set CYREG_B0_P1_U0_DCFG1, 0x40010262 +.set CYREG_B0_P1_U0_DCFG2, 0x40010264 +.set CYREG_B0_P1_U0_DCFG3, 0x40010266 +.set CYREG_B0_P1_U0_DCFG4, 0x40010268 +.set CYREG_B0_P1_U0_DCFG5, 0x4001026a +.set CYREG_B0_P1_U0_DCFG6, 0x4001026c +.set CYREG_B0_P1_U0_DCFG7, 0x4001026e +.set CYDEV_UCFG_B0_P1_U1_BASE, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_SIZE, 0x00000070 +.set CYREG_B0_P1_U1_PLD_IT0, 0x40010280 +.set CYREG_B0_P1_U1_PLD_IT1, 0x40010284 +.set CYREG_B0_P1_U1_PLD_IT2, 0x40010288 +.set CYREG_B0_P1_U1_PLD_IT3, 0x4001028c +.set CYREG_B0_P1_U1_PLD_IT4, 0x40010290 +.set CYREG_B0_P1_U1_PLD_IT5, 0x40010294 +.set CYREG_B0_P1_U1_PLD_IT6, 0x40010298 +.set CYREG_B0_P1_U1_PLD_IT7, 0x4001029c +.set CYREG_B0_P1_U1_PLD_IT8, 0x400102a0 +.set CYREG_B0_P1_U1_PLD_IT9, 0x400102a4 +.set CYREG_B0_P1_U1_PLD_IT10, 0x400102a8 +.set CYREG_B0_P1_U1_PLD_IT11, 0x400102ac +.set CYREG_B0_P1_U1_PLD_ORT0, 0x400102b0 +.set CYREG_B0_P1_U1_PLD_ORT1, 0x400102b2 +.set CYREG_B0_P1_U1_PLD_ORT2, 0x400102b4 +.set CYREG_B0_P1_U1_PLD_ORT3, 0x400102b6 +.set CYREG_B0_P1_U1_MC_CFG_CEN_CONST, 0x400102b8 +.set CYREG_B0_P1_U1_MC_CFG_XORFB, 0x400102ba +.set CYREG_B0_P1_U1_MC_CFG_SET_RESET, 0x400102bc +.set CYREG_B0_P1_U1_MC_CFG_BYPASS, 0x400102be +.set CYREG_B0_P1_U1_CFG0, 0x400102c0 +.set CYREG_B0_P1_U1_CFG1, 0x400102c1 +.set CYREG_B0_P1_U1_CFG2, 0x400102c2 +.set CYREG_B0_P1_U1_CFG3, 0x400102c3 +.set CYREG_B0_P1_U1_CFG4, 0x400102c4 +.set CYREG_B0_P1_U1_CFG5, 0x400102c5 +.set CYREG_B0_P1_U1_CFG6, 0x400102c6 +.set CYREG_B0_P1_U1_CFG7, 0x400102c7 +.set CYREG_B0_P1_U1_CFG8, 0x400102c8 +.set CYREG_B0_P1_U1_CFG9, 0x400102c9 +.set CYREG_B0_P1_U1_CFG10, 0x400102ca +.set CYREG_B0_P1_U1_CFG11, 0x400102cb +.set CYREG_B0_P1_U1_CFG12, 0x400102cc +.set CYREG_B0_P1_U1_CFG13, 0x400102cd +.set CYREG_B0_P1_U1_CFG14, 0x400102ce +.set CYREG_B0_P1_U1_CFG15, 0x400102cf +.set CYREG_B0_P1_U1_CFG16, 0x400102d0 +.set CYREG_B0_P1_U1_CFG17, 0x400102d1 +.set CYREG_B0_P1_U1_CFG18, 0x400102d2 +.set CYREG_B0_P1_U1_CFG19, 0x400102d3 +.set CYREG_B0_P1_U1_CFG20, 0x400102d4 +.set CYREG_B0_P1_U1_CFG21, 0x400102d5 +.set CYREG_B0_P1_U1_CFG22, 0x400102d6 +.set CYREG_B0_P1_U1_CFG23, 0x400102d7 +.set CYREG_B0_P1_U1_CFG24, 0x400102d8 +.set CYREG_B0_P1_U1_CFG25, 0x400102d9 +.set CYREG_B0_P1_U1_CFG26, 0x400102da +.set CYREG_B0_P1_U1_CFG27, 0x400102db +.set CYREG_B0_P1_U1_CFG28, 0x400102dc +.set CYREG_B0_P1_U1_CFG29, 0x400102dd +.set CYREG_B0_P1_U1_CFG30, 0x400102de +.set CYREG_B0_P1_U1_CFG31, 0x400102df +.set CYREG_B0_P1_U1_DCFG0, 0x400102e0 +.set CYREG_B0_P1_U1_DCFG1, 0x400102e2 +.set CYREG_B0_P1_U1_DCFG2, 0x400102e4 +.set CYREG_B0_P1_U1_DCFG3, 0x400102e6 +.set CYREG_B0_P1_U1_DCFG4, 0x400102e8 +.set CYREG_B0_P1_U1_DCFG5, 0x400102ea +.set CYREG_B0_P1_U1_DCFG6, 0x400102ec +.set CYREG_B0_P1_U1_DCFG7, 0x400102ee +.set CYDEV_UCFG_B0_P1_ROUTE_BASE, 0x40010300 +.set CYDEV_UCFG_B0_P1_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P2_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P2_U0_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_SIZE, 0x00000070 +.set CYREG_B0_P2_U0_PLD_IT0, 0x40010400 +.set CYREG_B0_P2_U0_PLD_IT1, 0x40010404 +.set CYREG_B0_P2_U0_PLD_IT2, 0x40010408 +.set CYREG_B0_P2_U0_PLD_IT3, 0x4001040c +.set CYREG_B0_P2_U0_PLD_IT4, 0x40010410 +.set CYREG_B0_P2_U0_PLD_IT5, 0x40010414 +.set CYREG_B0_P2_U0_PLD_IT6, 0x40010418 +.set CYREG_B0_P2_U0_PLD_IT7, 0x4001041c +.set CYREG_B0_P2_U0_PLD_IT8, 0x40010420 +.set CYREG_B0_P2_U0_PLD_IT9, 0x40010424 +.set CYREG_B0_P2_U0_PLD_IT10, 0x40010428 +.set CYREG_B0_P2_U0_PLD_IT11, 0x4001042c +.set CYREG_B0_P2_U0_PLD_ORT0, 0x40010430 +.set CYREG_B0_P2_U0_PLD_ORT1, 0x40010432 +.set CYREG_B0_P2_U0_PLD_ORT2, 0x40010434 +.set CYREG_B0_P2_U0_PLD_ORT3, 0x40010436 +.set CYREG_B0_P2_U0_MC_CFG_CEN_CONST, 0x40010438 +.set CYREG_B0_P2_U0_MC_CFG_XORFB, 0x4001043a +.set CYREG_B0_P2_U0_MC_CFG_SET_RESET, 0x4001043c +.set CYREG_B0_P2_U0_MC_CFG_BYPASS, 0x4001043e +.set CYREG_B0_P2_U0_CFG0, 0x40010440 +.set CYREG_B0_P2_U0_CFG1, 0x40010441 +.set CYREG_B0_P2_U0_CFG2, 0x40010442 +.set CYREG_B0_P2_U0_CFG3, 0x40010443 +.set CYREG_B0_P2_U0_CFG4, 0x40010444 +.set CYREG_B0_P2_U0_CFG5, 0x40010445 +.set CYREG_B0_P2_U0_CFG6, 0x40010446 +.set CYREG_B0_P2_U0_CFG7, 0x40010447 +.set CYREG_B0_P2_U0_CFG8, 0x40010448 +.set CYREG_B0_P2_U0_CFG9, 0x40010449 +.set CYREG_B0_P2_U0_CFG10, 0x4001044a +.set CYREG_B0_P2_U0_CFG11, 0x4001044b +.set CYREG_B0_P2_U0_CFG12, 0x4001044c +.set CYREG_B0_P2_U0_CFG13, 0x4001044d +.set CYREG_B0_P2_U0_CFG14, 0x4001044e +.set CYREG_B0_P2_U0_CFG15, 0x4001044f +.set CYREG_B0_P2_U0_CFG16, 0x40010450 +.set CYREG_B0_P2_U0_CFG17, 0x40010451 +.set CYREG_B0_P2_U0_CFG18, 0x40010452 +.set CYREG_B0_P2_U0_CFG19, 0x40010453 +.set CYREG_B0_P2_U0_CFG20, 0x40010454 +.set CYREG_B0_P2_U0_CFG21, 0x40010455 +.set CYREG_B0_P2_U0_CFG22, 0x40010456 +.set CYREG_B0_P2_U0_CFG23, 0x40010457 +.set CYREG_B0_P2_U0_CFG24, 0x40010458 +.set CYREG_B0_P2_U0_CFG25, 0x40010459 +.set CYREG_B0_P2_U0_CFG26, 0x4001045a +.set CYREG_B0_P2_U0_CFG27, 0x4001045b +.set CYREG_B0_P2_U0_CFG28, 0x4001045c +.set CYREG_B0_P2_U0_CFG29, 0x4001045d +.set CYREG_B0_P2_U0_CFG30, 0x4001045e +.set CYREG_B0_P2_U0_CFG31, 0x4001045f +.set CYREG_B0_P2_U0_DCFG0, 0x40010460 +.set CYREG_B0_P2_U0_DCFG1, 0x40010462 +.set CYREG_B0_P2_U0_DCFG2, 0x40010464 +.set CYREG_B0_P2_U0_DCFG3, 0x40010466 +.set CYREG_B0_P2_U0_DCFG4, 0x40010468 +.set CYREG_B0_P2_U0_DCFG5, 0x4001046a +.set CYREG_B0_P2_U0_DCFG6, 0x4001046c +.set CYREG_B0_P2_U0_DCFG7, 0x4001046e +.set CYDEV_UCFG_B0_P2_U1_BASE, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_SIZE, 0x00000070 +.set CYREG_B0_P2_U1_PLD_IT0, 0x40010480 +.set CYREG_B0_P2_U1_PLD_IT1, 0x40010484 +.set CYREG_B0_P2_U1_PLD_IT2, 0x40010488 +.set CYREG_B0_P2_U1_PLD_IT3, 0x4001048c +.set CYREG_B0_P2_U1_PLD_IT4, 0x40010490 +.set CYREG_B0_P2_U1_PLD_IT5, 0x40010494 +.set CYREG_B0_P2_U1_PLD_IT6, 0x40010498 +.set CYREG_B0_P2_U1_PLD_IT7, 0x4001049c +.set CYREG_B0_P2_U1_PLD_IT8, 0x400104a0 +.set CYREG_B0_P2_U1_PLD_IT9, 0x400104a4 +.set CYREG_B0_P2_U1_PLD_IT10, 0x400104a8 +.set CYREG_B0_P2_U1_PLD_IT11, 0x400104ac +.set CYREG_B0_P2_U1_PLD_ORT0, 0x400104b0 +.set CYREG_B0_P2_U1_PLD_ORT1, 0x400104b2 +.set CYREG_B0_P2_U1_PLD_ORT2, 0x400104b4 +.set CYREG_B0_P2_U1_PLD_ORT3, 0x400104b6 +.set CYREG_B0_P2_U1_MC_CFG_CEN_CONST, 0x400104b8 +.set CYREG_B0_P2_U1_MC_CFG_XORFB, 0x400104ba +.set CYREG_B0_P2_U1_MC_CFG_SET_RESET, 0x400104bc +.set CYREG_B0_P2_U1_MC_CFG_BYPASS, 0x400104be +.set CYREG_B0_P2_U1_CFG0, 0x400104c0 +.set CYREG_B0_P2_U1_CFG1, 0x400104c1 +.set CYREG_B0_P2_U1_CFG2, 0x400104c2 +.set CYREG_B0_P2_U1_CFG3, 0x400104c3 +.set CYREG_B0_P2_U1_CFG4, 0x400104c4 +.set CYREG_B0_P2_U1_CFG5, 0x400104c5 +.set CYREG_B0_P2_U1_CFG6, 0x400104c6 +.set CYREG_B0_P2_U1_CFG7, 0x400104c7 +.set CYREG_B0_P2_U1_CFG8, 0x400104c8 +.set CYREG_B0_P2_U1_CFG9, 0x400104c9 +.set CYREG_B0_P2_U1_CFG10, 0x400104ca +.set CYREG_B0_P2_U1_CFG11, 0x400104cb +.set CYREG_B0_P2_U1_CFG12, 0x400104cc +.set CYREG_B0_P2_U1_CFG13, 0x400104cd +.set CYREG_B0_P2_U1_CFG14, 0x400104ce +.set CYREG_B0_P2_U1_CFG15, 0x400104cf +.set CYREG_B0_P2_U1_CFG16, 0x400104d0 +.set CYREG_B0_P2_U1_CFG17, 0x400104d1 +.set CYREG_B0_P2_U1_CFG18, 0x400104d2 +.set CYREG_B0_P2_U1_CFG19, 0x400104d3 +.set CYREG_B0_P2_U1_CFG20, 0x400104d4 +.set CYREG_B0_P2_U1_CFG21, 0x400104d5 +.set CYREG_B0_P2_U1_CFG22, 0x400104d6 +.set CYREG_B0_P2_U1_CFG23, 0x400104d7 +.set CYREG_B0_P2_U1_CFG24, 0x400104d8 +.set CYREG_B0_P2_U1_CFG25, 0x400104d9 +.set CYREG_B0_P2_U1_CFG26, 0x400104da +.set CYREG_B0_P2_U1_CFG27, 0x400104db +.set CYREG_B0_P2_U1_CFG28, 0x400104dc +.set CYREG_B0_P2_U1_CFG29, 0x400104dd +.set CYREG_B0_P2_U1_CFG30, 0x400104de +.set CYREG_B0_P2_U1_CFG31, 0x400104df +.set CYREG_B0_P2_U1_DCFG0, 0x400104e0 +.set CYREG_B0_P2_U1_DCFG1, 0x400104e2 +.set CYREG_B0_P2_U1_DCFG2, 0x400104e4 +.set CYREG_B0_P2_U1_DCFG3, 0x400104e6 +.set CYREG_B0_P2_U1_DCFG4, 0x400104e8 +.set CYREG_B0_P2_U1_DCFG5, 0x400104ea +.set CYREG_B0_P2_U1_DCFG6, 0x400104ec +.set CYREG_B0_P2_U1_DCFG7, 0x400104ee +.set CYDEV_UCFG_B0_P2_ROUTE_BASE, 0x40010500 +.set CYDEV_UCFG_B0_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P3_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P3_U0_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_SIZE, 0x00000070 +.set CYREG_B0_P3_U0_PLD_IT0, 0x40010600 +.set CYREG_B0_P3_U0_PLD_IT1, 0x40010604 +.set CYREG_B0_P3_U0_PLD_IT2, 0x40010608 +.set CYREG_B0_P3_U0_PLD_IT3, 0x4001060c +.set CYREG_B0_P3_U0_PLD_IT4, 0x40010610 +.set CYREG_B0_P3_U0_PLD_IT5, 0x40010614 +.set CYREG_B0_P3_U0_PLD_IT6, 0x40010618 +.set CYREG_B0_P3_U0_PLD_IT7, 0x4001061c +.set CYREG_B0_P3_U0_PLD_IT8, 0x40010620 +.set CYREG_B0_P3_U0_PLD_IT9, 0x40010624 +.set CYREG_B0_P3_U0_PLD_IT10, 0x40010628 +.set CYREG_B0_P3_U0_PLD_IT11, 0x4001062c +.set CYREG_B0_P3_U0_PLD_ORT0, 0x40010630 +.set CYREG_B0_P3_U0_PLD_ORT1, 0x40010632 +.set CYREG_B0_P3_U0_PLD_ORT2, 0x40010634 +.set CYREG_B0_P3_U0_PLD_ORT3, 0x40010636 +.set CYREG_B0_P3_U0_MC_CFG_CEN_CONST, 0x40010638 +.set CYREG_B0_P3_U0_MC_CFG_XORFB, 0x4001063a +.set CYREG_B0_P3_U0_MC_CFG_SET_RESET, 0x4001063c +.set CYREG_B0_P3_U0_MC_CFG_BYPASS, 0x4001063e +.set CYREG_B0_P3_U0_CFG0, 0x40010640 +.set CYREG_B0_P3_U0_CFG1, 0x40010641 +.set CYREG_B0_P3_U0_CFG2, 0x40010642 +.set CYREG_B0_P3_U0_CFG3, 0x40010643 +.set CYREG_B0_P3_U0_CFG4, 0x40010644 +.set CYREG_B0_P3_U0_CFG5, 0x40010645 +.set CYREG_B0_P3_U0_CFG6, 0x40010646 +.set CYREG_B0_P3_U0_CFG7, 0x40010647 +.set CYREG_B0_P3_U0_CFG8, 0x40010648 +.set CYREG_B0_P3_U0_CFG9, 0x40010649 +.set CYREG_B0_P3_U0_CFG10, 0x4001064a +.set CYREG_B0_P3_U0_CFG11, 0x4001064b +.set CYREG_B0_P3_U0_CFG12, 0x4001064c +.set CYREG_B0_P3_U0_CFG13, 0x4001064d +.set CYREG_B0_P3_U0_CFG14, 0x4001064e +.set CYREG_B0_P3_U0_CFG15, 0x4001064f +.set CYREG_B0_P3_U0_CFG16, 0x40010650 +.set CYREG_B0_P3_U0_CFG17, 0x40010651 +.set CYREG_B0_P3_U0_CFG18, 0x40010652 +.set CYREG_B0_P3_U0_CFG19, 0x40010653 +.set CYREG_B0_P3_U0_CFG20, 0x40010654 +.set CYREG_B0_P3_U0_CFG21, 0x40010655 +.set CYREG_B0_P3_U0_CFG22, 0x40010656 +.set CYREG_B0_P3_U0_CFG23, 0x40010657 +.set CYREG_B0_P3_U0_CFG24, 0x40010658 +.set CYREG_B0_P3_U0_CFG25, 0x40010659 +.set CYREG_B0_P3_U0_CFG26, 0x4001065a +.set CYREG_B0_P3_U0_CFG27, 0x4001065b +.set CYREG_B0_P3_U0_CFG28, 0x4001065c +.set CYREG_B0_P3_U0_CFG29, 0x4001065d +.set CYREG_B0_P3_U0_CFG30, 0x4001065e +.set CYREG_B0_P3_U0_CFG31, 0x4001065f +.set CYREG_B0_P3_U0_DCFG0, 0x40010660 +.set CYREG_B0_P3_U0_DCFG1, 0x40010662 +.set CYREG_B0_P3_U0_DCFG2, 0x40010664 +.set CYREG_B0_P3_U0_DCFG3, 0x40010666 +.set CYREG_B0_P3_U0_DCFG4, 0x40010668 +.set CYREG_B0_P3_U0_DCFG5, 0x4001066a +.set CYREG_B0_P3_U0_DCFG6, 0x4001066c +.set CYREG_B0_P3_U0_DCFG7, 0x4001066e +.set CYDEV_UCFG_B0_P3_U1_BASE, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_SIZE, 0x00000070 +.set CYREG_B0_P3_U1_PLD_IT0, 0x40010680 +.set CYREG_B0_P3_U1_PLD_IT1, 0x40010684 +.set CYREG_B0_P3_U1_PLD_IT2, 0x40010688 +.set CYREG_B0_P3_U1_PLD_IT3, 0x4001068c +.set CYREG_B0_P3_U1_PLD_IT4, 0x40010690 +.set CYREG_B0_P3_U1_PLD_IT5, 0x40010694 +.set CYREG_B0_P3_U1_PLD_IT6, 0x40010698 +.set CYREG_B0_P3_U1_PLD_IT7, 0x4001069c +.set CYREG_B0_P3_U1_PLD_IT8, 0x400106a0 +.set CYREG_B0_P3_U1_PLD_IT9, 0x400106a4 +.set CYREG_B0_P3_U1_PLD_IT10, 0x400106a8 +.set CYREG_B0_P3_U1_PLD_IT11, 0x400106ac +.set CYREG_B0_P3_U1_PLD_ORT0, 0x400106b0 +.set CYREG_B0_P3_U1_PLD_ORT1, 0x400106b2 +.set CYREG_B0_P3_U1_PLD_ORT2, 0x400106b4 +.set CYREG_B0_P3_U1_PLD_ORT3, 0x400106b6 +.set CYREG_B0_P3_U1_MC_CFG_CEN_CONST, 0x400106b8 +.set CYREG_B0_P3_U1_MC_CFG_XORFB, 0x400106ba +.set CYREG_B0_P3_U1_MC_CFG_SET_RESET, 0x400106bc +.set CYREG_B0_P3_U1_MC_CFG_BYPASS, 0x400106be +.set CYREG_B0_P3_U1_CFG0, 0x400106c0 +.set CYREG_B0_P3_U1_CFG1, 0x400106c1 +.set CYREG_B0_P3_U1_CFG2, 0x400106c2 +.set CYREG_B0_P3_U1_CFG3, 0x400106c3 +.set CYREG_B0_P3_U1_CFG4, 0x400106c4 +.set CYREG_B0_P3_U1_CFG5, 0x400106c5 +.set CYREG_B0_P3_U1_CFG6, 0x400106c6 +.set CYREG_B0_P3_U1_CFG7, 0x400106c7 +.set CYREG_B0_P3_U1_CFG8, 0x400106c8 +.set CYREG_B0_P3_U1_CFG9, 0x400106c9 +.set CYREG_B0_P3_U1_CFG10, 0x400106ca +.set CYREG_B0_P3_U1_CFG11, 0x400106cb +.set CYREG_B0_P3_U1_CFG12, 0x400106cc +.set CYREG_B0_P3_U1_CFG13, 0x400106cd +.set CYREG_B0_P3_U1_CFG14, 0x400106ce +.set CYREG_B0_P3_U1_CFG15, 0x400106cf +.set CYREG_B0_P3_U1_CFG16, 0x400106d0 +.set CYREG_B0_P3_U1_CFG17, 0x400106d1 +.set CYREG_B0_P3_U1_CFG18, 0x400106d2 +.set CYREG_B0_P3_U1_CFG19, 0x400106d3 +.set CYREG_B0_P3_U1_CFG20, 0x400106d4 +.set CYREG_B0_P3_U1_CFG21, 0x400106d5 +.set CYREG_B0_P3_U1_CFG22, 0x400106d6 +.set CYREG_B0_P3_U1_CFG23, 0x400106d7 +.set CYREG_B0_P3_U1_CFG24, 0x400106d8 +.set CYREG_B0_P3_U1_CFG25, 0x400106d9 +.set CYREG_B0_P3_U1_CFG26, 0x400106da +.set CYREG_B0_P3_U1_CFG27, 0x400106db +.set CYREG_B0_P3_U1_CFG28, 0x400106dc +.set CYREG_B0_P3_U1_CFG29, 0x400106dd +.set CYREG_B0_P3_U1_CFG30, 0x400106de +.set CYREG_B0_P3_U1_CFG31, 0x400106df +.set CYREG_B0_P3_U1_DCFG0, 0x400106e0 +.set CYREG_B0_P3_U1_DCFG1, 0x400106e2 +.set CYREG_B0_P3_U1_DCFG2, 0x400106e4 +.set CYREG_B0_P3_U1_DCFG3, 0x400106e6 +.set CYREG_B0_P3_U1_DCFG4, 0x400106e8 +.set CYREG_B0_P3_U1_DCFG5, 0x400106ea +.set CYREG_B0_P3_U1_DCFG6, 0x400106ec +.set CYREG_B0_P3_U1_DCFG7, 0x400106ee +.set CYDEV_UCFG_B0_P3_ROUTE_BASE, 0x40010700 +.set CYDEV_UCFG_B0_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P4_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P4_U0_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_SIZE, 0x00000070 +.set CYREG_B0_P4_U0_PLD_IT0, 0x40010800 +.set CYREG_B0_P4_U0_PLD_IT1, 0x40010804 +.set CYREG_B0_P4_U0_PLD_IT2, 0x40010808 +.set CYREG_B0_P4_U0_PLD_IT3, 0x4001080c +.set CYREG_B0_P4_U0_PLD_IT4, 0x40010810 +.set CYREG_B0_P4_U0_PLD_IT5, 0x40010814 +.set CYREG_B0_P4_U0_PLD_IT6, 0x40010818 +.set CYREG_B0_P4_U0_PLD_IT7, 0x4001081c +.set CYREG_B0_P4_U0_PLD_IT8, 0x40010820 +.set CYREG_B0_P4_U0_PLD_IT9, 0x40010824 +.set CYREG_B0_P4_U0_PLD_IT10, 0x40010828 +.set CYREG_B0_P4_U0_PLD_IT11, 0x4001082c +.set CYREG_B0_P4_U0_PLD_ORT0, 0x40010830 +.set CYREG_B0_P4_U0_PLD_ORT1, 0x40010832 +.set CYREG_B0_P4_U0_PLD_ORT2, 0x40010834 +.set CYREG_B0_P4_U0_PLD_ORT3, 0x40010836 +.set CYREG_B0_P4_U0_MC_CFG_CEN_CONST, 0x40010838 +.set CYREG_B0_P4_U0_MC_CFG_XORFB, 0x4001083a +.set CYREG_B0_P4_U0_MC_CFG_SET_RESET, 0x4001083c +.set CYREG_B0_P4_U0_MC_CFG_BYPASS, 0x4001083e +.set CYREG_B0_P4_U0_CFG0, 0x40010840 +.set CYREG_B0_P4_U0_CFG1, 0x40010841 +.set CYREG_B0_P4_U0_CFG2, 0x40010842 +.set CYREG_B0_P4_U0_CFG3, 0x40010843 +.set CYREG_B0_P4_U0_CFG4, 0x40010844 +.set CYREG_B0_P4_U0_CFG5, 0x40010845 +.set CYREG_B0_P4_U0_CFG6, 0x40010846 +.set CYREG_B0_P4_U0_CFG7, 0x40010847 +.set CYREG_B0_P4_U0_CFG8, 0x40010848 +.set CYREG_B0_P4_U0_CFG9, 0x40010849 +.set CYREG_B0_P4_U0_CFG10, 0x4001084a +.set CYREG_B0_P4_U0_CFG11, 0x4001084b +.set CYREG_B0_P4_U0_CFG12, 0x4001084c +.set CYREG_B0_P4_U0_CFG13, 0x4001084d +.set CYREG_B0_P4_U0_CFG14, 0x4001084e +.set CYREG_B0_P4_U0_CFG15, 0x4001084f +.set CYREG_B0_P4_U0_CFG16, 0x40010850 +.set CYREG_B0_P4_U0_CFG17, 0x40010851 +.set CYREG_B0_P4_U0_CFG18, 0x40010852 +.set CYREG_B0_P4_U0_CFG19, 0x40010853 +.set CYREG_B0_P4_U0_CFG20, 0x40010854 +.set CYREG_B0_P4_U0_CFG21, 0x40010855 +.set CYREG_B0_P4_U0_CFG22, 0x40010856 +.set CYREG_B0_P4_U0_CFG23, 0x40010857 +.set CYREG_B0_P4_U0_CFG24, 0x40010858 +.set CYREG_B0_P4_U0_CFG25, 0x40010859 +.set CYREG_B0_P4_U0_CFG26, 0x4001085a +.set CYREG_B0_P4_U0_CFG27, 0x4001085b +.set CYREG_B0_P4_U0_CFG28, 0x4001085c +.set CYREG_B0_P4_U0_CFG29, 0x4001085d +.set CYREG_B0_P4_U0_CFG30, 0x4001085e +.set CYREG_B0_P4_U0_CFG31, 0x4001085f +.set CYREG_B0_P4_U0_DCFG0, 0x40010860 +.set CYREG_B0_P4_U0_DCFG1, 0x40010862 +.set CYREG_B0_P4_U0_DCFG2, 0x40010864 +.set CYREG_B0_P4_U0_DCFG3, 0x40010866 +.set CYREG_B0_P4_U0_DCFG4, 0x40010868 +.set CYREG_B0_P4_U0_DCFG5, 0x4001086a +.set CYREG_B0_P4_U0_DCFG6, 0x4001086c +.set CYREG_B0_P4_U0_DCFG7, 0x4001086e +.set CYDEV_UCFG_B0_P4_U1_BASE, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_SIZE, 0x00000070 +.set CYREG_B0_P4_U1_PLD_IT0, 0x40010880 +.set CYREG_B0_P4_U1_PLD_IT1, 0x40010884 +.set CYREG_B0_P4_U1_PLD_IT2, 0x40010888 +.set CYREG_B0_P4_U1_PLD_IT3, 0x4001088c +.set CYREG_B0_P4_U1_PLD_IT4, 0x40010890 +.set CYREG_B0_P4_U1_PLD_IT5, 0x40010894 +.set CYREG_B0_P4_U1_PLD_IT6, 0x40010898 +.set CYREG_B0_P4_U1_PLD_IT7, 0x4001089c +.set CYREG_B0_P4_U1_PLD_IT8, 0x400108a0 +.set CYREG_B0_P4_U1_PLD_IT9, 0x400108a4 +.set CYREG_B0_P4_U1_PLD_IT10, 0x400108a8 +.set CYREG_B0_P4_U1_PLD_IT11, 0x400108ac +.set CYREG_B0_P4_U1_PLD_ORT0, 0x400108b0 +.set CYREG_B0_P4_U1_PLD_ORT1, 0x400108b2 +.set CYREG_B0_P4_U1_PLD_ORT2, 0x400108b4 +.set CYREG_B0_P4_U1_PLD_ORT3, 0x400108b6 +.set CYREG_B0_P4_U1_MC_CFG_CEN_CONST, 0x400108b8 +.set CYREG_B0_P4_U1_MC_CFG_XORFB, 0x400108ba +.set CYREG_B0_P4_U1_MC_CFG_SET_RESET, 0x400108bc +.set CYREG_B0_P4_U1_MC_CFG_BYPASS, 0x400108be +.set CYREG_B0_P4_U1_CFG0, 0x400108c0 +.set CYREG_B0_P4_U1_CFG1, 0x400108c1 +.set CYREG_B0_P4_U1_CFG2, 0x400108c2 +.set CYREG_B0_P4_U1_CFG3, 0x400108c3 +.set CYREG_B0_P4_U1_CFG4, 0x400108c4 +.set CYREG_B0_P4_U1_CFG5, 0x400108c5 +.set CYREG_B0_P4_U1_CFG6, 0x400108c6 +.set CYREG_B0_P4_U1_CFG7, 0x400108c7 +.set CYREG_B0_P4_U1_CFG8, 0x400108c8 +.set CYREG_B0_P4_U1_CFG9, 0x400108c9 +.set CYREG_B0_P4_U1_CFG10, 0x400108ca +.set CYREG_B0_P4_U1_CFG11, 0x400108cb +.set CYREG_B0_P4_U1_CFG12, 0x400108cc +.set CYREG_B0_P4_U1_CFG13, 0x400108cd +.set CYREG_B0_P4_U1_CFG14, 0x400108ce +.set CYREG_B0_P4_U1_CFG15, 0x400108cf +.set CYREG_B0_P4_U1_CFG16, 0x400108d0 +.set CYREG_B0_P4_U1_CFG17, 0x400108d1 +.set CYREG_B0_P4_U1_CFG18, 0x400108d2 +.set CYREG_B0_P4_U1_CFG19, 0x400108d3 +.set CYREG_B0_P4_U1_CFG20, 0x400108d4 +.set CYREG_B0_P4_U1_CFG21, 0x400108d5 +.set CYREG_B0_P4_U1_CFG22, 0x400108d6 +.set CYREG_B0_P4_U1_CFG23, 0x400108d7 +.set CYREG_B0_P4_U1_CFG24, 0x400108d8 +.set CYREG_B0_P4_U1_CFG25, 0x400108d9 +.set CYREG_B0_P4_U1_CFG26, 0x400108da +.set CYREG_B0_P4_U1_CFG27, 0x400108db +.set CYREG_B0_P4_U1_CFG28, 0x400108dc +.set CYREG_B0_P4_U1_CFG29, 0x400108dd +.set CYREG_B0_P4_U1_CFG30, 0x400108de +.set CYREG_B0_P4_U1_CFG31, 0x400108df +.set CYREG_B0_P4_U1_DCFG0, 0x400108e0 +.set CYREG_B0_P4_U1_DCFG1, 0x400108e2 +.set CYREG_B0_P4_U1_DCFG2, 0x400108e4 +.set CYREG_B0_P4_U1_DCFG3, 0x400108e6 +.set CYREG_B0_P4_U1_DCFG4, 0x400108e8 +.set CYREG_B0_P4_U1_DCFG5, 0x400108ea +.set CYREG_B0_P4_U1_DCFG6, 0x400108ec +.set CYREG_B0_P4_U1_DCFG7, 0x400108ee +.set CYDEV_UCFG_B0_P4_ROUTE_BASE, 0x40010900 +.set CYDEV_UCFG_B0_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P5_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P5_U0_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_SIZE, 0x00000070 +.set CYREG_B0_P5_U0_PLD_IT0, 0x40010a00 +.set CYREG_B0_P5_U0_PLD_IT1, 0x40010a04 +.set CYREG_B0_P5_U0_PLD_IT2, 0x40010a08 +.set CYREG_B0_P5_U0_PLD_IT3, 0x40010a0c +.set CYREG_B0_P5_U0_PLD_IT4, 0x40010a10 +.set CYREG_B0_P5_U0_PLD_IT5, 0x40010a14 +.set CYREG_B0_P5_U0_PLD_IT6, 0x40010a18 +.set CYREG_B0_P5_U0_PLD_IT7, 0x40010a1c +.set CYREG_B0_P5_U0_PLD_IT8, 0x40010a20 +.set CYREG_B0_P5_U0_PLD_IT9, 0x40010a24 +.set CYREG_B0_P5_U0_PLD_IT10, 0x40010a28 +.set CYREG_B0_P5_U0_PLD_IT11, 0x40010a2c +.set CYREG_B0_P5_U0_PLD_ORT0, 0x40010a30 +.set CYREG_B0_P5_U0_PLD_ORT1, 0x40010a32 +.set CYREG_B0_P5_U0_PLD_ORT2, 0x40010a34 +.set CYREG_B0_P5_U0_PLD_ORT3, 0x40010a36 +.set CYREG_B0_P5_U0_MC_CFG_CEN_CONST, 0x40010a38 +.set CYREG_B0_P5_U0_MC_CFG_XORFB, 0x40010a3a +.set CYREG_B0_P5_U0_MC_CFG_SET_RESET, 0x40010a3c +.set CYREG_B0_P5_U0_MC_CFG_BYPASS, 0x40010a3e +.set CYREG_B0_P5_U0_CFG0, 0x40010a40 +.set CYREG_B0_P5_U0_CFG1, 0x40010a41 +.set CYREG_B0_P5_U0_CFG2, 0x40010a42 +.set CYREG_B0_P5_U0_CFG3, 0x40010a43 +.set CYREG_B0_P5_U0_CFG4, 0x40010a44 +.set CYREG_B0_P5_U0_CFG5, 0x40010a45 +.set CYREG_B0_P5_U0_CFG6, 0x40010a46 +.set CYREG_B0_P5_U0_CFG7, 0x40010a47 +.set CYREG_B0_P5_U0_CFG8, 0x40010a48 +.set CYREG_B0_P5_U0_CFG9, 0x40010a49 +.set CYREG_B0_P5_U0_CFG10, 0x40010a4a +.set CYREG_B0_P5_U0_CFG11, 0x40010a4b +.set CYREG_B0_P5_U0_CFG12, 0x40010a4c +.set CYREG_B0_P5_U0_CFG13, 0x40010a4d +.set CYREG_B0_P5_U0_CFG14, 0x40010a4e +.set CYREG_B0_P5_U0_CFG15, 0x40010a4f +.set CYREG_B0_P5_U0_CFG16, 0x40010a50 +.set CYREG_B0_P5_U0_CFG17, 0x40010a51 +.set CYREG_B0_P5_U0_CFG18, 0x40010a52 +.set CYREG_B0_P5_U0_CFG19, 0x40010a53 +.set CYREG_B0_P5_U0_CFG20, 0x40010a54 +.set CYREG_B0_P5_U0_CFG21, 0x40010a55 +.set CYREG_B0_P5_U0_CFG22, 0x40010a56 +.set CYREG_B0_P5_U0_CFG23, 0x40010a57 +.set CYREG_B0_P5_U0_CFG24, 0x40010a58 +.set CYREG_B0_P5_U0_CFG25, 0x40010a59 +.set CYREG_B0_P5_U0_CFG26, 0x40010a5a +.set CYREG_B0_P5_U0_CFG27, 0x40010a5b +.set CYREG_B0_P5_U0_CFG28, 0x40010a5c +.set CYREG_B0_P5_U0_CFG29, 0x40010a5d +.set CYREG_B0_P5_U0_CFG30, 0x40010a5e +.set CYREG_B0_P5_U0_CFG31, 0x40010a5f +.set CYREG_B0_P5_U0_DCFG0, 0x40010a60 +.set CYREG_B0_P5_U0_DCFG1, 0x40010a62 +.set CYREG_B0_P5_U0_DCFG2, 0x40010a64 +.set CYREG_B0_P5_U0_DCFG3, 0x40010a66 +.set CYREG_B0_P5_U0_DCFG4, 0x40010a68 +.set CYREG_B0_P5_U0_DCFG5, 0x40010a6a +.set CYREG_B0_P5_U0_DCFG6, 0x40010a6c +.set CYREG_B0_P5_U0_DCFG7, 0x40010a6e +.set CYDEV_UCFG_B0_P5_U1_BASE, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_SIZE, 0x00000070 +.set CYREG_B0_P5_U1_PLD_IT0, 0x40010a80 +.set CYREG_B0_P5_U1_PLD_IT1, 0x40010a84 +.set CYREG_B0_P5_U1_PLD_IT2, 0x40010a88 +.set CYREG_B0_P5_U1_PLD_IT3, 0x40010a8c +.set CYREG_B0_P5_U1_PLD_IT4, 0x40010a90 +.set CYREG_B0_P5_U1_PLD_IT5, 0x40010a94 +.set CYREG_B0_P5_U1_PLD_IT6, 0x40010a98 +.set CYREG_B0_P5_U1_PLD_IT7, 0x40010a9c +.set CYREG_B0_P5_U1_PLD_IT8, 0x40010aa0 +.set CYREG_B0_P5_U1_PLD_IT9, 0x40010aa4 +.set CYREG_B0_P5_U1_PLD_IT10, 0x40010aa8 +.set CYREG_B0_P5_U1_PLD_IT11, 0x40010aac +.set CYREG_B0_P5_U1_PLD_ORT0, 0x40010ab0 +.set CYREG_B0_P5_U1_PLD_ORT1, 0x40010ab2 +.set CYREG_B0_P5_U1_PLD_ORT2, 0x40010ab4 +.set CYREG_B0_P5_U1_PLD_ORT3, 0x40010ab6 +.set CYREG_B0_P5_U1_MC_CFG_CEN_CONST, 0x40010ab8 +.set CYREG_B0_P5_U1_MC_CFG_XORFB, 0x40010aba +.set CYREG_B0_P5_U1_MC_CFG_SET_RESET, 0x40010abc +.set CYREG_B0_P5_U1_MC_CFG_BYPASS, 0x40010abe +.set CYREG_B0_P5_U1_CFG0, 0x40010ac0 +.set CYREG_B0_P5_U1_CFG1, 0x40010ac1 +.set CYREG_B0_P5_U1_CFG2, 0x40010ac2 +.set CYREG_B0_P5_U1_CFG3, 0x40010ac3 +.set CYREG_B0_P5_U1_CFG4, 0x40010ac4 +.set CYREG_B0_P5_U1_CFG5, 0x40010ac5 +.set CYREG_B0_P5_U1_CFG6, 0x40010ac6 +.set CYREG_B0_P5_U1_CFG7, 0x40010ac7 +.set CYREG_B0_P5_U1_CFG8, 0x40010ac8 +.set CYREG_B0_P5_U1_CFG9, 0x40010ac9 +.set CYREG_B0_P5_U1_CFG10, 0x40010aca +.set CYREG_B0_P5_U1_CFG11, 0x40010acb +.set CYREG_B0_P5_U1_CFG12, 0x40010acc +.set CYREG_B0_P5_U1_CFG13, 0x40010acd +.set CYREG_B0_P5_U1_CFG14, 0x40010ace +.set CYREG_B0_P5_U1_CFG15, 0x40010acf +.set CYREG_B0_P5_U1_CFG16, 0x40010ad0 +.set CYREG_B0_P5_U1_CFG17, 0x40010ad1 +.set CYREG_B0_P5_U1_CFG18, 0x40010ad2 +.set CYREG_B0_P5_U1_CFG19, 0x40010ad3 +.set CYREG_B0_P5_U1_CFG20, 0x40010ad4 +.set CYREG_B0_P5_U1_CFG21, 0x40010ad5 +.set CYREG_B0_P5_U1_CFG22, 0x40010ad6 +.set CYREG_B0_P5_U1_CFG23, 0x40010ad7 +.set CYREG_B0_P5_U1_CFG24, 0x40010ad8 +.set CYREG_B0_P5_U1_CFG25, 0x40010ad9 +.set CYREG_B0_P5_U1_CFG26, 0x40010ada +.set CYREG_B0_P5_U1_CFG27, 0x40010adb +.set CYREG_B0_P5_U1_CFG28, 0x40010adc +.set CYREG_B0_P5_U1_CFG29, 0x40010add +.set CYREG_B0_P5_U1_CFG30, 0x40010ade +.set CYREG_B0_P5_U1_CFG31, 0x40010adf +.set CYREG_B0_P5_U1_DCFG0, 0x40010ae0 +.set CYREG_B0_P5_U1_DCFG1, 0x40010ae2 +.set CYREG_B0_P5_U1_DCFG2, 0x40010ae4 +.set CYREG_B0_P5_U1_DCFG3, 0x40010ae6 +.set CYREG_B0_P5_U1_DCFG4, 0x40010ae8 +.set CYREG_B0_P5_U1_DCFG5, 0x40010aea +.set CYREG_B0_P5_U1_DCFG6, 0x40010aec +.set CYREG_B0_P5_U1_DCFG7, 0x40010aee +.set CYDEV_UCFG_B0_P5_ROUTE_BASE, 0x40010b00 +.set CYDEV_UCFG_B0_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P6_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P6_U0_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_SIZE, 0x00000070 +.set CYREG_B0_P6_U0_PLD_IT0, 0x40010c00 +.set CYREG_B0_P6_U0_PLD_IT1, 0x40010c04 +.set CYREG_B0_P6_U0_PLD_IT2, 0x40010c08 +.set CYREG_B0_P6_U0_PLD_IT3, 0x40010c0c +.set CYREG_B0_P6_U0_PLD_IT4, 0x40010c10 +.set CYREG_B0_P6_U0_PLD_IT5, 0x40010c14 +.set CYREG_B0_P6_U0_PLD_IT6, 0x40010c18 +.set CYREG_B0_P6_U0_PLD_IT7, 0x40010c1c +.set CYREG_B0_P6_U0_PLD_IT8, 0x40010c20 +.set CYREG_B0_P6_U0_PLD_IT9, 0x40010c24 +.set CYREG_B0_P6_U0_PLD_IT10, 0x40010c28 +.set CYREG_B0_P6_U0_PLD_IT11, 0x40010c2c +.set CYREG_B0_P6_U0_PLD_ORT0, 0x40010c30 +.set CYREG_B0_P6_U0_PLD_ORT1, 0x40010c32 +.set CYREG_B0_P6_U0_PLD_ORT2, 0x40010c34 +.set CYREG_B0_P6_U0_PLD_ORT3, 0x40010c36 +.set CYREG_B0_P6_U0_MC_CFG_CEN_CONST, 0x40010c38 +.set CYREG_B0_P6_U0_MC_CFG_XORFB, 0x40010c3a +.set CYREG_B0_P6_U0_MC_CFG_SET_RESET, 0x40010c3c +.set CYREG_B0_P6_U0_MC_CFG_BYPASS, 0x40010c3e +.set CYREG_B0_P6_U0_CFG0, 0x40010c40 +.set CYREG_B0_P6_U0_CFG1, 0x40010c41 +.set CYREG_B0_P6_U0_CFG2, 0x40010c42 +.set CYREG_B0_P6_U0_CFG3, 0x40010c43 +.set CYREG_B0_P6_U0_CFG4, 0x40010c44 +.set CYREG_B0_P6_U0_CFG5, 0x40010c45 +.set CYREG_B0_P6_U0_CFG6, 0x40010c46 +.set CYREG_B0_P6_U0_CFG7, 0x40010c47 +.set CYREG_B0_P6_U0_CFG8, 0x40010c48 +.set CYREG_B0_P6_U0_CFG9, 0x40010c49 +.set CYREG_B0_P6_U0_CFG10, 0x40010c4a +.set CYREG_B0_P6_U0_CFG11, 0x40010c4b +.set CYREG_B0_P6_U0_CFG12, 0x40010c4c +.set CYREG_B0_P6_U0_CFG13, 0x40010c4d +.set CYREG_B0_P6_U0_CFG14, 0x40010c4e +.set CYREG_B0_P6_U0_CFG15, 0x40010c4f +.set CYREG_B0_P6_U0_CFG16, 0x40010c50 +.set CYREG_B0_P6_U0_CFG17, 0x40010c51 +.set CYREG_B0_P6_U0_CFG18, 0x40010c52 +.set CYREG_B0_P6_U0_CFG19, 0x40010c53 +.set CYREG_B0_P6_U0_CFG20, 0x40010c54 +.set CYREG_B0_P6_U0_CFG21, 0x40010c55 +.set CYREG_B0_P6_U0_CFG22, 0x40010c56 +.set CYREG_B0_P6_U0_CFG23, 0x40010c57 +.set CYREG_B0_P6_U0_CFG24, 0x40010c58 +.set CYREG_B0_P6_U0_CFG25, 0x40010c59 +.set CYREG_B0_P6_U0_CFG26, 0x40010c5a +.set CYREG_B0_P6_U0_CFG27, 0x40010c5b +.set CYREG_B0_P6_U0_CFG28, 0x40010c5c +.set CYREG_B0_P6_U0_CFG29, 0x40010c5d +.set CYREG_B0_P6_U0_CFG30, 0x40010c5e +.set CYREG_B0_P6_U0_CFG31, 0x40010c5f +.set CYREG_B0_P6_U0_DCFG0, 0x40010c60 +.set CYREG_B0_P6_U0_DCFG1, 0x40010c62 +.set CYREG_B0_P6_U0_DCFG2, 0x40010c64 +.set CYREG_B0_P6_U0_DCFG3, 0x40010c66 +.set CYREG_B0_P6_U0_DCFG4, 0x40010c68 +.set CYREG_B0_P6_U0_DCFG5, 0x40010c6a +.set CYREG_B0_P6_U0_DCFG6, 0x40010c6c +.set CYREG_B0_P6_U0_DCFG7, 0x40010c6e +.set CYDEV_UCFG_B0_P6_U1_BASE, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_SIZE, 0x00000070 +.set CYREG_B0_P6_U1_PLD_IT0, 0x40010c80 +.set CYREG_B0_P6_U1_PLD_IT1, 0x40010c84 +.set CYREG_B0_P6_U1_PLD_IT2, 0x40010c88 +.set CYREG_B0_P6_U1_PLD_IT3, 0x40010c8c +.set CYREG_B0_P6_U1_PLD_IT4, 0x40010c90 +.set CYREG_B0_P6_U1_PLD_IT5, 0x40010c94 +.set CYREG_B0_P6_U1_PLD_IT6, 0x40010c98 +.set CYREG_B0_P6_U1_PLD_IT7, 0x40010c9c +.set CYREG_B0_P6_U1_PLD_IT8, 0x40010ca0 +.set CYREG_B0_P6_U1_PLD_IT9, 0x40010ca4 +.set CYREG_B0_P6_U1_PLD_IT10, 0x40010ca8 +.set CYREG_B0_P6_U1_PLD_IT11, 0x40010cac +.set CYREG_B0_P6_U1_PLD_ORT0, 0x40010cb0 +.set CYREG_B0_P6_U1_PLD_ORT1, 0x40010cb2 +.set CYREG_B0_P6_U1_PLD_ORT2, 0x40010cb4 +.set CYREG_B0_P6_U1_PLD_ORT3, 0x40010cb6 +.set CYREG_B0_P6_U1_MC_CFG_CEN_CONST, 0x40010cb8 +.set CYREG_B0_P6_U1_MC_CFG_XORFB, 0x40010cba +.set CYREG_B0_P6_U1_MC_CFG_SET_RESET, 0x40010cbc +.set CYREG_B0_P6_U1_MC_CFG_BYPASS, 0x40010cbe +.set CYREG_B0_P6_U1_CFG0, 0x40010cc0 +.set CYREG_B0_P6_U1_CFG1, 0x40010cc1 +.set CYREG_B0_P6_U1_CFG2, 0x40010cc2 +.set CYREG_B0_P6_U1_CFG3, 0x40010cc3 +.set CYREG_B0_P6_U1_CFG4, 0x40010cc4 +.set CYREG_B0_P6_U1_CFG5, 0x40010cc5 +.set CYREG_B0_P6_U1_CFG6, 0x40010cc6 +.set CYREG_B0_P6_U1_CFG7, 0x40010cc7 +.set CYREG_B0_P6_U1_CFG8, 0x40010cc8 +.set CYREG_B0_P6_U1_CFG9, 0x40010cc9 +.set CYREG_B0_P6_U1_CFG10, 0x40010cca +.set CYREG_B0_P6_U1_CFG11, 0x40010ccb +.set CYREG_B0_P6_U1_CFG12, 0x40010ccc +.set CYREG_B0_P6_U1_CFG13, 0x40010ccd +.set CYREG_B0_P6_U1_CFG14, 0x40010cce +.set CYREG_B0_P6_U1_CFG15, 0x40010ccf +.set CYREG_B0_P6_U1_CFG16, 0x40010cd0 +.set CYREG_B0_P6_U1_CFG17, 0x40010cd1 +.set CYREG_B0_P6_U1_CFG18, 0x40010cd2 +.set CYREG_B0_P6_U1_CFG19, 0x40010cd3 +.set CYREG_B0_P6_U1_CFG20, 0x40010cd4 +.set CYREG_B0_P6_U1_CFG21, 0x40010cd5 +.set CYREG_B0_P6_U1_CFG22, 0x40010cd6 +.set CYREG_B0_P6_U1_CFG23, 0x40010cd7 +.set CYREG_B0_P6_U1_CFG24, 0x40010cd8 +.set CYREG_B0_P6_U1_CFG25, 0x40010cd9 +.set CYREG_B0_P6_U1_CFG26, 0x40010cda +.set CYREG_B0_P6_U1_CFG27, 0x40010cdb +.set CYREG_B0_P6_U1_CFG28, 0x40010cdc +.set CYREG_B0_P6_U1_CFG29, 0x40010cdd +.set CYREG_B0_P6_U1_CFG30, 0x40010cde +.set CYREG_B0_P6_U1_CFG31, 0x40010cdf +.set CYREG_B0_P6_U1_DCFG0, 0x40010ce0 +.set CYREG_B0_P6_U1_DCFG1, 0x40010ce2 +.set CYREG_B0_P6_U1_DCFG2, 0x40010ce4 +.set CYREG_B0_P6_U1_DCFG3, 0x40010ce6 +.set CYREG_B0_P6_U1_DCFG4, 0x40010ce8 +.set CYREG_B0_P6_U1_DCFG5, 0x40010cea +.set CYREG_B0_P6_U1_DCFG6, 0x40010cec +.set CYREG_B0_P6_U1_DCFG7, 0x40010cee +.set CYDEV_UCFG_B0_P6_ROUTE_BASE, 0x40010d00 +.set CYDEV_UCFG_B0_P6_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P7_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P7_U0_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_SIZE, 0x00000070 +.set CYREG_B0_P7_U0_PLD_IT0, 0x40010e00 +.set CYREG_B0_P7_U0_PLD_IT1, 0x40010e04 +.set CYREG_B0_P7_U0_PLD_IT2, 0x40010e08 +.set CYREG_B0_P7_U0_PLD_IT3, 0x40010e0c +.set CYREG_B0_P7_U0_PLD_IT4, 0x40010e10 +.set CYREG_B0_P7_U0_PLD_IT5, 0x40010e14 +.set CYREG_B0_P7_U0_PLD_IT6, 0x40010e18 +.set CYREG_B0_P7_U0_PLD_IT7, 0x40010e1c +.set CYREG_B0_P7_U0_PLD_IT8, 0x40010e20 +.set CYREG_B0_P7_U0_PLD_IT9, 0x40010e24 +.set CYREG_B0_P7_U0_PLD_IT10, 0x40010e28 +.set CYREG_B0_P7_U0_PLD_IT11, 0x40010e2c +.set CYREG_B0_P7_U0_PLD_ORT0, 0x40010e30 +.set CYREG_B0_P7_U0_PLD_ORT1, 0x40010e32 +.set CYREG_B0_P7_U0_PLD_ORT2, 0x40010e34 +.set CYREG_B0_P7_U0_PLD_ORT3, 0x40010e36 +.set CYREG_B0_P7_U0_MC_CFG_CEN_CONST, 0x40010e38 +.set CYREG_B0_P7_U0_MC_CFG_XORFB, 0x40010e3a +.set CYREG_B0_P7_U0_MC_CFG_SET_RESET, 0x40010e3c +.set CYREG_B0_P7_U0_MC_CFG_BYPASS, 0x40010e3e +.set CYREG_B0_P7_U0_CFG0, 0x40010e40 +.set CYREG_B0_P7_U0_CFG1, 0x40010e41 +.set CYREG_B0_P7_U0_CFG2, 0x40010e42 +.set CYREG_B0_P7_U0_CFG3, 0x40010e43 +.set CYREG_B0_P7_U0_CFG4, 0x40010e44 +.set CYREG_B0_P7_U0_CFG5, 0x40010e45 +.set CYREG_B0_P7_U0_CFG6, 0x40010e46 +.set CYREG_B0_P7_U0_CFG7, 0x40010e47 +.set CYREG_B0_P7_U0_CFG8, 0x40010e48 +.set CYREG_B0_P7_U0_CFG9, 0x40010e49 +.set CYREG_B0_P7_U0_CFG10, 0x40010e4a +.set CYREG_B0_P7_U0_CFG11, 0x40010e4b +.set CYREG_B0_P7_U0_CFG12, 0x40010e4c +.set CYREG_B0_P7_U0_CFG13, 0x40010e4d +.set CYREG_B0_P7_U0_CFG14, 0x40010e4e +.set CYREG_B0_P7_U0_CFG15, 0x40010e4f +.set CYREG_B0_P7_U0_CFG16, 0x40010e50 +.set CYREG_B0_P7_U0_CFG17, 0x40010e51 +.set CYREG_B0_P7_U0_CFG18, 0x40010e52 +.set CYREG_B0_P7_U0_CFG19, 0x40010e53 +.set CYREG_B0_P7_U0_CFG20, 0x40010e54 +.set CYREG_B0_P7_U0_CFG21, 0x40010e55 +.set CYREG_B0_P7_U0_CFG22, 0x40010e56 +.set CYREG_B0_P7_U0_CFG23, 0x40010e57 +.set CYREG_B0_P7_U0_CFG24, 0x40010e58 +.set CYREG_B0_P7_U0_CFG25, 0x40010e59 +.set CYREG_B0_P7_U0_CFG26, 0x40010e5a +.set CYREG_B0_P7_U0_CFG27, 0x40010e5b +.set CYREG_B0_P7_U0_CFG28, 0x40010e5c +.set CYREG_B0_P7_U0_CFG29, 0x40010e5d +.set CYREG_B0_P7_U0_CFG30, 0x40010e5e +.set CYREG_B0_P7_U0_CFG31, 0x40010e5f +.set CYREG_B0_P7_U0_DCFG0, 0x40010e60 +.set CYREG_B0_P7_U0_DCFG1, 0x40010e62 +.set CYREG_B0_P7_U0_DCFG2, 0x40010e64 +.set CYREG_B0_P7_U0_DCFG3, 0x40010e66 +.set CYREG_B0_P7_U0_DCFG4, 0x40010e68 +.set CYREG_B0_P7_U0_DCFG5, 0x40010e6a +.set CYREG_B0_P7_U0_DCFG6, 0x40010e6c +.set CYREG_B0_P7_U0_DCFG7, 0x40010e6e +.set CYDEV_UCFG_B0_P7_U1_BASE, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_SIZE, 0x00000070 +.set CYREG_B0_P7_U1_PLD_IT0, 0x40010e80 +.set CYREG_B0_P7_U1_PLD_IT1, 0x40010e84 +.set CYREG_B0_P7_U1_PLD_IT2, 0x40010e88 +.set CYREG_B0_P7_U1_PLD_IT3, 0x40010e8c +.set CYREG_B0_P7_U1_PLD_IT4, 0x40010e90 +.set CYREG_B0_P7_U1_PLD_IT5, 0x40010e94 +.set CYREG_B0_P7_U1_PLD_IT6, 0x40010e98 +.set CYREG_B0_P7_U1_PLD_IT7, 0x40010e9c +.set CYREG_B0_P7_U1_PLD_IT8, 0x40010ea0 +.set CYREG_B0_P7_U1_PLD_IT9, 0x40010ea4 +.set CYREG_B0_P7_U1_PLD_IT10, 0x40010ea8 +.set CYREG_B0_P7_U1_PLD_IT11, 0x40010eac +.set CYREG_B0_P7_U1_PLD_ORT0, 0x40010eb0 +.set CYREG_B0_P7_U1_PLD_ORT1, 0x40010eb2 +.set CYREG_B0_P7_U1_PLD_ORT2, 0x40010eb4 +.set CYREG_B0_P7_U1_PLD_ORT3, 0x40010eb6 +.set CYREG_B0_P7_U1_MC_CFG_CEN_CONST, 0x40010eb8 +.set CYREG_B0_P7_U1_MC_CFG_XORFB, 0x40010eba +.set CYREG_B0_P7_U1_MC_CFG_SET_RESET, 0x40010ebc +.set CYREG_B0_P7_U1_MC_CFG_BYPASS, 0x40010ebe +.set CYREG_B0_P7_U1_CFG0, 0x40010ec0 +.set CYREG_B0_P7_U1_CFG1, 0x40010ec1 +.set CYREG_B0_P7_U1_CFG2, 0x40010ec2 +.set CYREG_B0_P7_U1_CFG3, 0x40010ec3 +.set CYREG_B0_P7_U1_CFG4, 0x40010ec4 +.set CYREG_B0_P7_U1_CFG5, 0x40010ec5 +.set CYREG_B0_P7_U1_CFG6, 0x40010ec6 +.set CYREG_B0_P7_U1_CFG7, 0x40010ec7 +.set CYREG_B0_P7_U1_CFG8, 0x40010ec8 +.set CYREG_B0_P7_U1_CFG9, 0x40010ec9 +.set CYREG_B0_P7_U1_CFG10, 0x40010eca +.set CYREG_B0_P7_U1_CFG11, 0x40010ecb +.set CYREG_B0_P7_U1_CFG12, 0x40010ecc +.set CYREG_B0_P7_U1_CFG13, 0x40010ecd +.set CYREG_B0_P7_U1_CFG14, 0x40010ece +.set CYREG_B0_P7_U1_CFG15, 0x40010ecf +.set CYREG_B0_P7_U1_CFG16, 0x40010ed0 +.set CYREG_B0_P7_U1_CFG17, 0x40010ed1 +.set CYREG_B0_P7_U1_CFG18, 0x40010ed2 +.set CYREG_B0_P7_U1_CFG19, 0x40010ed3 +.set CYREG_B0_P7_U1_CFG20, 0x40010ed4 +.set CYREG_B0_P7_U1_CFG21, 0x40010ed5 +.set CYREG_B0_P7_U1_CFG22, 0x40010ed6 +.set CYREG_B0_P7_U1_CFG23, 0x40010ed7 +.set CYREG_B0_P7_U1_CFG24, 0x40010ed8 +.set CYREG_B0_P7_U1_CFG25, 0x40010ed9 +.set CYREG_B0_P7_U1_CFG26, 0x40010eda +.set CYREG_B0_P7_U1_CFG27, 0x40010edb +.set CYREG_B0_P7_U1_CFG28, 0x40010edc +.set CYREG_B0_P7_U1_CFG29, 0x40010edd +.set CYREG_B0_P7_U1_CFG30, 0x40010ede +.set CYREG_B0_P7_U1_CFG31, 0x40010edf +.set CYREG_B0_P7_U1_DCFG0, 0x40010ee0 +.set CYREG_B0_P7_U1_DCFG1, 0x40010ee2 +.set CYREG_B0_P7_U1_DCFG2, 0x40010ee4 +.set CYREG_B0_P7_U1_DCFG3, 0x40010ee6 +.set CYREG_B0_P7_U1_DCFG4, 0x40010ee8 +.set CYREG_B0_P7_U1_DCFG5, 0x40010eea +.set CYREG_B0_P7_U1_DCFG6, 0x40010eec +.set CYREG_B0_P7_U1_DCFG7, 0x40010eee +.set CYDEV_UCFG_B0_P7_ROUTE_BASE, 0x40010f00 +.set CYDEV_UCFG_B0_P7_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_BASE, 0x40011000 +.set CYDEV_UCFG_B1_SIZE, 0x00000fef +.set CYDEV_UCFG_B1_P2_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P2_U0_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_SIZE, 0x00000070 +.set CYREG_B1_P2_U0_PLD_IT0, 0x40011400 +.set CYREG_B1_P2_U0_PLD_IT1, 0x40011404 +.set CYREG_B1_P2_U0_PLD_IT2, 0x40011408 +.set CYREG_B1_P2_U0_PLD_IT3, 0x4001140c +.set CYREG_B1_P2_U0_PLD_IT4, 0x40011410 +.set CYREG_B1_P2_U0_PLD_IT5, 0x40011414 +.set CYREG_B1_P2_U0_PLD_IT6, 0x40011418 +.set CYREG_B1_P2_U0_PLD_IT7, 0x4001141c +.set CYREG_B1_P2_U0_PLD_IT8, 0x40011420 +.set CYREG_B1_P2_U0_PLD_IT9, 0x40011424 +.set CYREG_B1_P2_U0_PLD_IT10, 0x40011428 +.set CYREG_B1_P2_U0_PLD_IT11, 0x4001142c +.set CYREG_B1_P2_U0_PLD_ORT0, 0x40011430 +.set CYREG_B1_P2_U0_PLD_ORT1, 0x40011432 +.set CYREG_B1_P2_U0_PLD_ORT2, 0x40011434 +.set CYREG_B1_P2_U0_PLD_ORT3, 0x40011436 +.set CYREG_B1_P2_U0_MC_CFG_CEN_CONST, 0x40011438 +.set CYREG_B1_P2_U0_MC_CFG_XORFB, 0x4001143a +.set CYREG_B1_P2_U0_MC_CFG_SET_RESET, 0x4001143c +.set CYREG_B1_P2_U0_MC_CFG_BYPASS, 0x4001143e +.set CYREG_B1_P2_U0_CFG0, 0x40011440 +.set CYREG_B1_P2_U0_CFG1, 0x40011441 +.set CYREG_B1_P2_U0_CFG2, 0x40011442 +.set CYREG_B1_P2_U0_CFG3, 0x40011443 +.set CYREG_B1_P2_U0_CFG4, 0x40011444 +.set CYREG_B1_P2_U0_CFG5, 0x40011445 +.set CYREG_B1_P2_U0_CFG6, 0x40011446 +.set CYREG_B1_P2_U0_CFG7, 0x40011447 +.set CYREG_B1_P2_U0_CFG8, 0x40011448 +.set CYREG_B1_P2_U0_CFG9, 0x40011449 +.set CYREG_B1_P2_U0_CFG10, 0x4001144a +.set CYREG_B1_P2_U0_CFG11, 0x4001144b +.set CYREG_B1_P2_U0_CFG12, 0x4001144c +.set CYREG_B1_P2_U0_CFG13, 0x4001144d +.set CYREG_B1_P2_U0_CFG14, 0x4001144e +.set CYREG_B1_P2_U0_CFG15, 0x4001144f +.set CYREG_B1_P2_U0_CFG16, 0x40011450 +.set CYREG_B1_P2_U0_CFG17, 0x40011451 +.set CYREG_B1_P2_U0_CFG18, 0x40011452 +.set CYREG_B1_P2_U0_CFG19, 0x40011453 +.set CYREG_B1_P2_U0_CFG20, 0x40011454 +.set CYREG_B1_P2_U0_CFG21, 0x40011455 +.set CYREG_B1_P2_U0_CFG22, 0x40011456 +.set CYREG_B1_P2_U0_CFG23, 0x40011457 +.set CYREG_B1_P2_U0_CFG24, 0x40011458 +.set CYREG_B1_P2_U0_CFG25, 0x40011459 +.set CYREG_B1_P2_U0_CFG26, 0x4001145a +.set CYREG_B1_P2_U0_CFG27, 0x4001145b +.set CYREG_B1_P2_U0_CFG28, 0x4001145c +.set CYREG_B1_P2_U0_CFG29, 0x4001145d +.set CYREG_B1_P2_U0_CFG30, 0x4001145e +.set CYREG_B1_P2_U0_CFG31, 0x4001145f +.set CYREG_B1_P2_U0_DCFG0, 0x40011460 +.set CYREG_B1_P2_U0_DCFG1, 0x40011462 +.set CYREG_B1_P2_U0_DCFG2, 0x40011464 +.set CYREG_B1_P2_U0_DCFG3, 0x40011466 +.set CYREG_B1_P2_U0_DCFG4, 0x40011468 +.set CYREG_B1_P2_U0_DCFG5, 0x4001146a +.set CYREG_B1_P2_U0_DCFG6, 0x4001146c +.set CYREG_B1_P2_U0_DCFG7, 0x4001146e +.set CYDEV_UCFG_B1_P2_U1_BASE, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_SIZE, 0x00000070 +.set CYREG_B1_P2_U1_PLD_IT0, 0x40011480 +.set CYREG_B1_P2_U1_PLD_IT1, 0x40011484 +.set CYREG_B1_P2_U1_PLD_IT2, 0x40011488 +.set CYREG_B1_P2_U1_PLD_IT3, 0x4001148c +.set CYREG_B1_P2_U1_PLD_IT4, 0x40011490 +.set CYREG_B1_P2_U1_PLD_IT5, 0x40011494 +.set CYREG_B1_P2_U1_PLD_IT6, 0x40011498 +.set CYREG_B1_P2_U1_PLD_IT7, 0x4001149c +.set CYREG_B1_P2_U1_PLD_IT8, 0x400114a0 +.set CYREG_B1_P2_U1_PLD_IT9, 0x400114a4 +.set CYREG_B1_P2_U1_PLD_IT10, 0x400114a8 +.set CYREG_B1_P2_U1_PLD_IT11, 0x400114ac +.set CYREG_B1_P2_U1_PLD_ORT0, 0x400114b0 +.set CYREG_B1_P2_U1_PLD_ORT1, 0x400114b2 +.set CYREG_B1_P2_U1_PLD_ORT2, 0x400114b4 +.set CYREG_B1_P2_U1_PLD_ORT3, 0x400114b6 +.set CYREG_B1_P2_U1_MC_CFG_CEN_CONST, 0x400114b8 +.set CYREG_B1_P2_U1_MC_CFG_XORFB, 0x400114ba +.set CYREG_B1_P2_U1_MC_CFG_SET_RESET, 0x400114bc +.set CYREG_B1_P2_U1_MC_CFG_BYPASS, 0x400114be +.set CYREG_B1_P2_U1_CFG0, 0x400114c0 +.set CYREG_B1_P2_U1_CFG1, 0x400114c1 +.set CYREG_B1_P2_U1_CFG2, 0x400114c2 +.set CYREG_B1_P2_U1_CFG3, 0x400114c3 +.set CYREG_B1_P2_U1_CFG4, 0x400114c4 +.set CYREG_B1_P2_U1_CFG5, 0x400114c5 +.set CYREG_B1_P2_U1_CFG6, 0x400114c6 +.set CYREG_B1_P2_U1_CFG7, 0x400114c7 +.set CYREG_B1_P2_U1_CFG8, 0x400114c8 +.set CYREG_B1_P2_U1_CFG9, 0x400114c9 +.set CYREG_B1_P2_U1_CFG10, 0x400114ca +.set CYREG_B1_P2_U1_CFG11, 0x400114cb +.set CYREG_B1_P2_U1_CFG12, 0x400114cc +.set CYREG_B1_P2_U1_CFG13, 0x400114cd +.set CYREG_B1_P2_U1_CFG14, 0x400114ce +.set CYREG_B1_P2_U1_CFG15, 0x400114cf +.set CYREG_B1_P2_U1_CFG16, 0x400114d0 +.set CYREG_B1_P2_U1_CFG17, 0x400114d1 +.set CYREG_B1_P2_U1_CFG18, 0x400114d2 +.set CYREG_B1_P2_U1_CFG19, 0x400114d3 +.set CYREG_B1_P2_U1_CFG20, 0x400114d4 +.set CYREG_B1_P2_U1_CFG21, 0x400114d5 +.set CYREG_B1_P2_U1_CFG22, 0x400114d6 +.set CYREG_B1_P2_U1_CFG23, 0x400114d7 +.set CYREG_B1_P2_U1_CFG24, 0x400114d8 +.set CYREG_B1_P2_U1_CFG25, 0x400114d9 +.set CYREG_B1_P2_U1_CFG26, 0x400114da +.set CYREG_B1_P2_U1_CFG27, 0x400114db +.set CYREG_B1_P2_U1_CFG28, 0x400114dc +.set CYREG_B1_P2_U1_CFG29, 0x400114dd +.set CYREG_B1_P2_U1_CFG30, 0x400114de +.set CYREG_B1_P2_U1_CFG31, 0x400114df +.set CYREG_B1_P2_U1_DCFG0, 0x400114e0 +.set CYREG_B1_P2_U1_DCFG1, 0x400114e2 +.set CYREG_B1_P2_U1_DCFG2, 0x400114e4 +.set CYREG_B1_P2_U1_DCFG3, 0x400114e6 +.set CYREG_B1_P2_U1_DCFG4, 0x400114e8 +.set CYREG_B1_P2_U1_DCFG5, 0x400114ea +.set CYREG_B1_P2_U1_DCFG6, 0x400114ec +.set CYREG_B1_P2_U1_DCFG7, 0x400114ee +.set CYDEV_UCFG_B1_P2_ROUTE_BASE, 0x40011500 +.set CYDEV_UCFG_B1_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P3_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P3_U0_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_SIZE, 0x00000070 +.set CYREG_B1_P3_U0_PLD_IT0, 0x40011600 +.set CYREG_B1_P3_U0_PLD_IT1, 0x40011604 +.set CYREG_B1_P3_U0_PLD_IT2, 0x40011608 +.set CYREG_B1_P3_U0_PLD_IT3, 0x4001160c +.set CYREG_B1_P3_U0_PLD_IT4, 0x40011610 +.set CYREG_B1_P3_U0_PLD_IT5, 0x40011614 +.set CYREG_B1_P3_U0_PLD_IT6, 0x40011618 +.set CYREG_B1_P3_U0_PLD_IT7, 0x4001161c +.set CYREG_B1_P3_U0_PLD_IT8, 0x40011620 +.set CYREG_B1_P3_U0_PLD_IT9, 0x40011624 +.set CYREG_B1_P3_U0_PLD_IT10, 0x40011628 +.set CYREG_B1_P3_U0_PLD_IT11, 0x4001162c +.set CYREG_B1_P3_U0_PLD_ORT0, 0x40011630 +.set CYREG_B1_P3_U0_PLD_ORT1, 0x40011632 +.set CYREG_B1_P3_U0_PLD_ORT2, 0x40011634 +.set CYREG_B1_P3_U0_PLD_ORT3, 0x40011636 +.set CYREG_B1_P3_U0_MC_CFG_CEN_CONST, 0x40011638 +.set CYREG_B1_P3_U0_MC_CFG_XORFB, 0x4001163a +.set CYREG_B1_P3_U0_MC_CFG_SET_RESET, 0x4001163c +.set CYREG_B1_P3_U0_MC_CFG_BYPASS, 0x4001163e +.set CYREG_B1_P3_U0_CFG0, 0x40011640 +.set CYREG_B1_P3_U0_CFG1, 0x40011641 +.set CYREG_B1_P3_U0_CFG2, 0x40011642 +.set CYREG_B1_P3_U0_CFG3, 0x40011643 +.set CYREG_B1_P3_U0_CFG4, 0x40011644 +.set CYREG_B1_P3_U0_CFG5, 0x40011645 +.set CYREG_B1_P3_U0_CFG6, 0x40011646 +.set CYREG_B1_P3_U0_CFG7, 0x40011647 +.set CYREG_B1_P3_U0_CFG8, 0x40011648 +.set CYREG_B1_P3_U0_CFG9, 0x40011649 +.set CYREG_B1_P3_U0_CFG10, 0x4001164a +.set CYREG_B1_P3_U0_CFG11, 0x4001164b +.set CYREG_B1_P3_U0_CFG12, 0x4001164c +.set CYREG_B1_P3_U0_CFG13, 0x4001164d +.set CYREG_B1_P3_U0_CFG14, 0x4001164e +.set CYREG_B1_P3_U0_CFG15, 0x4001164f +.set CYREG_B1_P3_U0_CFG16, 0x40011650 +.set CYREG_B1_P3_U0_CFG17, 0x40011651 +.set CYREG_B1_P3_U0_CFG18, 0x40011652 +.set CYREG_B1_P3_U0_CFG19, 0x40011653 +.set CYREG_B1_P3_U0_CFG20, 0x40011654 +.set CYREG_B1_P3_U0_CFG21, 0x40011655 +.set CYREG_B1_P3_U0_CFG22, 0x40011656 +.set CYREG_B1_P3_U0_CFG23, 0x40011657 +.set CYREG_B1_P3_U0_CFG24, 0x40011658 +.set CYREG_B1_P3_U0_CFG25, 0x40011659 +.set CYREG_B1_P3_U0_CFG26, 0x4001165a +.set CYREG_B1_P3_U0_CFG27, 0x4001165b +.set CYREG_B1_P3_U0_CFG28, 0x4001165c +.set CYREG_B1_P3_U0_CFG29, 0x4001165d +.set CYREG_B1_P3_U0_CFG30, 0x4001165e +.set CYREG_B1_P3_U0_CFG31, 0x4001165f +.set CYREG_B1_P3_U0_DCFG0, 0x40011660 +.set CYREG_B1_P3_U0_DCFG1, 0x40011662 +.set CYREG_B1_P3_U0_DCFG2, 0x40011664 +.set CYREG_B1_P3_U0_DCFG3, 0x40011666 +.set CYREG_B1_P3_U0_DCFG4, 0x40011668 +.set CYREG_B1_P3_U0_DCFG5, 0x4001166a +.set CYREG_B1_P3_U0_DCFG6, 0x4001166c +.set CYREG_B1_P3_U0_DCFG7, 0x4001166e +.set CYDEV_UCFG_B1_P3_U1_BASE, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_SIZE, 0x00000070 +.set CYREG_B1_P3_U1_PLD_IT0, 0x40011680 +.set CYREG_B1_P3_U1_PLD_IT1, 0x40011684 +.set CYREG_B1_P3_U1_PLD_IT2, 0x40011688 +.set CYREG_B1_P3_U1_PLD_IT3, 0x4001168c +.set CYREG_B1_P3_U1_PLD_IT4, 0x40011690 +.set CYREG_B1_P3_U1_PLD_IT5, 0x40011694 +.set CYREG_B1_P3_U1_PLD_IT6, 0x40011698 +.set CYREG_B1_P3_U1_PLD_IT7, 0x4001169c +.set CYREG_B1_P3_U1_PLD_IT8, 0x400116a0 +.set CYREG_B1_P3_U1_PLD_IT9, 0x400116a4 +.set CYREG_B1_P3_U1_PLD_IT10, 0x400116a8 +.set CYREG_B1_P3_U1_PLD_IT11, 0x400116ac +.set CYREG_B1_P3_U1_PLD_ORT0, 0x400116b0 +.set CYREG_B1_P3_U1_PLD_ORT1, 0x400116b2 +.set CYREG_B1_P3_U1_PLD_ORT2, 0x400116b4 +.set CYREG_B1_P3_U1_PLD_ORT3, 0x400116b6 +.set CYREG_B1_P3_U1_MC_CFG_CEN_CONST, 0x400116b8 +.set CYREG_B1_P3_U1_MC_CFG_XORFB, 0x400116ba +.set CYREG_B1_P3_U1_MC_CFG_SET_RESET, 0x400116bc +.set CYREG_B1_P3_U1_MC_CFG_BYPASS, 0x400116be +.set CYREG_B1_P3_U1_CFG0, 0x400116c0 +.set CYREG_B1_P3_U1_CFG1, 0x400116c1 +.set CYREG_B1_P3_U1_CFG2, 0x400116c2 +.set CYREG_B1_P3_U1_CFG3, 0x400116c3 +.set CYREG_B1_P3_U1_CFG4, 0x400116c4 +.set CYREG_B1_P3_U1_CFG5, 0x400116c5 +.set CYREG_B1_P3_U1_CFG6, 0x400116c6 +.set CYREG_B1_P3_U1_CFG7, 0x400116c7 +.set CYREG_B1_P3_U1_CFG8, 0x400116c8 +.set CYREG_B1_P3_U1_CFG9, 0x400116c9 +.set CYREG_B1_P3_U1_CFG10, 0x400116ca +.set CYREG_B1_P3_U1_CFG11, 0x400116cb +.set CYREG_B1_P3_U1_CFG12, 0x400116cc +.set CYREG_B1_P3_U1_CFG13, 0x400116cd +.set CYREG_B1_P3_U1_CFG14, 0x400116ce +.set CYREG_B1_P3_U1_CFG15, 0x400116cf +.set CYREG_B1_P3_U1_CFG16, 0x400116d0 +.set CYREG_B1_P3_U1_CFG17, 0x400116d1 +.set CYREG_B1_P3_U1_CFG18, 0x400116d2 +.set CYREG_B1_P3_U1_CFG19, 0x400116d3 +.set CYREG_B1_P3_U1_CFG20, 0x400116d4 +.set CYREG_B1_P3_U1_CFG21, 0x400116d5 +.set CYREG_B1_P3_U1_CFG22, 0x400116d6 +.set CYREG_B1_P3_U1_CFG23, 0x400116d7 +.set CYREG_B1_P3_U1_CFG24, 0x400116d8 +.set CYREG_B1_P3_U1_CFG25, 0x400116d9 +.set CYREG_B1_P3_U1_CFG26, 0x400116da +.set CYREG_B1_P3_U1_CFG27, 0x400116db +.set CYREG_B1_P3_U1_CFG28, 0x400116dc +.set CYREG_B1_P3_U1_CFG29, 0x400116dd +.set CYREG_B1_P3_U1_CFG30, 0x400116de +.set CYREG_B1_P3_U1_CFG31, 0x400116df +.set CYREG_B1_P3_U1_DCFG0, 0x400116e0 +.set CYREG_B1_P3_U1_DCFG1, 0x400116e2 +.set CYREG_B1_P3_U1_DCFG2, 0x400116e4 +.set CYREG_B1_P3_U1_DCFG3, 0x400116e6 +.set CYREG_B1_P3_U1_DCFG4, 0x400116e8 +.set CYREG_B1_P3_U1_DCFG5, 0x400116ea +.set CYREG_B1_P3_U1_DCFG6, 0x400116ec +.set CYREG_B1_P3_U1_DCFG7, 0x400116ee +.set CYDEV_UCFG_B1_P3_ROUTE_BASE, 0x40011700 +.set CYDEV_UCFG_B1_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P4_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P4_U0_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_SIZE, 0x00000070 +.set CYREG_B1_P4_U0_PLD_IT0, 0x40011800 +.set CYREG_B1_P4_U0_PLD_IT1, 0x40011804 +.set CYREG_B1_P4_U0_PLD_IT2, 0x40011808 +.set CYREG_B1_P4_U0_PLD_IT3, 0x4001180c +.set CYREG_B1_P4_U0_PLD_IT4, 0x40011810 +.set CYREG_B1_P4_U0_PLD_IT5, 0x40011814 +.set CYREG_B1_P4_U0_PLD_IT6, 0x40011818 +.set CYREG_B1_P4_U0_PLD_IT7, 0x4001181c +.set CYREG_B1_P4_U0_PLD_IT8, 0x40011820 +.set CYREG_B1_P4_U0_PLD_IT9, 0x40011824 +.set CYREG_B1_P4_U0_PLD_IT10, 0x40011828 +.set CYREG_B1_P4_U0_PLD_IT11, 0x4001182c +.set CYREG_B1_P4_U0_PLD_ORT0, 0x40011830 +.set CYREG_B1_P4_U0_PLD_ORT1, 0x40011832 +.set CYREG_B1_P4_U0_PLD_ORT2, 0x40011834 +.set CYREG_B1_P4_U0_PLD_ORT3, 0x40011836 +.set CYREG_B1_P4_U0_MC_CFG_CEN_CONST, 0x40011838 +.set CYREG_B1_P4_U0_MC_CFG_XORFB, 0x4001183a +.set CYREG_B1_P4_U0_MC_CFG_SET_RESET, 0x4001183c +.set CYREG_B1_P4_U0_MC_CFG_BYPASS, 0x4001183e +.set CYREG_B1_P4_U0_CFG0, 0x40011840 +.set CYREG_B1_P4_U0_CFG1, 0x40011841 +.set CYREG_B1_P4_U0_CFG2, 0x40011842 +.set CYREG_B1_P4_U0_CFG3, 0x40011843 +.set CYREG_B1_P4_U0_CFG4, 0x40011844 +.set CYREG_B1_P4_U0_CFG5, 0x40011845 +.set CYREG_B1_P4_U0_CFG6, 0x40011846 +.set CYREG_B1_P4_U0_CFG7, 0x40011847 +.set CYREG_B1_P4_U0_CFG8, 0x40011848 +.set CYREG_B1_P4_U0_CFG9, 0x40011849 +.set CYREG_B1_P4_U0_CFG10, 0x4001184a +.set CYREG_B1_P4_U0_CFG11, 0x4001184b +.set CYREG_B1_P4_U0_CFG12, 0x4001184c +.set CYREG_B1_P4_U0_CFG13, 0x4001184d +.set CYREG_B1_P4_U0_CFG14, 0x4001184e +.set CYREG_B1_P4_U0_CFG15, 0x4001184f +.set CYREG_B1_P4_U0_CFG16, 0x40011850 +.set CYREG_B1_P4_U0_CFG17, 0x40011851 +.set CYREG_B1_P4_U0_CFG18, 0x40011852 +.set CYREG_B1_P4_U0_CFG19, 0x40011853 +.set CYREG_B1_P4_U0_CFG20, 0x40011854 +.set CYREG_B1_P4_U0_CFG21, 0x40011855 +.set CYREG_B1_P4_U0_CFG22, 0x40011856 +.set CYREG_B1_P4_U0_CFG23, 0x40011857 +.set CYREG_B1_P4_U0_CFG24, 0x40011858 +.set CYREG_B1_P4_U0_CFG25, 0x40011859 +.set CYREG_B1_P4_U0_CFG26, 0x4001185a +.set CYREG_B1_P4_U0_CFG27, 0x4001185b +.set CYREG_B1_P4_U0_CFG28, 0x4001185c +.set CYREG_B1_P4_U0_CFG29, 0x4001185d +.set CYREG_B1_P4_U0_CFG30, 0x4001185e +.set CYREG_B1_P4_U0_CFG31, 0x4001185f +.set CYREG_B1_P4_U0_DCFG0, 0x40011860 +.set CYREG_B1_P4_U0_DCFG1, 0x40011862 +.set CYREG_B1_P4_U0_DCFG2, 0x40011864 +.set CYREG_B1_P4_U0_DCFG3, 0x40011866 +.set CYREG_B1_P4_U0_DCFG4, 0x40011868 +.set CYREG_B1_P4_U0_DCFG5, 0x4001186a +.set CYREG_B1_P4_U0_DCFG6, 0x4001186c +.set CYREG_B1_P4_U0_DCFG7, 0x4001186e +.set CYDEV_UCFG_B1_P4_U1_BASE, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_SIZE, 0x00000070 +.set CYREG_B1_P4_U1_PLD_IT0, 0x40011880 +.set CYREG_B1_P4_U1_PLD_IT1, 0x40011884 +.set CYREG_B1_P4_U1_PLD_IT2, 0x40011888 +.set CYREG_B1_P4_U1_PLD_IT3, 0x4001188c +.set CYREG_B1_P4_U1_PLD_IT4, 0x40011890 +.set CYREG_B1_P4_U1_PLD_IT5, 0x40011894 +.set CYREG_B1_P4_U1_PLD_IT6, 0x40011898 +.set CYREG_B1_P4_U1_PLD_IT7, 0x4001189c +.set CYREG_B1_P4_U1_PLD_IT8, 0x400118a0 +.set CYREG_B1_P4_U1_PLD_IT9, 0x400118a4 +.set CYREG_B1_P4_U1_PLD_IT10, 0x400118a8 +.set CYREG_B1_P4_U1_PLD_IT11, 0x400118ac +.set CYREG_B1_P4_U1_PLD_ORT0, 0x400118b0 +.set CYREG_B1_P4_U1_PLD_ORT1, 0x400118b2 +.set CYREG_B1_P4_U1_PLD_ORT2, 0x400118b4 +.set CYREG_B1_P4_U1_PLD_ORT3, 0x400118b6 +.set CYREG_B1_P4_U1_MC_CFG_CEN_CONST, 0x400118b8 +.set CYREG_B1_P4_U1_MC_CFG_XORFB, 0x400118ba +.set CYREG_B1_P4_U1_MC_CFG_SET_RESET, 0x400118bc +.set CYREG_B1_P4_U1_MC_CFG_BYPASS, 0x400118be +.set CYREG_B1_P4_U1_CFG0, 0x400118c0 +.set CYREG_B1_P4_U1_CFG1, 0x400118c1 +.set CYREG_B1_P4_U1_CFG2, 0x400118c2 +.set CYREG_B1_P4_U1_CFG3, 0x400118c3 +.set CYREG_B1_P4_U1_CFG4, 0x400118c4 +.set CYREG_B1_P4_U1_CFG5, 0x400118c5 +.set CYREG_B1_P4_U1_CFG6, 0x400118c6 +.set CYREG_B1_P4_U1_CFG7, 0x400118c7 +.set CYREG_B1_P4_U1_CFG8, 0x400118c8 +.set CYREG_B1_P4_U1_CFG9, 0x400118c9 +.set CYREG_B1_P4_U1_CFG10, 0x400118ca +.set CYREG_B1_P4_U1_CFG11, 0x400118cb +.set CYREG_B1_P4_U1_CFG12, 0x400118cc +.set CYREG_B1_P4_U1_CFG13, 0x400118cd +.set CYREG_B1_P4_U1_CFG14, 0x400118ce +.set CYREG_B1_P4_U1_CFG15, 0x400118cf +.set CYREG_B1_P4_U1_CFG16, 0x400118d0 +.set CYREG_B1_P4_U1_CFG17, 0x400118d1 +.set CYREG_B1_P4_U1_CFG18, 0x400118d2 +.set CYREG_B1_P4_U1_CFG19, 0x400118d3 +.set CYREG_B1_P4_U1_CFG20, 0x400118d4 +.set CYREG_B1_P4_U1_CFG21, 0x400118d5 +.set CYREG_B1_P4_U1_CFG22, 0x400118d6 +.set CYREG_B1_P4_U1_CFG23, 0x400118d7 +.set CYREG_B1_P4_U1_CFG24, 0x400118d8 +.set CYREG_B1_P4_U1_CFG25, 0x400118d9 +.set CYREG_B1_P4_U1_CFG26, 0x400118da +.set CYREG_B1_P4_U1_CFG27, 0x400118db +.set CYREG_B1_P4_U1_CFG28, 0x400118dc +.set CYREG_B1_P4_U1_CFG29, 0x400118dd +.set CYREG_B1_P4_U1_CFG30, 0x400118de +.set CYREG_B1_P4_U1_CFG31, 0x400118df +.set CYREG_B1_P4_U1_DCFG0, 0x400118e0 +.set CYREG_B1_P4_U1_DCFG1, 0x400118e2 +.set CYREG_B1_P4_U1_DCFG2, 0x400118e4 +.set CYREG_B1_P4_U1_DCFG3, 0x400118e6 +.set CYREG_B1_P4_U1_DCFG4, 0x400118e8 +.set CYREG_B1_P4_U1_DCFG5, 0x400118ea +.set CYREG_B1_P4_U1_DCFG6, 0x400118ec +.set CYREG_B1_P4_U1_DCFG7, 0x400118ee +.set CYDEV_UCFG_B1_P4_ROUTE_BASE, 0x40011900 +.set CYDEV_UCFG_B1_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P5_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P5_U0_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_SIZE, 0x00000070 +.set CYREG_B1_P5_U0_PLD_IT0, 0x40011a00 +.set CYREG_B1_P5_U0_PLD_IT1, 0x40011a04 +.set CYREG_B1_P5_U0_PLD_IT2, 0x40011a08 +.set CYREG_B1_P5_U0_PLD_IT3, 0x40011a0c +.set CYREG_B1_P5_U0_PLD_IT4, 0x40011a10 +.set CYREG_B1_P5_U0_PLD_IT5, 0x40011a14 +.set CYREG_B1_P5_U0_PLD_IT6, 0x40011a18 +.set CYREG_B1_P5_U0_PLD_IT7, 0x40011a1c +.set CYREG_B1_P5_U0_PLD_IT8, 0x40011a20 +.set CYREG_B1_P5_U0_PLD_IT9, 0x40011a24 +.set CYREG_B1_P5_U0_PLD_IT10, 0x40011a28 +.set CYREG_B1_P5_U0_PLD_IT11, 0x40011a2c +.set CYREG_B1_P5_U0_PLD_ORT0, 0x40011a30 +.set CYREG_B1_P5_U0_PLD_ORT1, 0x40011a32 +.set CYREG_B1_P5_U0_PLD_ORT2, 0x40011a34 +.set CYREG_B1_P5_U0_PLD_ORT3, 0x40011a36 +.set CYREG_B1_P5_U0_MC_CFG_CEN_CONST, 0x40011a38 +.set CYREG_B1_P5_U0_MC_CFG_XORFB, 0x40011a3a +.set CYREG_B1_P5_U0_MC_CFG_SET_RESET, 0x40011a3c +.set CYREG_B1_P5_U0_MC_CFG_BYPASS, 0x40011a3e +.set CYREG_B1_P5_U0_CFG0, 0x40011a40 +.set CYREG_B1_P5_U0_CFG1, 0x40011a41 +.set CYREG_B1_P5_U0_CFG2, 0x40011a42 +.set CYREG_B1_P5_U0_CFG3, 0x40011a43 +.set CYREG_B1_P5_U0_CFG4, 0x40011a44 +.set CYREG_B1_P5_U0_CFG5, 0x40011a45 +.set CYREG_B1_P5_U0_CFG6, 0x40011a46 +.set CYREG_B1_P5_U0_CFG7, 0x40011a47 +.set CYREG_B1_P5_U0_CFG8, 0x40011a48 +.set CYREG_B1_P5_U0_CFG9, 0x40011a49 +.set CYREG_B1_P5_U0_CFG10, 0x40011a4a +.set CYREG_B1_P5_U0_CFG11, 0x40011a4b +.set CYREG_B1_P5_U0_CFG12, 0x40011a4c +.set CYREG_B1_P5_U0_CFG13, 0x40011a4d +.set CYREG_B1_P5_U0_CFG14, 0x40011a4e +.set CYREG_B1_P5_U0_CFG15, 0x40011a4f +.set CYREG_B1_P5_U0_CFG16, 0x40011a50 +.set CYREG_B1_P5_U0_CFG17, 0x40011a51 +.set CYREG_B1_P5_U0_CFG18, 0x40011a52 +.set CYREG_B1_P5_U0_CFG19, 0x40011a53 +.set CYREG_B1_P5_U0_CFG20, 0x40011a54 +.set CYREG_B1_P5_U0_CFG21, 0x40011a55 +.set CYREG_B1_P5_U0_CFG22, 0x40011a56 +.set CYREG_B1_P5_U0_CFG23, 0x40011a57 +.set CYREG_B1_P5_U0_CFG24, 0x40011a58 +.set CYREG_B1_P5_U0_CFG25, 0x40011a59 +.set CYREG_B1_P5_U0_CFG26, 0x40011a5a +.set CYREG_B1_P5_U0_CFG27, 0x40011a5b +.set CYREG_B1_P5_U0_CFG28, 0x40011a5c +.set CYREG_B1_P5_U0_CFG29, 0x40011a5d +.set CYREG_B1_P5_U0_CFG30, 0x40011a5e +.set CYREG_B1_P5_U0_CFG31, 0x40011a5f +.set CYREG_B1_P5_U0_DCFG0, 0x40011a60 +.set CYREG_B1_P5_U0_DCFG1, 0x40011a62 +.set CYREG_B1_P5_U0_DCFG2, 0x40011a64 +.set CYREG_B1_P5_U0_DCFG3, 0x40011a66 +.set CYREG_B1_P5_U0_DCFG4, 0x40011a68 +.set CYREG_B1_P5_U0_DCFG5, 0x40011a6a +.set CYREG_B1_P5_U0_DCFG6, 0x40011a6c +.set CYREG_B1_P5_U0_DCFG7, 0x40011a6e +.set CYDEV_UCFG_B1_P5_U1_BASE, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_SIZE, 0x00000070 +.set CYREG_B1_P5_U1_PLD_IT0, 0x40011a80 +.set CYREG_B1_P5_U1_PLD_IT1, 0x40011a84 +.set CYREG_B1_P5_U1_PLD_IT2, 0x40011a88 +.set CYREG_B1_P5_U1_PLD_IT3, 0x40011a8c +.set CYREG_B1_P5_U1_PLD_IT4, 0x40011a90 +.set CYREG_B1_P5_U1_PLD_IT5, 0x40011a94 +.set CYREG_B1_P5_U1_PLD_IT6, 0x40011a98 +.set CYREG_B1_P5_U1_PLD_IT7, 0x40011a9c +.set CYREG_B1_P5_U1_PLD_IT8, 0x40011aa0 +.set CYREG_B1_P5_U1_PLD_IT9, 0x40011aa4 +.set CYREG_B1_P5_U1_PLD_IT10, 0x40011aa8 +.set CYREG_B1_P5_U1_PLD_IT11, 0x40011aac +.set CYREG_B1_P5_U1_PLD_ORT0, 0x40011ab0 +.set CYREG_B1_P5_U1_PLD_ORT1, 0x40011ab2 +.set CYREG_B1_P5_U1_PLD_ORT2, 0x40011ab4 +.set CYREG_B1_P5_U1_PLD_ORT3, 0x40011ab6 +.set CYREG_B1_P5_U1_MC_CFG_CEN_CONST, 0x40011ab8 +.set CYREG_B1_P5_U1_MC_CFG_XORFB, 0x40011aba +.set CYREG_B1_P5_U1_MC_CFG_SET_RESET, 0x40011abc +.set CYREG_B1_P5_U1_MC_CFG_BYPASS, 0x40011abe +.set CYREG_B1_P5_U1_CFG0, 0x40011ac0 +.set CYREG_B1_P5_U1_CFG1, 0x40011ac1 +.set CYREG_B1_P5_U1_CFG2, 0x40011ac2 +.set CYREG_B1_P5_U1_CFG3, 0x40011ac3 +.set CYREG_B1_P5_U1_CFG4, 0x40011ac4 +.set CYREG_B1_P5_U1_CFG5, 0x40011ac5 +.set CYREG_B1_P5_U1_CFG6, 0x40011ac6 +.set CYREG_B1_P5_U1_CFG7, 0x40011ac7 +.set CYREG_B1_P5_U1_CFG8, 0x40011ac8 +.set CYREG_B1_P5_U1_CFG9, 0x40011ac9 +.set CYREG_B1_P5_U1_CFG10, 0x40011aca +.set CYREG_B1_P5_U1_CFG11, 0x40011acb +.set CYREG_B1_P5_U1_CFG12, 0x40011acc +.set CYREG_B1_P5_U1_CFG13, 0x40011acd +.set CYREG_B1_P5_U1_CFG14, 0x40011ace +.set CYREG_B1_P5_U1_CFG15, 0x40011acf +.set CYREG_B1_P5_U1_CFG16, 0x40011ad0 +.set CYREG_B1_P5_U1_CFG17, 0x40011ad1 +.set CYREG_B1_P5_U1_CFG18, 0x40011ad2 +.set CYREG_B1_P5_U1_CFG19, 0x40011ad3 +.set CYREG_B1_P5_U1_CFG20, 0x40011ad4 +.set CYREG_B1_P5_U1_CFG21, 0x40011ad5 +.set CYREG_B1_P5_U1_CFG22, 0x40011ad6 +.set CYREG_B1_P5_U1_CFG23, 0x40011ad7 +.set CYREG_B1_P5_U1_CFG24, 0x40011ad8 +.set CYREG_B1_P5_U1_CFG25, 0x40011ad9 +.set CYREG_B1_P5_U1_CFG26, 0x40011ada +.set CYREG_B1_P5_U1_CFG27, 0x40011adb +.set CYREG_B1_P5_U1_CFG28, 0x40011adc +.set CYREG_B1_P5_U1_CFG29, 0x40011add +.set CYREG_B1_P5_U1_CFG30, 0x40011ade +.set CYREG_B1_P5_U1_CFG31, 0x40011adf +.set CYREG_B1_P5_U1_DCFG0, 0x40011ae0 +.set CYREG_B1_P5_U1_DCFG1, 0x40011ae2 +.set CYREG_B1_P5_U1_DCFG2, 0x40011ae4 +.set CYREG_B1_P5_U1_DCFG3, 0x40011ae6 +.set CYREG_B1_P5_U1_DCFG4, 0x40011ae8 +.set CYREG_B1_P5_U1_DCFG5, 0x40011aea +.set CYREG_B1_P5_U1_DCFG6, 0x40011aec +.set CYREG_B1_P5_U1_DCFG7, 0x40011aee +.set CYDEV_UCFG_B1_P5_ROUTE_BASE, 0x40011b00 +.set CYDEV_UCFG_B1_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI0_BASE, 0x40014000 +.set CYDEV_UCFG_DSI0_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI1_BASE, 0x40014100 +.set CYDEV_UCFG_DSI1_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI2_BASE, 0x40014200 +.set CYDEV_UCFG_DSI2_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI3_BASE, 0x40014300 +.set CYDEV_UCFG_DSI3_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI4_BASE, 0x40014400 +.set CYDEV_UCFG_DSI4_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI5_BASE, 0x40014500 +.set CYDEV_UCFG_DSI5_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI6_BASE, 0x40014600 +.set CYDEV_UCFG_DSI6_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI7_BASE, 0x40014700 +.set CYDEV_UCFG_DSI7_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI8_BASE, 0x40014800 +.set CYDEV_UCFG_DSI8_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI9_BASE, 0x40014900 +.set CYDEV_UCFG_DSI9_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI12_BASE, 0x40014c00 +.set CYDEV_UCFG_DSI12_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI13_BASE, 0x40014d00 +.set CYDEV_UCFG_DSI13_SIZE, 0x000000ef +.set CYDEV_UCFG_BCTL0_BASE, 0x40015000 +.set CYDEV_UCFG_BCTL0_SIZE, 0x00000010 +.set CYREG_BCTL0_MDCLK_EN, 0x40015000 +.set CYREG_BCTL0_MBCLK_EN, 0x40015001 +.set CYREG_BCTL0_WAIT_CFG, 0x40015002 +.set CYREG_BCTL0_BANK_CTL, 0x40015003 +.set CYREG_BCTL0_UDB_TEST_3, 0x40015007 +.set CYREG_BCTL0_DCLK_EN0, 0x40015008 +.set CYREG_BCTL0_BCLK_EN0, 0x40015009 +.set CYREG_BCTL0_DCLK_EN1, 0x4001500a +.set CYREG_BCTL0_BCLK_EN1, 0x4001500b +.set CYREG_BCTL0_DCLK_EN2, 0x4001500c +.set CYREG_BCTL0_BCLK_EN2, 0x4001500d +.set CYREG_BCTL0_DCLK_EN3, 0x4001500e +.set CYREG_BCTL0_BCLK_EN3, 0x4001500f +.set CYDEV_UCFG_BCTL1_BASE, 0x40015010 +.set CYDEV_UCFG_BCTL1_SIZE, 0x00000010 +.set CYREG_BCTL1_MDCLK_EN, 0x40015010 +.set CYREG_BCTL1_MBCLK_EN, 0x40015011 +.set CYREG_BCTL1_WAIT_CFG, 0x40015012 +.set CYREG_BCTL1_BANK_CTL, 0x40015013 +.set CYREG_BCTL1_UDB_TEST_3, 0x40015017 +.set CYREG_BCTL1_DCLK_EN0, 0x40015018 +.set CYREG_BCTL1_BCLK_EN0, 0x40015019 +.set CYREG_BCTL1_DCLK_EN1, 0x4001501a +.set CYREG_BCTL1_BCLK_EN1, 0x4001501b +.set CYREG_BCTL1_DCLK_EN2, 0x4001501c +.set CYREG_BCTL1_BCLK_EN2, 0x4001501d +.set CYREG_BCTL1_DCLK_EN3, 0x4001501e +.set CYREG_BCTL1_BCLK_EN3, 0x4001501f +.set CYDEV_IDMUX_BASE, 0x40015100 +.set CYDEV_IDMUX_SIZE, 0x00000016 +.set CYREG_IDMUX_IRQ_CTL0, 0x40015100 +.set CYREG_IDMUX_IRQ_CTL1, 0x40015101 +.set CYREG_IDMUX_IRQ_CTL2, 0x40015102 +.set CYREG_IDMUX_IRQ_CTL3, 0x40015103 +.set CYREG_IDMUX_IRQ_CTL4, 0x40015104 +.set CYREG_IDMUX_IRQ_CTL5, 0x40015105 +.set CYREG_IDMUX_IRQ_CTL6, 0x40015106 +.set CYREG_IDMUX_IRQ_CTL7, 0x40015107 +.set CYREG_IDMUX_DRQ_CTL0, 0x40015110 +.set CYREG_IDMUX_DRQ_CTL1, 0x40015111 +.set CYREG_IDMUX_DRQ_CTL2, 0x40015112 +.set CYREG_IDMUX_DRQ_CTL3, 0x40015113 +.set CYREG_IDMUX_DRQ_CTL4, 0x40015114 +.set CYREG_IDMUX_DRQ_CTL5, 0x40015115 +.set CYDEV_CACHERAM_BASE, 0x40030000 +.set CYDEV_CACHERAM_SIZE, 0x00000400 +.set CYREG_CACHERAM_DATA_MBASE, 0x40030000 +.set CYREG_CACHERAM_DATA_MSIZE, 0x00000400 +.set CYDEV_SFR_BASE, 0x40050100 +.set CYDEV_SFR_SIZE, 0x000000fb +.set CYREG_SFR_GPIO0, 0x40050180 +.set CYREG_SFR_GPIRD0, 0x40050189 +.set CYREG_SFR_GPIO0_SEL, 0x4005018a +.set CYREG_SFR_GPIO1, 0x40050190 +.set CYREG_SFR_GPIRD1, 0x40050191 +.set CYREG_SFR_GPIO2, 0x40050198 +.set CYREG_SFR_GPIRD2, 0x40050199 +.set CYREG_SFR_GPIO2_SEL, 0x4005019a +.set CYREG_SFR_GPIO1_SEL, 0x400501a2 +.set CYREG_SFR_GPIO3, 0x400501b0 +.set CYREG_SFR_GPIRD3, 0x400501b1 +.set CYREG_SFR_GPIO3_SEL, 0x400501b2 +.set CYREG_SFR_GPIO4, 0x400501c0 +.set CYREG_SFR_GPIRD4, 0x400501c1 +.set CYREG_SFR_GPIO4_SEL, 0x400501c2 +.set CYREG_SFR_GPIO5, 0x400501c8 +.set CYREG_SFR_GPIRD5, 0x400501c9 +.set CYREG_SFR_GPIO5_SEL, 0x400501ca +.set CYREG_SFR_GPIO6, 0x400501d8 +.set CYREG_SFR_GPIRD6, 0x400501d9 +.set CYREG_SFR_GPIO6_SEL, 0x400501da +.set CYREG_SFR_GPIO12, 0x400501e8 +.set CYREG_SFR_GPIRD12, 0x400501e9 +.set CYREG_SFR_GPIO12_SEL, 0x400501f2 +.set CYREG_SFR_GPIO15, 0x400501f8 +.set CYREG_SFR_GPIRD15, 0x400501f9 +.set CYREG_SFR_GPIO15_SEL, 0x400501fa +.set CYDEV_P3BA_BASE, 0x40050300 +.set CYDEV_P3BA_SIZE, 0x0000002b +.set CYREG_P3BA_Y_START, 0x40050300 +.set CYREG_P3BA_YROLL, 0x40050301 +.set CYREG_P3BA_YCFG, 0x40050302 +.set CYREG_P3BA_X_START1, 0x40050303 +.set CYREG_P3BA_X_START2, 0x40050304 +.set CYREG_P3BA_XROLL1, 0x40050305 +.set CYREG_P3BA_XROLL2, 0x40050306 +.set CYREG_P3BA_XINC, 0x40050307 +.set CYREG_P3BA_XCFG, 0x40050308 +.set CYREG_P3BA_OFFSETADDR1, 0x40050309 +.set CYREG_P3BA_OFFSETADDR2, 0x4005030a +.set CYREG_P3BA_OFFSETADDR3, 0x4005030b +.set CYREG_P3BA_ABSADDR1, 0x4005030c +.set CYREG_P3BA_ABSADDR2, 0x4005030d +.set CYREG_P3BA_ABSADDR3, 0x4005030e +.set CYREG_P3BA_ABSADDR4, 0x4005030f +.set CYREG_P3BA_DATCFG1, 0x40050310 +.set CYREG_P3BA_DATCFG2, 0x40050311 +.set CYREG_P3BA_CMP_RSLT1, 0x40050314 +.set CYREG_P3BA_CMP_RSLT2, 0x40050315 +.set CYREG_P3BA_CMP_RSLT3, 0x40050316 +.set CYREG_P3BA_CMP_RSLT4, 0x40050317 +.set CYREG_P3BA_DATA_REG1, 0x40050318 +.set CYREG_P3BA_DATA_REG2, 0x40050319 +.set CYREG_P3BA_DATA_REG3, 0x4005031a +.set CYREG_P3BA_DATA_REG4, 0x4005031b +.set CYREG_P3BA_EXP_DATA1, 0x4005031c +.set CYREG_P3BA_EXP_DATA2, 0x4005031d +.set CYREG_P3BA_EXP_DATA3, 0x4005031e +.set CYREG_P3BA_EXP_DATA4, 0x4005031f +.set CYREG_P3BA_MSTR_HRDATA1, 0x40050320 +.set CYREG_P3BA_MSTR_HRDATA2, 0x40050321 +.set CYREG_P3BA_MSTR_HRDATA3, 0x40050322 +.set CYREG_P3BA_MSTR_HRDATA4, 0x40050323 +.set CYREG_P3BA_BIST_EN, 0x40050324 +.set CYREG_P3BA_PHUB_MASTER_SSR, 0x40050325 +.set CYREG_P3BA_SEQCFG1, 0x40050326 +.set CYREG_P3BA_SEQCFG2, 0x40050327 +.set CYREG_P3BA_Y_CURR, 0x40050328 +.set CYREG_P3BA_X_CURR1, 0x40050329 +.set CYREG_P3BA_X_CURR2, 0x4005032a +.set CYDEV_PANTHER_BASE, 0x40080000 +.set CYDEV_PANTHER_SIZE, 0x00000020 +.set CYREG_PANTHER_STCALIB_CFG, 0x40080000 +.set CYREG_PANTHER_WAITPIPE, 0x40080004 +.set CYREG_PANTHER_TRACE_CFG, 0x40080008 +.set CYREG_PANTHER_DBG_CFG, 0x4008000c +.set CYREG_PANTHER_CM3_LCKRST_STAT, 0x40080018 +.set CYREG_PANTHER_DEVICE_ID, 0x4008001c +.set CYDEV_FLSECC_BASE, 0x48000000 +.set CYDEV_FLSECC_SIZE, 0x00008000 +.set CYREG_FLSECC_DATA_MBASE, 0x48000000 +.set CYREG_FLSECC_DATA_MSIZE, 0x00008000 +.set CYDEV_FLSHID_BASE, 0x49000000 +.set CYDEV_FLSHID_SIZE, 0x00000200 +.set CYREG_FLSHID_RSVD_MBASE, 0x49000000 +.set CYREG_FLSHID_RSVD_MSIZE, 0x00000080 +.set CYREG_FLSHID_CUST_MDATA_MBASE, 0x49000080 +.set CYREG_FLSHID_CUST_MDATA_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_TABLES_BASE, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_SIZE, 0x00000040 +.set CYREG_FLSHID_CUST_TABLES_Y_LOC, 0x49000100 +.set CYREG_FLSHID_CUST_TABLES_X_LOC, 0x49000101 +.set CYREG_FLSHID_CUST_TABLES_WAFER_NUM, 0x49000102 +.set CYREG_FLSHID_CUST_TABLES_LOT_LSB, 0x49000103 +.set CYREG_FLSHID_CUST_TABLES_LOT_MSB, 0x49000104 +.set CYREG_FLSHID_CUST_TABLES_WRK_WK, 0x49000105 +.set CYREG_FLSHID_CUST_TABLES_FAB_YR, 0x49000106 +.set CYREG_FLSHID_CUST_TABLES_MINOR, 0x49000107 +.set CYREG_FLSHID_CUST_TABLES_IMO_3MHZ, 0x49000108 +.set CYREG_FLSHID_CUST_TABLES_IMO_6MHZ, 0x49000109 +.set CYREG_FLSHID_CUST_TABLES_IMO_12MHZ, 0x4900010a +.set CYREG_FLSHID_CUST_TABLES_IMO_24MHZ, 0x4900010b +.set CYREG_FLSHID_CUST_TABLES_IMO_67MHZ, 0x4900010c +.set CYREG_FLSHID_CUST_TABLES_IMO_80MHZ, 0x4900010d +.set CYREG_FLSHID_CUST_TABLES_IMO_92MHZ, 0x4900010e +.set CYREG_FLSHID_CUST_TABLES_IMO_USB, 0x4900010f +.set CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS, 0x49000110 +.set CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS, 0x49000111 +.set CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS, 0x49000112 +.set CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS, 0x49000113 +.set CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS, 0x49000114 +.set CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS, 0x49000115 +.set CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS, 0x49000116 +.set CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS, 0x49000117 +.set CYREG_FLSHID_CUST_TABLES_DEC_M1, 0x49000118 +.set CYREG_FLSHID_CUST_TABLES_DEC_M2, 0x49000119 +.set CYREG_FLSHID_CUST_TABLES_DEC_M3, 0x4900011a +.set CYREG_FLSHID_CUST_TABLES_DEC_M4, 0x4900011b +.set CYREG_FLSHID_CUST_TABLES_DEC_M5, 0x4900011c +.set CYREG_FLSHID_CUST_TABLES_DEC_M6, 0x4900011d +.set CYREG_FLSHID_CUST_TABLES_DEC_M7, 0x4900011e +.set CYREG_FLSHID_CUST_TABLES_DEC_M8, 0x4900011f +.set CYREG_FLSHID_CUST_TABLES_DAC0_M1, 0x49000120 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M2, 0x49000121 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M3, 0x49000122 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M4, 0x49000123 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M5, 0x49000124 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M6, 0x49000125 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M7, 0x49000126 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M8, 0x49000127 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M1, 0x49000128 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M2, 0x49000129 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M3, 0x4900012a +.set CYREG_FLSHID_CUST_TABLES_DAC2_M4, 0x4900012b +.set CYREG_FLSHID_CUST_TABLES_DAC2_M5, 0x4900012c +.set CYREG_FLSHID_CUST_TABLES_DAC2_M6, 0x4900012d +.set CYREG_FLSHID_CUST_TABLES_DAC2_M7, 0x4900012e +.set CYREG_FLSHID_CUST_TABLES_DAC2_M8, 0x4900012f +.set CYREG_FLSHID_CUST_TABLES_DAC1_M1, 0x49000130 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M2, 0x49000131 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M3, 0x49000132 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M4, 0x49000133 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M5, 0x49000134 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M6, 0x49000135 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M7, 0x49000136 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M8, 0x49000137 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M1, 0x49000138 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M2, 0x49000139 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M3, 0x4900013a +.set CYREG_FLSHID_CUST_TABLES_DAC3_M4, 0x4900013b +.set CYREG_FLSHID_CUST_TABLES_DAC3_M5, 0x4900013c +.set CYREG_FLSHID_CUST_TABLES_DAC3_M6, 0x4900013d +.set CYREG_FLSHID_CUST_TABLES_DAC3_M7, 0x4900013e +.set CYREG_FLSHID_CUST_TABLES_DAC3_M8, 0x4900013f +.set CYDEV_FLSHID_MFG_CFG_BASE, 0x49000180 +.set CYDEV_FLSHID_MFG_CFG_SIZE, 0x00000080 +.set CYREG_FLSHID_MFG_CFG_IMO_TR1, 0x49000188 +.set CYREG_FLSHID_MFG_CFG_CMP0_TR0, 0x490001ac +.set CYREG_FLSHID_MFG_CFG_CMP1_TR0, 0x490001ae +.set CYREG_FLSHID_MFG_CFG_CMP2_TR0, 0x490001b0 +.set CYREG_FLSHID_MFG_CFG_CMP3_TR0, 0x490001b2 +.set CYREG_FLSHID_MFG_CFG_CMP0_TR1, 0x490001b4 +.set CYREG_FLSHID_MFG_CFG_CMP1_TR1, 0x490001b6 +.set CYREG_FLSHID_MFG_CFG_CMP2_TR1, 0x490001b8 +.set CYREG_FLSHID_MFG_CFG_CMP3_TR1, 0x490001ba +.set CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM, 0x490001ce +.set CYDEV_EXTMEM_BASE, 0x60000000 +.set CYDEV_EXTMEM_SIZE, 0x00800000 +.set CYREG_EXTMEM_DATA_MBASE, 0x60000000 +.set CYREG_EXTMEM_DATA_MSIZE, 0x00800000 +.set CYDEV_ITM_BASE, 0xe0000000 +.set CYDEV_ITM_SIZE, 0x00001000 +.set CYREG_ITM_TRACE_EN, 0xe0000e00 +.set CYREG_ITM_TRACE_PRIVILEGE, 0xe0000e40 +.set CYREG_ITM_TRACE_CTRL, 0xe0000e80 +.set CYREG_ITM_LOCK_ACCESS, 0xe0000fb0 +.set CYREG_ITM_LOCK_STATUS, 0xe0000fb4 +.set CYREG_ITM_PID4, 0xe0000fd0 +.set CYREG_ITM_PID5, 0xe0000fd4 +.set CYREG_ITM_PID6, 0xe0000fd8 +.set CYREG_ITM_PID7, 0xe0000fdc +.set CYREG_ITM_PID0, 0xe0000fe0 +.set CYREG_ITM_PID1, 0xe0000fe4 +.set CYREG_ITM_PID2, 0xe0000fe8 +.set CYREG_ITM_PID3, 0xe0000fec +.set CYREG_ITM_CID0, 0xe0000ff0 +.set CYREG_ITM_CID1, 0xe0000ff4 +.set CYREG_ITM_CID2, 0xe0000ff8 +.set CYREG_ITM_CID3, 0xe0000ffc +.set CYDEV_DWT_BASE, 0xe0001000 +.set CYDEV_DWT_SIZE, 0x0000005c +.set CYREG_DWT_CTRL, 0xe0001000 +.set CYREG_DWT_CYCLE_COUNT, 0xe0001004 +.set CYREG_DWT_CPI_COUNT, 0xe0001008 +.set CYREG_DWT_EXC_OVHD_COUNT, 0xe000100c +.set CYREG_DWT_SLEEP_COUNT, 0xe0001010 +.set CYREG_DWT_LSU_COUNT, 0xe0001014 +.set CYREG_DWT_FOLD_COUNT, 0xe0001018 +.set CYREG_DWT_PC_SAMPLE, 0xe000101c +.set CYREG_DWT_COMP_0, 0xe0001020 +.set CYREG_DWT_MASK_0, 0xe0001024 +.set CYREG_DWT_FUNCTION_0, 0xe0001028 +.set CYREG_DWT_COMP_1, 0xe0001030 +.set CYREG_DWT_MASK_1, 0xe0001034 +.set CYREG_DWT_FUNCTION_1, 0xe0001038 +.set CYREG_DWT_COMP_2, 0xe0001040 +.set CYREG_DWT_MASK_2, 0xe0001044 +.set CYREG_DWT_FUNCTION_2, 0xe0001048 +.set CYREG_DWT_COMP_3, 0xe0001050 +.set CYREG_DWT_MASK_3, 0xe0001054 +.set CYREG_DWT_FUNCTION_3, 0xe0001058 +.set CYDEV_FPB_BASE, 0xe0002000 +.set CYDEV_FPB_SIZE, 0x00001000 +.set CYREG_FPB_CTRL, 0xe0002000 +.set CYREG_FPB_REMAP, 0xe0002004 +.set CYREG_FPB_FP_COMP_0, 0xe0002008 +.set CYREG_FPB_FP_COMP_1, 0xe000200c +.set CYREG_FPB_FP_COMP_2, 0xe0002010 +.set CYREG_FPB_FP_COMP_3, 0xe0002014 +.set CYREG_FPB_FP_COMP_4, 0xe0002018 +.set CYREG_FPB_FP_COMP_5, 0xe000201c +.set CYREG_FPB_FP_COMP_6, 0xe0002020 +.set CYREG_FPB_FP_COMP_7, 0xe0002024 +.set CYREG_FPB_PID4, 0xe0002fd0 +.set CYREG_FPB_PID5, 0xe0002fd4 +.set CYREG_FPB_PID6, 0xe0002fd8 +.set CYREG_FPB_PID7, 0xe0002fdc +.set CYREG_FPB_PID0, 0xe0002fe0 +.set CYREG_FPB_PID1, 0xe0002fe4 +.set CYREG_FPB_PID2, 0xe0002fe8 +.set CYREG_FPB_PID3, 0xe0002fec +.set CYREG_FPB_CID0, 0xe0002ff0 +.set CYREG_FPB_CID1, 0xe0002ff4 +.set CYREG_FPB_CID2, 0xe0002ff8 +.set CYREG_FPB_CID3, 0xe0002ffc +.set CYDEV_NVIC_BASE, 0xe000e000 +.set CYDEV_NVIC_SIZE, 0x00000d3c +.set CYREG_NVIC_INT_CTL_TYPE, 0xe000e004 +.set CYREG_NVIC_SYSTICK_CTL, 0xe000e010 +.set CYREG_NVIC_SYSTICK_RELOAD, 0xe000e014 +.set CYREG_NVIC_SYSTICK_CURRENT, 0xe000e018 +.set CYREG_NVIC_SYSTICK_CAL, 0xe000e01c +.set CYREG_NVIC_SETENA0, 0xe000e100 +.set CYREG_NVIC_CLRENA0, 0xe000e180 +.set CYREG_NVIC_SETPEND0, 0xe000e200 +.set CYREG_NVIC_CLRPEND0, 0xe000e280 +.set CYREG_NVIC_ACTIVE0, 0xe000e300 +.set CYREG_NVIC_PRI_0, 0xe000e400 +.set CYREG_NVIC_PRI_1, 0xe000e401 +.set CYREG_NVIC_PRI_2, 0xe000e402 +.set CYREG_NVIC_PRI_3, 0xe000e403 +.set CYREG_NVIC_PRI_4, 0xe000e404 +.set CYREG_NVIC_PRI_5, 0xe000e405 +.set CYREG_NVIC_PRI_6, 0xe000e406 +.set CYREG_NVIC_PRI_7, 0xe000e407 +.set CYREG_NVIC_PRI_8, 0xe000e408 +.set CYREG_NVIC_PRI_9, 0xe000e409 +.set CYREG_NVIC_PRI_10, 0xe000e40a +.set CYREG_NVIC_PRI_11, 0xe000e40b +.set CYREG_NVIC_PRI_12, 0xe000e40c +.set CYREG_NVIC_PRI_13, 0xe000e40d +.set CYREG_NVIC_PRI_14, 0xe000e40e +.set CYREG_NVIC_PRI_15, 0xe000e40f +.set CYREG_NVIC_PRI_16, 0xe000e410 +.set CYREG_NVIC_PRI_17, 0xe000e411 +.set CYREG_NVIC_PRI_18, 0xe000e412 +.set CYREG_NVIC_PRI_19, 0xe000e413 +.set CYREG_NVIC_PRI_20, 0xe000e414 +.set CYREG_NVIC_PRI_21, 0xe000e415 +.set CYREG_NVIC_PRI_22, 0xe000e416 +.set CYREG_NVIC_PRI_23, 0xe000e417 +.set CYREG_NVIC_PRI_24, 0xe000e418 +.set CYREG_NVIC_PRI_25, 0xe000e419 +.set CYREG_NVIC_PRI_26, 0xe000e41a +.set CYREG_NVIC_PRI_27, 0xe000e41b +.set CYREG_NVIC_PRI_28, 0xe000e41c +.set CYREG_NVIC_PRI_29, 0xe000e41d +.set CYREG_NVIC_PRI_30, 0xe000e41e +.set CYREG_NVIC_PRI_31, 0xe000e41f +.set CYREG_NVIC_CPUID_BASE, 0xe000ed00 +.set CYREG_NVIC_INTR_CTRL_STATE, 0xe000ed04 +.set CYREG_NVIC_VECT_OFFSET, 0xe000ed08 +.set CYREG_NVIC_APPLN_INTR, 0xe000ed0c +.set CYREG_NVIC_SYSTEM_CONTROL, 0xe000ed10 +.set CYREG_NVIC_CFG_CONTROL, 0xe000ed14 +.set CYREG_NVIC_SYS_PRIO_HANDLER_4_7, 0xe000ed18 +.set CYREG_NVIC_SYS_PRIO_HANDLER_8_11, 0xe000ed1c +.set CYREG_NVIC_SYS_PRIO_HANDLER_12_15, 0xe000ed20 +.set CYREG_NVIC_SYS_HANDLER_CSR, 0xe000ed24 +.set CYREG_NVIC_MEMMAN_FAULT_STATUS, 0xe000ed28 +.set CYREG_NVIC_BUS_FAULT_STATUS, 0xe000ed29 +.set CYREG_NVIC_USAGE_FAULT_STATUS, 0xe000ed2a +.set CYREG_NVIC_HARD_FAULT_STATUS, 0xe000ed2c +.set CYREG_NVIC_DEBUG_FAULT_STATUS, 0xe000ed30 +.set CYREG_NVIC_MEMMAN_FAULT_ADD, 0xe000ed34 +.set CYREG_NVIC_BUS_FAULT_ADD, 0xe000ed38 +.set CYDEV_CORE_DBG_BASE, 0xe000edf0 +.set CYDEV_CORE_DBG_SIZE, 0x00000010 +.set CYREG_CORE_DBG_DBG_HLT_CS, 0xe000edf0 +.set CYREG_CORE_DBG_DBG_REG_SEL, 0xe000edf4 +.set CYREG_CORE_DBG_DBG_REG_DATA, 0xe000edf8 +.set CYREG_CORE_DBG_EXC_MON_CTL, 0xe000edfc +.set CYDEV_TPIU_BASE, 0xe0040000 +.set CYDEV_TPIU_SIZE, 0x00001000 +.set CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ, 0xe0040000 +.set CYREG_TPIU_CURRENT_SYNC_PRT_SZ, 0xe0040004 +.set CYREG_TPIU_ASYNC_CLK_PRESCALER, 0xe0040010 +.set CYREG_TPIU_PROTOCOL, 0xe00400f0 +.set CYREG_TPIU_FORM_FLUSH_STAT, 0xe0040300 +.set CYREG_TPIU_FORM_FLUSH_CTRL, 0xe0040304 +.set CYREG_TPIU_TRIGGER, 0xe0040ee8 +.set CYREG_TPIU_ITETMDATA, 0xe0040eec +.set CYREG_TPIU_ITATBCTR2, 0xe0040ef0 +.set CYREG_TPIU_ITATBCTR0, 0xe0040ef8 +.set CYREG_TPIU_ITITMDATA, 0xe0040efc +.set CYREG_TPIU_ITCTRL, 0xe0040f00 +.set CYREG_TPIU_DEVID, 0xe0040fc8 +.set CYREG_TPIU_DEVTYPE, 0xe0040fcc +.set CYREG_TPIU_PID4, 0xe0040fd0 +.set CYREG_TPIU_PID5, 0xe0040fd4 +.set CYREG_TPIU_PID6, 0xe0040fd8 +.set CYREG_TPIU_PID7, 0xe0040fdc +.set CYREG_TPIU_PID0, 0xe0040fe0 +.set CYREG_TPIU_PID1, 0xe0040fe4 +.set CYREG_TPIU_PID2, 0xe0040fe8 +.set CYREG_TPIU_PID3, 0xe0040fec +.set CYREG_TPIU_CID0, 0xe0040ff0 +.set CYREG_TPIU_CID1, 0xe0040ff4 +.set CYREG_TPIU_CID2, 0xe0040ff8 +.set CYREG_TPIU_CID3, 0xe0040ffc +.set CYDEV_ETM_BASE, 0xe0041000 +.set CYDEV_ETM_SIZE, 0x00001000 +.set CYREG_ETM_CTL, 0xe0041000 +.set CYREG_ETM_CFG_CODE, 0xe0041004 +.set CYREG_ETM_TRIG_EVENT, 0xe0041008 +.set CYREG_ETM_STATUS, 0xe0041010 +.set CYREG_ETM_SYS_CFG, 0xe0041014 +.set CYREG_ETM_TRACE_ENB_EVENT, 0xe0041020 +.set CYREG_ETM_TRACE_EN_CTRL1, 0xe0041024 +.set CYREG_ETM_FIFOFULL_LEVEL, 0xe004102c +.set CYREG_ETM_SYNC_FREQ, 0xe00411e0 +.set CYREG_ETM_ETM_ID, 0xe00411e4 +.set CYREG_ETM_CFG_CODE_EXT, 0xe00411e8 +.set CYREG_ETM_TR_SS_EMBICE_CTRL, 0xe00411f0 +.set CYREG_ETM_CS_TRACE_ID, 0xe0041200 +.set CYREG_ETM_OS_LOCK_ACCESS, 0xe0041300 +.set CYREG_ETM_OS_LOCK_STATUS, 0xe0041304 +.set CYREG_ETM_PDSR, 0xe0041314 +.set CYREG_ETM_ITMISCIN, 0xe0041ee0 +.set CYREG_ETM_ITTRIGOUT, 0xe0041ee8 +.set CYREG_ETM_ITATBCTR2, 0xe0041ef0 +.set CYREG_ETM_ITATBCTR0, 0xe0041ef8 +.set CYREG_ETM_INT_MODE_CTRL, 0xe0041f00 +.set CYREG_ETM_CLM_TAG_SET, 0xe0041fa0 +.set CYREG_ETM_CLM_TAG_CLR, 0xe0041fa4 +.set CYREG_ETM_LOCK_ACCESS, 0xe0041fb0 +.set CYREG_ETM_LOCK_STATUS, 0xe0041fb4 +.set CYREG_ETM_AUTH_STATUS, 0xe0041fb8 +.set CYREG_ETM_DEV_TYPE, 0xe0041fcc +.set CYREG_ETM_PID4, 0xe0041fd0 +.set CYREG_ETM_PID5, 0xe0041fd4 +.set CYREG_ETM_PID6, 0xe0041fd8 +.set CYREG_ETM_PID7, 0xe0041fdc +.set CYREG_ETM_PID0, 0xe0041fe0 +.set CYREG_ETM_PID1, 0xe0041fe4 +.set CYREG_ETM_PID2, 0xe0041fe8 +.set CYREG_ETM_PID3, 0xe0041fec +.set CYREG_ETM_CID0, 0xe0041ff0 +.set CYREG_ETM_CID1, 0xe0041ff4 +.set CYREG_ETM_CID2, 0xe0041ff8 +.set CYREG_ETM_CID3, 0xe0041ffc +.set CYDEV_ROM_TABLE_BASE, 0xe00ff000 +.set CYDEV_ROM_TABLE_SIZE, 0x00001000 +.set CYREG_ROM_TABLE_NVIC, 0xe00ff000 +.set CYREG_ROM_TABLE_DWT, 0xe00ff004 +.set CYREG_ROM_TABLE_FPB, 0xe00ff008 +.set CYREG_ROM_TABLE_ITM, 0xe00ff00c +.set CYREG_ROM_TABLE_TPIU, 0xe00ff010 +.set CYREG_ROM_TABLE_ETM, 0xe00ff014 +.set CYREG_ROM_TABLE_END, 0xe00ff018 +.set CYREG_ROM_TABLE_MEMTYPE, 0xe00fffcc +.set CYREG_ROM_TABLE_PID4, 0xe00fffd0 +.set CYREG_ROM_TABLE_PID5, 0xe00fffd4 +.set CYREG_ROM_TABLE_PID6, 0xe00fffd8 +.set CYREG_ROM_TABLE_PID7, 0xe00fffdc +.set CYREG_ROM_TABLE_PID0, 0xe00fffe0 +.set CYREG_ROM_TABLE_PID1, 0xe00fffe4 +.set CYREG_ROM_TABLE_PID2, 0xe00fffe8 +.set CYREG_ROM_TABLE_PID3, 0xe00fffec +.set CYREG_ROM_TABLE_CID0, 0xe00ffff0 +.set CYREG_ROM_TABLE_CID1, 0xe00ffff4 +.set CYREG_ROM_TABLE_CID2, 0xe00ffff8 +.set CYREG_ROM_TABLE_CID3, 0xe00ffffc +.set CYDEV_FLS_SIZE, CYDEV_FLASH_SIZE +.set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE +.set CYDEV_FLS_SECTOR_SIZE, 0x00010000 +.set CYDEV_FLS_ROW_SIZE, 0x00000100 +.set CYDEV_ECC_SECTOR_SIZE, 0x00002000 +.set CYDEV_ECC_ROW_SIZE, 0x00000020 +.set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 +.set CYDEV_EEPROM_ROW_SIZE, 0x00000010 +.set CYDEV_PERIPH_BASE, CYDEV_CLKDIST_BASE +.set CYCLK_LD_DISABLE, 0x00000004 +.set CYCLK_LD_SYNC_EN, 0x00000002 +.set CYCLK_LD_LOAD, 0x00000001 +.set CYCLK_PIPE, 0x00000080 +.set CYCLK_SSS, 0x00000040 +.set CYCLK_EARLY, 0x00000020 +.set CYCLK_DUTY, 0x00000010 +.set CYCLK_SYNC, 0x00000008 +.set CYCLK_SRC_SEL_CLK_SYNC_D, 0 +.set CYCLK_SRC_SEL_SYNC_DIG, 0 +.set CYCLK_SRC_SEL_IMO, 1 +.set CYCLK_SRC_SEL_XTAL_MHZ, 2 +.set CYCLK_SRC_SEL_XTALM, 2 +.set CYCLK_SRC_SEL_ILO, 3 +.set CYCLK_SRC_SEL_PLL, 4 +.set CYCLK_SRC_SEL_XTAL_KHZ, 5 +.set CYCLK_SRC_SEL_XTALK, 5 +.set CYCLK_SRC_SEL_DSI_G, 6 +.set CYCLK_SRC_SEL_DSI_D, 7 +.set CYCLK_SRC_SEL_CLK_SYNC_A, 0 +.set CYCLK_SRC_SEL_DSI_A, 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar.inc new file mode 100644 index 0000000..8556d0a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar.inc @@ -0,0 +1,5356 @@ +; +; FILENAME: cydeviceiar.inc +; OBSOLETE: Do not use this file. Use the _trm version instead. +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + +#define CYDEV_FLASH_BASE 0x00000000 +#define CYDEV_FLASH_SIZE 0x00020000 +#define CYDEV_FLASH_DATA_MBASE 0x00000000 +#define CYDEV_FLASH_DATA_MSIZE 0x00020000 +#define CYDEV_SRAM_BASE 0x1fffc000 +#define CYDEV_SRAM_SIZE 0x00008000 +#define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000 +#define CYDEV_SRAM_CODE64K_MSIZE 0x00004000 +#define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000 +#define CYDEV_SRAM_CODE32K_MSIZE 0x00002000 +#define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000 +#define CYDEV_SRAM_CODE16K_MSIZE 0x00001000 +#define CYDEV_SRAM_CODE_MBASE 0x1fffc000 +#define CYDEV_SRAM_CODE_MSIZE 0x00004000 +#define CYDEV_SRAM_DATA_MBASE 0x20000000 +#define CYDEV_SRAM_DATA_MSIZE 0x00004000 +#define CYDEV_SRAM_DATA16K_MBASE 0x20001000 +#define CYDEV_SRAM_DATA16K_MSIZE 0x00001000 +#define CYDEV_SRAM_DATA32K_MBASE 0x20002000 +#define CYDEV_SRAM_DATA32K_MSIZE 0x00002000 +#define CYDEV_SRAM_DATA64K_MBASE 0x20004000 +#define CYDEV_SRAM_DATA64K_MSIZE 0x00004000 +#define CYDEV_DMA_BASE 0x20008000 +#define CYDEV_DMA_SIZE 0x00008000 +#define CYDEV_DMA_SRAM64K_MBASE 0x20008000 +#define CYDEV_DMA_SRAM64K_MSIZE 0x00004000 +#define CYDEV_DMA_SRAM32K_MBASE 0x2000c000 +#define CYDEV_DMA_SRAM32K_MSIZE 0x00002000 +#define CYDEV_DMA_SRAM16K_MBASE 0x2000e000 +#define CYDEV_DMA_SRAM16K_MSIZE 0x00001000 +#define CYDEV_DMA_SRAM_MBASE 0x2000f000 +#define CYDEV_DMA_SRAM_MSIZE 0x00001000 +#define CYDEV_CLKDIST_BASE 0x40004000 +#define CYDEV_CLKDIST_SIZE 0x00000110 +#define CYDEV_CLKDIST_CR 0x40004000 +#define CYDEV_CLKDIST_LD 0x40004001 +#define CYDEV_CLKDIST_WRK0 0x40004002 +#define CYDEV_CLKDIST_WRK1 0x40004003 +#define CYDEV_CLKDIST_MSTR0 0x40004004 +#define CYDEV_CLKDIST_MSTR1 0x40004005 +#define CYDEV_CLKDIST_BCFG0 0x40004006 +#define CYDEV_CLKDIST_BCFG1 0x40004007 +#define CYDEV_CLKDIST_BCFG2 0x40004008 +#define CYDEV_CLKDIST_UCFG 0x40004009 +#define CYDEV_CLKDIST_DLY0 0x4000400a +#define CYDEV_CLKDIST_DLY1 0x4000400b +#define CYDEV_CLKDIST_DMASK 0x40004010 +#define CYDEV_CLKDIST_AMASK 0x40004014 +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080 +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG0_CFG0 0x40004080 +#define CYDEV_CLKDIST_DCFG0_CFG1 0x40004081 +#define CYDEV_CLKDIST_DCFG0_CFG2 0x40004082 +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084 +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG1_CFG0 0x40004084 +#define CYDEV_CLKDIST_DCFG1_CFG1 0x40004085 +#define CYDEV_CLKDIST_DCFG1_CFG2 0x40004086 +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088 +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG2_CFG0 0x40004088 +#define CYDEV_CLKDIST_DCFG2_CFG1 0x40004089 +#define CYDEV_CLKDIST_DCFG2_CFG2 0x4000408a +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408c +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG3_CFG0 0x4000408c +#define CYDEV_CLKDIST_DCFG3_CFG1 0x4000408d +#define CYDEV_CLKDIST_DCFG3_CFG2 0x4000408e +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090 +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG4_CFG0 0x40004090 +#define CYDEV_CLKDIST_DCFG4_CFG1 0x40004091 +#define CYDEV_CLKDIST_DCFG4_CFG2 0x40004092 +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094 +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG5_CFG0 0x40004094 +#define CYDEV_CLKDIST_DCFG5_CFG1 0x40004095 +#define CYDEV_CLKDIST_DCFG5_CFG2 0x40004096 +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098 +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG6_CFG0 0x40004098 +#define CYDEV_CLKDIST_DCFG6_CFG1 0x40004099 +#define CYDEV_CLKDIST_DCFG6_CFG2 0x4000409a +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409c +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003 +#define CYDEV_CLKDIST_DCFG7_CFG0 0x4000409c +#define CYDEV_CLKDIST_DCFG7_CFG1 0x4000409d +#define CYDEV_CLKDIST_DCFG7_CFG2 0x4000409e +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100 +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG0_CFG0 0x40004100 +#define CYDEV_CLKDIST_ACFG0_CFG1 0x40004101 +#define CYDEV_CLKDIST_ACFG0_CFG2 0x40004102 +#define CYDEV_CLKDIST_ACFG0_CFG3 0x40004103 +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104 +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG1_CFG0 0x40004104 +#define CYDEV_CLKDIST_ACFG1_CFG1 0x40004105 +#define CYDEV_CLKDIST_ACFG1_CFG2 0x40004106 +#define CYDEV_CLKDIST_ACFG1_CFG3 0x40004107 +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108 +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG2_CFG0 0x40004108 +#define CYDEV_CLKDIST_ACFG2_CFG1 0x40004109 +#define CYDEV_CLKDIST_ACFG2_CFG2 0x4000410a +#define CYDEV_CLKDIST_ACFG2_CFG3 0x4000410b +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410c +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004 +#define CYDEV_CLKDIST_ACFG3_CFG0 0x4000410c +#define CYDEV_CLKDIST_ACFG3_CFG1 0x4000410d +#define CYDEV_CLKDIST_ACFG3_CFG2 0x4000410e +#define CYDEV_CLKDIST_ACFG3_CFG3 0x4000410f +#define CYDEV_FASTCLK_BASE 0x40004200 +#define CYDEV_FASTCLK_SIZE 0x00000026 +#define CYDEV_FASTCLK_IMO_BASE 0x40004200 +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001 +#define CYDEV_FASTCLK_IMO_CR 0x40004200 +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210 +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004 +#define CYDEV_FASTCLK_XMHZ_CSR 0x40004210 +#define CYDEV_FASTCLK_XMHZ_CFG0 0x40004212 +#define CYDEV_FASTCLK_XMHZ_CFG1 0x40004213 +#define CYDEV_FASTCLK_PLL_BASE 0x40004220 +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006 +#define CYDEV_FASTCLK_PLL_CFG0 0x40004220 +#define CYDEV_FASTCLK_PLL_CFG1 0x40004221 +#define CYDEV_FASTCLK_PLL_P 0x40004222 +#define CYDEV_FASTCLK_PLL_Q 0x40004223 +#define CYDEV_FASTCLK_PLL_SR 0x40004225 +#define CYDEV_SLOWCLK_BASE 0x40004300 +#define CYDEV_SLOWCLK_SIZE 0x0000000b +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300 +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002 +#define CYDEV_SLOWCLK_ILO_CR0 0x40004300 +#define CYDEV_SLOWCLK_ILO_CR1 0x40004301 +#define CYDEV_SLOWCLK_X32_BASE 0x40004308 +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003 +#define CYDEV_SLOWCLK_X32_CR 0x40004308 +#define CYDEV_SLOWCLK_X32_CFG 0x40004309 +#define CYDEV_SLOWCLK_X32_TST 0x4000430a +#define CYDEV_BOOST_BASE 0x40004320 +#define CYDEV_BOOST_SIZE 0x00000007 +#define CYDEV_BOOST_CR0 0x40004320 +#define CYDEV_BOOST_CR1 0x40004321 +#define CYDEV_BOOST_CR2 0x40004322 +#define CYDEV_BOOST_CR3 0x40004323 +#define CYDEV_BOOST_SR 0x40004324 +#define CYDEV_BOOST_CR4 0x40004325 +#define CYDEV_BOOST_SR2 0x40004326 +#define CYDEV_PWRSYS_BASE 0x40004330 +#define CYDEV_PWRSYS_SIZE 0x00000002 +#define CYDEV_PWRSYS_CR0 0x40004330 +#define CYDEV_PWRSYS_CR1 0x40004331 +#define CYDEV_PM_BASE 0x40004380 +#define CYDEV_PM_SIZE 0x00000057 +#define CYDEV_PM_TW_CFG0 0x40004380 +#define CYDEV_PM_TW_CFG1 0x40004381 +#define CYDEV_PM_TW_CFG2 0x40004382 +#define CYDEV_PM_WDT_CFG 0x40004383 +#define CYDEV_PM_WDT_CR 0x40004384 +#define CYDEV_PM_INT_SR 0x40004390 +#define CYDEV_PM_MODE_CFG0 0x40004391 +#define CYDEV_PM_MODE_CFG1 0x40004392 +#define CYDEV_PM_MODE_CSR 0x40004393 +#define CYDEV_PM_USB_CR0 0x40004394 +#define CYDEV_PM_WAKEUP_CFG0 0x40004398 +#define CYDEV_PM_WAKEUP_CFG1 0x40004399 +#define CYDEV_PM_WAKEUP_CFG2 0x4000439a +#define CYDEV_PM_ACT_BASE 0x400043a0 +#define CYDEV_PM_ACT_SIZE 0x0000000e +#define CYDEV_PM_ACT_CFG0 0x400043a0 +#define CYDEV_PM_ACT_CFG1 0x400043a1 +#define CYDEV_PM_ACT_CFG2 0x400043a2 +#define CYDEV_PM_ACT_CFG3 0x400043a3 +#define CYDEV_PM_ACT_CFG4 0x400043a4 +#define CYDEV_PM_ACT_CFG5 0x400043a5 +#define CYDEV_PM_ACT_CFG6 0x400043a6 +#define CYDEV_PM_ACT_CFG7 0x400043a7 +#define CYDEV_PM_ACT_CFG8 0x400043a8 +#define CYDEV_PM_ACT_CFG9 0x400043a9 +#define CYDEV_PM_ACT_CFG10 0x400043aa +#define CYDEV_PM_ACT_CFG11 0x400043ab +#define CYDEV_PM_ACT_CFG12 0x400043ac +#define CYDEV_PM_ACT_CFG13 0x400043ad +#define CYDEV_PM_STBY_BASE 0x400043b0 +#define CYDEV_PM_STBY_SIZE 0x0000000e +#define CYDEV_PM_STBY_CFG0 0x400043b0 +#define CYDEV_PM_STBY_CFG1 0x400043b1 +#define CYDEV_PM_STBY_CFG2 0x400043b2 +#define CYDEV_PM_STBY_CFG3 0x400043b3 +#define CYDEV_PM_STBY_CFG4 0x400043b4 +#define CYDEV_PM_STBY_CFG5 0x400043b5 +#define CYDEV_PM_STBY_CFG6 0x400043b6 +#define CYDEV_PM_STBY_CFG7 0x400043b7 +#define CYDEV_PM_STBY_CFG8 0x400043b8 +#define CYDEV_PM_STBY_CFG9 0x400043b9 +#define CYDEV_PM_STBY_CFG10 0x400043ba +#define CYDEV_PM_STBY_CFG11 0x400043bb +#define CYDEV_PM_STBY_CFG12 0x400043bc +#define CYDEV_PM_STBY_CFG13 0x400043bd +#define CYDEV_PM_AVAIL_BASE 0x400043c0 +#define CYDEV_PM_AVAIL_SIZE 0x00000017 +#define CYDEV_PM_AVAIL_CR0 0x400043c0 +#define CYDEV_PM_AVAIL_CR1 0x400043c1 +#define CYDEV_PM_AVAIL_CR2 0x400043c2 +#define CYDEV_PM_AVAIL_CR3 0x400043c3 +#define CYDEV_PM_AVAIL_CR4 0x400043c4 +#define CYDEV_PM_AVAIL_CR5 0x400043c5 +#define CYDEV_PM_AVAIL_CR6 0x400043c6 +#define CYDEV_PM_AVAIL_SR0 0x400043d0 +#define CYDEV_PM_AVAIL_SR1 0x400043d1 +#define CYDEV_PM_AVAIL_SR2 0x400043d2 +#define CYDEV_PM_AVAIL_SR3 0x400043d3 +#define CYDEV_PM_AVAIL_SR4 0x400043d4 +#define CYDEV_PM_AVAIL_SR5 0x400043d5 +#define CYDEV_PM_AVAIL_SR6 0x400043d6 +#define CYDEV_PICU_BASE 0x40004500 +#define CYDEV_PICU_SIZE 0x000000b0 +#define CYDEV_PICU_INTTYPE_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080 +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 0x40004500 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 0x40004501 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 0x40004502 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 0x40004503 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 0x40004504 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 0x40004505 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 0x40004506 +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 0x40004507 +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508 +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 0x40004508 +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 0x40004509 +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 0x4000450a +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 0x4000450b +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 0x4000450c +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 0x4000450d +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 0x4000450e +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 0x4000450f +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510 +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 0x40004510 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 0x40004511 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 0x40004512 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 0x40004513 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 0x40004514 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 0x40004515 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 0x40004516 +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 0x40004517 +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518 +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 0x40004518 +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 0x40004519 +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 0x4000451a +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 0x4000451b +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 0x4000451c +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 0x4000451d +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 0x4000451e +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 0x4000451f +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520 +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 0x40004520 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 0x40004521 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 0x40004522 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 0x40004523 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 0x40004524 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 0x40004525 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 0x40004526 +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 0x40004527 +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528 +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 0x40004528 +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 0x40004529 +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 0x4000452a +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 0x4000452b +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 0x4000452c +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 0x4000452d +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 0x4000452e +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 0x4000452f +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530 +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 0x40004530 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 0x40004531 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 0x40004532 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 0x40004533 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 0x40004534 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 0x40004535 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 0x40004536 +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 0x40004537 +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560 +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 0x40004560 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 0x40004561 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 0x40004562 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 0x40004563 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 0x40004564 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 0x40004565 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 0x40004566 +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 0x40004567 +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578 +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008 +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 0x40004578 +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 0x40004579 +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 0x4000457a +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 0x4000457b +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 0x4000457c +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 0x4000457d +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 0x4000457e +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 0x4000457f +#define CYDEV_PICU_STAT_BASE 0x40004580 +#define CYDEV_PICU_STAT_SIZE 0x00000010 +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580 +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU0_INTSTAT 0x40004580 +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581 +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU1_INTSTAT 0x40004581 +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582 +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU2_INTSTAT 0x40004582 +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583 +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU3_INTSTAT 0x40004583 +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584 +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU4_INTSTAT 0x40004584 +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585 +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU5_INTSTAT 0x40004585 +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586 +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU6_INTSTAT 0x40004586 +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458c +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU12_INTSTAT 0x4000458c +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458f +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001 +#define CYDEV_PICU_STAT_PICU15_INTSTAT 0x4000458f +#define CYDEV_PICU_SNAP_BASE 0x40004590 +#define CYDEV_PICU_SNAP_SIZE 0x00000010 +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590 +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU0_SNAP 0x40004590 +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591 +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU1_SNAP 0x40004591 +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592 +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU2_SNAP 0x40004592 +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593 +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU3_SNAP 0x40004593 +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594 +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU4_SNAP 0x40004594 +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595 +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU5_SNAP 0x40004595 +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596 +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU6_SNAP 0x40004596 +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459c +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU12_SNAP 0x4000459c +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459f +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001 +#define CYDEV_PICU_SNAP_PICU_15_SNAP_15 0x4000459f +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010 +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045af +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001 +#define CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR 0x400045af +#define CYDEV_MFGCFG_BASE 0x40004600 +#define CYDEV_MFGCFG_SIZE 0x000000ed +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600 +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038 +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC0_TR 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC1_TR 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC2_TR 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460b +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_DAC3_TR 0x4000460b +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 0x40004612 +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_SAR0_TR0 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616 +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001 +#define CYDEV_MFGCFG_ANAIF_SAR1_TR0 0x40004616 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 0x40004620 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 0x40004621 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 0x40004622 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 0x40004623 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 0x40004624 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 0x40004625 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 0x40004626 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 0x40004627 +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630 +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP0_TR0 0x40004630 +#define CYDEV_MFGCFG_ANAIF_CMP0_TR1 0x40004631 +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632 +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP1_TR0 0x40004632 +#define CYDEV_MFGCFG_ANAIF_CMP1_TR1 0x40004633 +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634 +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP2_TR0 0x40004634 +#define CYDEV_MFGCFG_ANAIF_CMP2_TR1 0x40004635 +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636 +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002 +#define CYDEV_MFGCFG_ANAIF_CMP3_TR0 0x40004636 +#define CYDEV_MFGCFG_ANAIF_CMP3_TR1 0x40004637 +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680 +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000b +#define CYDEV_MFGCFG_PWRSYS_HIB_TR0 0x40004680 +#define CYDEV_MFGCFG_PWRSYS_HIB_TR1 0x40004681 +#define CYDEV_MFGCFG_PWRSYS_I2C_TR 0x40004682 +#define CYDEV_MFGCFG_PWRSYS_SLP_TR 0x40004683 +#define CYDEV_MFGCFG_PWRSYS_BUZZ_TR 0x40004684 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR0 0x40004685 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR1 0x40004686 +#define CYDEV_MFGCFG_PWRSYS_BREF_TR 0x40004687 +#define CYDEV_MFGCFG_PWRSYS_BG_TR 0x40004688 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR2 0x40004689 +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR3 0x4000468a +#define CYDEV_MFGCFG_ILO_BASE 0x40004690 +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002 +#define CYDEV_MFGCFG_ILO_TR0 0x40004690 +#define CYDEV_MFGCFG_ILO_TR1 0x40004691 +#define CYDEV_MFGCFG_X32_BASE 0x40004698 +#define CYDEV_MFGCFG_X32_SIZE 0x00000001 +#define CYDEV_MFGCFG_X32_TR 0x40004698 +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0 +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005 +#define CYDEV_MFGCFG_IMO_TR0 0x400046a0 +#define CYDEV_MFGCFG_IMO_TR1 0x400046a1 +#define CYDEV_MFGCFG_IMO_GAIN 0x400046a2 +#define CYDEV_MFGCFG_IMO_C36M 0x400046a3 +#define CYDEV_MFGCFG_IMO_TR2 0x400046a4 +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8 +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001 +#define CYDEV_MFGCFG_XMHZ_TR 0x400046a8 +#define CYDEV_MFGCFG_DLY 0x400046c0 +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0 +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000d +#define CYDEV_MFGCFG_MLOGIC_DMPSTR 0x400046e2 +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4 +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002 +#define CYDEV_MFGCFG_MLOGIC_SEG_CR 0x400046e4 +#define CYDEV_MFGCFG_MLOGIC_SEG_CFG0 0x400046e5 +#define CYDEV_MFGCFG_MLOGIC_DEBUG 0x400046e8 +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046ea +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001 +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR 0x400046ea +#define CYDEV_MFGCFG_MLOGIC_REV_ID 0x400046ec +#define CYDEV_RESET_BASE 0x400046f0 +#define CYDEV_RESET_SIZE 0x0000000f +#define CYDEV_RESET_IPOR_CR0 0x400046f0 +#define CYDEV_RESET_IPOR_CR1 0x400046f1 +#define CYDEV_RESET_IPOR_CR2 0x400046f2 +#define CYDEV_RESET_IPOR_CR3 0x400046f3 +#define CYDEV_RESET_CR0 0x400046f4 +#define CYDEV_RESET_CR1 0x400046f5 +#define CYDEV_RESET_CR2 0x400046f6 +#define CYDEV_RESET_CR3 0x400046f7 +#define CYDEV_RESET_CR4 0x400046f8 +#define CYDEV_RESET_CR5 0x400046f9 +#define CYDEV_RESET_SR0 0x400046fa +#define CYDEV_RESET_SR1 0x400046fb +#define CYDEV_RESET_SR2 0x400046fc +#define CYDEV_RESET_SR3 0x400046fd +#define CYDEV_RESET_TR 0x400046fe +#define CYDEV_SPC_BASE 0x40004700 +#define CYDEV_SPC_SIZE 0x00000100 +#define CYDEV_SPC_FM_EE_CR 0x40004700 +#define CYDEV_SPC_FM_EE_WAKE_CNT 0x40004701 +#define CYDEV_SPC_EE_SCR 0x40004702 +#define CYDEV_SPC_EE_ERR 0x40004703 +#define CYDEV_SPC_CPU_DATA 0x40004720 +#define CYDEV_SPC_DMA_DATA 0x40004721 +#define CYDEV_SPC_SR 0x40004722 +#define CYDEV_SPC_CR 0x40004723 +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780 +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080 +#define CYDEV_SPC_DMM_MAP_SRAM_MBASE 0x40004780 +#define CYDEV_SPC_DMM_MAP_SRAM_MSIZE 0x00000080 +#define CYDEV_CACHE_BASE 0x40004800 +#define CYDEV_CACHE_SIZE 0x0000009c +#define CYDEV_CACHE_CC_CTL 0x40004800 +#define CYDEV_CACHE_ECC_CORR 0x40004880 +#define CYDEV_CACHE_ECC_ERR 0x40004888 +#define CYDEV_CACHE_FLASH_ERR 0x40004890 +#define CYDEV_CACHE_HITMISS 0x40004898 +#define CYDEV_I2C_BASE 0x40004900 +#define CYDEV_I2C_SIZE 0x000000e1 +#define CYDEV_I2C_XCFG 0x400049c8 +#define CYDEV_I2C_ADR 0x400049ca +#define CYDEV_I2C_CFG 0x400049d6 +#define CYDEV_I2C_CSR 0x400049d7 +#define CYDEV_I2C_D 0x400049d8 +#define CYDEV_I2C_MCSR 0x400049d9 +#define CYDEV_I2C_CLK_DIV1 0x400049db +#define CYDEV_I2C_CLK_DIV2 0x400049dc +#define CYDEV_I2C_TMOUT_CSR 0x400049dd +#define CYDEV_I2C_TMOUT_SR 0x400049de +#define CYDEV_I2C_TMOUT_CFG0 0x400049df +#define CYDEV_I2C_TMOUT_CFG1 0x400049e0 +#define CYDEV_DEC_BASE 0x40004e00 +#define CYDEV_DEC_SIZE 0x00000015 +#define CYDEV_DEC_CR 0x40004e00 +#define CYDEV_DEC_SR 0x40004e01 +#define CYDEV_DEC_SHIFT1 0x40004e02 +#define CYDEV_DEC_SHIFT2 0x40004e03 +#define CYDEV_DEC_DR2 0x40004e04 +#define CYDEV_DEC_DR2H 0x40004e05 +#define CYDEV_DEC_DR1 0x40004e06 +#define CYDEV_DEC_OCOR 0x40004e08 +#define CYDEV_DEC_OCORM 0x40004e09 +#define CYDEV_DEC_OCORH 0x40004e0a +#define CYDEV_DEC_GCOR 0x40004e0c +#define CYDEV_DEC_GCORH 0x40004e0d +#define CYDEV_DEC_GVAL 0x40004e0e +#define CYDEV_DEC_OUTSAMP 0x40004e10 +#define CYDEV_DEC_OUTSAMPM 0x40004e11 +#define CYDEV_DEC_OUTSAMPH 0x40004e12 +#define CYDEV_DEC_OUTSAMPS 0x40004e13 +#define CYDEV_DEC_COHER 0x40004e14 +#define CYDEV_TMR0_BASE 0x40004f00 +#define CYDEV_TMR0_SIZE 0x0000000c +#define CYDEV_TMR0_CFG0 0x40004f00 +#define CYDEV_TMR0_CFG1 0x40004f01 +#define CYDEV_TMR0_CFG2 0x40004f02 +#define CYDEV_TMR0_SR0 0x40004f03 +#define CYDEV_TMR0_PER0 0x40004f04 +#define CYDEV_TMR0_PER1 0x40004f05 +#define CYDEV_TMR0_CNT_CMP0 0x40004f06 +#define CYDEV_TMR0_CNT_CMP1 0x40004f07 +#define CYDEV_TMR0_CAP0 0x40004f08 +#define CYDEV_TMR0_CAP1 0x40004f09 +#define CYDEV_TMR0_RT0 0x40004f0a +#define CYDEV_TMR0_RT1 0x40004f0b +#define CYDEV_TMR1_BASE 0x40004f0c +#define CYDEV_TMR1_SIZE 0x0000000c +#define CYDEV_TMR1_CFG0 0x40004f0c +#define CYDEV_TMR1_CFG1 0x40004f0d +#define CYDEV_TMR1_CFG2 0x40004f0e +#define CYDEV_TMR1_SR0 0x40004f0f +#define CYDEV_TMR1_PER0 0x40004f10 +#define CYDEV_TMR1_PER1 0x40004f11 +#define CYDEV_TMR1_CNT_CMP0 0x40004f12 +#define CYDEV_TMR1_CNT_CMP1 0x40004f13 +#define CYDEV_TMR1_CAP0 0x40004f14 +#define CYDEV_TMR1_CAP1 0x40004f15 +#define CYDEV_TMR1_RT0 0x40004f16 +#define CYDEV_TMR1_RT1 0x40004f17 +#define CYDEV_TMR2_BASE 0x40004f18 +#define CYDEV_TMR2_SIZE 0x0000000c +#define CYDEV_TMR2_CFG0 0x40004f18 +#define CYDEV_TMR2_CFG1 0x40004f19 +#define CYDEV_TMR2_CFG2 0x40004f1a +#define CYDEV_TMR2_SR0 0x40004f1b +#define CYDEV_TMR2_PER0 0x40004f1c +#define CYDEV_TMR2_PER1 0x40004f1d +#define CYDEV_TMR2_CNT_CMP0 0x40004f1e +#define CYDEV_TMR2_CNT_CMP1 0x40004f1f +#define CYDEV_TMR2_CAP0 0x40004f20 +#define CYDEV_TMR2_CAP1 0x40004f21 +#define CYDEV_TMR2_RT0 0x40004f22 +#define CYDEV_TMR2_RT1 0x40004f23 +#define CYDEV_TMR3_BASE 0x40004f24 +#define CYDEV_TMR3_SIZE 0x0000000c +#define CYDEV_TMR3_CFG0 0x40004f24 +#define CYDEV_TMR3_CFG1 0x40004f25 +#define CYDEV_TMR3_CFG2 0x40004f26 +#define CYDEV_TMR3_SR0 0x40004f27 +#define CYDEV_TMR3_PER0 0x40004f28 +#define CYDEV_TMR3_PER1 0x40004f29 +#define CYDEV_TMR3_CNT_CMP0 0x40004f2a +#define CYDEV_TMR3_CNT_CMP1 0x40004f2b +#define CYDEV_TMR3_CAP0 0x40004f2c +#define CYDEV_TMR3_CAP1 0x40004f2d +#define CYDEV_TMR3_RT0 0x40004f2e +#define CYDEV_TMR3_RT1 0x40004f2f +#define CYDEV_IO_BASE 0x40005000 +#define CYDEV_IO_SIZE 0x00000200 +#define CYDEV_IO_PC_BASE 0x40005000 +#define CYDEV_IO_PC_SIZE 0x00000080 +#define CYDEV_IO_PC_PRT0_BASE 0x40005000 +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT0_PC0 0x40005000 +#define CYDEV_IO_PC_PRT0_PC1 0x40005001 +#define CYDEV_IO_PC_PRT0_PC2 0x40005002 +#define CYDEV_IO_PC_PRT0_PC3 0x40005003 +#define CYDEV_IO_PC_PRT0_PC4 0x40005004 +#define CYDEV_IO_PC_PRT0_PC5 0x40005005 +#define CYDEV_IO_PC_PRT0_PC6 0x40005006 +#define CYDEV_IO_PC_PRT0_PC7 0x40005007 +#define CYDEV_IO_PC_PRT1_BASE 0x40005008 +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT1_PC0 0x40005008 +#define CYDEV_IO_PC_PRT1_PC1 0x40005009 +#define CYDEV_IO_PC_PRT1_PC2 0x4000500a +#define CYDEV_IO_PC_PRT1_PC3 0x4000500b +#define CYDEV_IO_PC_PRT1_PC4 0x4000500c +#define CYDEV_IO_PC_PRT1_PC5 0x4000500d +#define CYDEV_IO_PC_PRT1_PC6 0x4000500e +#define CYDEV_IO_PC_PRT1_PC7 0x4000500f +#define CYDEV_IO_PC_PRT2_BASE 0x40005010 +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT2_PC0 0x40005010 +#define CYDEV_IO_PC_PRT2_PC1 0x40005011 +#define CYDEV_IO_PC_PRT2_PC2 0x40005012 +#define CYDEV_IO_PC_PRT2_PC3 0x40005013 +#define CYDEV_IO_PC_PRT2_PC4 0x40005014 +#define CYDEV_IO_PC_PRT2_PC5 0x40005015 +#define CYDEV_IO_PC_PRT2_PC6 0x40005016 +#define CYDEV_IO_PC_PRT2_PC7 0x40005017 +#define CYDEV_IO_PC_PRT3_BASE 0x40005018 +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT3_PC0 0x40005018 +#define CYDEV_IO_PC_PRT3_PC1 0x40005019 +#define CYDEV_IO_PC_PRT3_PC2 0x4000501a +#define CYDEV_IO_PC_PRT3_PC3 0x4000501b +#define CYDEV_IO_PC_PRT3_PC4 0x4000501c +#define CYDEV_IO_PC_PRT3_PC5 0x4000501d +#define CYDEV_IO_PC_PRT3_PC6 0x4000501e +#define CYDEV_IO_PC_PRT3_PC7 0x4000501f +#define CYDEV_IO_PC_PRT4_BASE 0x40005020 +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT4_PC0 0x40005020 +#define CYDEV_IO_PC_PRT4_PC1 0x40005021 +#define CYDEV_IO_PC_PRT4_PC2 0x40005022 +#define CYDEV_IO_PC_PRT4_PC3 0x40005023 +#define CYDEV_IO_PC_PRT4_PC4 0x40005024 +#define CYDEV_IO_PC_PRT4_PC5 0x40005025 +#define CYDEV_IO_PC_PRT4_PC6 0x40005026 +#define CYDEV_IO_PC_PRT4_PC7 0x40005027 +#define CYDEV_IO_PC_PRT5_BASE 0x40005028 +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT5_PC0 0x40005028 +#define CYDEV_IO_PC_PRT5_PC1 0x40005029 +#define CYDEV_IO_PC_PRT5_PC2 0x4000502a +#define CYDEV_IO_PC_PRT5_PC3 0x4000502b +#define CYDEV_IO_PC_PRT5_PC4 0x4000502c +#define CYDEV_IO_PC_PRT5_PC5 0x4000502d +#define CYDEV_IO_PC_PRT5_PC6 0x4000502e +#define CYDEV_IO_PC_PRT5_PC7 0x4000502f +#define CYDEV_IO_PC_PRT6_BASE 0x40005030 +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT6_PC0 0x40005030 +#define CYDEV_IO_PC_PRT6_PC1 0x40005031 +#define CYDEV_IO_PC_PRT6_PC2 0x40005032 +#define CYDEV_IO_PC_PRT6_PC3 0x40005033 +#define CYDEV_IO_PC_PRT6_PC4 0x40005034 +#define CYDEV_IO_PC_PRT6_PC5 0x40005035 +#define CYDEV_IO_PC_PRT6_PC6 0x40005036 +#define CYDEV_IO_PC_PRT6_PC7 0x40005037 +#define CYDEV_IO_PC_PRT12_BASE 0x40005060 +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008 +#define CYDEV_IO_PC_PRT12_PC0 0x40005060 +#define CYDEV_IO_PC_PRT12_PC1 0x40005061 +#define CYDEV_IO_PC_PRT12_PC2 0x40005062 +#define CYDEV_IO_PC_PRT12_PC3 0x40005063 +#define CYDEV_IO_PC_PRT12_PC4 0x40005064 +#define CYDEV_IO_PC_PRT12_PC5 0x40005065 +#define CYDEV_IO_PC_PRT12_PC6 0x40005066 +#define CYDEV_IO_PC_PRT12_PC7 0x40005067 +#define CYDEV_IO_PC_PRT15_BASE 0x40005078 +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006 +#define CYDEV_IO_PC_PRT15_PC0 0x40005078 +#define CYDEV_IO_PC_PRT15_PC1 0x40005079 +#define CYDEV_IO_PC_PRT15_PC2 0x4000507a +#define CYDEV_IO_PC_PRT15_PC3 0x4000507b +#define CYDEV_IO_PC_PRT15_PC4 0x4000507c +#define CYDEV_IO_PC_PRT15_PC5 0x4000507d +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507e +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002 +#define CYDEV_IO_PC_PRT15_7_6_PC0 0x4000507e +#define CYDEV_IO_PC_PRT15_7_6_PC1 0x4000507f +#define CYDEV_IO_DR_BASE 0x40005080 +#define CYDEV_IO_DR_SIZE 0x00000010 +#define CYDEV_IO_DR_PRT0_BASE 0x40005080 +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT0_DR_ALIAS 0x40005080 +#define CYDEV_IO_DR_PRT1_BASE 0x40005081 +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT1_DR_ALIAS 0x40005081 +#define CYDEV_IO_DR_PRT2_BASE 0x40005082 +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT2_DR_ALIAS 0x40005082 +#define CYDEV_IO_DR_PRT3_BASE 0x40005083 +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT3_DR_ALIAS 0x40005083 +#define CYDEV_IO_DR_PRT4_BASE 0x40005084 +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT4_DR_ALIAS 0x40005084 +#define CYDEV_IO_DR_PRT5_BASE 0x40005085 +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT5_DR_ALIAS 0x40005085 +#define CYDEV_IO_DR_PRT6_BASE 0x40005086 +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT6_DR_ALIAS 0x40005086 +#define CYDEV_IO_DR_PRT12_BASE 0x4000508c +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT12_DR_ALIAS 0x4000508c +#define CYDEV_IO_DR_PRT15_BASE 0x4000508f +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001 +#define CYDEV_IO_DR_PRT15_DR_15_ALIAS 0x4000508f +#define CYDEV_IO_PS_BASE 0x40005090 +#define CYDEV_IO_PS_SIZE 0x00000010 +#define CYDEV_IO_PS_PRT0_BASE 0x40005090 +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT0_PS_ALIAS 0x40005090 +#define CYDEV_IO_PS_PRT1_BASE 0x40005091 +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT1_PS_ALIAS 0x40005091 +#define CYDEV_IO_PS_PRT2_BASE 0x40005092 +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT2_PS_ALIAS 0x40005092 +#define CYDEV_IO_PS_PRT3_BASE 0x40005093 +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT3_PS_ALIAS 0x40005093 +#define CYDEV_IO_PS_PRT4_BASE 0x40005094 +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT4_PS_ALIAS 0x40005094 +#define CYDEV_IO_PS_PRT5_BASE 0x40005095 +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT5_PS_ALIAS 0x40005095 +#define CYDEV_IO_PS_PRT6_BASE 0x40005096 +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT6_PS_ALIAS 0x40005096 +#define CYDEV_IO_PS_PRT12_BASE 0x4000509c +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT12_PS_ALIAS 0x4000509c +#define CYDEV_IO_PS_PRT15_BASE 0x4000509f +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001 +#define CYDEV_IO_PS_PRT15_PS15_ALIAS 0x4000509f +#define CYDEV_IO_PRT_BASE 0x40005100 +#define CYDEV_IO_PRT_SIZE 0x00000100 +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100 +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT0_DR 0x40005100 +#define CYDEV_IO_PRT_PRT0_PS 0x40005101 +#define CYDEV_IO_PRT_PRT0_DM0 0x40005102 +#define CYDEV_IO_PRT_PRT0_DM1 0x40005103 +#define CYDEV_IO_PRT_PRT0_DM2 0x40005104 +#define CYDEV_IO_PRT_PRT0_SLW 0x40005105 +#define CYDEV_IO_PRT_PRT0_BYP 0x40005106 +#define CYDEV_IO_PRT_PRT0_BIE 0x40005107 +#define CYDEV_IO_PRT_PRT0_INP_DIS 0x40005108 +#define CYDEV_IO_PRT_PRT0_CTL 0x40005109 +#define CYDEV_IO_PRT_PRT0_PRT 0x4000510a +#define CYDEV_IO_PRT_PRT0_BIT_MASK 0x4000510b +#define CYDEV_IO_PRT_PRT0_AMUX 0x4000510c +#define CYDEV_IO_PRT_PRT0_AG 0x4000510d +#define CYDEV_IO_PRT_PRT0_LCD_COM_SEG 0x4000510e +#define CYDEV_IO_PRT_PRT0_LCD_EN 0x4000510f +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110 +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT1_DR 0x40005110 +#define CYDEV_IO_PRT_PRT1_PS 0x40005111 +#define CYDEV_IO_PRT_PRT1_DM0 0x40005112 +#define CYDEV_IO_PRT_PRT1_DM1 0x40005113 +#define CYDEV_IO_PRT_PRT1_DM2 0x40005114 +#define CYDEV_IO_PRT_PRT1_SLW 0x40005115 +#define CYDEV_IO_PRT_PRT1_BYP 0x40005116 +#define CYDEV_IO_PRT_PRT1_BIE 0x40005117 +#define CYDEV_IO_PRT_PRT1_INP_DIS 0x40005118 +#define CYDEV_IO_PRT_PRT1_CTL 0x40005119 +#define CYDEV_IO_PRT_PRT1_PRT 0x4000511a +#define CYDEV_IO_PRT_PRT1_BIT_MASK 0x4000511b +#define CYDEV_IO_PRT_PRT1_AMUX 0x4000511c +#define CYDEV_IO_PRT_PRT1_AG 0x4000511d +#define CYDEV_IO_PRT_PRT1_LCD_COM_SEG 0x4000511e +#define CYDEV_IO_PRT_PRT1_LCD_EN 0x4000511f +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120 +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT2_DR 0x40005120 +#define CYDEV_IO_PRT_PRT2_PS 0x40005121 +#define CYDEV_IO_PRT_PRT2_DM0 0x40005122 +#define CYDEV_IO_PRT_PRT2_DM1 0x40005123 +#define CYDEV_IO_PRT_PRT2_DM2 0x40005124 +#define CYDEV_IO_PRT_PRT2_SLW 0x40005125 +#define CYDEV_IO_PRT_PRT2_BYP 0x40005126 +#define CYDEV_IO_PRT_PRT2_BIE 0x40005127 +#define CYDEV_IO_PRT_PRT2_INP_DIS 0x40005128 +#define CYDEV_IO_PRT_PRT2_CTL 0x40005129 +#define CYDEV_IO_PRT_PRT2_PRT 0x4000512a +#define CYDEV_IO_PRT_PRT2_BIT_MASK 0x4000512b +#define CYDEV_IO_PRT_PRT2_AMUX 0x4000512c +#define CYDEV_IO_PRT_PRT2_AG 0x4000512d +#define CYDEV_IO_PRT_PRT2_LCD_COM_SEG 0x4000512e +#define CYDEV_IO_PRT_PRT2_LCD_EN 0x4000512f +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130 +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT3_DR 0x40005130 +#define CYDEV_IO_PRT_PRT3_PS 0x40005131 +#define CYDEV_IO_PRT_PRT3_DM0 0x40005132 +#define CYDEV_IO_PRT_PRT3_DM1 0x40005133 +#define CYDEV_IO_PRT_PRT3_DM2 0x40005134 +#define CYDEV_IO_PRT_PRT3_SLW 0x40005135 +#define CYDEV_IO_PRT_PRT3_BYP 0x40005136 +#define CYDEV_IO_PRT_PRT3_BIE 0x40005137 +#define CYDEV_IO_PRT_PRT3_INP_DIS 0x40005138 +#define CYDEV_IO_PRT_PRT3_CTL 0x40005139 +#define CYDEV_IO_PRT_PRT3_PRT 0x4000513a +#define CYDEV_IO_PRT_PRT3_BIT_MASK 0x4000513b +#define CYDEV_IO_PRT_PRT3_AMUX 0x4000513c +#define CYDEV_IO_PRT_PRT3_AG 0x4000513d +#define CYDEV_IO_PRT_PRT3_LCD_COM_SEG 0x4000513e +#define CYDEV_IO_PRT_PRT3_LCD_EN 0x4000513f +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140 +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT4_DR 0x40005140 +#define CYDEV_IO_PRT_PRT4_PS 0x40005141 +#define CYDEV_IO_PRT_PRT4_DM0 0x40005142 +#define CYDEV_IO_PRT_PRT4_DM1 0x40005143 +#define CYDEV_IO_PRT_PRT4_DM2 0x40005144 +#define CYDEV_IO_PRT_PRT4_SLW 0x40005145 +#define CYDEV_IO_PRT_PRT4_BYP 0x40005146 +#define CYDEV_IO_PRT_PRT4_BIE 0x40005147 +#define CYDEV_IO_PRT_PRT4_INP_DIS 0x40005148 +#define CYDEV_IO_PRT_PRT4_CTL 0x40005149 +#define CYDEV_IO_PRT_PRT4_PRT 0x4000514a +#define CYDEV_IO_PRT_PRT4_BIT_MASK 0x4000514b +#define CYDEV_IO_PRT_PRT4_AMUX 0x4000514c +#define CYDEV_IO_PRT_PRT4_AG 0x4000514d +#define CYDEV_IO_PRT_PRT4_LCD_COM_SEG 0x4000514e +#define CYDEV_IO_PRT_PRT4_LCD_EN 0x4000514f +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150 +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT5_DR 0x40005150 +#define CYDEV_IO_PRT_PRT5_PS 0x40005151 +#define CYDEV_IO_PRT_PRT5_DM0 0x40005152 +#define CYDEV_IO_PRT_PRT5_DM1 0x40005153 +#define CYDEV_IO_PRT_PRT5_DM2 0x40005154 +#define CYDEV_IO_PRT_PRT5_SLW 0x40005155 +#define CYDEV_IO_PRT_PRT5_BYP 0x40005156 +#define CYDEV_IO_PRT_PRT5_BIE 0x40005157 +#define CYDEV_IO_PRT_PRT5_INP_DIS 0x40005158 +#define CYDEV_IO_PRT_PRT5_CTL 0x40005159 +#define CYDEV_IO_PRT_PRT5_PRT 0x4000515a +#define CYDEV_IO_PRT_PRT5_BIT_MASK 0x4000515b +#define CYDEV_IO_PRT_PRT5_AMUX 0x4000515c +#define CYDEV_IO_PRT_PRT5_AG 0x4000515d +#define CYDEV_IO_PRT_PRT5_LCD_COM_SEG 0x4000515e +#define CYDEV_IO_PRT_PRT5_LCD_EN 0x4000515f +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160 +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT6_DR 0x40005160 +#define CYDEV_IO_PRT_PRT6_PS 0x40005161 +#define CYDEV_IO_PRT_PRT6_DM0 0x40005162 +#define CYDEV_IO_PRT_PRT6_DM1 0x40005163 +#define CYDEV_IO_PRT_PRT6_DM2 0x40005164 +#define CYDEV_IO_PRT_PRT6_SLW 0x40005165 +#define CYDEV_IO_PRT_PRT6_BYP 0x40005166 +#define CYDEV_IO_PRT_PRT6_BIE 0x40005167 +#define CYDEV_IO_PRT_PRT6_INP_DIS 0x40005168 +#define CYDEV_IO_PRT_PRT6_CTL 0x40005169 +#define CYDEV_IO_PRT_PRT6_PRT 0x4000516a +#define CYDEV_IO_PRT_PRT6_BIT_MASK 0x4000516b +#define CYDEV_IO_PRT_PRT6_AMUX 0x4000516c +#define CYDEV_IO_PRT_PRT6_AG 0x4000516d +#define CYDEV_IO_PRT_PRT6_LCD_COM_SEG 0x4000516e +#define CYDEV_IO_PRT_PRT6_LCD_EN 0x4000516f +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0 +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT12_DR 0x400051c0 +#define CYDEV_IO_PRT_PRT12_PS 0x400051c1 +#define CYDEV_IO_PRT_PRT12_DM0 0x400051c2 +#define CYDEV_IO_PRT_PRT12_DM1 0x400051c3 +#define CYDEV_IO_PRT_PRT12_DM2 0x400051c4 +#define CYDEV_IO_PRT_PRT12_SLW 0x400051c5 +#define CYDEV_IO_PRT_PRT12_BYP 0x400051c6 +#define CYDEV_IO_PRT_PRT12_BIE 0x400051c7 +#define CYDEV_IO_PRT_PRT12_INP_DIS 0x400051c8 +#define CYDEV_IO_PRT_PRT12_SIO_HYST_EN 0x400051c9 +#define CYDEV_IO_PRT_PRT12_PRT 0x400051ca +#define CYDEV_IO_PRT_PRT12_BIT_MASK 0x400051cb +#define CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ 0x400051cc +#define CYDEV_IO_PRT_PRT12_AG 0x400051cd +#define CYDEV_IO_PRT_PRT12_SIO_CFG 0x400051ce +#define CYDEV_IO_PRT_PRT12_SIO_DIFF 0x400051cf +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0 +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010 +#define CYDEV_IO_PRT_PRT15_DR 0x400051f0 +#define CYDEV_IO_PRT_PRT15_PS 0x400051f1 +#define CYDEV_IO_PRT_PRT15_DM0 0x400051f2 +#define CYDEV_IO_PRT_PRT15_DM1 0x400051f3 +#define CYDEV_IO_PRT_PRT15_DM2 0x400051f4 +#define CYDEV_IO_PRT_PRT15_SLW 0x400051f5 +#define CYDEV_IO_PRT_PRT15_BYP 0x400051f6 +#define CYDEV_IO_PRT_PRT15_BIE 0x400051f7 +#define CYDEV_IO_PRT_PRT15_INP_DIS 0x400051f8 +#define CYDEV_IO_PRT_PRT15_CTL 0x400051f9 +#define CYDEV_IO_PRT_PRT15_PRT 0x400051fa +#define CYDEV_IO_PRT_PRT15_BIT_MASK 0x400051fb +#define CYDEV_IO_PRT_PRT15_AMUX 0x400051fc +#define CYDEV_IO_PRT_PRT15_AG 0x400051fd +#define CYDEV_IO_PRT_PRT15_LCD_COM_SEG 0x400051fe +#define CYDEV_IO_PRT_PRT15_LCD_EN 0x400051ff +#define CYDEV_PRTDSI_BASE 0x40005200 +#define CYDEV_PRTDSI_SIZE 0x0000007f +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200 +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT0_OUT_SEL0 0x40005200 +#define CYDEV_PRTDSI_PRT0_OUT_SEL1 0x40005201 +#define CYDEV_PRTDSI_PRT0_OE_SEL0 0x40005202 +#define CYDEV_PRTDSI_PRT0_OE_SEL1 0x40005203 +#define CYDEV_PRTDSI_PRT0_DBL_SYNC_IN 0x40005204 +#define CYDEV_PRTDSI_PRT0_SYNC_OUT 0x40005205 +#define CYDEV_PRTDSI_PRT0_CAPS_SEL 0x40005206 +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208 +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT1_OUT_SEL0 0x40005208 +#define CYDEV_PRTDSI_PRT1_OUT_SEL1 0x40005209 +#define CYDEV_PRTDSI_PRT1_OE_SEL0 0x4000520a +#define CYDEV_PRTDSI_PRT1_OE_SEL1 0x4000520b +#define CYDEV_PRTDSI_PRT1_DBL_SYNC_IN 0x4000520c +#define CYDEV_PRTDSI_PRT1_SYNC_OUT 0x4000520d +#define CYDEV_PRTDSI_PRT1_CAPS_SEL 0x4000520e +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210 +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT2_OUT_SEL0 0x40005210 +#define CYDEV_PRTDSI_PRT2_OUT_SEL1 0x40005211 +#define CYDEV_PRTDSI_PRT2_OE_SEL0 0x40005212 +#define CYDEV_PRTDSI_PRT2_OE_SEL1 0x40005213 +#define CYDEV_PRTDSI_PRT2_DBL_SYNC_IN 0x40005214 +#define CYDEV_PRTDSI_PRT2_SYNC_OUT 0x40005215 +#define CYDEV_PRTDSI_PRT2_CAPS_SEL 0x40005216 +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218 +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT3_OUT_SEL0 0x40005218 +#define CYDEV_PRTDSI_PRT3_OUT_SEL1 0x40005219 +#define CYDEV_PRTDSI_PRT3_OE_SEL0 0x4000521a +#define CYDEV_PRTDSI_PRT3_OE_SEL1 0x4000521b +#define CYDEV_PRTDSI_PRT3_DBL_SYNC_IN 0x4000521c +#define CYDEV_PRTDSI_PRT3_SYNC_OUT 0x4000521d +#define CYDEV_PRTDSI_PRT3_CAPS_SEL 0x4000521e +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220 +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT4_OUT_SEL0 0x40005220 +#define CYDEV_PRTDSI_PRT4_OUT_SEL1 0x40005221 +#define CYDEV_PRTDSI_PRT4_OE_SEL0 0x40005222 +#define CYDEV_PRTDSI_PRT4_OE_SEL1 0x40005223 +#define CYDEV_PRTDSI_PRT4_DBL_SYNC_IN 0x40005224 +#define CYDEV_PRTDSI_PRT4_SYNC_OUT 0x40005225 +#define CYDEV_PRTDSI_PRT4_CAPS_SEL 0x40005226 +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228 +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT5_OUT_SEL0 0x40005228 +#define CYDEV_PRTDSI_PRT5_OUT_SEL1 0x40005229 +#define CYDEV_PRTDSI_PRT5_OE_SEL0 0x4000522a +#define CYDEV_PRTDSI_PRT5_OE_SEL1 0x4000522b +#define CYDEV_PRTDSI_PRT5_DBL_SYNC_IN 0x4000522c +#define CYDEV_PRTDSI_PRT5_SYNC_OUT 0x4000522d +#define CYDEV_PRTDSI_PRT5_CAPS_SEL 0x4000522e +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230 +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT6_OUT_SEL0 0x40005230 +#define CYDEV_PRTDSI_PRT6_OUT_SEL1 0x40005231 +#define CYDEV_PRTDSI_PRT6_OE_SEL0 0x40005232 +#define CYDEV_PRTDSI_PRT6_OE_SEL1 0x40005233 +#define CYDEV_PRTDSI_PRT6_DBL_SYNC_IN 0x40005234 +#define CYDEV_PRTDSI_PRT6_SYNC_OUT 0x40005235 +#define CYDEV_PRTDSI_PRT6_CAPS_SEL 0x40005236 +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260 +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006 +#define CYDEV_PRTDSI_PRT12_OUT_SEL0 0x40005260 +#define CYDEV_PRTDSI_PRT12_OUT_SEL1 0x40005261 +#define CYDEV_PRTDSI_PRT12_OE_SEL0 0x40005262 +#define CYDEV_PRTDSI_PRT12_OE_SEL1 0x40005263 +#define CYDEV_PRTDSI_PRT12_DBL_SYNC_IN 0x40005264 +#define CYDEV_PRTDSI_PRT12_SYNC_OUT 0x40005265 +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278 +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007 +#define CYDEV_PRTDSI_PRT15_OUT_SEL0 0x40005278 +#define CYDEV_PRTDSI_PRT15_OUT_SEL1 0x40005279 +#define CYDEV_PRTDSI_PRT15_OE_SEL0 0x4000527a +#define CYDEV_PRTDSI_PRT15_OE_SEL1 0x4000527b +#define CYDEV_PRTDSI_PRT15_DBL_SYNC_IN 0x4000527c +#define CYDEV_PRTDSI_PRT15_SYNC_OUT 0x4000527d +#define CYDEV_PRTDSI_PRT15_CAPS_SEL 0x4000527e +#define CYDEV_EMIF_BASE 0x40005400 +#define CYDEV_EMIF_SIZE 0x00000007 +#define CYDEV_EMIF_NO_UDB 0x40005400 +#define CYDEV_EMIF_RP_WAIT_STATES 0x40005401 +#define CYDEV_EMIF_MEM_DWN 0x40005402 +#define CYDEV_EMIF_MEMCLK_DIV 0x40005403 +#define CYDEV_EMIF_CLOCK_EN 0x40005404 +#define CYDEV_EMIF_EM_TYPE 0x40005405 +#define CYDEV_EMIF_WP_WAIT_STATES 0x40005406 +#define CYDEV_ANAIF_BASE 0x40005800 +#define CYDEV_ANAIF_SIZE 0x000003a9 +#define CYDEV_ANAIF_CFG_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SIZE 0x0000010f +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC0_CR0 0x40005800 +#define CYDEV_ANAIF_CFG_SC0_CR1 0x40005801 +#define CYDEV_ANAIF_CFG_SC0_CR2 0x40005802 +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804 +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC1_CR0 0x40005804 +#define CYDEV_ANAIF_CFG_SC1_CR1 0x40005805 +#define CYDEV_ANAIF_CFG_SC1_CR2 0x40005806 +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808 +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC2_CR0 0x40005808 +#define CYDEV_ANAIF_CFG_SC2_CR1 0x40005809 +#define CYDEV_ANAIF_CFG_SC2_CR2 0x4000580a +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580c +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_SC3_CR0 0x4000580c +#define CYDEV_ANAIF_CFG_SC3_CR1 0x4000580d +#define CYDEV_ANAIF_CFG_SC3_CR2 0x4000580e +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820 +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC0_CR0 0x40005820 +#define CYDEV_ANAIF_CFG_DAC0_CR1 0x40005821 +#define CYDEV_ANAIF_CFG_DAC0_TST 0x40005822 +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824 +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC1_CR0 0x40005824 +#define CYDEV_ANAIF_CFG_DAC1_CR1 0x40005825 +#define CYDEV_ANAIF_CFG_DAC1_TST 0x40005826 +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828 +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC2_CR0 0x40005828 +#define CYDEV_ANAIF_CFG_DAC2_CR1 0x40005829 +#define CYDEV_ANAIF_CFG_DAC2_TST 0x4000582a +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582c +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003 +#define CYDEV_ANAIF_CFG_DAC3_CR0 0x4000582c +#define CYDEV_ANAIF_CFG_DAC3_CR1 0x4000582d +#define CYDEV_ANAIF_CFG_DAC3_TST 0x4000582e +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840 +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP0_CR 0x40005840 +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841 +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP1_CR 0x40005841 +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842 +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP2_CR 0x40005842 +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843 +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_CMP3_CR 0x40005843 +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848 +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT0_CR 0x40005848 +#define CYDEV_ANAIF_CFG_LUT0_MX 0x40005849 +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584a +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT1_CR 0x4000584a +#define CYDEV_ANAIF_CFG_LUT1_MX 0x4000584b +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584c +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT2_CR 0x4000584c +#define CYDEV_ANAIF_CFG_LUT2_MX 0x4000584d +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584e +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LUT3_CR 0x4000584e +#define CYDEV_ANAIF_CFG_LUT3_MX 0x4000584f +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858 +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP0_CR 0x40005858 +#define CYDEV_ANAIF_CFG_OPAMP0_RSVD 0x40005859 +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585a +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP1_CR 0x4000585a +#define CYDEV_ANAIF_CFG_OPAMP1_RSVD 0x4000585b +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585c +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP2_CR 0x4000585c +#define CYDEV_ANAIF_CFG_OPAMP2_RSVD 0x4000585d +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585e +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_OPAMP3_CR 0x4000585e +#define CYDEV_ANAIF_CFG_OPAMP3_RSVD 0x4000585f +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868 +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LCDDAC_CR0 0x40005868 +#define CYDEV_ANAIF_CFG_LCDDAC_CR1 0x40005869 +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586a +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_LCDDRV_CR 0x4000586a +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586b +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_LCDTMR_CFG 0x4000586b +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586c +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004 +#define CYDEV_ANAIF_CFG_BG_CR0 0x4000586c +#define CYDEV_ANAIF_CFG_BG_RSVD 0x4000586d +#define CYDEV_ANAIF_CFG_BG_DFT0 0x4000586e +#define CYDEV_ANAIF_CFG_BG_DFT1 0x4000586f +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870 +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_CAPSL_CFG0 0x40005870 +#define CYDEV_ANAIF_CFG_CAPSL_CFG1 0x40005871 +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872 +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_CAPSR_CFG0 0x40005872 +#define CYDEV_ANAIF_CFG_CAPSR_CFG1 0x40005873 +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876 +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_PUMP_CR0 0x40005876 +#define CYDEV_ANAIF_CFG_PUMP_CR1 0x40005877 +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878 +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LPF0_CR0 0x40005878 +#define CYDEV_ANAIF_CFG_LPF0_RSVD 0x40005879 +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587a +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002 +#define CYDEV_ANAIF_CFG_LPF1_CR0 0x4000587a +#define CYDEV_ANAIF_CFG_LPF1_RSVD 0x4000587b +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587c +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001 +#define CYDEV_ANAIF_CFG_MISC_CR0 0x4000587c +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880 +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020 +#define CYDEV_ANAIF_CFG_DSM0_CR0 0x40005880 +#define CYDEV_ANAIF_CFG_DSM0_CR1 0x40005881 +#define CYDEV_ANAIF_CFG_DSM0_CR2 0x40005882 +#define CYDEV_ANAIF_CFG_DSM0_CR3 0x40005883 +#define CYDEV_ANAIF_CFG_DSM0_CR4 0x40005884 +#define CYDEV_ANAIF_CFG_DSM0_CR5 0x40005885 +#define CYDEV_ANAIF_CFG_DSM0_CR6 0x40005886 +#define CYDEV_ANAIF_CFG_DSM0_CR7 0x40005887 +#define CYDEV_ANAIF_CFG_DSM0_CR8 0x40005888 +#define CYDEV_ANAIF_CFG_DSM0_CR9 0x40005889 +#define CYDEV_ANAIF_CFG_DSM0_CR10 0x4000588a +#define CYDEV_ANAIF_CFG_DSM0_CR11 0x4000588b +#define CYDEV_ANAIF_CFG_DSM0_CR12 0x4000588c +#define CYDEV_ANAIF_CFG_DSM0_CR13 0x4000588d +#define CYDEV_ANAIF_CFG_DSM0_CR14 0x4000588e +#define CYDEV_ANAIF_CFG_DSM0_CR15 0x4000588f +#define CYDEV_ANAIF_CFG_DSM0_CR16 0x40005890 +#define CYDEV_ANAIF_CFG_DSM0_CR17 0x40005891 +#define CYDEV_ANAIF_CFG_DSM0_REF0 0x40005892 +#define CYDEV_ANAIF_CFG_DSM0_REF1 0x40005893 +#define CYDEV_ANAIF_CFG_DSM0_REF2 0x40005894 +#define CYDEV_ANAIF_CFG_DSM0_REF3 0x40005895 +#define CYDEV_ANAIF_CFG_DSM0_DEM0 0x40005896 +#define CYDEV_ANAIF_CFG_DSM0_DEM1 0x40005897 +#define CYDEV_ANAIF_CFG_DSM0_TST0 0x40005898 +#define CYDEV_ANAIF_CFG_DSM0_TST1 0x40005899 +#define CYDEV_ANAIF_CFG_DSM0_BUF0 0x4000589a +#define CYDEV_ANAIF_CFG_DSM0_BUF1 0x4000589b +#define CYDEV_ANAIF_CFG_DSM0_BUF2 0x4000589c +#define CYDEV_ANAIF_CFG_DSM0_BUF3 0x4000589d +#define CYDEV_ANAIF_CFG_DSM0_MISC 0x4000589e +#define CYDEV_ANAIF_CFG_DSM0_RSVD1 0x4000589f +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900 +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007 +#define CYDEV_ANAIF_CFG_SAR0_CSR0 0x40005900 +#define CYDEV_ANAIF_CFG_SAR0_CSR1 0x40005901 +#define CYDEV_ANAIF_CFG_SAR0_CSR2 0x40005902 +#define CYDEV_ANAIF_CFG_SAR0_CSR3 0x40005903 +#define CYDEV_ANAIF_CFG_SAR0_CSR4 0x40005904 +#define CYDEV_ANAIF_CFG_SAR0_CSR5 0x40005905 +#define CYDEV_ANAIF_CFG_SAR0_CSR6 0x40005906 +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908 +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007 +#define CYDEV_ANAIF_CFG_SAR1_CSR0 0x40005908 +#define CYDEV_ANAIF_CFG_SAR1_CSR1 0x40005909 +#define CYDEV_ANAIF_CFG_SAR1_CSR2 0x4000590a +#define CYDEV_ANAIF_CFG_SAR1_CSR3 0x4000590b +#define CYDEV_ANAIF_CFG_SAR1_CSR4 0x4000590c +#define CYDEV_ANAIF_CFG_SAR1_CSR5 0x4000590d +#define CYDEV_ANAIF_CFG_SAR1_CSR6 0x4000590e +#define CYDEV_ANAIF_RT_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SIZE 0x00000162 +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC0_SW0 0x40005a00 +#define CYDEV_ANAIF_RT_SC0_SW2 0x40005a02 +#define CYDEV_ANAIF_RT_SC0_SW3 0x40005a03 +#define CYDEV_ANAIF_RT_SC0_SW4 0x40005a04 +#define CYDEV_ANAIF_RT_SC0_SW6 0x40005a06 +#define CYDEV_ANAIF_RT_SC0_SW7 0x40005a07 +#define CYDEV_ANAIF_RT_SC0_SW8 0x40005a08 +#define CYDEV_ANAIF_RT_SC0_SW10 0x40005a0a +#define CYDEV_ANAIF_RT_SC0_CLK 0x40005a0b +#define CYDEV_ANAIF_RT_SC0_BST 0x40005a0c +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10 +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC1_SW0 0x40005a10 +#define CYDEV_ANAIF_RT_SC1_SW2 0x40005a12 +#define CYDEV_ANAIF_RT_SC1_SW3 0x40005a13 +#define CYDEV_ANAIF_RT_SC1_SW4 0x40005a14 +#define CYDEV_ANAIF_RT_SC1_SW6 0x40005a16 +#define CYDEV_ANAIF_RT_SC1_SW7 0x40005a17 +#define CYDEV_ANAIF_RT_SC1_SW8 0x40005a18 +#define CYDEV_ANAIF_RT_SC1_SW10 0x40005a1a +#define CYDEV_ANAIF_RT_SC1_CLK 0x40005a1b +#define CYDEV_ANAIF_RT_SC1_BST 0x40005a1c +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20 +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC2_SW0 0x40005a20 +#define CYDEV_ANAIF_RT_SC2_SW2 0x40005a22 +#define CYDEV_ANAIF_RT_SC2_SW3 0x40005a23 +#define CYDEV_ANAIF_RT_SC2_SW4 0x40005a24 +#define CYDEV_ANAIF_RT_SC2_SW6 0x40005a26 +#define CYDEV_ANAIF_RT_SC2_SW7 0x40005a27 +#define CYDEV_ANAIF_RT_SC2_SW8 0x40005a28 +#define CYDEV_ANAIF_RT_SC2_SW10 0x40005a2a +#define CYDEV_ANAIF_RT_SC2_CLK 0x40005a2b +#define CYDEV_ANAIF_RT_SC2_BST 0x40005a2c +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30 +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000d +#define CYDEV_ANAIF_RT_SC3_SW0 0x40005a30 +#define CYDEV_ANAIF_RT_SC3_SW2 0x40005a32 +#define CYDEV_ANAIF_RT_SC3_SW3 0x40005a33 +#define CYDEV_ANAIF_RT_SC3_SW4 0x40005a34 +#define CYDEV_ANAIF_RT_SC3_SW6 0x40005a36 +#define CYDEV_ANAIF_RT_SC3_SW7 0x40005a37 +#define CYDEV_ANAIF_RT_SC3_SW8 0x40005a38 +#define CYDEV_ANAIF_RT_SC3_SW10 0x40005a3a +#define CYDEV_ANAIF_RT_SC3_CLK 0x40005a3b +#define CYDEV_ANAIF_RT_SC3_BST 0x40005a3c +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80 +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC0_SW0 0x40005a80 +#define CYDEV_ANAIF_RT_DAC0_SW2 0x40005a82 +#define CYDEV_ANAIF_RT_DAC0_SW3 0x40005a83 +#define CYDEV_ANAIF_RT_DAC0_SW4 0x40005a84 +#define CYDEV_ANAIF_RT_DAC0_STROBE 0x40005a87 +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88 +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC1_SW0 0x40005a88 +#define CYDEV_ANAIF_RT_DAC1_SW2 0x40005a8a +#define CYDEV_ANAIF_RT_DAC1_SW3 0x40005a8b +#define CYDEV_ANAIF_RT_DAC1_SW4 0x40005a8c +#define CYDEV_ANAIF_RT_DAC1_STROBE 0x40005a8f +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90 +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC2_SW0 0x40005a90 +#define CYDEV_ANAIF_RT_DAC2_SW2 0x40005a92 +#define CYDEV_ANAIF_RT_DAC2_SW3 0x40005a93 +#define CYDEV_ANAIF_RT_DAC2_SW4 0x40005a94 +#define CYDEV_ANAIF_RT_DAC2_STROBE 0x40005a97 +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98 +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DAC3_SW0 0x40005a98 +#define CYDEV_ANAIF_RT_DAC3_SW2 0x40005a9a +#define CYDEV_ANAIF_RT_DAC3_SW3 0x40005a9b +#define CYDEV_ANAIF_RT_DAC3_SW4 0x40005a9c +#define CYDEV_ANAIF_RT_DAC3_STROBE 0x40005a9f +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0 +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP0_SW0 0x40005ac0 +#define CYDEV_ANAIF_RT_CMP0_SW2 0x40005ac2 +#define CYDEV_ANAIF_RT_CMP0_SW3 0x40005ac3 +#define CYDEV_ANAIF_RT_CMP0_SW4 0x40005ac4 +#define CYDEV_ANAIF_RT_CMP0_SW6 0x40005ac6 +#define CYDEV_ANAIF_RT_CMP0_CLK 0x40005ac7 +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8 +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP1_SW0 0x40005ac8 +#define CYDEV_ANAIF_RT_CMP1_SW2 0x40005aca +#define CYDEV_ANAIF_RT_CMP1_SW3 0x40005acb +#define CYDEV_ANAIF_RT_CMP1_SW4 0x40005acc +#define CYDEV_ANAIF_RT_CMP1_SW6 0x40005ace +#define CYDEV_ANAIF_RT_CMP1_CLK 0x40005acf +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0 +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP2_SW0 0x40005ad0 +#define CYDEV_ANAIF_RT_CMP2_SW2 0x40005ad2 +#define CYDEV_ANAIF_RT_CMP2_SW3 0x40005ad3 +#define CYDEV_ANAIF_RT_CMP2_SW4 0x40005ad4 +#define CYDEV_ANAIF_RT_CMP2_SW6 0x40005ad6 +#define CYDEV_ANAIF_RT_CMP2_CLK 0x40005ad7 +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8 +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_CMP3_SW0 0x40005ad8 +#define CYDEV_ANAIF_RT_CMP3_SW2 0x40005ada +#define CYDEV_ANAIF_RT_CMP3_SW3 0x40005adb +#define CYDEV_ANAIF_RT_CMP3_SW4 0x40005adc +#define CYDEV_ANAIF_RT_CMP3_SW6 0x40005ade +#define CYDEV_ANAIF_RT_CMP3_CLK 0x40005adf +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00 +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_DSM0_SW0 0x40005b00 +#define CYDEV_ANAIF_RT_DSM0_SW2 0x40005b02 +#define CYDEV_ANAIF_RT_DSM0_SW3 0x40005b03 +#define CYDEV_ANAIF_RT_DSM0_SW4 0x40005b04 +#define CYDEV_ANAIF_RT_DSM0_SW6 0x40005b06 +#define CYDEV_ANAIF_RT_DSM0_CLK 0x40005b07 +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20 +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_SAR0_SW0 0x40005b20 +#define CYDEV_ANAIF_RT_SAR0_SW2 0x40005b22 +#define CYDEV_ANAIF_RT_SAR0_SW3 0x40005b23 +#define CYDEV_ANAIF_RT_SAR0_SW4 0x40005b24 +#define CYDEV_ANAIF_RT_SAR0_SW6 0x40005b26 +#define CYDEV_ANAIF_RT_SAR0_CLK 0x40005b27 +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28 +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008 +#define CYDEV_ANAIF_RT_SAR1_SW0 0x40005b28 +#define CYDEV_ANAIF_RT_SAR1_SW2 0x40005b2a +#define CYDEV_ANAIF_RT_SAR1_SW3 0x40005b2b +#define CYDEV_ANAIF_RT_SAR1_SW4 0x40005b2c +#define CYDEV_ANAIF_RT_SAR1_SW6 0x40005b2e +#define CYDEV_ANAIF_RT_SAR1_CLK 0x40005b2f +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40 +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP0_MX 0x40005b40 +#define CYDEV_ANAIF_RT_OPAMP0_SW 0x40005b41 +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42 +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP1_MX 0x40005b42 +#define CYDEV_ANAIF_RT_OPAMP1_SW 0x40005b43 +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44 +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP2_MX 0x40005b44 +#define CYDEV_ANAIF_RT_OPAMP2_SW 0x40005b45 +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46 +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002 +#define CYDEV_ANAIF_RT_OPAMP3_MX 0x40005b46 +#define CYDEV_ANAIF_RT_OPAMP3_SW 0x40005b47 +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50 +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005 +#define CYDEV_ANAIF_RT_LCDDAC_SW0 0x40005b50 +#define CYDEV_ANAIF_RT_LCDDAC_SW1 0x40005b51 +#define CYDEV_ANAIF_RT_LCDDAC_SW2 0x40005b52 +#define CYDEV_ANAIF_RT_LCDDAC_SW3 0x40005b53 +#define CYDEV_ANAIF_RT_LCDDAC_SW4 0x40005b54 +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56 +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001 +#define CYDEV_ANAIF_RT_SC_MISC 0x40005b56 +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58 +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004 +#define CYDEV_ANAIF_RT_BUS_SW0 0x40005b58 +#define CYDEV_ANAIF_RT_BUS_SW2 0x40005b5a +#define CYDEV_ANAIF_RT_BUS_SW3 0x40005b5b +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5c +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006 +#define CYDEV_ANAIF_RT_DFT_CR0 0x40005b5c +#define CYDEV_ANAIF_RT_DFT_CR1 0x40005b5d +#define CYDEV_ANAIF_RT_DFT_CR2 0x40005b5e +#define CYDEV_ANAIF_RT_DFT_CR3 0x40005b5f +#define CYDEV_ANAIF_RT_DFT_CR4 0x40005b60 +#define CYDEV_ANAIF_RT_DFT_CR5 0x40005b61 +#define CYDEV_ANAIF_WRK_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_SIZE 0x00000029 +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC0_D 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC1_D 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC2_D 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83 +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_DAC3_D 0x40005b83 +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88 +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_DSM0_OUT0 0x40005b88 +#define CYDEV_ANAIF_WRK_DSM0_OUT1 0x40005b89 +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90 +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005 +#define CYDEV_ANAIF_WRK_LUT_SR 0x40005b90 +#define CYDEV_ANAIF_WRK_LUT_WRK1 0x40005b91 +#define CYDEV_ANAIF_WRK_LUT_MSK 0x40005b92 +#define CYDEV_ANAIF_WRK_LUT_CLK 0x40005b93 +#define CYDEV_ANAIF_WRK_LUT_CPTR 0x40005b94 +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96 +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_CMP_WRK 0x40005b96 +#define CYDEV_ANAIF_WRK_CMP_TST 0x40005b97 +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98 +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005 +#define CYDEV_ANAIF_WRK_SC_SR 0x40005b98 +#define CYDEV_ANAIF_WRK_SC_WRK1 0x40005b99 +#define CYDEV_ANAIF_WRK_SC_MSK 0x40005b9a +#define CYDEV_ANAIF_WRK_SC_CMPINV 0x40005b9b +#define CYDEV_ANAIF_WRK_SC_CPTR 0x40005b9c +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0 +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_SAR0_WRK0 0x40005ba0 +#define CYDEV_ANAIF_WRK_SAR0_WRK1 0x40005ba1 +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2 +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002 +#define CYDEV_ANAIF_WRK_SAR1_WRK0 0x40005ba2 +#define CYDEV_ANAIF_WRK_SAR1_WRK1 0x40005ba3 +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8 +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001 +#define CYDEV_ANAIF_WRK_SARS_SOF 0x40005ba8 +#define CYDEV_USB_BASE 0x40006000 +#define CYDEV_USB_SIZE 0x00000300 +#define CYDEV_USB_EP0_DR0 0x40006000 +#define CYDEV_USB_EP0_DR1 0x40006001 +#define CYDEV_USB_EP0_DR2 0x40006002 +#define CYDEV_USB_EP0_DR3 0x40006003 +#define CYDEV_USB_EP0_DR4 0x40006004 +#define CYDEV_USB_EP0_DR5 0x40006005 +#define CYDEV_USB_EP0_DR6 0x40006006 +#define CYDEV_USB_EP0_DR7 0x40006007 +#define CYDEV_USB_CR0 0x40006008 +#define CYDEV_USB_CR1 0x40006009 +#define CYDEV_USB_SIE_EP_INT_EN 0x4000600a +#define CYDEV_USB_SIE_EP_INT_SR 0x4000600b +#define CYDEV_USB_SIE_EP1_BASE 0x4000600c +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP1_CNT0 0x4000600c +#define CYDEV_USB_SIE_EP1_CNT1 0x4000600d +#define CYDEV_USB_SIE_EP1_CR0 0x4000600e +#define CYDEV_USB_USBIO_CR0 0x40006010 +#define CYDEV_USB_USBIO_CR1 0x40006012 +#define CYDEV_USB_DYN_RECONFIG 0x40006014 +#define CYDEV_USB_SOF0 0x40006018 +#define CYDEV_USB_SOF1 0x40006019 +#define CYDEV_USB_SIE_EP2_BASE 0x4000601c +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP2_CNT0 0x4000601c +#define CYDEV_USB_SIE_EP2_CNT1 0x4000601d +#define CYDEV_USB_SIE_EP2_CR0 0x4000601e +#define CYDEV_USB_EP0_CR 0x40006028 +#define CYDEV_USB_EP0_CNT 0x40006029 +#define CYDEV_USB_SIE_EP3_BASE 0x4000602c +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP3_CNT0 0x4000602c +#define CYDEV_USB_SIE_EP3_CNT1 0x4000602d +#define CYDEV_USB_SIE_EP3_CR0 0x4000602e +#define CYDEV_USB_SIE_EP4_BASE 0x4000603c +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP4_CNT0 0x4000603c +#define CYDEV_USB_SIE_EP4_CNT1 0x4000603d +#define CYDEV_USB_SIE_EP4_CR0 0x4000603e +#define CYDEV_USB_SIE_EP5_BASE 0x4000604c +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP5_CNT0 0x4000604c +#define CYDEV_USB_SIE_EP5_CNT1 0x4000604d +#define CYDEV_USB_SIE_EP5_CR0 0x4000604e +#define CYDEV_USB_SIE_EP6_BASE 0x4000605c +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP6_CNT0 0x4000605c +#define CYDEV_USB_SIE_EP6_CNT1 0x4000605d +#define CYDEV_USB_SIE_EP6_CR0 0x4000605e +#define CYDEV_USB_SIE_EP7_BASE 0x4000606c +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP7_CNT0 0x4000606c +#define CYDEV_USB_SIE_EP7_CNT1 0x4000606d +#define CYDEV_USB_SIE_EP7_CR0 0x4000606e +#define CYDEV_USB_SIE_EP8_BASE 0x4000607c +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003 +#define CYDEV_USB_SIE_EP8_CNT0 0x4000607c +#define CYDEV_USB_SIE_EP8_CNT1 0x4000607d +#define CYDEV_USB_SIE_EP8_CR0 0x4000607e +#define CYDEV_USB_ARB_EP1_BASE 0x40006080 +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP1_CFG 0x40006080 +#define CYDEV_USB_ARB_EP1_INT_EN 0x40006081 +#define CYDEV_USB_ARB_EP1_SR 0x40006082 +#define CYDEV_USB_ARB_RW1_BASE 0x40006084 +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW1_WA 0x40006084 +#define CYDEV_USB_ARB_RW1_WA_MSB 0x40006085 +#define CYDEV_USB_ARB_RW1_RA 0x40006086 +#define CYDEV_USB_ARB_RW1_RA_MSB 0x40006087 +#define CYDEV_USB_ARB_RW1_DR 0x40006088 +#define CYDEV_USB_BUF_SIZE 0x4000608c +#define CYDEV_USB_EP_ACTIVE 0x4000608e +#define CYDEV_USB_EP_TYPE 0x4000608f +#define CYDEV_USB_ARB_EP2_BASE 0x40006090 +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP2_CFG 0x40006090 +#define CYDEV_USB_ARB_EP2_INT_EN 0x40006091 +#define CYDEV_USB_ARB_EP2_SR 0x40006092 +#define CYDEV_USB_ARB_RW2_BASE 0x40006094 +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW2_WA 0x40006094 +#define CYDEV_USB_ARB_RW2_WA_MSB 0x40006095 +#define CYDEV_USB_ARB_RW2_RA 0x40006096 +#define CYDEV_USB_ARB_RW2_RA_MSB 0x40006097 +#define CYDEV_USB_ARB_RW2_DR 0x40006098 +#define CYDEV_USB_ARB_CFG 0x4000609c +#define CYDEV_USB_USB_CLK_EN 0x4000609d +#define CYDEV_USB_ARB_INT_EN 0x4000609e +#define CYDEV_USB_ARB_INT_SR 0x4000609f +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0 +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP3_CFG 0x400060a0 +#define CYDEV_USB_ARB_EP3_INT_EN 0x400060a1 +#define CYDEV_USB_ARB_EP3_SR 0x400060a2 +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4 +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW3_WA 0x400060a4 +#define CYDEV_USB_ARB_RW3_WA_MSB 0x400060a5 +#define CYDEV_USB_ARB_RW3_RA 0x400060a6 +#define CYDEV_USB_ARB_RW3_RA_MSB 0x400060a7 +#define CYDEV_USB_ARB_RW3_DR 0x400060a8 +#define CYDEV_USB_CWA 0x400060ac +#define CYDEV_USB_CWA_MSB 0x400060ad +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0 +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP4_CFG 0x400060b0 +#define CYDEV_USB_ARB_EP4_INT_EN 0x400060b1 +#define CYDEV_USB_ARB_EP4_SR 0x400060b2 +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4 +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW4_WA 0x400060b4 +#define CYDEV_USB_ARB_RW4_WA_MSB 0x400060b5 +#define CYDEV_USB_ARB_RW4_RA 0x400060b6 +#define CYDEV_USB_ARB_RW4_RA_MSB 0x400060b7 +#define CYDEV_USB_ARB_RW4_DR 0x400060b8 +#define CYDEV_USB_DMA_THRES 0x400060bc +#define CYDEV_USB_DMA_THRES_MSB 0x400060bd +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0 +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP5_CFG 0x400060c0 +#define CYDEV_USB_ARB_EP5_INT_EN 0x400060c1 +#define CYDEV_USB_ARB_EP5_SR 0x400060c2 +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4 +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW5_WA 0x400060c4 +#define CYDEV_USB_ARB_RW5_WA_MSB 0x400060c5 +#define CYDEV_USB_ARB_RW5_RA 0x400060c6 +#define CYDEV_USB_ARB_RW5_RA_MSB 0x400060c7 +#define CYDEV_USB_ARB_RW5_DR 0x400060c8 +#define CYDEV_USB_BUS_RST_CNT 0x400060cc +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0 +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP6_CFG 0x400060d0 +#define CYDEV_USB_ARB_EP6_INT_EN 0x400060d1 +#define CYDEV_USB_ARB_EP6_SR 0x400060d2 +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4 +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW6_WA 0x400060d4 +#define CYDEV_USB_ARB_RW6_WA_MSB 0x400060d5 +#define CYDEV_USB_ARB_RW6_RA 0x400060d6 +#define CYDEV_USB_ARB_RW6_RA_MSB 0x400060d7 +#define CYDEV_USB_ARB_RW6_DR 0x400060d8 +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0 +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP7_CFG 0x400060e0 +#define CYDEV_USB_ARB_EP7_INT_EN 0x400060e1 +#define CYDEV_USB_ARB_EP7_SR 0x400060e2 +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4 +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW7_WA 0x400060e4 +#define CYDEV_USB_ARB_RW7_WA_MSB 0x400060e5 +#define CYDEV_USB_ARB_RW7_RA 0x400060e6 +#define CYDEV_USB_ARB_RW7_RA_MSB 0x400060e7 +#define CYDEV_USB_ARB_RW7_DR 0x400060e8 +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0 +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003 +#define CYDEV_USB_ARB_EP8_CFG 0x400060f0 +#define CYDEV_USB_ARB_EP8_INT_EN 0x400060f1 +#define CYDEV_USB_ARB_EP8_SR 0x400060f2 +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4 +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005 +#define CYDEV_USB_ARB_RW8_WA 0x400060f4 +#define CYDEV_USB_ARB_RW8_WA_MSB 0x400060f5 +#define CYDEV_USB_ARB_RW8_RA 0x400060f6 +#define CYDEV_USB_ARB_RW8_RA_MSB 0x400060f7 +#define CYDEV_USB_ARB_RW8_DR 0x400060f8 +#define CYDEV_USB_MEM_BASE 0x40006100 +#define CYDEV_USB_MEM_SIZE 0x00000200 +#define CYDEV_USB_MEM_DATA_MBASE 0x40006100 +#define CYDEV_USB_MEM_DATA_MSIZE 0x00000200 +#define CYDEV_UWRK_BASE 0x40006400 +#define CYDEV_UWRK_SIZE 0x00000b60 +#define CYDEV_UWRK_UWRK8_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0 +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0 +#define CYDEV_UWRK_UWRK8_B0_UDB00_A0 0x40006400 +#define CYDEV_UWRK_UWRK8_B0_UDB01_A0 0x40006401 +#define CYDEV_UWRK_UWRK8_B0_UDB02_A0 0x40006402 +#define CYDEV_UWRK_UWRK8_B0_UDB03_A0 0x40006403 +#define CYDEV_UWRK_UWRK8_B0_UDB04_A0 0x40006404 +#define CYDEV_UWRK_UWRK8_B0_UDB05_A0 0x40006405 +#define CYDEV_UWRK_UWRK8_B0_UDB06_A0 0x40006406 +#define CYDEV_UWRK_UWRK8_B0_UDB07_A0 0x40006407 +#define CYDEV_UWRK_UWRK8_B0_UDB08_A0 0x40006408 +#define CYDEV_UWRK_UWRK8_B0_UDB09_A0 0x40006409 +#define CYDEV_UWRK_UWRK8_B0_UDB10_A0 0x4000640a +#define CYDEV_UWRK_UWRK8_B0_UDB11_A0 0x4000640b +#define CYDEV_UWRK_UWRK8_B0_UDB12_A0 0x4000640c +#define CYDEV_UWRK_UWRK8_B0_UDB13_A0 0x4000640d +#define CYDEV_UWRK_UWRK8_B0_UDB14_A0 0x4000640e +#define CYDEV_UWRK_UWRK8_B0_UDB15_A0 0x4000640f +#define CYDEV_UWRK_UWRK8_B0_UDB00_A1 0x40006410 +#define CYDEV_UWRK_UWRK8_B0_UDB01_A1 0x40006411 +#define CYDEV_UWRK_UWRK8_B0_UDB02_A1 0x40006412 +#define CYDEV_UWRK_UWRK8_B0_UDB03_A1 0x40006413 +#define CYDEV_UWRK_UWRK8_B0_UDB04_A1 0x40006414 +#define CYDEV_UWRK_UWRK8_B0_UDB05_A1 0x40006415 +#define CYDEV_UWRK_UWRK8_B0_UDB06_A1 0x40006416 +#define CYDEV_UWRK_UWRK8_B0_UDB07_A1 0x40006417 +#define CYDEV_UWRK_UWRK8_B0_UDB08_A1 0x40006418 +#define CYDEV_UWRK_UWRK8_B0_UDB09_A1 0x40006419 +#define CYDEV_UWRK_UWRK8_B0_UDB10_A1 0x4000641a +#define CYDEV_UWRK_UWRK8_B0_UDB11_A1 0x4000641b +#define CYDEV_UWRK_UWRK8_B0_UDB12_A1 0x4000641c +#define CYDEV_UWRK_UWRK8_B0_UDB13_A1 0x4000641d +#define CYDEV_UWRK_UWRK8_B0_UDB14_A1 0x4000641e +#define CYDEV_UWRK_UWRK8_B0_UDB15_A1 0x4000641f +#define CYDEV_UWRK_UWRK8_B0_UDB00_D0 0x40006420 +#define CYDEV_UWRK_UWRK8_B0_UDB01_D0 0x40006421 +#define CYDEV_UWRK_UWRK8_B0_UDB02_D0 0x40006422 +#define CYDEV_UWRK_UWRK8_B0_UDB03_D0 0x40006423 +#define CYDEV_UWRK_UWRK8_B0_UDB04_D0 0x40006424 +#define CYDEV_UWRK_UWRK8_B0_UDB05_D0 0x40006425 +#define CYDEV_UWRK_UWRK8_B0_UDB06_D0 0x40006426 +#define CYDEV_UWRK_UWRK8_B0_UDB07_D0 0x40006427 +#define CYDEV_UWRK_UWRK8_B0_UDB08_D0 0x40006428 +#define CYDEV_UWRK_UWRK8_B0_UDB09_D0 0x40006429 +#define CYDEV_UWRK_UWRK8_B0_UDB10_D0 0x4000642a +#define CYDEV_UWRK_UWRK8_B0_UDB11_D0 0x4000642b +#define CYDEV_UWRK_UWRK8_B0_UDB12_D0 0x4000642c +#define CYDEV_UWRK_UWRK8_B0_UDB13_D0 0x4000642d +#define CYDEV_UWRK_UWRK8_B0_UDB14_D0 0x4000642e +#define CYDEV_UWRK_UWRK8_B0_UDB15_D0 0x4000642f +#define CYDEV_UWRK_UWRK8_B0_UDB00_D1 0x40006430 +#define CYDEV_UWRK_UWRK8_B0_UDB01_D1 0x40006431 +#define CYDEV_UWRK_UWRK8_B0_UDB02_D1 0x40006432 +#define CYDEV_UWRK_UWRK8_B0_UDB03_D1 0x40006433 +#define CYDEV_UWRK_UWRK8_B0_UDB04_D1 0x40006434 +#define CYDEV_UWRK_UWRK8_B0_UDB05_D1 0x40006435 +#define CYDEV_UWRK_UWRK8_B0_UDB06_D1 0x40006436 +#define CYDEV_UWRK_UWRK8_B0_UDB07_D1 0x40006437 +#define CYDEV_UWRK_UWRK8_B0_UDB08_D1 0x40006438 +#define CYDEV_UWRK_UWRK8_B0_UDB09_D1 0x40006439 +#define CYDEV_UWRK_UWRK8_B0_UDB10_D1 0x4000643a +#define CYDEV_UWRK_UWRK8_B0_UDB11_D1 0x4000643b +#define CYDEV_UWRK_UWRK8_B0_UDB12_D1 0x4000643c +#define CYDEV_UWRK_UWRK8_B0_UDB13_D1 0x4000643d +#define CYDEV_UWRK_UWRK8_B0_UDB14_D1 0x4000643e +#define CYDEV_UWRK_UWRK8_B0_UDB15_D1 0x4000643f +#define CYDEV_UWRK_UWRK8_B0_UDB00_F0 0x40006440 +#define CYDEV_UWRK_UWRK8_B0_UDB01_F0 0x40006441 +#define CYDEV_UWRK_UWRK8_B0_UDB02_F0 0x40006442 +#define CYDEV_UWRK_UWRK8_B0_UDB03_F0 0x40006443 +#define CYDEV_UWRK_UWRK8_B0_UDB04_F0 0x40006444 +#define CYDEV_UWRK_UWRK8_B0_UDB05_F0 0x40006445 +#define CYDEV_UWRK_UWRK8_B0_UDB06_F0 0x40006446 +#define CYDEV_UWRK_UWRK8_B0_UDB07_F0 0x40006447 +#define CYDEV_UWRK_UWRK8_B0_UDB08_F0 0x40006448 +#define CYDEV_UWRK_UWRK8_B0_UDB09_F0 0x40006449 +#define CYDEV_UWRK_UWRK8_B0_UDB10_F0 0x4000644a +#define CYDEV_UWRK_UWRK8_B0_UDB11_F0 0x4000644b +#define CYDEV_UWRK_UWRK8_B0_UDB12_F0 0x4000644c +#define CYDEV_UWRK_UWRK8_B0_UDB13_F0 0x4000644d +#define CYDEV_UWRK_UWRK8_B0_UDB14_F0 0x4000644e +#define CYDEV_UWRK_UWRK8_B0_UDB15_F0 0x4000644f +#define CYDEV_UWRK_UWRK8_B0_UDB00_F1 0x40006450 +#define CYDEV_UWRK_UWRK8_B0_UDB01_F1 0x40006451 +#define CYDEV_UWRK_UWRK8_B0_UDB02_F1 0x40006452 +#define CYDEV_UWRK_UWRK8_B0_UDB03_F1 0x40006453 +#define CYDEV_UWRK_UWRK8_B0_UDB04_F1 0x40006454 +#define CYDEV_UWRK_UWRK8_B0_UDB05_F1 0x40006455 +#define CYDEV_UWRK_UWRK8_B0_UDB06_F1 0x40006456 +#define CYDEV_UWRK_UWRK8_B0_UDB07_F1 0x40006457 +#define CYDEV_UWRK_UWRK8_B0_UDB08_F1 0x40006458 +#define CYDEV_UWRK_UWRK8_B0_UDB09_F1 0x40006459 +#define CYDEV_UWRK_UWRK8_B0_UDB10_F1 0x4000645a +#define CYDEV_UWRK_UWRK8_B0_UDB11_F1 0x4000645b +#define CYDEV_UWRK_UWRK8_B0_UDB12_F1 0x4000645c +#define CYDEV_UWRK_UWRK8_B0_UDB13_F1 0x4000645d +#define CYDEV_UWRK_UWRK8_B0_UDB14_F1 0x4000645e +#define CYDEV_UWRK_UWRK8_B0_UDB15_F1 0x4000645f +#define CYDEV_UWRK_UWRK8_B0_UDB00_ST 0x40006460 +#define CYDEV_UWRK_UWRK8_B0_UDB01_ST 0x40006461 +#define CYDEV_UWRK_UWRK8_B0_UDB02_ST 0x40006462 +#define CYDEV_UWRK_UWRK8_B0_UDB03_ST 0x40006463 +#define CYDEV_UWRK_UWRK8_B0_UDB04_ST 0x40006464 +#define CYDEV_UWRK_UWRK8_B0_UDB05_ST 0x40006465 +#define CYDEV_UWRK_UWRK8_B0_UDB06_ST 0x40006466 +#define CYDEV_UWRK_UWRK8_B0_UDB07_ST 0x40006467 +#define CYDEV_UWRK_UWRK8_B0_UDB08_ST 0x40006468 +#define CYDEV_UWRK_UWRK8_B0_UDB09_ST 0x40006469 +#define CYDEV_UWRK_UWRK8_B0_UDB10_ST 0x4000646a +#define CYDEV_UWRK_UWRK8_B0_UDB11_ST 0x4000646b +#define CYDEV_UWRK_UWRK8_B0_UDB12_ST 0x4000646c +#define CYDEV_UWRK_UWRK8_B0_UDB13_ST 0x4000646d +#define CYDEV_UWRK_UWRK8_B0_UDB14_ST 0x4000646e +#define CYDEV_UWRK_UWRK8_B0_UDB15_ST 0x4000646f +#define CYDEV_UWRK_UWRK8_B0_UDB00_CTL 0x40006470 +#define CYDEV_UWRK_UWRK8_B0_UDB01_CTL 0x40006471 +#define CYDEV_UWRK_UWRK8_B0_UDB02_CTL 0x40006472 +#define CYDEV_UWRK_UWRK8_B0_UDB03_CTL 0x40006473 +#define CYDEV_UWRK_UWRK8_B0_UDB04_CTL 0x40006474 +#define CYDEV_UWRK_UWRK8_B0_UDB05_CTL 0x40006475 +#define CYDEV_UWRK_UWRK8_B0_UDB06_CTL 0x40006476 +#define CYDEV_UWRK_UWRK8_B0_UDB07_CTL 0x40006477 +#define CYDEV_UWRK_UWRK8_B0_UDB08_CTL 0x40006478 +#define CYDEV_UWRK_UWRK8_B0_UDB09_CTL 0x40006479 +#define CYDEV_UWRK_UWRK8_B0_UDB10_CTL 0x4000647a +#define CYDEV_UWRK_UWRK8_B0_UDB11_CTL 0x4000647b +#define CYDEV_UWRK_UWRK8_B0_UDB12_CTL 0x4000647c +#define CYDEV_UWRK_UWRK8_B0_UDB13_CTL 0x4000647d +#define CYDEV_UWRK_UWRK8_B0_UDB14_CTL 0x4000647e +#define CYDEV_UWRK_UWRK8_B0_UDB15_CTL 0x4000647f +#define CYDEV_UWRK_UWRK8_B0_UDB00_MSK 0x40006480 +#define CYDEV_UWRK_UWRK8_B0_UDB01_MSK 0x40006481 +#define CYDEV_UWRK_UWRK8_B0_UDB02_MSK 0x40006482 +#define CYDEV_UWRK_UWRK8_B0_UDB03_MSK 0x40006483 +#define CYDEV_UWRK_UWRK8_B0_UDB04_MSK 0x40006484 +#define CYDEV_UWRK_UWRK8_B0_UDB05_MSK 0x40006485 +#define CYDEV_UWRK_UWRK8_B0_UDB06_MSK 0x40006486 +#define CYDEV_UWRK_UWRK8_B0_UDB07_MSK 0x40006487 +#define CYDEV_UWRK_UWRK8_B0_UDB08_MSK 0x40006488 +#define CYDEV_UWRK_UWRK8_B0_UDB09_MSK 0x40006489 +#define CYDEV_UWRK_UWRK8_B0_UDB10_MSK 0x4000648a +#define CYDEV_UWRK_UWRK8_B0_UDB11_MSK 0x4000648b +#define CYDEV_UWRK_UWRK8_B0_UDB12_MSK 0x4000648c +#define CYDEV_UWRK_UWRK8_B0_UDB13_MSK 0x4000648d +#define CYDEV_UWRK_UWRK8_B0_UDB14_MSK 0x4000648e +#define CYDEV_UWRK_UWRK8_B0_UDB15_MSK 0x4000648f +#define CYDEV_UWRK_UWRK8_B0_UDB00_ACTL 0x40006490 +#define CYDEV_UWRK_UWRK8_B0_UDB01_ACTL 0x40006491 +#define CYDEV_UWRK_UWRK8_B0_UDB02_ACTL 0x40006492 +#define CYDEV_UWRK_UWRK8_B0_UDB03_ACTL 0x40006493 +#define CYDEV_UWRK_UWRK8_B0_UDB04_ACTL 0x40006494 +#define CYDEV_UWRK_UWRK8_B0_UDB05_ACTL 0x40006495 +#define CYDEV_UWRK_UWRK8_B0_UDB06_ACTL 0x40006496 +#define CYDEV_UWRK_UWRK8_B0_UDB07_ACTL 0x40006497 +#define CYDEV_UWRK_UWRK8_B0_UDB08_ACTL 0x40006498 +#define CYDEV_UWRK_UWRK8_B0_UDB09_ACTL 0x40006499 +#define CYDEV_UWRK_UWRK8_B0_UDB10_ACTL 0x4000649a +#define CYDEV_UWRK_UWRK8_B0_UDB11_ACTL 0x4000649b +#define CYDEV_UWRK_UWRK8_B0_UDB12_ACTL 0x4000649c +#define CYDEV_UWRK_UWRK8_B0_UDB13_ACTL 0x4000649d +#define CYDEV_UWRK_UWRK8_B0_UDB14_ACTL 0x4000649e +#define CYDEV_UWRK_UWRK8_B0_UDB15_ACTL 0x4000649f +#define CYDEV_UWRK_UWRK8_B0_UDB00_MC 0x400064a0 +#define CYDEV_UWRK_UWRK8_B0_UDB01_MC 0x400064a1 +#define CYDEV_UWRK_UWRK8_B0_UDB02_MC 0x400064a2 +#define CYDEV_UWRK_UWRK8_B0_UDB03_MC 0x400064a3 +#define CYDEV_UWRK_UWRK8_B0_UDB04_MC 0x400064a4 +#define CYDEV_UWRK_UWRK8_B0_UDB05_MC 0x400064a5 +#define CYDEV_UWRK_UWRK8_B0_UDB06_MC 0x400064a6 +#define CYDEV_UWRK_UWRK8_B0_UDB07_MC 0x400064a7 +#define CYDEV_UWRK_UWRK8_B0_UDB08_MC 0x400064a8 +#define CYDEV_UWRK_UWRK8_B0_UDB09_MC 0x400064a9 +#define CYDEV_UWRK_UWRK8_B0_UDB10_MC 0x400064aa +#define CYDEV_UWRK_UWRK8_B0_UDB11_MC 0x400064ab +#define CYDEV_UWRK_UWRK8_B0_UDB12_MC 0x400064ac +#define CYDEV_UWRK_UWRK8_B0_UDB13_MC 0x400064ad +#define CYDEV_UWRK_UWRK8_B0_UDB14_MC 0x400064ae +#define CYDEV_UWRK_UWRK8_B0_UDB15_MC 0x400064af +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500 +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0 +#define CYDEV_UWRK_UWRK8_B1_UDB04_A0 0x40006504 +#define CYDEV_UWRK_UWRK8_B1_UDB05_A0 0x40006505 +#define CYDEV_UWRK_UWRK8_B1_UDB06_A0 0x40006506 +#define CYDEV_UWRK_UWRK8_B1_UDB07_A0 0x40006507 +#define CYDEV_UWRK_UWRK8_B1_UDB08_A0 0x40006508 +#define CYDEV_UWRK_UWRK8_B1_UDB09_A0 0x40006509 +#define CYDEV_UWRK_UWRK8_B1_UDB10_A0 0x4000650a +#define CYDEV_UWRK_UWRK8_B1_UDB11_A0 0x4000650b +#define CYDEV_UWRK_UWRK8_B1_UDB04_A1 0x40006514 +#define CYDEV_UWRK_UWRK8_B1_UDB05_A1 0x40006515 +#define CYDEV_UWRK_UWRK8_B1_UDB06_A1 0x40006516 +#define CYDEV_UWRK_UWRK8_B1_UDB07_A1 0x40006517 +#define CYDEV_UWRK_UWRK8_B1_UDB08_A1 0x40006518 +#define CYDEV_UWRK_UWRK8_B1_UDB09_A1 0x40006519 +#define CYDEV_UWRK_UWRK8_B1_UDB10_A1 0x4000651a +#define CYDEV_UWRK_UWRK8_B1_UDB11_A1 0x4000651b +#define CYDEV_UWRK_UWRK8_B1_UDB04_D0 0x40006524 +#define CYDEV_UWRK_UWRK8_B1_UDB05_D0 0x40006525 +#define CYDEV_UWRK_UWRK8_B1_UDB06_D0 0x40006526 +#define CYDEV_UWRK_UWRK8_B1_UDB07_D0 0x40006527 +#define CYDEV_UWRK_UWRK8_B1_UDB08_D0 0x40006528 +#define CYDEV_UWRK_UWRK8_B1_UDB09_D0 0x40006529 +#define CYDEV_UWRK_UWRK8_B1_UDB10_D0 0x4000652a +#define CYDEV_UWRK_UWRK8_B1_UDB11_D0 0x4000652b +#define CYDEV_UWRK_UWRK8_B1_UDB04_D1 0x40006534 +#define CYDEV_UWRK_UWRK8_B1_UDB05_D1 0x40006535 +#define CYDEV_UWRK_UWRK8_B1_UDB06_D1 0x40006536 +#define CYDEV_UWRK_UWRK8_B1_UDB07_D1 0x40006537 +#define CYDEV_UWRK_UWRK8_B1_UDB08_D1 0x40006538 +#define CYDEV_UWRK_UWRK8_B1_UDB09_D1 0x40006539 +#define CYDEV_UWRK_UWRK8_B1_UDB10_D1 0x4000653a +#define CYDEV_UWRK_UWRK8_B1_UDB11_D1 0x4000653b +#define CYDEV_UWRK_UWRK8_B1_UDB04_F0 0x40006544 +#define CYDEV_UWRK_UWRK8_B1_UDB05_F0 0x40006545 +#define CYDEV_UWRK_UWRK8_B1_UDB06_F0 0x40006546 +#define CYDEV_UWRK_UWRK8_B1_UDB07_F0 0x40006547 +#define CYDEV_UWRK_UWRK8_B1_UDB08_F0 0x40006548 +#define CYDEV_UWRK_UWRK8_B1_UDB09_F0 0x40006549 +#define CYDEV_UWRK_UWRK8_B1_UDB10_F0 0x4000654a +#define CYDEV_UWRK_UWRK8_B1_UDB11_F0 0x4000654b +#define CYDEV_UWRK_UWRK8_B1_UDB04_F1 0x40006554 +#define CYDEV_UWRK_UWRK8_B1_UDB05_F1 0x40006555 +#define CYDEV_UWRK_UWRK8_B1_UDB06_F1 0x40006556 +#define CYDEV_UWRK_UWRK8_B1_UDB07_F1 0x40006557 +#define CYDEV_UWRK_UWRK8_B1_UDB08_F1 0x40006558 +#define CYDEV_UWRK_UWRK8_B1_UDB09_F1 0x40006559 +#define CYDEV_UWRK_UWRK8_B1_UDB10_F1 0x4000655a +#define CYDEV_UWRK_UWRK8_B1_UDB11_F1 0x4000655b +#define CYDEV_UWRK_UWRK8_B1_UDB04_ST 0x40006564 +#define CYDEV_UWRK_UWRK8_B1_UDB05_ST 0x40006565 +#define CYDEV_UWRK_UWRK8_B1_UDB06_ST 0x40006566 +#define CYDEV_UWRK_UWRK8_B1_UDB07_ST 0x40006567 +#define CYDEV_UWRK_UWRK8_B1_UDB08_ST 0x40006568 +#define CYDEV_UWRK_UWRK8_B1_UDB09_ST 0x40006569 +#define CYDEV_UWRK_UWRK8_B1_UDB10_ST 0x4000656a +#define CYDEV_UWRK_UWRK8_B1_UDB11_ST 0x4000656b +#define CYDEV_UWRK_UWRK8_B1_UDB04_CTL 0x40006574 +#define CYDEV_UWRK_UWRK8_B1_UDB05_CTL 0x40006575 +#define CYDEV_UWRK_UWRK8_B1_UDB06_CTL 0x40006576 +#define CYDEV_UWRK_UWRK8_B1_UDB07_CTL 0x40006577 +#define CYDEV_UWRK_UWRK8_B1_UDB08_CTL 0x40006578 +#define CYDEV_UWRK_UWRK8_B1_UDB09_CTL 0x40006579 +#define CYDEV_UWRK_UWRK8_B1_UDB10_CTL 0x4000657a +#define CYDEV_UWRK_UWRK8_B1_UDB11_CTL 0x4000657b +#define CYDEV_UWRK_UWRK8_B1_UDB04_MSK 0x40006584 +#define CYDEV_UWRK_UWRK8_B1_UDB05_MSK 0x40006585 +#define CYDEV_UWRK_UWRK8_B1_UDB06_MSK 0x40006586 +#define CYDEV_UWRK_UWRK8_B1_UDB07_MSK 0x40006587 +#define CYDEV_UWRK_UWRK8_B1_UDB08_MSK 0x40006588 +#define CYDEV_UWRK_UWRK8_B1_UDB09_MSK 0x40006589 +#define CYDEV_UWRK_UWRK8_B1_UDB10_MSK 0x4000658a +#define CYDEV_UWRK_UWRK8_B1_UDB11_MSK 0x4000658b +#define CYDEV_UWRK_UWRK8_B1_UDB04_ACTL 0x40006594 +#define CYDEV_UWRK_UWRK8_B1_UDB05_ACTL 0x40006595 +#define CYDEV_UWRK_UWRK8_B1_UDB06_ACTL 0x40006596 +#define CYDEV_UWRK_UWRK8_B1_UDB07_ACTL 0x40006597 +#define CYDEV_UWRK_UWRK8_B1_UDB08_ACTL 0x40006598 +#define CYDEV_UWRK_UWRK8_B1_UDB09_ACTL 0x40006599 +#define CYDEV_UWRK_UWRK8_B1_UDB10_ACTL 0x4000659a +#define CYDEV_UWRK_UWRK8_B1_UDB11_ACTL 0x4000659b +#define CYDEV_UWRK_UWRK8_B1_UDB04_MC 0x400065a4 +#define CYDEV_UWRK_UWRK8_B1_UDB05_MC 0x400065a5 +#define CYDEV_UWRK_UWRK8_B1_UDB06_MC 0x400065a6 +#define CYDEV_UWRK_UWRK8_B1_UDB07_MC 0x400065a7 +#define CYDEV_UWRK_UWRK8_B1_UDB08_MC 0x400065a8 +#define CYDEV_UWRK_UWRK8_B1_UDB09_MC 0x400065a9 +#define CYDEV_UWRK_UWRK8_B1_UDB10_MC 0x400065aa +#define CYDEV_UWRK_UWRK8_B1_UDB11_MC 0x400065ab +#define CYDEV_UWRK_UWRK16_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 0x40006802 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 0x40006804 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 0x40006806 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 0x40006808 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 0x4000680a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 0x4000680c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 0x4000680e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 0x40006810 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 0x40006812 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 0x40006814 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 0x40006816 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 0x40006818 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 0x4000681a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 0x4000681c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 0x4000681e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 0x40006840 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 0x40006842 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 0x40006844 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 0x40006846 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 0x40006848 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 0x4000684a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 0x4000684c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 0x4000684e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 0x40006850 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 0x40006852 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 0x40006854 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 0x40006856 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 0x40006858 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 0x4000685a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 0x4000685c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 0x4000685e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 0x40006880 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 0x40006882 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 0x40006884 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 0x40006886 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 0x40006888 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 0x4000688a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 0x4000688c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 0x4000688e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 0x40006890 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 0x40006892 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 0x40006894 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 0x40006896 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 0x40006898 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 0x4000689a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 0x4000689c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 0x4000689e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL 0x400068c0 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL 0x400068c2 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL 0x400068c4 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL 0x400068c6 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL 0x400068c8 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL 0x400068ca +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL 0x400068cc +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL 0x400068ce +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL 0x400068d0 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL 0x400068d2 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL 0x400068d4 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL 0x400068d6 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL 0x400068d8 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL 0x400068da +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL 0x400068dc +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL 0x400068de +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL 0x40006900 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL 0x40006902 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL 0x40006904 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL 0x40006906 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL 0x40006908 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL 0x4000690a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL 0x4000690c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL 0x4000690e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL 0x40006910 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL 0x40006912 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL 0x40006914 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL 0x40006916 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL 0x40006918 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL 0x4000691a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL 0x4000691c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL 0x4000691e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 0x40006940 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 0x40006942 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 0x40006944 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 0x40006946 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 0x40006948 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 0x4000694a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 0x4000694c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 0x4000694e +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 0x40006950 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 0x40006952 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 0x40006954 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 0x40006956 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 0x40006958 +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 0x4000695a +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 0x4000695c +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 0x4000695e +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 0x40006a08 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 0x40006a0a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 0x40006a0c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 0x40006a0e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 0x40006a10 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 0x40006a12 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 0x40006a14 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 0x40006a16 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 0x40006a48 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 0x40006a4a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 0x40006a4c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 0x40006a4e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 0x40006a50 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 0x40006a52 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 0x40006a54 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 0x40006a56 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 0x40006a88 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 0x40006a8a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 0x40006a8c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 0x40006a8e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 0x40006a90 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 0x40006a92 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 0x40006a94 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 0x40006a96 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL 0x40006ac8 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL 0x40006aca +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL 0x40006acc +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL 0x40006ace +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL 0x40006ad0 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL 0x40006ad2 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL 0x40006ad4 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL 0x40006ad6 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL 0x40006b08 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL 0x40006b0a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL 0x40006b0c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL 0x40006b0e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL 0x40006b10 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL 0x40006b12 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL 0x40006b14 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL 0x40006b16 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 0x40006b48 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 0x40006b4a +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 0x40006b4c +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 0x40006b4e +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 0x40006b50 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 0x40006b52 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 0x40006b54 +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 0x40006b56 +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075e +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 0x40006802 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 0x40006804 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 0x40006806 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 0x40006808 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 0x4000680a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 0x4000680c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 0x4000680e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 0x40006810 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 0x40006812 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 0x40006814 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 0x40006816 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 0x40006818 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 0x4000681a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 0x4000681c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 0x40006820 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 0x40006822 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 0x40006824 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 0x40006826 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 0x40006828 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 0x4000682a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 0x4000682c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 0x4000682e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 0x40006830 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 0x40006832 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 0x40006834 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 0x40006836 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 0x40006838 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 0x4000683a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 0x4000683c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 0x40006840 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 0x40006842 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 0x40006844 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 0x40006846 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 0x40006848 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 0x4000684a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 0x4000684c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 0x4000684e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 0x40006850 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 0x40006852 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 0x40006854 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 0x40006856 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 0x40006858 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 0x4000685a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 0x4000685c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 0x40006860 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 0x40006862 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 0x40006864 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 0x40006866 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 0x40006868 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 0x4000686a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 0x4000686c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 0x4000686e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 0x40006870 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 0x40006872 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 0x40006874 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 0x40006876 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 0x40006878 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 0x4000687a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 0x4000687c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 0x40006880 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 0x40006882 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 0x40006884 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 0x40006886 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 0x40006888 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 0x4000688a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 0x4000688c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 0x4000688e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 0x40006890 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 0x40006892 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 0x40006894 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 0x40006896 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 0x40006898 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 0x4000689a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 0x4000689c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 0x400068a0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 0x400068a2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 0x400068a4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 0x400068a6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 0x400068a8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 0x400068aa +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 0x400068ac +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 0x400068ae +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 0x400068b0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 0x400068b2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 0x400068b4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 0x400068b6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 0x400068b8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 0x400068ba +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 0x400068bc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST 0x400068c0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST 0x400068c2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST 0x400068c4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST 0x400068c6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST 0x400068c8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST 0x400068ca +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST 0x400068cc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST 0x400068ce +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST 0x400068d0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST 0x400068d2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST 0x400068d4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST 0x400068d6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST 0x400068d8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST 0x400068da +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST 0x400068dc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL 0x400068e0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL 0x400068e2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL 0x400068e4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL 0x400068e6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL 0x400068e8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL 0x400068ea +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL 0x400068ec +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL 0x400068ee +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL 0x400068f0 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL 0x400068f2 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL 0x400068f4 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL 0x400068f6 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL 0x400068f8 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL 0x400068fa +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL 0x400068fc +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK 0x40006900 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK 0x40006902 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK 0x40006904 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK 0x40006906 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK 0x40006908 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK 0x4000690a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK 0x4000690c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK 0x4000690e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK 0x40006910 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK 0x40006912 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK 0x40006914 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK 0x40006916 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK 0x40006918 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK 0x4000691a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK 0x4000691c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL 0x40006920 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL 0x40006922 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL 0x40006924 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL 0x40006926 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL 0x40006928 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL 0x4000692a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL 0x4000692c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL 0x4000692e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL 0x40006930 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL 0x40006932 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL 0x40006934 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL 0x40006936 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL 0x40006938 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL 0x4000693a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL 0x4000693c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC 0x40006940 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC 0x40006942 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC 0x40006944 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC 0x40006946 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC 0x40006948 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC 0x4000694a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC 0x4000694c +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC 0x4000694e +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC 0x40006950 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC 0x40006952 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC 0x40006954 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC 0x40006956 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC 0x40006958 +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC 0x4000695a +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC 0x4000695c +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 0x40006a08 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 0x40006a0a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 0x40006a0c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 0x40006a0e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 0x40006a10 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 0x40006a12 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 0x40006a14 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 0x40006a16 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 0x40006a28 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 0x40006a2a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 0x40006a2c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 0x40006a2e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 0x40006a30 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 0x40006a32 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 0x40006a34 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 0x40006a36 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 0x40006a48 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 0x40006a4a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 0x40006a4c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 0x40006a4e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 0x40006a50 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 0x40006a52 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 0x40006a54 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 0x40006a56 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 0x40006a68 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 0x40006a6a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 0x40006a6c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 0x40006a6e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 0x40006a70 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 0x40006a72 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 0x40006a74 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 0x40006a76 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 0x40006a88 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 0x40006a8a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 0x40006a8c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 0x40006a8e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 0x40006a90 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 0x40006a92 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 0x40006a94 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 0x40006a96 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 0x40006aa8 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 0x40006aaa +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 0x40006aac +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 0x40006aae +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 0x40006ab0 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 0x40006ab2 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 0x40006ab4 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 0x40006ab6 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST 0x40006ac8 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST 0x40006aca +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST 0x40006acc +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST 0x40006ace +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST 0x40006ad0 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST 0x40006ad2 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST 0x40006ad4 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST 0x40006ad6 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL 0x40006ae8 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL 0x40006aea +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL 0x40006aec +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL 0x40006aee +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL 0x40006af0 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL 0x40006af2 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL 0x40006af4 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL 0x40006af6 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK 0x40006b08 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK 0x40006b0a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK 0x40006b0c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK 0x40006b0e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK 0x40006b10 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK 0x40006b12 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK 0x40006b14 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK 0x40006b16 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL 0x40006b28 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL 0x40006b2a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL 0x40006b2c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL 0x40006b2e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL 0x40006b30 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL 0x40006b32 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL 0x40006b34 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL 0x40006b36 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC 0x40006b48 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC 0x40006b4a +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC 0x40006b4c +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC 0x40006b4e +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC 0x40006b50 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC 0x40006b52 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC 0x40006b54 +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC 0x40006b56 +#define CYDEV_PHUB_BASE 0x40007000 +#define CYDEV_PHUB_SIZE 0x00000c00 +#define CYDEV_PHUB_CFG 0x40007000 +#define CYDEV_PHUB_ERR 0x40007004 +#define CYDEV_PHUB_ERR_ADR 0x40007008 +#define CYDEV_PHUB_CH0_BASE 0x40007010 +#define CYDEV_PHUB_CH0_SIZE 0x0000000c +#define CYDEV_PHUB_CH0_BASIC_CFG 0x40007010 +#define CYDEV_PHUB_CH0_ACTION 0x40007014 +#define CYDEV_PHUB_CH0_BASIC_STATUS 0x40007018 +#define CYDEV_PHUB_CH1_BASE 0x40007020 +#define CYDEV_PHUB_CH1_SIZE 0x0000000c +#define CYDEV_PHUB_CH1_BASIC_CFG 0x40007020 +#define CYDEV_PHUB_CH1_ACTION 0x40007024 +#define CYDEV_PHUB_CH1_BASIC_STATUS 0x40007028 +#define CYDEV_PHUB_CH2_BASE 0x40007030 +#define CYDEV_PHUB_CH2_SIZE 0x0000000c +#define CYDEV_PHUB_CH2_BASIC_CFG 0x40007030 +#define CYDEV_PHUB_CH2_ACTION 0x40007034 +#define CYDEV_PHUB_CH2_BASIC_STATUS 0x40007038 +#define CYDEV_PHUB_CH3_BASE 0x40007040 +#define CYDEV_PHUB_CH3_SIZE 0x0000000c +#define CYDEV_PHUB_CH3_BASIC_CFG 0x40007040 +#define CYDEV_PHUB_CH3_ACTION 0x40007044 +#define CYDEV_PHUB_CH3_BASIC_STATUS 0x40007048 +#define CYDEV_PHUB_CH4_BASE 0x40007050 +#define CYDEV_PHUB_CH4_SIZE 0x0000000c +#define CYDEV_PHUB_CH4_BASIC_CFG 0x40007050 +#define CYDEV_PHUB_CH4_ACTION 0x40007054 +#define CYDEV_PHUB_CH4_BASIC_STATUS 0x40007058 +#define CYDEV_PHUB_CH5_BASE 0x40007060 +#define CYDEV_PHUB_CH5_SIZE 0x0000000c +#define CYDEV_PHUB_CH5_BASIC_CFG 0x40007060 +#define CYDEV_PHUB_CH5_ACTION 0x40007064 +#define CYDEV_PHUB_CH5_BASIC_STATUS 0x40007068 +#define CYDEV_PHUB_CH6_BASE 0x40007070 +#define CYDEV_PHUB_CH6_SIZE 0x0000000c +#define CYDEV_PHUB_CH6_BASIC_CFG 0x40007070 +#define CYDEV_PHUB_CH6_ACTION 0x40007074 +#define CYDEV_PHUB_CH6_BASIC_STATUS 0x40007078 +#define CYDEV_PHUB_CH7_BASE 0x40007080 +#define CYDEV_PHUB_CH7_SIZE 0x0000000c +#define CYDEV_PHUB_CH7_BASIC_CFG 0x40007080 +#define CYDEV_PHUB_CH7_ACTION 0x40007084 +#define CYDEV_PHUB_CH7_BASIC_STATUS 0x40007088 +#define CYDEV_PHUB_CH8_BASE 0x40007090 +#define CYDEV_PHUB_CH8_SIZE 0x0000000c +#define CYDEV_PHUB_CH8_BASIC_CFG 0x40007090 +#define CYDEV_PHUB_CH8_ACTION 0x40007094 +#define CYDEV_PHUB_CH8_BASIC_STATUS 0x40007098 +#define CYDEV_PHUB_CH9_BASE 0x400070a0 +#define CYDEV_PHUB_CH9_SIZE 0x0000000c +#define CYDEV_PHUB_CH9_BASIC_CFG 0x400070a0 +#define CYDEV_PHUB_CH9_ACTION 0x400070a4 +#define CYDEV_PHUB_CH9_BASIC_STATUS 0x400070a8 +#define CYDEV_PHUB_CH10_BASE 0x400070b0 +#define CYDEV_PHUB_CH10_SIZE 0x0000000c +#define CYDEV_PHUB_CH10_BASIC_CFG 0x400070b0 +#define CYDEV_PHUB_CH10_ACTION 0x400070b4 +#define CYDEV_PHUB_CH10_BASIC_STATUS 0x400070b8 +#define CYDEV_PHUB_CH11_BASE 0x400070c0 +#define CYDEV_PHUB_CH11_SIZE 0x0000000c +#define CYDEV_PHUB_CH11_BASIC_CFG 0x400070c0 +#define CYDEV_PHUB_CH11_ACTION 0x400070c4 +#define CYDEV_PHUB_CH11_BASIC_STATUS 0x400070c8 +#define CYDEV_PHUB_CH12_BASE 0x400070d0 +#define CYDEV_PHUB_CH12_SIZE 0x0000000c +#define CYDEV_PHUB_CH12_BASIC_CFG 0x400070d0 +#define CYDEV_PHUB_CH12_ACTION 0x400070d4 +#define CYDEV_PHUB_CH12_BASIC_STATUS 0x400070d8 +#define CYDEV_PHUB_CH13_BASE 0x400070e0 +#define CYDEV_PHUB_CH13_SIZE 0x0000000c +#define CYDEV_PHUB_CH13_BASIC_CFG 0x400070e0 +#define CYDEV_PHUB_CH13_ACTION 0x400070e4 +#define CYDEV_PHUB_CH13_BASIC_STATUS 0x400070e8 +#define CYDEV_PHUB_CH14_BASE 0x400070f0 +#define CYDEV_PHUB_CH14_SIZE 0x0000000c +#define CYDEV_PHUB_CH14_BASIC_CFG 0x400070f0 +#define CYDEV_PHUB_CH14_ACTION 0x400070f4 +#define CYDEV_PHUB_CH14_BASIC_STATUS 0x400070f8 +#define CYDEV_PHUB_CH15_BASE 0x40007100 +#define CYDEV_PHUB_CH15_SIZE 0x0000000c +#define CYDEV_PHUB_CH15_BASIC_CFG 0x40007100 +#define CYDEV_PHUB_CH15_ACTION 0x40007104 +#define CYDEV_PHUB_CH15_BASIC_STATUS 0x40007108 +#define CYDEV_PHUB_CH16_BASE 0x40007110 +#define CYDEV_PHUB_CH16_SIZE 0x0000000c +#define CYDEV_PHUB_CH16_BASIC_CFG 0x40007110 +#define CYDEV_PHUB_CH16_ACTION 0x40007114 +#define CYDEV_PHUB_CH16_BASIC_STATUS 0x40007118 +#define CYDEV_PHUB_CH17_BASE 0x40007120 +#define CYDEV_PHUB_CH17_SIZE 0x0000000c +#define CYDEV_PHUB_CH17_BASIC_CFG 0x40007120 +#define CYDEV_PHUB_CH17_ACTION 0x40007124 +#define CYDEV_PHUB_CH17_BASIC_STATUS 0x40007128 +#define CYDEV_PHUB_CH18_BASE 0x40007130 +#define CYDEV_PHUB_CH18_SIZE 0x0000000c +#define CYDEV_PHUB_CH18_BASIC_CFG 0x40007130 +#define CYDEV_PHUB_CH18_ACTION 0x40007134 +#define CYDEV_PHUB_CH18_BASIC_STATUS 0x40007138 +#define CYDEV_PHUB_CH19_BASE 0x40007140 +#define CYDEV_PHUB_CH19_SIZE 0x0000000c +#define CYDEV_PHUB_CH19_BASIC_CFG 0x40007140 +#define CYDEV_PHUB_CH19_ACTION 0x40007144 +#define CYDEV_PHUB_CH19_BASIC_STATUS 0x40007148 +#define CYDEV_PHUB_CH20_BASE 0x40007150 +#define CYDEV_PHUB_CH20_SIZE 0x0000000c +#define CYDEV_PHUB_CH20_BASIC_CFG 0x40007150 +#define CYDEV_PHUB_CH20_ACTION 0x40007154 +#define CYDEV_PHUB_CH20_BASIC_STATUS 0x40007158 +#define CYDEV_PHUB_CH21_BASE 0x40007160 +#define CYDEV_PHUB_CH21_SIZE 0x0000000c +#define CYDEV_PHUB_CH21_BASIC_CFG 0x40007160 +#define CYDEV_PHUB_CH21_ACTION 0x40007164 +#define CYDEV_PHUB_CH21_BASIC_STATUS 0x40007168 +#define CYDEV_PHUB_CH22_BASE 0x40007170 +#define CYDEV_PHUB_CH22_SIZE 0x0000000c +#define CYDEV_PHUB_CH22_BASIC_CFG 0x40007170 +#define CYDEV_PHUB_CH22_ACTION 0x40007174 +#define CYDEV_PHUB_CH22_BASIC_STATUS 0x40007178 +#define CYDEV_PHUB_CH23_BASE 0x40007180 +#define CYDEV_PHUB_CH23_SIZE 0x0000000c +#define CYDEV_PHUB_CH23_BASIC_CFG 0x40007180 +#define CYDEV_PHUB_CH23_ACTION 0x40007184 +#define CYDEV_PHUB_CH23_BASIC_STATUS 0x40007188 +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600 +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM0_CFG0 0x40007600 +#define CYDEV_PHUB_CFGMEM0_CFG1 0x40007604 +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608 +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM1_CFG0 0x40007608 +#define CYDEV_PHUB_CFGMEM1_CFG1 0x4000760c +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610 +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM2_CFG0 0x40007610 +#define CYDEV_PHUB_CFGMEM2_CFG1 0x40007614 +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618 +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM3_CFG0 0x40007618 +#define CYDEV_PHUB_CFGMEM3_CFG1 0x4000761c +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620 +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM4_CFG0 0x40007620 +#define CYDEV_PHUB_CFGMEM4_CFG1 0x40007624 +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628 +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM5_CFG0 0x40007628 +#define CYDEV_PHUB_CFGMEM5_CFG1 0x4000762c +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630 +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM6_CFG0 0x40007630 +#define CYDEV_PHUB_CFGMEM6_CFG1 0x40007634 +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638 +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM7_CFG0 0x40007638 +#define CYDEV_PHUB_CFGMEM7_CFG1 0x4000763c +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640 +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM8_CFG0 0x40007640 +#define CYDEV_PHUB_CFGMEM8_CFG1 0x40007644 +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648 +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM9_CFG0 0x40007648 +#define CYDEV_PHUB_CFGMEM9_CFG1 0x4000764c +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650 +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM10_CFG0 0x40007650 +#define CYDEV_PHUB_CFGMEM10_CFG1 0x40007654 +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658 +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM11_CFG0 0x40007658 +#define CYDEV_PHUB_CFGMEM11_CFG1 0x4000765c +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660 +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM12_CFG0 0x40007660 +#define CYDEV_PHUB_CFGMEM12_CFG1 0x40007664 +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668 +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM13_CFG0 0x40007668 +#define CYDEV_PHUB_CFGMEM13_CFG1 0x4000766c +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670 +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM14_CFG0 0x40007670 +#define CYDEV_PHUB_CFGMEM14_CFG1 0x40007674 +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678 +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM15_CFG0 0x40007678 +#define CYDEV_PHUB_CFGMEM15_CFG1 0x4000767c +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680 +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM16_CFG0 0x40007680 +#define CYDEV_PHUB_CFGMEM16_CFG1 0x40007684 +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688 +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM17_CFG0 0x40007688 +#define CYDEV_PHUB_CFGMEM17_CFG1 0x4000768c +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690 +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM18_CFG0 0x40007690 +#define CYDEV_PHUB_CFGMEM18_CFG1 0x40007694 +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698 +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM19_CFG0 0x40007698 +#define CYDEV_PHUB_CFGMEM19_CFG1 0x4000769c +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0 +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM20_CFG0 0x400076a0 +#define CYDEV_PHUB_CFGMEM20_CFG1 0x400076a4 +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8 +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM21_CFG0 0x400076a8 +#define CYDEV_PHUB_CFGMEM21_CFG1 0x400076ac +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0 +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM22_CFG0 0x400076b0 +#define CYDEV_PHUB_CFGMEM22_CFG1 0x400076b4 +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8 +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008 +#define CYDEV_PHUB_CFGMEM23_CFG0 0x400076b8 +#define CYDEV_PHUB_CFGMEM23_CFG1 0x400076bc +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800 +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM0_ORIG_TD0 0x40007800 +#define CYDEV_PHUB_TDMEM0_ORIG_TD1 0x40007804 +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808 +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM1_ORIG_TD0 0x40007808 +#define CYDEV_PHUB_TDMEM1_ORIG_TD1 0x4000780c +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810 +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM2_ORIG_TD0 0x40007810 +#define CYDEV_PHUB_TDMEM2_ORIG_TD1 0x40007814 +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818 +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM3_ORIG_TD0 0x40007818 +#define CYDEV_PHUB_TDMEM3_ORIG_TD1 0x4000781c +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820 +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM4_ORIG_TD0 0x40007820 +#define CYDEV_PHUB_TDMEM4_ORIG_TD1 0x40007824 +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828 +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM5_ORIG_TD0 0x40007828 +#define CYDEV_PHUB_TDMEM5_ORIG_TD1 0x4000782c +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830 +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM6_ORIG_TD0 0x40007830 +#define CYDEV_PHUB_TDMEM6_ORIG_TD1 0x40007834 +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838 +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM7_ORIG_TD0 0x40007838 +#define CYDEV_PHUB_TDMEM7_ORIG_TD1 0x4000783c +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840 +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM8_ORIG_TD0 0x40007840 +#define CYDEV_PHUB_TDMEM8_ORIG_TD1 0x40007844 +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848 +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM9_ORIG_TD0 0x40007848 +#define CYDEV_PHUB_TDMEM9_ORIG_TD1 0x4000784c +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850 +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM10_ORIG_TD0 0x40007850 +#define CYDEV_PHUB_TDMEM10_ORIG_TD1 0x40007854 +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858 +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM11_ORIG_TD0 0x40007858 +#define CYDEV_PHUB_TDMEM11_ORIG_TD1 0x4000785c +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860 +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM12_ORIG_TD0 0x40007860 +#define CYDEV_PHUB_TDMEM12_ORIG_TD1 0x40007864 +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868 +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM13_ORIG_TD0 0x40007868 +#define CYDEV_PHUB_TDMEM13_ORIG_TD1 0x4000786c +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870 +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM14_ORIG_TD0 0x40007870 +#define CYDEV_PHUB_TDMEM14_ORIG_TD1 0x40007874 +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878 +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM15_ORIG_TD0 0x40007878 +#define CYDEV_PHUB_TDMEM15_ORIG_TD1 0x4000787c +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880 +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM16_ORIG_TD0 0x40007880 +#define CYDEV_PHUB_TDMEM16_ORIG_TD1 0x40007884 +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888 +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM17_ORIG_TD0 0x40007888 +#define CYDEV_PHUB_TDMEM17_ORIG_TD1 0x4000788c +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890 +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM18_ORIG_TD0 0x40007890 +#define CYDEV_PHUB_TDMEM18_ORIG_TD1 0x40007894 +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898 +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM19_ORIG_TD0 0x40007898 +#define CYDEV_PHUB_TDMEM19_ORIG_TD1 0x4000789c +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0 +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM20_ORIG_TD0 0x400078a0 +#define CYDEV_PHUB_TDMEM20_ORIG_TD1 0x400078a4 +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8 +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM21_ORIG_TD0 0x400078a8 +#define CYDEV_PHUB_TDMEM21_ORIG_TD1 0x400078ac +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0 +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM22_ORIG_TD0 0x400078b0 +#define CYDEV_PHUB_TDMEM22_ORIG_TD1 0x400078b4 +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8 +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM23_ORIG_TD0 0x400078b8 +#define CYDEV_PHUB_TDMEM23_ORIG_TD1 0x400078bc +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0 +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM24_ORIG_TD0 0x400078c0 +#define CYDEV_PHUB_TDMEM24_ORIG_TD1 0x400078c4 +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8 +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM25_ORIG_TD0 0x400078c8 +#define CYDEV_PHUB_TDMEM25_ORIG_TD1 0x400078cc +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0 +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM26_ORIG_TD0 0x400078d0 +#define CYDEV_PHUB_TDMEM26_ORIG_TD1 0x400078d4 +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8 +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM27_ORIG_TD0 0x400078d8 +#define CYDEV_PHUB_TDMEM27_ORIG_TD1 0x400078dc +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0 +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM28_ORIG_TD0 0x400078e0 +#define CYDEV_PHUB_TDMEM28_ORIG_TD1 0x400078e4 +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8 +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM29_ORIG_TD0 0x400078e8 +#define CYDEV_PHUB_TDMEM29_ORIG_TD1 0x400078ec +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0 +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM30_ORIG_TD0 0x400078f0 +#define CYDEV_PHUB_TDMEM30_ORIG_TD1 0x400078f4 +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8 +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM31_ORIG_TD0 0x400078f8 +#define CYDEV_PHUB_TDMEM31_ORIG_TD1 0x400078fc +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900 +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM32_ORIG_TD0 0x40007900 +#define CYDEV_PHUB_TDMEM32_ORIG_TD1 0x40007904 +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908 +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM33_ORIG_TD0 0x40007908 +#define CYDEV_PHUB_TDMEM33_ORIG_TD1 0x4000790c +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910 +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM34_ORIG_TD0 0x40007910 +#define CYDEV_PHUB_TDMEM34_ORIG_TD1 0x40007914 +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918 +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM35_ORIG_TD0 0x40007918 +#define CYDEV_PHUB_TDMEM35_ORIG_TD1 0x4000791c +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920 +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM36_ORIG_TD0 0x40007920 +#define CYDEV_PHUB_TDMEM36_ORIG_TD1 0x40007924 +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928 +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM37_ORIG_TD0 0x40007928 +#define CYDEV_PHUB_TDMEM37_ORIG_TD1 0x4000792c +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930 +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM38_ORIG_TD0 0x40007930 +#define CYDEV_PHUB_TDMEM38_ORIG_TD1 0x40007934 +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938 +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM39_ORIG_TD0 0x40007938 +#define CYDEV_PHUB_TDMEM39_ORIG_TD1 0x4000793c +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940 +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM40_ORIG_TD0 0x40007940 +#define CYDEV_PHUB_TDMEM40_ORIG_TD1 0x40007944 +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948 +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM41_ORIG_TD0 0x40007948 +#define CYDEV_PHUB_TDMEM41_ORIG_TD1 0x4000794c +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950 +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM42_ORIG_TD0 0x40007950 +#define CYDEV_PHUB_TDMEM42_ORIG_TD1 0x40007954 +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958 +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM43_ORIG_TD0 0x40007958 +#define CYDEV_PHUB_TDMEM43_ORIG_TD1 0x4000795c +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960 +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM44_ORIG_TD0 0x40007960 +#define CYDEV_PHUB_TDMEM44_ORIG_TD1 0x40007964 +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968 +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM45_ORIG_TD0 0x40007968 +#define CYDEV_PHUB_TDMEM45_ORIG_TD1 0x4000796c +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970 +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM46_ORIG_TD0 0x40007970 +#define CYDEV_PHUB_TDMEM46_ORIG_TD1 0x40007974 +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978 +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM47_ORIG_TD0 0x40007978 +#define CYDEV_PHUB_TDMEM47_ORIG_TD1 0x4000797c +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980 +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM48_ORIG_TD0 0x40007980 +#define CYDEV_PHUB_TDMEM48_ORIG_TD1 0x40007984 +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988 +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM49_ORIG_TD0 0x40007988 +#define CYDEV_PHUB_TDMEM49_ORIG_TD1 0x4000798c +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990 +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM50_ORIG_TD0 0x40007990 +#define CYDEV_PHUB_TDMEM50_ORIG_TD1 0x40007994 +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998 +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM51_ORIG_TD0 0x40007998 +#define CYDEV_PHUB_TDMEM51_ORIG_TD1 0x4000799c +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0 +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM52_ORIG_TD0 0x400079a0 +#define CYDEV_PHUB_TDMEM52_ORIG_TD1 0x400079a4 +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8 +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM53_ORIG_TD0 0x400079a8 +#define CYDEV_PHUB_TDMEM53_ORIG_TD1 0x400079ac +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0 +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM54_ORIG_TD0 0x400079b0 +#define CYDEV_PHUB_TDMEM54_ORIG_TD1 0x400079b4 +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8 +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM55_ORIG_TD0 0x400079b8 +#define CYDEV_PHUB_TDMEM55_ORIG_TD1 0x400079bc +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0 +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM56_ORIG_TD0 0x400079c0 +#define CYDEV_PHUB_TDMEM56_ORIG_TD1 0x400079c4 +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8 +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM57_ORIG_TD0 0x400079c8 +#define CYDEV_PHUB_TDMEM57_ORIG_TD1 0x400079cc +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0 +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM58_ORIG_TD0 0x400079d0 +#define CYDEV_PHUB_TDMEM58_ORIG_TD1 0x400079d4 +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8 +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM59_ORIG_TD0 0x400079d8 +#define CYDEV_PHUB_TDMEM59_ORIG_TD1 0x400079dc +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0 +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM60_ORIG_TD0 0x400079e0 +#define CYDEV_PHUB_TDMEM60_ORIG_TD1 0x400079e4 +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8 +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM61_ORIG_TD0 0x400079e8 +#define CYDEV_PHUB_TDMEM61_ORIG_TD1 0x400079ec +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0 +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM62_ORIG_TD0 0x400079f0 +#define CYDEV_PHUB_TDMEM62_ORIG_TD1 0x400079f4 +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8 +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM63_ORIG_TD0 0x400079f8 +#define CYDEV_PHUB_TDMEM63_ORIG_TD1 0x400079fc +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00 +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM64_ORIG_TD0 0x40007a00 +#define CYDEV_PHUB_TDMEM64_ORIG_TD1 0x40007a04 +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08 +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM65_ORIG_TD0 0x40007a08 +#define CYDEV_PHUB_TDMEM65_ORIG_TD1 0x40007a0c +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10 +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM66_ORIG_TD0 0x40007a10 +#define CYDEV_PHUB_TDMEM66_ORIG_TD1 0x40007a14 +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18 +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM67_ORIG_TD0 0x40007a18 +#define CYDEV_PHUB_TDMEM67_ORIG_TD1 0x40007a1c +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20 +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM68_ORIG_TD0 0x40007a20 +#define CYDEV_PHUB_TDMEM68_ORIG_TD1 0x40007a24 +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28 +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM69_ORIG_TD0 0x40007a28 +#define CYDEV_PHUB_TDMEM69_ORIG_TD1 0x40007a2c +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30 +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM70_ORIG_TD0 0x40007a30 +#define CYDEV_PHUB_TDMEM70_ORIG_TD1 0x40007a34 +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38 +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM71_ORIG_TD0 0x40007a38 +#define CYDEV_PHUB_TDMEM71_ORIG_TD1 0x40007a3c +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40 +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM72_ORIG_TD0 0x40007a40 +#define CYDEV_PHUB_TDMEM72_ORIG_TD1 0x40007a44 +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48 +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM73_ORIG_TD0 0x40007a48 +#define CYDEV_PHUB_TDMEM73_ORIG_TD1 0x40007a4c +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50 +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM74_ORIG_TD0 0x40007a50 +#define CYDEV_PHUB_TDMEM74_ORIG_TD1 0x40007a54 +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58 +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM75_ORIG_TD0 0x40007a58 +#define CYDEV_PHUB_TDMEM75_ORIG_TD1 0x40007a5c +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60 +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM76_ORIG_TD0 0x40007a60 +#define CYDEV_PHUB_TDMEM76_ORIG_TD1 0x40007a64 +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68 +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM77_ORIG_TD0 0x40007a68 +#define CYDEV_PHUB_TDMEM77_ORIG_TD1 0x40007a6c +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70 +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM78_ORIG_TD0 0x40007a70 +#define CYDEV_PHUB_TDMEM78_ORIG_TD1 0x40007a74 +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78 +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM79_ORIG_TD0 0x40007a78 +#define CYDEV_PHUB_TDMEM79_ORIG_TD1 0x40007a7c +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80 +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM80_ORIG_TD0 0x40007a80 +#define CYDEV_PHUB_TDMEM80_ORIG_TD1 0x40007a84 +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88 +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM81_ORIG_TD0 0x40007a88 +#define CYDEV_PHUB_TDMEM81_ORIG_TD1 0x40007a8c +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90 +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM82_ORIG_TD0 0x40007a90 +#define CYDEV_PHUB_TDMEM82_ORIG_TD1 0x40007a94 +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98 +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM83_ORIG_TD0 0x40007a98 +#define CYDEV_PHUB_TDMEM83_ORIG_TD1 0x40007a9c +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0 +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM84_ORIG_TD0 0x40007aa0 +#define CYDEV_PHUB_TDMEM84_ORIG_TD1 0x40007aa4 +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8 +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM85_ORIG_TD0 0x40007aa8 +#define CYDEV_PHUB_TDMEM85_ORIG_TD1 0x40007aac +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0 +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM86_ORIG_TD0 0x40007ab0 +#define CYDEV_PHUB_TDMEM86_ORIG_TD1 0x40007ab4 +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8 +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM87_ORIG_TD0 0x40007ab8 +#define CYDEV_PHUB_TDMEM87_ORIG_TD1 0x40007abc +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0 +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM88_ORIG_TD0 0x40007ac0 +#define CYDEV_PHUB_TDMEM88_ORIG_TD1 0x40007ac4 +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8 +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM89_ORIG_TD0 0x40007ac8 +#define CYDEV_PHUB_TDMEM89_ORIG_TD1 0x40007acc +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0 +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM90_ORIG_TD0 0x40007ad0 +#define CYDEV_PHUB_TDMEM90_ORIG_TD1 0x40007ad4 +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8 +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM91_ORIG_TD0 0x40007ad8 +#define CYDEV_PHUB_TDMEM91_ORIG_TD1 0x40007adc +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0 +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM92_ORIG_TD0 0x40007ae0 +#define CYDEV_PHUB_TDMEM92_ORIG_TD1 0x40007ae4 +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8 +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM93_ORIG_TD0 0x40007ae8 +#define CYDEV_PHUB_TDMEM93_ORIG_TD1 0x40007aec +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0 +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM94_ORIG_TD0 0x40007af0 +#define CYDEV_PHUB_TDMEM94_ORIG_TD1 0x40007af4 +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8 +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM95_ORIG_TD0 0x40007af8 +#define CYDEV_PHUB_TDMEM95_ORIG_TD1 0x40007afc +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00 +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM96_ORIG_TD0 0x40007b00 +#define CYDEV_PHUB_TDMEM96_ORIG_TD1 0x40007b04 +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08 +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM97_ORIG_TD0 0x40007b08 +#define CYDEV_PHUB_TDMEM97_ORIG_TD1 0x40007b0c +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10 +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM98_ORIG_TD0 0x40007b10 +#define CYDEV_PHUB_TDMEM98_ORIG_TD1 0x40007b14 +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18 +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM99_ORIG_TD0 0x40007b18 +#define CYDEV_PHUB_TDMEM99_ORIG_TD1 0x40007b1c +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20 +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM100_ORIG_TD0 0x40007b20 +#define CYDEV_PHUB_TDMEM100_ORIG_TD1 0x40007b24 +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28 +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM101_ORIG_TD0 0x40007b28 +#define CYDEV_PHUB_TDMEM101_ORIG_TD1 0x40007b2c +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30 +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM102_ORIG_TD0 0x40007b30 +#define CYDEV_PHUB_TDMEM102_ORIG_TD1 0x40007b34 +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38 +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM103_ORIG_TD0 0x40007b38 +#define CYDEV_PHUB_TDMEM103_ORIG_TD1 0x40007b3c +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40 +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM104_ORIG_TD0 0x40007b40 +#define CYDEV_PHUB_TDMEM104_ORIG_TD1 0x40007b44 +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48 +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM105_ORIG_TD0 0x40007b48 +#define CYDEV_PHUB_TDMEM105_ORIG_TD1 0x40007b4c +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50 +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM106_ORIG_TD0 0x40007b50 +#define CYDEV_PHUB_TDMEM106_ORIG_TD1 0x40007b54 +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58 +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM107_ORIG_TD0 0x40007b58 +#define CYDEV_PHUB_TDMEM107_ORIG_TD1 0x40007b5c +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60 +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM108_ORIG_TD0 0x40007b60 +#define CYDEV_PHUB_TDMEM108_ORIG_TD1 0x40007b64 +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68 +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM109_ORIG_TD0 0x40007b68 +#define CYDEV_PHUB_TDMEM109_ORIG_TD1 0x40007b6c +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70 +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM110_ORIG_TD0 0x40007b70 +#define CYDEV_PHUB_TDMEM110_ORIG_TD1 0x40007b74 +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78 +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM111_ORIG_TD0 0x40007b78 +#define CYDEV_PHUB_TDMEM111_ORIG_TD1 0x40007b7c +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80 +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM112_ORIG_TD0 0x40007b80 +#define CYDEV_PHUB_TDMEM112_ORIG_TD1 0x40007b84 +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88 +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM113_ORIG_TD0 0x40007b88 +#define CYDEV_PHUB_TDMEM113_ORIG_TD1 0x40007b8c +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90 +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM114_ORIG_TD0 0x40007b90 +#define CYDEV_PHUB_TDMEM114_ORIG_TD1 0x40007b94 +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98 +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM115_ORIG_TD0 0x40007b98 +#define CYDEV_PHUB_TDMEM115_ORIG_TD1 0x40007b9c +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0 +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM116_ORIG_TD0 0x40007ba0 +#define CYDEV_PHUB_TDMEM116_ORIG_TD1 0x40007ba4 +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8 +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM117_ORIG_TD0 0x40007ba8 +#define CYDEV_PHUB_TDMEM117_ORIG_TD1 0x40007bac +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0 +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM118_ORIG_TD0 0x40007bb0 +#define CYDEV_PHUB_TDMEM118_ORIG_TD1 0x40007bb4 +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8 +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM119_ORIG_TD0 0x40007bb8 +#define CYDEV_PHUB_TDMEM119_ORIG_TD1 0x40007bbc +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0 +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM120_ORIG_TD0 0x40007bc0 +#define CYDEV_PHUB_TDMEM120_ORIG_TD1 0x40007bc4 +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8 +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM121_ORIG_TD0 0x40007bc8 +#define CYDEV_PHUB_TDMEM121_ORIG_TD1 0x40007bcc +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0 +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM122_ORIG_TD0 0x40007bd0 +#define CYDEV_PHUB_TDMEM122_ORIG_TD1 0x40007bd4 +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8 +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM123_ORIG_TD0 0x40007bd8 +#define CYDEV_PHUB_TDMEM123_ORIG_TD1 0x40007bdc +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0 +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM124_ORIG_TD0 0x40007be0 +#define CYDEV_PHUB_TDMEM124_ORIG_TD1 0x40007be4 +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8 +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM125_ORIG_TD0 0x40007be8 +#define CYDEV_PHUB_TDMEM125_ORIG_TD1 0x40007bec +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0 +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM126_ORIG_TD0 0x40007bf0 +#define CYDEV_PHUB_TDMEM126_ORIG_TD1 0x40007bf4 +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8 +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008 +#define CYDEV_PHUB_TDMEM127_ORIG_TD0 0x40007bf8 +#define CYDEV_PHUB_TDMEM127_ORIG_TD1 0x40007bfc +#define CYDEV_EE_BASE 0x40008000 +#define CYDEV_EE_SIZE 0x00000800 +#define CYDEV_EE_DATA_MBASE 0x40008000 +#define CYDEV_EE_DATA_MSIZE 0x00000800 +#define CYDEV_CAN0_BASE 0x4000a000 +#define CYDEV_CAN0_SIZE 0x000002a0 +#define CYDEV_CAN0_CSR_BASE 0x4000a000 +#define CYDEV_CAN0_CSR_SIZE 0x00000018 +#define CYDEV_CAN0_CSR_INT_SR 0x4000a000 +#define CYDEV_CAN0_CSR_INT_EN 0x4000a004 +#define CYDEV_CAN0_CSR_BUF_SR 0x4000a008 +#define CYDEV_CAN0_CSR_ERR_SR 0x4000a00c +#define CYDEV_CAN0_CSR_CMD 0x4000a010 +#define CYDEV_CAN0_CSR_CFG 0x4000a014 +#define CYDEV_CAN0_TX0_BASE 0x4000a020 +#define CYDEV_CAN0_TX0_SIZE 0x00000010 +#define CYDEV_CAN0_TX0_CMD 0x4000a020 +#define CYDEV_CAN0_TX0_ID 0x4000a024 +#define CYDEV_CAN0_TX0_DH 0x4000a028 +#define CYDEV_CAN0_TX0_DL 0x4000a02c +#define CYDEV_CAN0_TX1_BASE 0x4000a030 +#define CYDEV_CAN0_TX1_SIZE 0x00000010 +#define CYDEV_CAN0_TX1_CMD 0x4000a030 +#define CYDEV_CAN0_TX1_ID 0x4000a034 +#define CYDEV_CAN0_TX1_DH 0x4000a038 +#define CYDEV_CAN0_TX1_DL 0x4000a03c +#define CYDEV_CAN0_TX2_BASE 0x4000a040 +#define CYDEV_CAN0_TX2_SIZE 0x00000010 +#define CYDEV_CAN0_TX2_CMD 0x4000a040 +#define CYDEV_CAN0_TX2_ID 0x4000a044 +#define CYDEV_CAN0_TX2_DH 0x4000a048 +#define CYDEV_CAN0_TX2_DL 0x4000a04c +#define CYDEV_CAN0_TX3_BASE 0x4000a050 +#define CYDEV_CAN0_TX3_SIZE 0x00000010 +#define CYDEV_CAN0_TX3_CMD 0x4000a050 +#define CYDEV_CAN0_TX3_ID 0x4000a054 +#define CYDEV_CAN0_TX3_DH 0x4000a058 +#define CYDEV_CAN0_TX3_DL 0x4000a05c +#define CYDEV_CAN0_TX4_BASE 0x4000a060 +#define CYDEV_CAN0_TX4_SIZE 0x00000010 +#define CYDEV_CAN0_TX4_CMD 0x4000a060 +#define CYDEV_CAN0_TX4_ID 0x4000a064 +#define CYDEV_CAN0_TX4_DH 0x4000a068 +#define CYDEV_CAN0_TX4_DL 0x4000a06c +#define CYDEV_CAN0_TX5_BASE 0x4000a070 +#define CYDEV_CAN0_TX5_SIZE 0x00000010 +#define CYDEV_CAN0_TX5_CMD 0x4000a070 +#define CYDEV_CAN0_TX5_ID 0x4000a074 +#define CYDEV_CAN0_TX5_DH 0x4000a078 +#define CYDEV_CAN0_TX5_DL 0x4000a07c +#define CYDEV_CAN0_TX6_BASE 0x4000a080 +#define CYDEV_CAN0_TX6_SIZE 0x00000010 +#define CYDEV_CAN0_TX6_CMD 0x4000a080 +#define CYDEV_CAN0_TX6_ID 0x4000a084 +#define CYDEV_CAN0_TX6_DH 0x4000a088 +#define CYDEV_CAN0_TX6_DL 0x4000a08c +#define CYDEV_CAN0_TX7_BASE 0x4000a090 +#define CYDEV_CAN0_TX7_SIZE 0x00000010 +#define CYDEV_CAN0_TX7_CMD 0x4000a090 +#define CYDEV_CAN0_TX7_ID 0x4000a094 +#define CYDEV_CAN0_TX7_DH 0x4000a098 +#define CYDEV_CAN0_TX7_DL 0x4000a09c +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0 +#define CYDEV_CAN0_RX0_SIZE 0x00000020 +#define CYDEV_CAN0_RX0_CMD 0x4000a0a0 +#define CYDEV_CAN0_RX0_ID 0x4000a0a4 +#define CYDEV_CAN0_RX0_DH 0x4000a0a8 +#define CYDEV_CAN0_RX0_DL 0x4000a0ac +#define CYDEV_CAN0_RX0_AMR 0x4000a0b0 +#define CYDEV_CAN0_RX0_ACR 0x4000a0b4 +#define CYDEV_CAN0_RX0_AMRD 0x4000a0b8 +#define CYDEV_CAN0_RX0_ACRD 0x4000a0bc +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0 +#define CYDEV_CAN0_RX1_SIZE 0x00000020 +#define CYDEV_CAN0_RX1_CMD 0x4000a0c0 +#define CYDEV_CAN0_RX1_ID 0x4000a0c4 +#define CYDEV_CAN0_RX1_DH 0x4000a0c8 +#define CYDEV_CAN0_RX1_DL 0x4000a0cc +#define CYDEV_CAN0_RX1_AMR 0x4000a0d0 +#define CYDEV_CAN0_RX1_ACR 0x4000a0d4 +#define CYDEV_CAN0_RX1_AMRD 0x4000a0d8 +#define CYDEV_CAN0_RX1_ACRD 0x4000a0dc +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0 +#define CYDEV_CAN0_RX2_SIZE 0x00000020 +#define CYDEV_CAN0_RX2_CMD 0x4000a0e0 +#define CYDEV_CAN0_RX2_ID 0x4000a0e4 +#define CYDEV_CAN0_RX2_DH 0x4000a0e8 +#define CYDEV_CAN0_RX2_DL 0x4000a0ec +#define CYDEV_CAN0_RX2_AMR 0x4000a0f0 +#define CYDEV_CAN0_RX2_ACR 0x4000a0f4 +#define CYDEV_CAN0_RX2_AMRD 0x4000a0f8 +#define CYDEV_CAN0_RX2_ACRD 0x4000a0fc +#define CYDEV_CAN0_RX3_BASE 0x4000a100 +#define CYDEV_CAN0_RX3_SIZE 0x00000020 +#define CYDEV_CAN0_RX3_CMD 0x4000a100 +#define CYDEV_CAN0_RX3_ID 0x4000a104 +#define CYDEV_CAN0_RX3_DH 0x4000a108 +#define CYDEV_CAN0_RX3_DL 0x4000a10c +#define CYDEV_CAN0_RX3_AMR 0x4000a110 +#define CYDEV_CAN0_RX3_ACR 0x4000a114 +#define CYDEV_CAN0_RX3_AMRD 0x4000a118 +#define CYDEV_CAN0_RX3_ACRD 0x4000a11c +#define CYDEV_CAN0_RX4_BASE 0x4000a120 +#define CYDEV_CAN0_RX4_SIZE 0x00000020 +#define CYDEV_CAN0_RX4_CMD 0x4000a120 +#define CYDEV_CAN0_RX4_ID 0x4000a124 +#define CYDEV_CAN0_RX4_DH 0x4000a128 +#define CYDEV_CAN0_RX4_DL 0x4000a12c +#define CYDEV_CAN0_RX4_AMR 0x4000a130 +#define CYDEV_CAN0_RX4_ACR 0x4000a134 +#define CYDEV_CAN0_RX4_AMRD 0x4000a138 +#define CYDEV_CAN0_RX4_ACRD 0x4000a13c +#define CYDEV_CAN0_RX5_BASE 0x4000a140 +#define CYDEV_CAN0_RX5_SIZE 0x00000020 +#define CYDEV_CAN0_RX5_CMD 0x4000a140 +#define CYDEV_CAN0_RX5_ID 0x4000a144 +#define CYDEV_CAN0_RX5_DH 0x4000a148 +#define CYDEV_CAN0_RX5_DL 0x4000a14c +#define CYDEV_CAN0_RX5_AMR 0x4000a150 +#define CYDEV_CAN0_RX5_ACR 0x4000a154 +#define CYDEV_CAN0_RX5_AMRD 0x4000a158 +#define CYDEV_CAN0_RX5_ACRD 0x4000a15c +#define CYDEV_CAN0_RX6_BASE 0x4000a160 +#define CYDEV_CAN0_RX6_SIZE 0x00000020 +#define CYDEV_CAN0_RX6_CMD 0x4000a160 +#define CYDEV_CAN0_RX6_ID 0x4000a164 +#define CYDEV_CAN0_RX6_DH 0x4000a168 +#define CYDEV_CAN0_RX6_DL 0x4000a16c +#define CYDEV_CAN0_RX6_AMR 0x4000a170 +#define CYDEV_CAN0_RX6_ACR 0x4000a174 +#define CYDEV_CAN0_RX6_AMRD 0x4000a178 +#define CYDEV_CAN0_RX6_ACRD 0x4000a17c +#define CYDEV_CAN0_RX7_BASE 0x4000a180 +#define CYDEV_CAN0_RX7_SIZE 0x00000020 +#define CYDEV_CAN0_RX7_CMD 0x4000a180 +#define CYDEV_CAN0_RX7_ID 0x4000a184 +#define CYDEV_CAN0_RX7_DH 0x4000a188 +#define CYDEV_CAN0_RX7_DL 0x4000a18c +#define CYDEV_CAN0_RX7_AMR 0x4000a190 +#define CYDEV_CAN0_RX7_ACR 0x4000a194 +#define CYDEV_CAN0_RX7_AMRD 0x4000a198 +#define CYDEV_CAN0_RX7_ACRD 0x4000a19c +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0 +#define CYDEV_CAN0_RX8_SIZE 0x00000020 +#define CYDEV_CAN0_RX8_CMD 0x4000a1a0 +#define CYDEV_CAN0_RX8_ID 0x4000a1a4 +#define CYDEV_CAN0_RX8_DH 0x4000a1a8 +#define CYDEV_CAN0_RX8_DL 0x4000a1ac +#define CYDEV_CAN0_RX8_AMR 0x4000a1b0 +#define CYDEV_CAN0_RX8_ACR 0x4000a1b4 +#define CYDEV_CAN0_RX8_AMRD 0x4000a1b8 +#define CYDEV_CAN0_RX8_ACRD 0x4000a1bc +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0 +#define CYDEV_CAN0_RX9_SIZE 0x00000020 +#define CYDEV_CAN0_RX9_CMD 0x4000a1c0 +#define CYDEV_CAN0_RX9_ID 0x4000a1c4 +#define CYDEV_CAN0_RX9_DH 0x4000a1c8 +#define CYDEV_CAN0_RX9_DL 0x4000a1cc +#define CYDEV_CAN0_RX9_AMR 0x4000a1d0 +#define CYDEV_CAN0_RX9_ACR 0x4000a1d4 +#define CYDEV_CAN0_RX9_AMRD 0x4000a1d8 +#define CYDEV_CAN0_RX9_ACRD 0x4000a1dc +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0 +#define CYDEV_CAN0_RX10_SIZE 0x00000020 +#define CYDEV_CAN0_RX10_CMD 0x4000a1e0 +#define CYDEV_CAN0_RX10_ID 0x4000a1e4 +#define CYDEV_CAN0_RX10_DH 0x4000a1e8 +#define CYDEV_CAN0_RX10_DL 0x4000a1ec +#define CYDEV_CAN0_RX10_AMR 0x4000a1f0 +#define CYDEV_CAN0_RX10_ACR 0x4000a1f4 +#define CYDEV_CAN0_RX10_AMRD 0x4000a1f8 +#define CYDEV_CAN0_RX10_ACRD 0x4000a1fc +#define CYDEV_CAN0_RX11_BASE 0x4000a200 +#define CYDEV_CAN0_RX11_SIZE 0x00000020 +#define CYDEV_CAN0_RX11_CMD 0x4000a200 +#define CYDEV_CAN0_RX11_ID 0x4000a204 +#define CYDEV_CAN0_RX11_DH 0x4000a208 +#define CYDEV_CAN0_RX11_DL 0x4000a20c +#define CYDEV_CAN0_RX11_AMR 0x4000a210 +#define CYDEV_CAN0_RX11_ACR 0x4000a214 +#define CYDEV_CAN0_RX11_AMRD 0x4000a218 +#define CYDEV_CAN0_RX11_ACRD 0x4000a21c +#define CYDEV_CAN0_RX12_BASE 0x4000a220 +#define CYDEV_CAN0_RX12_SIZE 0x00000020 +#define CYDEV_CAN0_RX12_CMD 0x4000a220 +#define CYDEV_CAN0_RX12_ID 0x4000a224 +#define CYDEV_CAN0_RX12_DH 0x4000a228 +#define CYDEV_CAN0_RX12_DL 0x4000a22c +#define CYDEV_CAN0_RX12_AMR 0x4000a230 +#define CYDEV_CAN0_RX12_ACR 0x4000a234 +#define CYDEV_CAN0_RX12_AMRD 0x4000a238 +#define CYDEV_CAN0_RX12_ACRD 0x4000a23c +#define CYDEV_CAN0_RX13_BASE 0x4000a240 +#define CYDEV_CAN0_RX13_SIZE 0x00000020 +#define CYDEV_CAN0_RX13_CMD 0x4000a240 +#define CYDEV_CAN0_RX13_ID 0x4000a244 +#define CYDEV_CAN0_RX13_DH 0x4000a248 +#define CYDEV_CAN0_RX13_DL 0x4000a24c +#define CYDEV_CAN0_RX13_AMR 0x4000a250 +#define CYDEV_CAN0_RX13_ACR 0x4000a254 +#define CYDEV_CAN0_RX13_AMRD 0x4000a258 +#define CYDEV_CAN0_RX13_ACRD 0x4000a25c +#define CYDEV_CAN0_RX14_BASE 0x4000a260 +#define CYDEV_CAN0_RX14_SIZE 0x00000020 +#define CYDEV_CAN0_RX14_CMD 0x4000a260 +#define CYDEV_CAN0_RX14_ID 0x4000a264 +#define CYDEV_CAN0_RX14_DH 0x4000a268 +#define CYDEV_CAN0_RX14_DL 0x4000a26c +#define CYDEV_CAN0_RX14_AMR 0x4000a270 +#define CYDEV_CAN0_RX14_ACR 0x4000a274 +#define CYDEV_CAN0_RX14_AMRD 0x4000a278 +#define CYDEV_CAN0_RX14_ACRD 0x4000a27c +#define CYDEV_CAN0_RX15_BASE 0x4000a280 +#define CYDEV_CAN0_RX15_SIZE 0x00000020 +#define CYDEV_CAN0_RX15_CMD 0x4000a280 +#define CYDEV_CAN0_RX15_ID 0x4000a284 +#define CYDEV_CAN0_RX15_DH 0x4000a288 +#define CYDEV_CAN0_RX15_DL 0x4000a28c +#define CYDEV_CAN0_RX15_AMR 0x4000a290 +#define CYDEV_CAN0_RX15_ACR 0x4000a294 +#define CYDEV_CAN0_RX15_AMRD 0x4000a298 +#define CYDEV_CAN0_RX15_ACRD 0x4000a29c +#define CYDEV_DFB0_BASE 0x4000c000 +#define CYDEV_DFB0_SIZE 0x000007b5 +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000 +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200 +#define CYDEV_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000 +#define CYDEV_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200 +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200 +#define CYDEV_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200 +#define CYDEV_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400 +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100 +#define CYDEV_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400 +#define CYDEV_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500 +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100 +#define CYDEV_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500 +#define CYDEV_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600 +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100 +#define CYDEV_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600 +#define CYDEV_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700 +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040 +#define CYDEV_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700 +#define CYDEV_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040 +#define CYDEV_DFB0_CR 0x4000c780 +#define CYDEV_DFB0_SR 0x4000c784 +#define CYDEV_DFB0_RAM_EN 0x4000c788 +#define CYDEV_DFB0_RAM_DIR 0x4000c78c +#define CYDEV_DFB0_SEMA 0x4000c790 +#define CYDEV_DFB0_DSI_CTRL 0x4000c794 +#define CYDEV_DFB0_INT_CTRL 0x4000c798 +#define CYDEV_DFB0_DMA_CTRL 0x4000c79c +#define CYDEV_DFB0_STAGEA 0x4000c7a0 +#define CYDEV_DFB0_STAGEAM 0x4000c7a1 +#define CYDEV_DFB0_STAGEAH 0x4000c7a2 +#define CYDEV_DFB0_STAGEB 0x4000c7a4 +#define CYDEV_DFB0_STAGEBM 0x4000c7a5 +#define CYDEV_DFB0_STAGEBH 0x4000c7a6 +#define CYDEV_DFB0_HOLDA 0x4000c7a8 +#define CYDEV_DFB0_HOLDAM 0x4000c7a9 +#define CYDEV_DFB0_HOLDAH 0x4000c7aa +#define CYDEV_DFB0_HOLDAS 0x4000c7ab +#define CYDEV_DFB0_HOLDB 0x4000c7ac +#define CYDEV_DFB0_HOLDBM 0x4000c7ad +#define CYDEV_DFB0_HOLDBH 0x4000c7ae +#define CYDEV_DFB0_HOLDBS 0x4000c7af +#define CYDEV_DFB0_COHER 0x4000c7b0 +#define CYDEV_DFB0_DALIGN 0x4000c7b4 +#define CYDEV_UCFG_BASE 0x40010000 +#define CYDEV_UCFG_SIZE 0x00005040 +#define CYDEV_UCFG_B0_BASE 0x40010000 +#define CYDEV_UCFG_B0_SIZE 0x00000fef +#define CYDEV_UCFG_B0_P0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT0 0x40010000 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT1 0x40010004 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT2 0x40010008 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT3 0x4001000c +#define CYDEV_UCFG_B0_P0_U0_PLD_IT4 0x40010010 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT5 0x40010014 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT6 0x40010018 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT7 0x4001001c +#define CYDEV_UCFG_B0_P0_U0_PLD_IT8 0x40010020 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT9 0x40010024 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT10 0x40010028 +#define CYDEV_UCFG_B0_P0_U0_PLD_IT11 0x4001002c +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT0 0x40010030 +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT1 0x40010032 +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT2 0x40010034 +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT3 0x40010036 +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038 +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB 0x4001003a +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003c +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS 0x4001003e +#define CYDEV_UCFG_B0_P0_U0_CFG0 0x40010040 +#define CYDEV_UCFG_B0_P0_U0_CFG1 0x40010041 +#define CYDEV_UCFG_B0_P0_U0_CFG2 0x40010042 +#define CYDEV_UCFG_B0_P0_U0_CFG3 0x40010043 +#define CYDEV_UCFG_B0_P0_U0_CFG4 0x40010044 +#define CYDEV_UCFG_B0_P0_U0_CFG5 0x40010045 +#define CYDEV_UCFG_B0_P0_U0_CFG6 0x40010046 +#define CYDEV_UCFG_B0_P0_U0_CFG7 0x40010047 +#define CYDEV_UCFG_B0_P0_U0_CFG8 0x40010048 +#define CYDEV_UCFG_B0_P0_U0_CFG9 0x40010049 +#define CYDEV_UCFG_B0_P0_U0_CFG10 0x4001004a +#define CYDEV_UCFG_B0_P0_U0_CFG11 0x4001004b +#define CYDEV_UCFG_B0_P0_U0_CFG12 0x4001004c +#define CYDEV_UCFG_B0_P0_U0_CFG13 0x4001004d +#define CYDEV_UCFG_B0_P0_U0_CFG14 0x4001004e +#define CYDEV_UCFG_B0_P0_U0_CFG15 0x4001004f +#define CYDEV_UCFG_B0_P0_U0_CFG16 0x40010050 +#define CYDEV_UCFG_B0_P0_U0_CFG17 0x40010051 +#define CYDEV_UCFG_B0_P0_U0_CFG18 0x40010052 +#define CYDEV_UCFG_B0_P0_U0_CFG19 0x40010053 +#define CYDEV_UCFG_B0_P0_U0_CFG20 0x40010054 +#define CYDEV_UCFG_B0_P0_U0_CFG21 0x40010055 +#define CYDEV_UCFG_B0_P0_U0_CFG22 0x40010056 +#define CYDEV_UCFG_B0_P0_U0_CFG23 0x40010057 +#define CYDEV_UCFG_B0_P0_U0_CFG24 0x40010058 +#define CYDEV_UCFG_B0_P0_U0_CFG25 0x40010059 +#define CYDEV_UCFG_B0_P0_U0_CFG26 0x4001005a +#define CYDEV_UCFG_B0_P0_U0_CFG27 0x4001005b +#define CYDEV_UCFG_B0_P0_U0_CFG28 0x4001005c +#define CYDEV_UCFG_B0_P0_U0_CFG29 0x4001005d +#define CYDEV_UCFG_B0_P0_U0_CFG30 0x4001005e +#define CYDEV_UCFG_B0_P0_U0_CFG31 0x4001005f +#define CYDEV_UCFG_B0_P0_U0_DCFG0 0x40010060 +#define CYDEV_UCFG_B0_P0_U0_DCFG1 0x40010062 +#define CYDEV_UCFG_B0_P0_U0_DCFG2 0x40010064 +#define CYDEV_UCFG_B0_P0_U0_DCFG3 0x40010066 +#define CYDEV_UCFG_B0_P0_U0_DCFG4 0x40010068 +#define CYDEV_UCFG_B0_P0_U0_DCFG5 0x4001006a +#define CYDEV_UCFG_B0_P0_U0_DCFG6 0x4001006c +#define CYDEV_UCFG_B0_P0_U0_DCFG7 0x4001006e +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080 +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT0 0x40010080 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT1 0x40010084 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT2 0x40010088 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT3 0x4001008c +#define CYDEV_UCFG_B0_P0_U1_PLD_IT4 0x40010090 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT5 0x40010094 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT6 0x40010098 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT7 0x4001009c +#define CYDEV_UCFG_B0_P0_U1_PLD_IT8 0x400100a0 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT9 0x400100a4 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT10 0x400100a8 +#define CYDEV_UCFG_B0_P0_U1_PLD_IT11 0x400100ac +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT0 0x400100b0 +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT1 0x400100b2 +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT2 0x400100b4 +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT3 0x400100b6 +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8 +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB 0x400100ba +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bc +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS 0x400100be +#define CYDEV_UCFG_B0_P0_U1_CFG0 0x400100c0 +#define CYDEV_UCFG_B0_P0_U1_CFG1 0x400100c1 +#define CYDEV_UCFG_B0_P0_U1_CFG2 0x400100c2 +#define CYDEV_UCFG_B0_P0_U1_CFG3 0x400100c3 +#define CYDEV_UCFG_B0_P0_U1_CFG4 0x400100c4 +#define CYDEV_UCFG_B0_P0_U1_CFG5 0x400100c5 +#define CYDEV_UCFG_B0_P0_U1_CFG6 0x400100c6 +#define CYDEV_UCFG_B0_P0_U1_CFG7 0x400100c7 +#define CYDEV_UCFG_B0_P0_U1_CFG8 0x400100c8 +#define CYDEV_UCFG_B0_P0_U1_CFG9 0x400100c9 +#define CYDEV_UCFG_B0_P0_U1_CFG10 0x400100ca +#define CYDEV_UCFG_B0_P0_U1_CFG11 0x400100cb +#define CYDEV_UCFG_B0_P0_U1_CFG12 0x400100cc +#define CYDEV_UCFG_B0_P0_U1_CFG13 0x400100cd +#define CYDEV_UCFG_B0_P0_U1_CFG14 0x400100ce +#define CYDEV_UCFG_B0_P0_U1_CFG15 0x400100cf +#define CYDEV_UCFG_B0_P0_U1_CFG16 0x400100d0 +#define CYDEV_UCFG_B0_P0_U1_CFG17 0x400100d1 +#define CYDEV_UCFG_B0_P0_U1_CFG18 0x400100d2 +#define CYDEV_UCFG_B0_P0_U1_CFG19 0x400100d3 +#define CYDEV_UCFG_B0_P0_U1_CFG20 0x400100d4 +#define CYDEV_UCFG_B0_P0_U1_CFG21 0x400100d5 +#define CYDEV_UCFG_B0_P0_U1_CFG22 0x400100d6 +#define CYDEV_UCFG_B0_P0_U1_CFG23 0x400100d7 +#define CYDEV_UCFG_B0_P0_U1_CFG24 0x400100d8 +#define CYDEV_UCFG_B0_P0_U1_CFG25 0x400100d9 +#define CYDEV_UCFG_B0_P0_U1_CFG26 0x400100da +#define CYDEV_UCFG_B0_P0_U1_CFG27 0x400100db +#define CYDEV_UCFG_B0_P0_U1_CFG28 0x400100dc +#define CYDEV_UCFG_B0_P0_U1_CFG29 0x400100dd +#define CYDEV_UCFG_B0_P0_U1_CFG30 0x400100de +#define CYDEV_UCFG_B0_P0_U1_CFG31 0x400100df +#define CYDEV_UCFG_B0_P0_U1_DCFG0 0x400100e0 +#define CYDEV_UCFG_B0_P0_U1_DCFG1 0x400100e2 +#define CYDEV_UCFG_B0_P0_U1_DCFG2 0x400100e4 +#define CYDEV_UCFG_B0_P0_U1_DCFG3 0x400100e6 +#define CYDEV_UCFG_B0_P0_U1_DCFG4 0x400100e8 +#define CYDEV_UCFG_B0_P0_U1_DCFG5 0x400100ea +#define CYDEV_UCFG_B0_P0_U1_DCFG6 0x400100ec +#define CYDEV_UCFG_B0_P0_U1_DCFG7 0x400100ee +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100 +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P1_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT0 0x40010200 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT1 0x40010204 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT2 0x40010208 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT3 0x4001020c +#define CYDEV_UCFG_B0_P1_U0_PLD_IT4 0x40010210 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT5 0x40010214 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT6 0x40010218 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT7 0x4001021c +#define CYDEV_UCFG_B0_P1_U0_PLD_IT8 0x40010220 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT9 0x40010224 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT10 0x40010228 +#define CYDEV_UCFG_B0_P1_U0_PLD_IT11 0x4001022c +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT0 0x40010230 +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT1 0x40010232 +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT2 0x40010234 +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT3 0x40010236 +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238 +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB 0x4001023a +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023c +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS 0x4001023e +#define CYDEV_UCFG_B0_P1_U0_CFG0 0x40010240 +#define CYDEV_UCFG_B0_P1_U0_CFG1 0x40010241 +#define CYDEV_UCFG_B0_P1_U0_CFG2 0x40010242 +#define CYDEV_UCFG_B0_P1_U0_CFG3 0x40010243 +#define CYDEV_UCFG_B0_P1_U0_CFG4 0x40010244 +#define CYDEV_UCFG_B0_P1_U0_CFG5 0x40010245 +#define CYDEV_UCFG_B0_P1_U0_CFG6 0x40010246 +#define CYDEV_UCFG_B0_P1_U0_CFG7 0x40010247 +#define CYDEV_UCFG_B0_P1_U0_CFG8 0x40010248 +#define CYDEV_UCFG_B0_P1_U0_CFG9 0x40010249 +#define CYDEV_UCFG_B0_P1_U0_CFG10 0x4001024a +#define CYDEV_UCFG_B0_P1_U0_CFG11 0x4001024b +#define CYDEV_UCFG_B0_P1_U0_CFG12 0x4001024c +#define CYDEV_UCFG_B0_P1_U0_CFG13 0x4001024d +#define CYDEV_UCFG_B0_P1_U0_CFG14 0x4001024e +#define CYDEV_UCFG_B0_P1_U0_CFG15 0x4001024f +#define CYDEV_UCFG_B0_P1_U0_CFG16 0x40010250 +#define CYDEV_UCFG_B0_P1_U0_CFG17 0x40010251 +#define CYDEV_UCFG_B0_P1_U0_CFG18 0x40010252 +#define CYDEV_UCFG_B0_P1_U0_CFG19 0x40010253 +#define CYDEV_UCFG_B0_P1_U0_CFG20 0x40010254 +#define CYDEV_UCFG_B0_P1_U0_CFG21 0x40010255 +#define CYDEV_UCFG_B0_P1_U0_CFG22 0x40010256 +#define CYDEV_UCFG_B0_P1_U0_CFG23 0x40010257 +#define CYDEV_UCFG_B0_P1_U0_CFG24 0x40010258 +#define CYDEV_UCFG_B0_P1_U0_CFG25 0x40010259 +#define CYDEV_UCFG_B0_P1_U0_CFG26 0x4001025a +#define CYDEV_UCFG_B0_P1_U0_CFG27 0x4001025b +#define CYDEV_UCFG_B0_P1_U0_CFG28 0x4001025c +#define CYDEV_UCFG_B0_P1_U0_CFG29 0x4001025d +#define CYDEV_UCFG_B0_P1_U0_CFG30 0x4001025e +#define CYDEV_UCFG_B0_P1_U0_CFG31 0x4001025f +#define CYDEV_UCFG_B0_P1_U0_DCFG0 0x40010260 +#define CYDEV_UCFG_B0_P1_U0_DCFG1 0x40010262 +#define CYDEV_UCFG_B0_P1_U0_DCFG2 0x40010264 +#define CYDEV_UCFG_B0_P1_U0_DCFG3 0x40010266 +#define CYDEV_UCFG_B0_P1_U0_DCFG4 0x40010268 +#define CYDEV_UCFG_B0_P1_U0_DCFG5 0x4001026a +#define CYDEV_UCFG_B0_P1_U0_DCFG6 0x4001026c +#define CYDEV_UCFG_B0_P1_U0_DCFG7 0x4001026e +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280 +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT0 0x40010280 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT1 0x40010284 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT2 0x40010288 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT3 0x4001028c +#define CYDEV_UCFG_B0_P1_U1_PLD_IT4 0x40010290 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT5 0x40010294 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT6 0x40010298 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT7 0x4001029c +#define CYDEV_UCFG_B0_P1_U1_PLD_IT8 0x400102a0 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT9 0x400102a4 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT10 0x400102a8 +#define CYDEV_UCFG_B0_P1_U1_PLD_IT11 0x400102ac +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT0 0x400102b0 +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT1 0x400102b2 +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT2 0x400102b4 +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT3 0x400102b6 +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8 +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB 0x400102ba +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bc +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS 0x400102be +#define CYDEV_UCFG_B0_P1_U1_CFG0 0x400102c0 +#define CYDEV_UCFG_B0_P1_U1_CFG1 0x400102c1 +#define CYDEV_UCFG_B0_P1_U1_CFG2 0x400102c2 +#define CYDEV_UCFG_B0_P1_U1_CFG3 0x400102c3 +#define CYDEV_UCFG_B0_P1_U1_CFG4 0x400102c4 +#define CYDEV_UCFG_B0_P1_U1_CFG5 0x400102c5 +#define CYDEV_UCFG_B0_P1_U1_CFG6 0x400102c6 +#define CYDEV_UCFG_B0_P1_U1_CFG7 0x400102c7 +#define CYDEV_UCFG_B0_P1_U1_CFG8 0x400102c8 +#define CYDEV_UCFG_B0_P1_U1_CFG9 0x400102c9 +#define CYDEV_UCFG_B0_P1_U1_CFG10 0x400102ca +#define CYDEV_UCFG_B0_P1_U1_CFG11 0x400102cb +#define CYDEV_UCFG_B0_P1_U1_CFG12 0x400102cc +#define CYDEV_UCFG_B0_P1_U1_CFG13 0x400102cd +#define CYDEV_UCFG_B0_P1_U1_CFG14 0x400102ce +#define CYDEV_UCFG_B0_P1_U1_CFG15 0x400102cf +#define CYDEV_UCFG_B0_P1_U1_CFG16 0x400102d0 +#define CYDEV_UCFG_B0_P1_U1_CFG17 0x400102d1 +#define CYDEV_UCFG_B0_P1_U1_CFG18 0x400102d2 +#define CYDEV_UCFG_B0_P1_U1_CFG19 0x400102d3 +#define CYDEV_UCFG_B0_P1_U1_CFG20 0x400102d4 +#define CYDEV_UCFG_B0_P1_U1_CFG21 0x400102d5 +#define CYDEV_UCFG_B0_P1_U1_CFG22 0x400102d6 +#define CYDEV_UCFG_B0_P1_U1_CFG23 0x400102d7 +#define CYDEV_UCFG_B0_P1_U1_CFG24 0x400102d8 +#define CYDEV_UCFG_B0_P1_U1_CFG25 0x400102d9 +#define CYDEV_UCFG_B0_P1_U1_CFG26 0x400102da +#define CYDEV_UCFG_B0_P1_U1_CFG27 0x400102db +#define CYDEV_UCFG_B0_P1_U1_CFG28 0x400102dc +#define CYDEV_UCFG_B0_P1_U1_CFG29 0x400102dd +#define CYDEV_UCFG_B0_P1_U1_CFG30 0x400102de +#define CYDEV_UCFG_B0_P1_U1_CFG31 0x400102df +#define CYDEV_UCFG_B0_P1_U1_DCFG0 0x400102e0 +#define CYDEV_UCFG_B0_P1_U1_DCFG1 0x400102e2 +#define CYDEV_UCFG_B0_P1_U1_DCFG2 0x400102e4 +#define CYDEV_UCFG_B0_P1_U1_DCFG3 0x400102e6 +#define CYDEV_UCFG_B0_P1_U1_DCFG4 0x400102e8 +#define CYDEV_UCFG_B0_P1_U1_DCFG5 0x400102ea +#define CYDEV_UCFG_B0_P1_U1_DCFG6 0x400102ec +#define CYDEV_UCFG_B0_P1_U1_DCFG7 0x400102ee +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300 +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P2_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT0 0x40010400 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT1 0x40010404 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT2 0x40010408 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT3 0x4001040c +#define CYDEV_UCFG_B0_P2_U0_PLD_IT4 0x40010410 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT5 0x40010414 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT6 0x40010418 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT7 0x4001041c +#define CYDEV_UCFG_B0_P2_U0_PLD_IT8 0x40010420 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT9 0x40010424 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT10 0x40010428 +#define CYDEV_UCFG_B0_P2_U0_PLD_IT11 0x4001042c +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT0 0x40010430 +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT1 0x40010432 +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT2 0x40010434 +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT3 0x40010436 +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438 +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB 0x4001043a +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043c +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS 0x4001043e +#define CYDEV_UCFG_B0_P2_U0_CFG0 0x40010440 +#define CYDEV_UCFG_B0_P2_U0_CFG1 0x40010441 +#define CYDEV_UCFG_B0_P2_U0_CFG2 0x40010442 +#define CYDEV_UCFG_B0_P2_U0_CFG3 0x40010443 +#define CYDEV_UCFG_B0_P2_U0_CFG4 0x40010444 +#define CYDEV_UCFG_B0_P2_U0_CFG5 0x40010445 +#define CYDEV_UCFG_B0_P2_U0_CFG6 0x40010446 +#define CYDEV_UCFG_B0_P2_U0_CFG7 0x40010447 +#define CYDEV_UCFG_B0_P2_U0_CFG8 0x40010448 +#define CYDEV_UCFG_B0_P2_U0_CFG9 0x40010449 +#define CYDEV_UCFG_B0_P2_U0_CFG10 0x4001044a +#define CYDEV_UCFG_B0_P2_U0_CFG11 0x4001044b +#define CYDEV_UCFG_B0_P2_U0_CFG12 0x4001044c +#define CYDEV_UCFG_B0_P2_U0_CFG13 0x4001044d +#define CYDEV_UCFG_B0_P2_U0_CFG14 0x4001044e +#define CYDEV_UCFG_B0_P2_U0_CFG15 0x4001044f +#define CYDEV_UCFG_B0_P2_U0_CFG16 0x40010450 +#define CYDEV_UCFG_B0_P2_U0_CFG17 0x40010451 +#define CYDEV_UCFG_B0_P2_U0_CFG18 0x40010452 +#define CYDEV_UCFG_B0_P2_U0_CFG19 0x40010453 +#define CYDEV_UCFG_B0_P2_U0_CFG20 0x40010454 +#define CYDEV_UCFG_B0_P2_U0_CFG21 0x40010455 +#define CYDEV_UCFG_B0_P2_U0_CFG22 0x40010456 +#define CYDEV_UCFG_B0_P2_U0_CFG23 0x40010457 +#define CYDEV_UCFG_B0_P2_U0_CFG24 0x40010458 +#define CYDEV_UCFG_B0_P2_U0_CFG25 0x40010459 +#define CYDEV_UCFG_B0_P2_U0_CFG26 0x4001045a +#define CYDEV_UCFG_B0_P2_U0_CFG27 0x4001045b +#define CYDEV_UCFG_B0_P2_U0_CFG28 0x4001045c +#define CYDEV_UCFG_B0_P2_U0_CFG29 0x4001045d +#define CYDEV_UCFG_B0_P2_U0_CFG30 0x4001045e +#define CYDEV_UCFG_B0_P2_U0_CFG31 0x4001045f +#define CYDEV_UCFG_B0_P2_U0_DCFG0 0x40010460 +#define CYDEV_UCFG_B0_P2_U0_DCFG1 0x40010462 +#define CYDEV_UCFG_B0_P2_U0_DCFG2 0x40010464 +#define CYDEV_UCFG_B0_P2_U0_DCFG3 0x40010466 +#define CYDEV_UCFG_B0_P2_U0_DCFG4 0x40010468 +#define CYDEV_UCFG_B0_P2_U0_DCFG5 0x4001046a +#define CYDEV_UCFG_B0_P2_U0_DCFG6 0x4001046c +#define CYDEV_UCFG_B0_P2_U0_DCFG7 0x4001046e +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480 +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT0 0x40010480 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT1 0x40010484 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT2 0x40010488 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT3 0x4001048c +#define CYDEV_UCFG_B0_P2_U1_PLD_IT4 0x40010490 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT5 0x40010494 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT6 0x40010498 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT7 0x4001049c +#define CYDEV_UCFG_B0_P2_U1_PLD_IT8 0x400104a0 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT9 0x400104a4 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT10 0x400104a8 +#define CYDEV_UCFG_B0_P2_U1_PLD_IT11 0x400104ac +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT0 0x400104b0 +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT1 0x400104b2 +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT2 0x400104b4 +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT3 0x400104b6 +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8 +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB 0x400104ba +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bc +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS 0x400104be +#define CYDEV_UCFG_B0_P2_U1_CFG0 0x400104c0 +#define CYDEV_UCFG_B0_P2_U1_CFG1 0x400104c1 +#define CYDEV_UCFG_B0_P2_U1_CFG2 0x400104c2 +#define CYDEV_UCFG_B0_P2_U1_CFG3 0x400104c3 +#define CYDEV_UCFG_B0_P2_U1_CFG4 0x400104c4 +#define CYDEV_UCFG_B0_P2_U1_CFG5 0x400104c5 +#define CYDEV_UCFG_B0_P2_U1_CFG6 0x400104c6 +#define CYDEV_UCFG_B0_P2_U1_CFG7 0x400104c7 +#define CYDEV_UCFG_B0_P2_U1_CFG8 0x400104c8 +#define CYDEV_UCFG_B0_P2_U1_CFG9 0x400104c9 +#define CYDEV_UCFG_B0_P2_U1_CFG10 0x400104ca +#define CYDEV_UCFG_B0_P2_U1_CFG11 0x400104cb +#define CYDEV_UCFG_B0_P2_U1_CFG12 0x400104cc +#define CYDEV_UCFG_B0_P2_U1_CFG13 0x400104cd +#define CYDEV_UCFG_B0_P2_U1_CFG14 0x400104ce +#define CYDEV_UCFG_B0_P2_U1_CFG15 0x400104cf +#define CYDEV_UCFG_B0_P2_U1_CFG16 0x400104d0 +#define CYDEV_UCFG_B0_P2_U1_CFG17 0x400104d1 +#define CYDEV_UCFG_B0_P2_U1_CFG18 0x400104d2 +#define CYDEV_UCFG_B0_P2_U1_CFG19 0x400104d3 +#define CYDEV_UCFG_B0_P2_U1_CFG20 0x400104d4 +#define CYDEV_UCFG_B0_P2_U1_CFG21 0x400104d5 +#define CYDEV_UCFG_B0_P2_U1_CFG22 0x400104d6 +#define CYDEV_UCFG_B0_P2_U1_CFG23 0x400104d7 +#define CYDEV_UCFG_B0_P2_U1_CFG24 0x400104d8 +#define CYDEV_UCFG_B0_P2_U1_CFG25 0x400104d9 +#define CYDEV_UCFG_B0_P2_U1_CFG26 0x400104da +#define CYDEV_UCFG_B0_P2_U1_CFG27 0x400104db +#define CYDEV_UCFG_B0_P2_U1_CFG28 0x400104dc +#define CYDEV_UCFG_B0_P2_U1_CFG29 0x400104dd +#define CYDEV_UCFG_B0_P2_U1_CFG30 0x400104de +#define CYDEV_UCFG_B0_P2_U1_CFG31 0x400104df +#define CYDEV_UCFG_B0_P2_U1_DCFG0 0x400104e0 +#define CYDEV_UCFG_B0_P2_U1_DCFG1 0x400104e2 +#define CYDEV_UCFG_B0_P2_U1_DCFG2 0x400104e4 +#define CYDEV_UCFG_B0_P2_U1_DCFG3 0x400104e6 +#define CYDEV_UCFG_B0_P2_U1_DCFG4 0x400104e8 +#define CYDEV_UCFG_B0_P2_U1_DCFG5 0x400104ea +#define CYDEV_UCFG_B0_P2_U1_DCFG6 0x400104ec +#define CYDEV_UCFG_B0_P2_U1_DCFG7 0x400104ee +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500 +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P3_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT0 0x40010600 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT1 0x40010604 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT2 0x40010608 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT3 0x4001060c +#define CYDEV_UCFG_B0_P3_U0_PLD_IT4 0x40010610 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT5 0x40010614 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT6 0x40010618 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT7 0x4001061c +#define CYDEV_UCFG_B0_P3_U0_PLD_IT8 0x40010620 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT9 0x40010624 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT10 0x40010628 +#define CYDEV_UCFG_B0_P3_U0_PLD_IT11 0x4001062c +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT0 0x40010630 +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT1 0x40010632 +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT2 0x40010634 +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT3 0x40010636 +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638 +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB 0x4001063a +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063c +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS 0x4001063e +#define CYDEV_UCFG_B0_P3_U0_CFG0 0x40010640 +#define CYDEV_UCFG_B0_P3_U0_CFG1 0x40010641 +#define CYDEV_UCFG_B0_P3_U0_CFG2 0x40010642 +#define CYDEV_UCFG_B0_P3_U0_CFG3 0x40010643 +#define CYDEV_UCFG_B0_P3_U0_CFG4 0x40010644 +#define CYDEV_UCFG_B0_P3_U0_CFG5 0x40010645 +#define CYDEV_UCFG_B0_P3_U0_CFG6 0x40010646 +#define CYDEV_UCFG_B0_P3_U0_CFG7 0x40010647 +#define CYDEV_UCFG_B0_P3_U0_CFG8 0x40010648 +#define CYDEV_UCFG_B0_P3_U0_CFG9 0x40010649 +#define CYDEV_UCFG_B0_P3_U0_CFG10 0x4001064a +#define CYDEV_UCFG_B0_P3_U0_CFG11 0x4001064b +#define CYDEV_UCFG_B0_P3_U0_CFG12 0x4001064c +#define CYDEV_UCFG_B0_P3_U0_CFG13 0x4001064d +#define CYDEV_UCFG_B0_P3_U0_CFG14 0x4001064e +#define CYDEV_UCFG_B0_P3_U0_CFG15 0x4001064f +#define CYDEV_UCFG_B0_P3_U0_CFG16 0x40010650 +#define CYDEV_UCFG_B0_P3_U0_CFG17 0x40010651 +#define CYDEV_UCFG_B0_P3_U0_CFG18 0x40010652 +#define CYDEV_UCFG_B0_P3_U0_CFG19 0x40010653 +#define CYDEV_UCFG_B0_P3_U0_CFG20 0x40010654 +#define CYDEV_UCFG_B0_P3_U0_CFG21 0x40010655 +#define CYDEV_UCFG_B0_P3_U0_CFG22 0x40010656 +#define CYDEV_UCFG_B0_P3_U0_CFG23 0x40010657 +#define CYDEV_UCFG_B0_P3_U0_CFG24 0x40010658 +#define CYDEV_UCFG_B0_P3_U0_CFG25 0x40010659 +#define CYDEV_UCFG_B0_P3_U0_CFG26 0x4001065a +#define CYDEV_UCFG_B0_P3_U0_CFG27 0x4001065b +#define CYDEV_UCFG_B0_P3_U0_CFG28 0x4001065c +#define CYDEV_UCFG_B0_P3_U0_CFG29 0x4001065d +#define CYDEV_UCFG_B0_P3_U0_CFG30 0x4001065e +#define CYDEV_UCFG_B0_P3_U0_CFG31 0x4001065f +#define CYDEV_UCFG_B0_P3_U0_DCFG0 0x40010660 +#define CYDEV_UCFG_B0_P3_U0_DCFG1 0x40010662 +#define CYDEV_UCFG_B0_P3_U0_DCFG2 0x40010664 +#define CYDEV_UCFG_B0_P3_U0_DCFG3 0x40010666 +#define CYDEV_UCFG_B0_P3_U0_DCFG4 0x40010668 +#define CYDEV_UCFG_B0_P3_U0_DCFG5 0x4001066a +#define CYDEV_UCFG_B0_P3_U0_DCFG6 0x4001066c +#define CYDEV_UCFG_B0_P3_U0_DCFG7 0x4001066e +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680 +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT0 0x40010680 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT1 0x40010684 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT2 0x40010688 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT3 0x4001068c +#define CYDEV_UCFG_B0_P3_U1_PLD_IT4 0x40010690 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT5 0x40010694 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT6 0x40010698 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT7 0x4001069c +#define CYDEV_UCFG_B0_P3_U1_PLD_IT8 0x400106a0 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT9 0x400106a4 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT10 0x400106a8 +#define CYDEV_UCFG_B0_P3_U1_PLD_IT11 0x400106ac +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT0 0x400106b0 +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT1 0x400106b2 +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT2 0x400106b4 +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT3 0x400106b6 +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8 +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB 0x400106ba +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bc +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS 0x400106be +#define CYDEV_UCFG_B0_P3_U1_CFG0 0x400106c0 +#define CYDEV_UCFG_B0_P3_U1_CFG1 0x400106c1 +#define CYDEV_UCFG_B0_P3_U1_CFG2 0x400106c2 +#define CYDEV_UCFG_B0_P3_U1_CFG3 0x400106c3 +#define CYDEV_UCFG_B0_P3_U1_CFG4 0x400106c4 +#define CYDEV_UCFG_B0_P3_U1_CFG5 0x400106c5 +#define CYDEV_UCFG_B0_P3_U1_CFG6 0x400106c6 +#define CYDEV_UCFG_B0_P3_U1_CFG7 0x400106c7 +#define CYDEV_UCFG_B0_P3_U1_CFG8 0x400106c8 +#define CYDEV_UCFG_B0_P3_U1_CFG9 0x400106c9 +#define CYDEV_UCFG_B0_P3_U1_CFG10 0x400106ca +#define CYDEV_UCFG_B0_P3_U1_CFG11 0x400106cb +#define CYDEV_UCFG_B0_P3_U1_CFG12 0x400106cc +#define CYDEV_UCFG_B0_P3_U1_CFG13 0x400106cd +#define CYDEV_UCFG_B0_P3_U1_CFG14 0x400106ce +#define CYDEV_UCFG_B0_P3_U1_CFG15 0x400106cf +#define CYDEV_UCFG_B0_P3_U1_CFG16 0x400106d0 +#define CYDEV_UCFG_B0_P3_U1_CFG17 0x400106d1 +#define CYDEV_UCFG_B0_P3_U1_CFG18 0x400106d2 +#define CYDEV_UCFG_B0_P3_U1_CFG19 0x400106d3 +#define CYDEV_UCFG_B0_P3_U1_CFG20 0x400106d4 +#define CYDEV_UCFG_B0_P3_U1_CFG21 0x400106d5 +#define CYDEV_UCFG_B0_P3_U1_CFG22 0x400106d6 +#define CYDEV_UCFG_B0_P3_U1_CFG23 0x400106d7 +#define CYDEV_UCFG_B0_P3_U1_CFG24 0x400106d8 +#define CYDEV_UCFG_B0_P3_U1_CFG25 0x400106d9 +#define CYDEV_UCFG_B0_P3_U1_CFG26 0x400106da +#define CYDEV_UCFG_B0_P3_U1_CFG27 0x400106db +#define CYDEV_UCFG_B0_P3_U1_CFG28 0x400106dc +#define CYDEV_UCFG_B0_P3_U1_CFG29 0x400106dd +#define CYDEV_UCFG_B0_P3_U1_CFG30 0x400106de +#define CYDEV_UCFG_B0_P3_U1_CFG31 0x400106df +#define CYDEV_UCFG_B0_P3_U1_DCFG0 0x400106e0 +#define CYDEV_UCFG_B0_P3_U1_DCFG1 0x400106e2 +#define CYDEV_UCFG_B0_P3_U1_DCFG2 0x400106e4 +#define CYDEV_UCFG_B0_P3_U1_DCFG3 0x400106e6 +#define CYDEV_UCFG_B0_P3_U1_DCFG4 0x400106e8 +#define CYDEV_UCFG_B0_P3_U1_DCFG5 0x400106ea +#define CYDEV_UCFG_B0_P3_U1_DCFG6 0x400106ec +#define CYDEV_UCFG_B0_P3_U1_DCFG7 0x400106ee +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700 +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P4_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT0 0x40010800 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT1 0x40010804 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT2 0x40010808 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT3 0x4001080c +#define CYDEV_UCFG_B0_P4_U0_PLD_IT4 0x40010810 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT5 0x40010814 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT6 0x40010818 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT7 0x4001081c +#define CYDEV_UCFG_B0_P4_U0_PLD_IT8 0x40010820 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT9 0x40010824 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT10 0x40010828 +#define CYDEV_UCFG_B0_P4_U0_PLD_IT11 0x4001082c +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT0 0x40010830 +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT1 0x40010832 +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT2 0x40010834 +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT3 0x40010836 +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838 +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB 0x4001083a +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083c +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS 0x4001083e +#define CYDEV_UCFG_B0_P4_U0_CFG0 0x40010840 +#define CYDEV_UCFG_B0_P4_U0_CFG1 0x40010841 +#define CYDEV_UCFG_B0_P4_U0_CFG2 0x40010842 +#define CYDEV_UCFG_B0_P4_U0_CFG3 0x40010843 +#define CYDEV_UCFG_B0_P4_U0_CFG4 0x40010844 +#define CYDEV_UCFG_B0_P4_U0_CFG5 0x40010845 +#define CYDEV_UCFG_B0_P4_U0_CFG6 0x40010846 +#define CYDEV_UCFG_B0_P4_U0_CFG7 0x40010847 +#define CYDEV_UCFG_B0_P4_U0_CFG8 0x40010848 +#define CYDEV_UCFG_B0_P4_U0_CFG9 0x40010849 +#define CYDEV_UCFG_B0_P4_U0_CFG10 0x4001084a +#define CYDEV_UCFG_B0_P4_U0_CFG11 0x4001084b +#define CYDEV_UCFG_B0_P4_U0_CFG12 0x4001084c +#define CYDEV_UCFG_B0_P4_U0_CFG13 0x4001084d +#define CYDEV_UCFG_B0_P4_U0_CFG14 0x4001084e +#define CYDEV_UCFG_B0_P4_U0_CFG15 0x4001084f +#define CYDEV_UCFG_B0_P4_U0_CFG16 0x40010850 +#define CYDEV_UCFG_B0_P4_U0_CFG17 0x40010851 +#define CYDEV_UCFG_B0_P4_U0_CFG18 0x40010852 +#define CYDEV_UCFG_B0_P4_U0_CFG19 0x40010853 +#define CYDEV_UCFG_B0_P4_U0_CFG20 0x40010854 +#define CYDEV_UCFG_B0_P4_U0_CFG21 0x40010855 +#define CYDEV_UCFG_B0_P4_U0_CFG22 0x40010856 +#define CYDEV_UCFG_B0_P4_U0_CFG23 0x40010857 +#define CYDEV_UCFG_B0_P4_U0_CFG24 0x40010858 +#define CYDEV_UCFG_B0_P4_U0_CFG25 0x40010859 +#define CYDEV_UCFG_B0_P4_U0_CFG26 0x4001085a +#define CYDEV_UCFG_B0_P4_U0_CFG27 0x4001085b +#define CYDEV_UCFG_B0_P4_U0_CFG28 0x4001085c +#define CYDEV_UCFG_B0_P4_U0_CFG29 0x4001085d +#define CYDEV_UCFG_B0_P4_U0_CFG30 0x4001085e +#define CYDEV_UCFG_B0_P4_U0_CFG31 0x4001085f +#define CYDEV_UCFG_B0_P4_U0_DCFG0 0x40010860 +#define CYDEV_UCFG_B0_P4_U0_DCFG1 0x40010862 +#define CYDEV_UCFG_B0_P4_U0_DCFG2 0x40010864 +#define CYDEV_UCFG_B0_P4_U0_DCFG3 0x40010866 +#define CYDEV_UCFG_B0_P4_U0_DCFG4 0x40010868 +#define CYDEV_UCFG_B0_P4_U0_DCFG5 0x4001086a +#define CYDEV_UCFG_B0_P4_U0_DCFG6 0x4001086c +#define CYDEV_UCFG_B0_P4_U0_DCFG7 0x4001086e +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880 +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT0 0x40010880 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT1 0x40010884 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT2 0x40010888 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT3 0x4001088c +#define CYDEV_UCFG_B0_P4_U1_PLD_IT4 0x40010890 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT5 0x40010894 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT6 0x40010898 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT7 0x4001089c +#define CYDEV_UCFG_B0_P4_U1_PLD_IT8 0x400108a0 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT9 0x400108a4 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT10 0x400108a8 +#define CYDEV_UCFG_B0_P4_U1_PLD_IT11 0x400108ac +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT0 0x400108b0 +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT1 0x400108b2 +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT2 0x400108b4 +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT3 0x400108b6 +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8 +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB 0x400108ba +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bc +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS 0x400108be +#define CYDEV_UCFG_B0_P4_U1_CFG0 0x400108c0 +#define CYDEV_UCFG_B0_P4_U1_CFG1 0x400108c1 +#define CYDEV_UCFG_B0_P4_U1_CFG2 0x400108c2 +#define CYDEV_UCFG_B0_P4_U1_CFG3 0x400108c3 +#define CYDEV_UCFG_B0_P4_U1_CFG4 0x400108c4 +#define CYDEV_UCFG_B0_P4_U1_CFG5 0x400108c5 +#define CYDEV_UCFG_B0_P4_U1_CFG6 0x400108c6 +#define CYDEV_UCFG_B0_P4_U1_CFG7 0x400108c7 +#define CYDEV_UCFG_B0_P4_U1_CFG8 0x400108c8 +#define CYDEV_UCFG_B0_P4_U1_CFG9 0x400108c9 +#define CYDEV_UCFG_B0_P4_U1_CFG10 0x400108ca +#define CYDEV_UCFG_B0_P4_U1_CFG11 0x400108cb +#define CYDEV_UCFG_B0_P4_U1_CFG12 0x400108cc +#define CYDEV_UCFG_B0_P4_U1_CFG13 0x400108cd +#define CYDEV_UCFG_B0_P4_U1_CFG14 0x400108ce +#define CYDEV_UCFG_B0_P4_U1_CFG15 0x400108cf +#define CYDEV_UCFG_B0_P4_U1_CFG16 0x400108d0 +#define CYDEV_UCFG_B0_P4_U1_CFG17 0x400108d1 +#define CYDEV_UCFG_B0_P4_U1_CFG18 0x400108d2 +#define CYDEV_UCFG_B0_P4_U1_CFG19 0x400108d3 +#define CYDEV_UCFG_B0_P4_U1_CFG20 0x400108d4 +#define CYDEV_UCFG_B0_P4_U1_CFG21 0x400108d5 +#define CYDEV_UCFG_B0_P4_U1_CFG22 0x400108d6 +#define CYDEV_UCFG_B0_P4_U1_CFG23 0x400108d7 +#define CYDEV_UCFG_B0_P4_U1_CFG24 0x400108d8 +#define CYDEV_UCFG_B0_P4_U1_CFG25 0x400108d9 +#define CYDEV_UCFG_B0_P4_U1_CFG26 0x400108da +#define CYDEV_UCFG_B0_P4_U1_CFG27 0x400108db +#define CYDEV_UCFG_B0_P4_U1_CFG28 0x400108dc +#define CYDEV_UCFG_B0_P4_U1_CFG29 0x400108dd +#define CYDEV_UCFG_B0_P4_U1_CFG30 0x400108de +#define CYDEV_UCFG_B0_P4_U1_CFG31 0x400108df +#define CYDEV_UCFG_B0_P4_U1_DCFG0 0x400108e0 +#define CYDEV_UCFG_B0_P4_U1_DCFG1 0x400108e2 +#define CYDEV_UCFG_B0_P4_U1_DCFG2 0x400108e4 +#define CYDEV_UCFG_B0_P4_U1_DCFG3 0x400108e6 +#define CYDEV_UCFG_B0_P4_U1_DCFG4 0x400108e8 +#define CYDEV_UCFG_B0_P4_U1_DCFG5 0x400108ea +#define CYDEV_UCFG_B0_P4_U1_DCFG6 0x400108ec +#define CYDEV_UCFG_B0_P4_U1_DCFG7 0x400108ee +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900 +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT0 0x40010a00 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT1 0x40010a04 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT2 0x40010a08 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT3 0x40010a0c +#define CYDEV_UCFG_B0_P5_U0_PLD_IT4 0x40010a10 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT5 0x40010a14 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT6 0x40010a18 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT7 0x40010a1c +#define CYDEV_UCFG_B0_P5_U0_PLD_IT8 0x40010a20 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT9 0x40010a24 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT10 0x40010a28 +#define CYDEV_UCFG_B0_P5_U0_PLD_IT11 0x40010a2c +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT0 0x40010a30 +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT1 0x40010a32 +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT2 0x40010a34 +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT3 0x40010a36 +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38 +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB 0x40010a3a +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3c +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3e +#define CYDEV_UCFG_B0_P5_U0_CFG0 0x40010a40 +#define CYDEV_UCFG_B0_P5_U0_CFG1 0x40010a41 +#define CYDEV_UCFG_B0_P5_U0_CFG2 0x40010a42 +#define CYDEV_UCFG_B0_P5_U0_CFG3 0x40010a43 +#define CYDEV_UCFG_B0_P5_U0_CFG4 0x40010a44 +#define CYDEV_UCFG_B0_P5_U0_CFG5 0x40010a45 +#define CYDEV_UCFG_B0_P5_U0_CFG6 0x40010a46 +#define CYDEV_UCFG_B0_P5_U0_CFG7 0x40010a47 +#define CYDEV_UCFG_B0_P5_U0_CFG8 0x40010a48 +#define CYDEV_UCFG_B0_P5_U0_CFG9 0x40010a49 +#define CYDEV_UCFG_B0_P5_U0_CFG10 0x40010a4a +#define CYDEV_UCFG_B0_P5_U0_CFG11 0x40010a4b +#define CYDEV_UCFG_B0_P5_U0_CFG12 0x40010a4c +#define CYDEV_UCFG_B0_P5_U0_CFG13 0x40010a4d +#define CYDEV_UCFG_B0_P5_U0_CFG14 0x40010a4e +#define CYDEV_UCFG_B0_P5_U0_CFG15 0x40010a4f +#define CYDEV_UCFG_B0_P5_U0_CFG16 0x40010a50 +#define CYDEV_UCFG_B0_P5_U0_CFG17 0x40010a51 +#define CYDEV_UCFG_B0_P5_U0_CFG18 0x40010a52 +#define CYDEV_UCFG_B0_P5_U0_CFG19 0x40010a53 +#define CYDEV_UCFG_B0_P5_U0_CFG20 0x40010a54 +#define CYDEV_UCFG_B0_P5_U0_CFG21 0x40010a55 +#define CYDEV_UCFG_B0_P5_U0_CFG22 0x40010a56 +#define CYDEV_UCFG_B0_P5_U0_CFG23 0x40010a57 +#define CYDEV_UCFG_B0_P5_U0_CFG24 0x40010a58 +#define CYDEV_UCFG_B0_P5_U0_CFG25 0x40010a59 +#define CYDEV_UCFG_B0_P5_U0_CFG26 0x40010a5a +#define CYDEV_UCFG_B0_P5_U0_CFG27 0x40010a5b +#define CYDEV_UCFG_B0_P5_U0_CFG28 0x40010a5c +#define CYDEV_UCFG_B0_P5_U0_CFG29 0x40010a5d +#define CYDEV_UCFG_B0_P5_U0_CFG30 0x40010a5e +#define CYDEV_UCFG_B0_P5_U0_CFG31 0x40010a5f +#define CYDEV_UCFG_B0_P5_U0_DCFG0 0x40010a60 +#define CYDEV_UCFG_B0_P5_U0_DCFG1 0x40010a62 +#define CYDEV_UCFG_B0_P5_U0_DCFG2 0x40010a64 +#define CYDEV_UCFG_B0_P5_U0_DCFG3 0x40010a66 +#define CYDEV_UCFG_B0_P5_U0_DCFG4 0x40010a68 +#define CYDEV_UCFG_B0_P5_U0_DCFG5 0x40010a6a +#define CYDEV_UCFG_B0_P5_U0_DCFG6 0x40010a6c +#define CYDEV_UCFG_B0_P5_U0_DCFG7 0x40010a6e +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80 +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT0 0x40010a80 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT1 0x40010a84 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT2 0x40010a88 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT3 0x40010a8c +#define CYDEV_UCFG_B0_P5_U1_PLD_IT4 0x40010a90 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT5 0x40010a94 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT6 0x40010a98 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT7 0x40010a9c +#define CYDEV_UCFG_B0_P5_U1_PLD_IT8 0x40010aa0 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT9 0x40010aa4 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT10 0x40010aa8 +#define CYDEV_UCFG_B0_P5_U1_PLD_IT11 0x40010aac +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT0 0x40010ab0 +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT1 0x40010ab2 +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT2 0x40010ab4 +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT3 0x40010ab6 +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8 +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB 0x40010aba +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abc +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS 0x40010abe +#define CYDEV_UCFG_B0_P5_U1_CFG0 0x40010ac0 +#define CYDEV_UCFG_B0_P5_U1_CFG1 0x40010ac1 +#define CYDEV_UCFG_B0_P5_U1_CFG2 0x40010ac2 +#define CYDEV_UCFG_B0_P5_U1_CFG3 0x40010ac3 +#define CYDEV_UCFG_B0_P5_U1_CFG4 0x40010ac4 +#define CYDEV_UCFG_B0_P5_U1_CFG5 0x40010ac5 +#define CYDEV_UCFG_B0_P5_U1_CFG6 0x40010ac6 +#define CYDEV_UCFG_B0_P5_U1_CFG7 0x40010ac7 +#define CYDEV_UCFG_B0_P5_U1_CFG8 0x40010ac8 +#define CYDEV_UCFG_B0_P5_U1_CFG9 0x40010ac9 +#define CYDEV_UCFG_B0_P5_U1_CFG10 0x40010aca +#define CYDEV_UCFG_B0_P5_U1_CFG11 0x40010acb +#define CYDEV_UCFG_B0_P5_U1_CFG12 0x40010acc +#define CYDEV_UCFG_B0_P5_U1_CFG13 0x40010acd +#define CYDEV_UCFG_B0_P5_U1_CFG14 0x40010ace +#define CYDEV_UCFG_B0_P5_U1_CFG15 0x40010acf +#define CYDEV_UCFG_B0_P5_U1_CFG16 0x40010ad0 +#define CYDEV_UCFG_B0_P5_U1_CFG17 0x40010ad1 +#define CYDEV_UCFG_B0_P5_U1_CFG18 0x40010ad2 +#define CYDEV_UCFG_B0_P5_U1_CFG19 0x40010ad3 +#define CYDEV_UCFG_B0_P5_U1_CFG20 0x40010ad4 +#define CYDEV_UCFG_B0_P5_U1_CFG21 0x40010ad5 +#define CYDEV_UCFG_B0_P5_U1_CFG22 0x40010ad6 +#define CYDEV_UCFG_B0_P5_U1_CFG23 0x40010ad7 +#define CYDEV_UCFG_B0_P5_U1_CFG24 0x40010ad8 +#define CYDEV_UCFG_B0_P5_U1_CFG25 0x40010ad9 +#define CYDEV_UCFG_B0_P5_U1_CFG26 0x40010ada +#define CYDEV_UCFG_B0_P5_U1_CFG27 0x40010adb +#define CYDEV_UCFG_B0_P5_U1_CFG28 0x40010adc +#define CYDEV_UCFG_B0_P5_U1_CFG29 0x40010add +#define CYDEV_UCFG_B0_P5_U1_CFG30 0x40010ade +#define CYDEV_UCFG_B0_P5_U1_CFG31 0x40010adf +#define CYDEV_UCFG_B0_P5_U1_DCFG0 0x40010ae0 +#define CYDEV_UCFG_B0_P5_U1_DCFG1 0x40010ae2 +#define CYDEV_UCFG_B0_P5_U1_DCFG2 0x40010ae4 +#define CYDEV_UCFG_B0_P5_U1_DCFG3 0x40010ae6 +#define CYDEV_UCFG_B0_P5_U1_DCFG4 0x40010ae8 +#define CYDEV_UCFG_B0_P5_U1_DCFG5 0x40010aea +#define CYDEV_UCFG_B0_P5_U1_DCFG6 0x40010aec +#define CYDEV_UCFG_B0_P5_U1_DCFG7 0x40010aee +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00 +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT0 0x40010c00 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT1 0x40010c04 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT2 0x40010c08 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT3 0x40010c0c +#define CYDEV_UCFG_B0_P6_U0_PLD_IT4 0x40010c10 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT5 0x40010c14 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT6 0x40010c18 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT7 0x40010c1c +#define CYDEV_UCFG_B0_P6_U0_PLD_IT8 0x40010c20 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT9 0x40010c24 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT10 0x40010c28 +#define CYDEV_UCFG_B0_P6_U0_PLD_IT11 0x40010c2c +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT0 0x40010c30 +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT1 0x40010c32 +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT2 0x40010c34 +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT3 0x40010c36 +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38 +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB 0x40010c3a +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3c +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3e +#define CYDEV_UCFG_B0_P6_U0_CFG0 0x40010c40 +#define CYDEV_UCFG_B0_P6_U0_CFG1 0x40010c41 +#define CYDEV_UCFG_B0_P6_U0_CFG2 0x40010c42 +#define CYDEV_UCFG_B0_P6_U0_CFG3 0x40010c43 +#define CYDEV_UCFG_B0_P6_U0_CFG4 0x40010c44 +#define CYDEV_UCFG_B0_P6_U0_CFG5 0x40010c45 +#define CYDEV_UCFG_B0_P6_U0_CFG6 0x40010c46 +#define CYDEV_UCFG_B0_P6_U0_CFG7 0x40010c47 +#define CYDEV_UCFG_B0_P6_U0_CFG8 0x40010c48 +#define CYDEV_UCFG_B0_P6_U0_CFG9 0x40010c49 +#define CYDEV_UCFG_B0_P6_U0_CFG10 0x40010c4a +#define CYDEV_UCFG_B0_P6_U0_CFG11 0x40010c4b +#define CYDEV_UCFG_B0_P6_U0_CFG12 0x40010c4c +#define CYDEV_UCFG_B0_P6_U0_CFG13 0x40010c4d +#define CYDEV_UCFG_B0_P6_U0_CFG14 0x40010c4e +#define CYDEV_UCFG_B0_P6_U0_CFG15 0x40010c4f +#define CYDEV_UCFG_B0_P6_U0_CFG16 0x40010c50 +#define CYDEV_UCFG_B0_P6_U0_CFG17 0x40010c51 +#define CYDEV_UCFG_B0_P6_U0_CFG18 0x40010c52 +#define CYDEV_UCFG_B0_P6_U0_CFG19 0x40010c53 +#define CYDEV_UCFG_B0_P6_U0_CFG20 0x40010c54 +#define CYDEV_UCFG_B0_P6_U0_CFG21 0x40010c55 +#define CYDEV_UCFG_B0_P6_U0_CFG22 0x40010c56 +#define CYDEV_UCFG_B0_P6_U0_CFG23 0x40010c57 +#define CYDEV_UCFG_B0_P6_U0_CFG24 0x40010c58 +#define CYDEV_UCFG_B0_P6_U0_CFG25 0x40010c59 +#define CYDEV_UCFG_B0_P6_U0_CFG26 0x40010c5a +#define CYDEV_UCFG_B0_P6_U0_CFG27 0x40010c5b +#define CYDEV_UCFG_B0_P6_U0_CFG28 0x40010c5c +#define CYDEV_UCFG_B0_P6_U0_CFG29 0x40010c5d +#define CYDEV_UCFG_B0_P6_U0_CFG30 0x40010c5e +#define CYDEV_UCFG_B0_P6_U0_CFG31 0x40010c5f +#define CYDEV_UCFG_B0_P6_U0_DCFG0 0x40010c60 +#define CYDEV_UCFG_B0_P6_U0_DCFG1 0x40010c62 +#define CYDEV_UCFG_B0_P6_U0_DCFG2 0x40010c64 +#define CYDEV_UCFG_B0_P6_U0_DCFG3 0x40010c66 +#define CYDEV_UCFG_B0_P6_U0_DCFG4 0x40010c68 +#define CYDEV_UCFG_B0_P6_U0_DCFG5 0x40010c6a +#define CYDEV_UCFG_B0_P6_U0_DCFG6 0x40010c6c +#define CYDEV_UCFG_B0_P6_U0_DCFG7 0x40010c6e +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80 +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT0 0x40010c80 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT1 0x40010c84 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT2 0x40010c88 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT3 0x40010c8c +#define CYDEV_UCFG_B0_P6_U1_PLD_IT4 0x40010c90 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT5 0x40010c94 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT6 0x40010c98 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT7 0x40010c9c +#define CYDEV_UCFG_B0_P6_U1_PLD_IT8 0x40010ca0 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT9 0x40010ca4 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT10 0x40010ca8 +#define CYDEV_UCFG_B0_P6_U1_PLD_IT11 0x40010cac +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT0 0x40010cb0 +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT1 0x40010cb2 +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT2 0x40010cb4 +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT3 0x40010cb6 +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8 +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB 0x40010cba +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbc +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbe +#define CYDEV_UCFG_B0_P6_U1_CFG0 0x40010cc0 +#define CYDEV_UCFG_B0_P6_U1_CFG1 0x40010cc1 +#define CYDEV_UCFG_B0_P6_U1_CFG2 0x40010cc2 +#define CYDEV_UCFG_B0_P6_U1_CFG3 0x40010cc3 +#define CYDEV_UCFG_B0_P6_U1_CFG4 0x40010cc4 +#define CYDEV_UCFG_B0_P6_U1_CFG5 0x40010cc5 +#define CYDEV_UCFG_B0_P6_U1_CFG6 0x40010cc6 +#define CYDEV_UCFG_B0_P6_U1_CFG7 0x40010cc7 +#define CYDEV_UCFG_B0_P6_U1_CFG8 0x40010cc8 +#define CYDEV_UCFG_B0_P6_U1_CFG9 0x40010cc9 +#define CYDEV_UCFG_B0_P6_U1_CFG10 0x40010cca +#define CYDEV_UCFG_B0_P6_U1_CFG11 0x40010ccb +#define CYDEV_UCFG_B0_P6_U1_CFG12 0x40010ccc +#define CYDEV_UCFG_B0_P6_U1_CFG13 0x40010ccd +#define CYDEV_UCFG_B0_P6_U1_CFG14 0x40010cce +#define CYDEV_UCFG_B0_P6_U1_CFG15 0x40010ccf +#define CYDEV_UCFG_B0_P6_U1_CFG16 0x40010cd0 +#define CYDEV_UCFG_B0_P6_U1_CFG17 0x40010cd1 +#define CYDEV_UCFG_B0_P6_U1_CFG18 0x40010cd2 +#define CYDEV_UCFG_B0_P6_U1_CFG19 0x40010cd3 +#define CYDEV_UCFG_B0_P6_U1_CFG20 0x40010cd4 +#define CYDEV_UCFG_B0_P6_U1_CFG21 0x40010cd5 +#define CYDEV_UCFG_B0_P6_U1_CFG22 0x40010cd6 +#define CYDEV_UCFG_B0_P6_U1_CFG23 0x40010cd7 +#define CYDEV_UCFG_B0_P6_U1_CFG24 0x40010cd8 +#define CYDEV_UCFG_B0_P6_U1_CFG25 0x40010cd9 +#define CYDEV_UCFG_B0_P6_U1_CFG26 0x40010cda +#define CYDEV_UCFG_B0_P6_U1_CFG27 0x40010cdb +#define CYDEV_UCFG_B0_P6_U1_CFG28 0x40010cdc +#define CYDEV_UCFG_B0_P6_U1_CFG29 0x40010cdd +#define CYDEV_UCFG_B0_P6_U1_CFG30 0x40010cde +#define CYDEV_UCFG_B0_P6_U1_CFG31 0x40010cdf +#define CYDEV_UCFG_B0_P6_U1_DCFG0 0x40010ce0 +#define CYDEV_UCFG_B0_P6_U1_DCFG1 0x40010ce2 +#define CYDEV_UCFG_B0_P6_U1_DCFG2 0x40010ce4 +#define CYDEV_UCFG_B0_P6_U1_DCFG3 0x40010ce6 +#define CYDEV_UCFG_B0_P6_U1_DCFG4 0x40010ce8 +#define CYDEV_UCFG_B0_P6_U1_DCFG5 0x40010cea +#define CYDEV_UCFG_B0_P6_U1_DCFG6 0x40010cec +#define CYDEV_UCFG_B0_P6_U1_DCFG7 0x40010cee +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00 +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT0 0x40010e00 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT1 0x40010e04 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT2 0x40010e08 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT3 0x40010e0c +#define CYDEV_UCFG_B0_P7_U0_PLD_IT4 0x40010e10 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT5 0x40010e14 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT6 0x40010e18 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT7 0x40010e1c +#define CYDEV_UCFG_B0_P7_U0_PLD_IT8 0x40010e20 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT9 0x40010e24 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT10 0x40010e28 +#define CYDEV_UCFG_B0_P7_U0_PLD_IT11 0x40010e2c +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT0 0x40010e30 +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT1 0x40010e32 +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT2 0x40010e34 +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT3 0x40010e36 +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38 +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB 0x40010e3a +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3c +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3e +#define CYDEV_UCFG_B0_P7_U0_CFG0 0x40010e40 +#define CYDEV_UCFG_B0_P7_U0_CFG1 0x40010e41 +#define CYDEV_UCFG_B0_P7_U0_CFG2 0x40010e42 +#define CYDEV_UCFG_B0_P7_U0_CFG3 0x40010e43 +#define CYDEV_UCFG_B0_P7_U0_CFG4 0x40010e44 +#define CYDEV_UCFG_B0_P7_U0_CFG5 0x40010e45 +#define CYDEV_UCFG_B0_P7_U0_CFG6 0x40010e46 +#define CYDEV_UCFG_B0_P7_U0_CFG7 0x40010e47 +#define CYDEV_UCFG_B0_P7_U0_CFG8 0x40010e48 +#define CYDEV_UCFG_B0_P7_U0_CFG9 0x40010e49 +#define CYDEV_UCFG_B0_P7_U0_CFG10 0x40010e4a +#define CYDEV_UCFG_B0_P7_U0_CFG11 0x40010e4b +#define CYDEV_UCFG_B0_P7_U0_CFG12 0x40010e4c +#define CYDEV_UCFG_B0_P7_U0_CFG13 0x40010e4d +#define CYDEV_UCFG_B0_P7_U0_CFG14 0x40010e4e +#define CYDEV_UCFG_B0_P7_U0_CFG15 0x40010e4f +#define CYDEV_UCFG_B0_P7_U0_CFG16 0x40010e50 +#define CYDEV_UCFG_B0_P7_U0_CFG17 0x40010e51 +#define CYDEV_UCFG_B0_P7_U0_CFG18 0x40010e52 +#define CYDEV_UCFG_B0_P7_U0_CFG19 0x40010e53 +#define CYDEV_UCFG_B0_P7_U0_CFG20 0x40010e54 +#define CYDEV_UCFG_B0_P7_U0_CFG21 0x40010e55 +#define CYDEV_UCFG_B0_P7_U0_CFG22 0x40010e56 +#define CYDEV_UCFG_B0_P7_U0_CFG23 0x40010e57 +#define CYDEV_UCFG_B0_P7_U0_CFG24 0x40010e58 +#define CYDEV_UCFG_B0_P7_U0_CFG25 0x40010e59 +#define CYDEV_UCFG_B0_P7_U0_CFG26 0x40010e5a +#define CYDEV_UCFG_B0_P7_U0_CFG27 0x40010e5b +#define CYDEV_UCFG_B0_P7_U0_CFG28 0x40010e5c +#define CYDEV_UCFG_B0_P7_U0_CFG29 0x40010e5d +#define CYDEV_UCFG_B0_P7_U0_CFG30 0x40010e5e +#define CYDEV_UCFG_B0_P7_U0_CFG31 0x40010e5f +#define CYDEV_UCFG_B0_P7_U0_DCFG0 0x40010e60 +#define CYDEV_UCFG_B0_P7_U0_DCFG1 0x40010e62 +#define CYDEV_UCFG_B0_P7_U0_DCFG2 0x40010e64 +#define CYDEV_UCFG_B0_P7_U0_DCFG3 0x40010e66 +#define CYDEV_UCFG_B0_P7_U0_DCFG4 0x40010e68 +#define CYDEV_UCFG_B0_P7_U0_DCFG5 0x40010e6a +#define CYDEV_UCFG_B0_P7_U0_DCFG6 0x40010e6c +#define CYDEV_UCFG_B0_P7_U0_DCFG7 0x40010e6e +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80 +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT0 0x40010e80 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT1 0x40010e84 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT2 0x40010e88 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT3 0x40010e8c +#define CYDEV_UCFG_B0_P7_U1_PLD_IT4 0x40010e90 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT5 0x40010e94 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT6 0x40010e98 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT7 0x40010e9c +#define CYDEV_UCFG_B0_P7_U1_PLD_IT8 0x40010ea0 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT9 0x40010ea4 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT10 0x40010ea8 +#define CYDEV_UCFG_B0_P7_U1_PLD_IT11 0x40010eac +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT0 0x40010eb0 +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT1 0x40010eb2 +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT2 0x40010eb4 +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT3 0x40010eb6 +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8 +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB 0x40010eba +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebc +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebe +#define CYDEV_UCFG_B0_P7_U1_CFG0 0x40010ec0 +#define CYDEV_UCFG_B0_P7_U1_CFG1 0x40010ec1 +#define CYDEV_UCFG_B0_P7_U1_CFG2 0x40010ec2 +#define CYDEV_UCFG_B0_P7_U1_CFG3 0x40010ec3 +#define CYDEV_UCFG_B0_P7_U1_CFG4 0x40010ec4 +#define CYDEV_UCFG_B0_P7_U1_CFG5 0x40010ec5 +#define CYDEV_UCFG_B0_P7_U1_CFG6 0x40010ec6 +#define CYDEV_UCFG_B0_P7_U1_CFG7 0x40010ec7 +#define CYDEV_UCFG_B0_P7_U1_CFG8 0x40010ec8 +#define CYDEV_UCFG_B0_P7_U1_CFG9 0x40010ec9 +#define CYDEV_UCFG_B0_P7_U1_CFG10 0x40010eca +#define CYDEV_UCFG_B0_P7_U1_CFG11 0x40010ecb +#define CYDEV_UCFG_B0_P7_U1_CFG12 0x40010ecc +#define CYDEV_UCFG_B0_P7_U1_CFG13 0x40010ecd +#define CYDEV_UCFG_B0_P7_U1_CFG14 0x40010ece +#define CYDEV_UCFG_B0_P7_U1_CFG15 0x40010ecf +#define CYDEV_UCFG_B0_P7_U1_CFG16 0x40010ed0 +#define CYDEV_UCFG_B0_P7_U1_CFG17 0x40010ed1 +#define CYDEV_UCFG_B0_P7_U1_CFG18 0x40010ed2 +#define CYDEV_UCFG_B0_P7_U1_CFG19 0x40010ed3 +#define CYDEV_UCFG_B0_P7_U1_CFG20 0x40010ed4 +#define CYDEV_UCFG_B0_P7_U1_CFG21 0x40010ed5 +#define CYDEV_UCFG_B0_P7_U1_CFG22 0x40010ed6 +#define CYDEV_UCFG_B0_P7_U1_CFG23 0x40010ed7 +#define CYDEV_UCFG_B0_P7_U1_CFG24 0x40010ed8 +#define CYDEV_UCFG_B0_P7_U1_CFG25 0x40010ed9 +#define CYDEV_UCFG_B0_P7_U1_CFG26 0x40010eda +#define CYDEV_UCFG_B0_P7_U1_CFG27 0x40010edb +#define CYDEV_UCFG_B0_P7_U1_CFG28 0x40010edc +#define CYDEV_UCFG_B0_P7_U1_CFG29 0x40010edd +#define CYDEV_UCFG_B0_P7_U1_CFG30 0x40010ede +#define CYDEV_UCFG_B0_P7_U1_CFG31 0x40010edf +#define CYDEV_UCFG_B0_P7_U1_DCFG0 0x40010ee0 +#define CYDEV_UCFG_B0_P7_U1_DCFG1 0x40010ee2 +#define CYDEV_UCFG_B0_P7_U1_DCFG2 0x40010ee4 +#define CYDEV_UCFG_B0_P7_U1_DCFG3 0x40010ee6 +#define CYDEV_UCFG_B0_P7_U1_DCFG4 0x40010ee8 +#define CYDEV_UCFG_B0_P7_U1_DCFG5 0x40010eea +#define CYDEV_UCFG_B0_P7_U1_DCFG6 0x40010eec +#define CYDEV_UCFG_B0_P7_U1_DCFG7 0x40010eee +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00 +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_BASE 0x40011000 +#define CYDEV_UCFG_B1_SIZE 0x00000fef +#define CYDEV_UCFG_B1_P2_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT0 0x40011400 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT1 0x40011404 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT2 0x40011408 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT3 0x4001140c +#define CYDEV_UCFG_B1_P2_U0_PLD_IT4 0x40011410 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT5 0x40011414 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT6 0x40011418 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT7 0x4001141c +#define CYDEV_UCFG_B1_P2_U0_PLD_IT8 0x40011420 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT9 0x40011424 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT10 0x40011428 +#define CYDEV_UCFG_B1_P2_U0_PLD_IT11 0x4001142c +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT0 0x40011430 +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT1 0x40011432 +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT2 0x40011434 +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT3 0x40011436 +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438 +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB 0x4001143a +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143c +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS 0x4001143e +#define CYDEV_UCFG_B1_P2_U0_CFG0 0x40011440 +#define CYDEV_UCFG_B1_P2_U0_CFG1 0x40011441 +#define CYDEV_UCFG_B1_P2_U0_CFG2 0x40011442 +#define CYDEV_UCFG_B1_P2_U0_CFG3 0x40011443 +#define CYDEV_UCFG_B1_P2_U0_CFG4 0x40011444 +#define CYDEV_UCFG_B1_P2_U0_CFG5 0x40011445 +#define CYDEV_UCFG_B1_P2_U0_CFG6 0x40011446 +#define CYDEV_UCFG_B1_P2_U0_CFG7 0x40011447 +#define CYDEV_UCFG_B1_P2_U0_CFG8 0x40011448 +#define CYDEV_UCFG_B1_P2_U0_CFG9 0x40011449 +#define CYDEV_UCFG_B1_P2_U0_CFG10 0x4001144a +#define CYDEV_UCFG_B1_P2_U0_CFG11 0x4001144b +#define CYDEV_UCFG_B1_P2_U0_CFG12 0x4001144c +#define CYDEV_UCFG_B1_P2_U0_CFG13 0x4001144d +#define CYDEV_UCFG_B1_P2_U0_CFG14 0x4001144e +#define CYDEV_UCFG_B1_P2_U0_CFG15 0x4001144f +#define CYDEV_UCFG_B1_P2_U0_CFG16 0x40011450 +#define CYDEV_UCFG_B1_P2_U0_CFG17 0x40011451 +#define CYDEV_UCFG_B1_P2_U0_CFG18 0x40011452 +#define CYDEV_UCFG_B1_P2_U0_CFG19 0x40011453 +#define CYDEV_UCFG_B1_P2_U0_CFG20 0x40011454 +#define CYDEV_UCFG_B1_P2_U0_CFG21 0x40011455 +#define CYDEV_UCFG_B1_P2_U0_CFG22 0x40011456 +#define CYDEV_UCFG_B1_P2_U0_CFG23 0x40011457 +#define CYDEV_UCFG_B1_P2_U0_CFG24 0x40011458 +#define CYDEV_UCFG_B1_P2_U0_CFG25 0x40011459 +#define CYDEV_UCFG_B1_P2_U0_CFG26 0x4001145a +#define CYDEV_UCFG_B1_P2_U0_CFG27 0x4001145b +#define CYDEV_UCFG_B1_P2_U0_CFG28 0x4001145c +#define CYDEV_UCFG_B1_P2_U0_CFG29 0x4001145d +#define CYDEV_UCFG_B1_P2_U0_CFG30 0x4001145e +#define CYDEV_UCFG_B1_P2_U0_CFG31 0x4001145f +#define CYDEV_UCFG_B1_P2_U0_DCFG0 0x40011460 +#define CYDEV_UCFG_B1_P2_U0_DCFG1 0x40011462 +#define CYDEV_UCFG_B1_P2_U0_DCFG2 0x40011464 +#define CYDEV_UCFG_B1_P2_U0_DCFG3 0x40011466 +#define CYDEV_UCFG_B1_P2_U0_DCFG4 0x40011468 +#define CYDEV_UCFG_B1_P2_U0_DCFG5 0x4001146a +#define CYDEV_UCFG_B1_P2_U0_DCFG6 0x4001146c +#define CYDEV_UCFG_B1_P2_U0_DCFG7 0x4001146e +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480 +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT0 0x40011480 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT1 0x40011484 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT2 0x40011488 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT3 0x4001148c +#define CYDEV_UCFG_B1_P2_U1_PLD_IT4 0x40011490 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT5 0x40011494 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT6 0x40011498 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT7 0x4001149c +#define CYDEV_UCFG_B1_P2_U1_PLD_IT8 0x400114a0 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT9 0x400114a4 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT10 0x400114a8 +#define CYDEV_UCFG_B1_P2_U1_PLD_IT11 0x400114ac +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT0 0x400114b0 +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT1 0x400114b2 +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT2 0x400114b4 +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT3 0x400114b6 +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8 +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB 0x400114ba +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bc +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS 0x400114be +#define CYDEV_UCFG_B1_P2_U1_CFG0 0x400114c0 +#define CYDEV_UCFG_B1_P2_U1_CFG1 0x400114c1 +#define CYDEV_UCFG_B1_P2_U1_CFG2 0x400114c2 +#define CYDEV_UCFG_B1_P2_U1_CFG3 0x400114c3 +#define CYDEV_UCFG_B1_P2_U1_CFG4 0x400114c4 +#define CYDEV_UCFG_B1_P2_U1_CFG5 0x400114c5 +#define CYDEV_UCFG_B1_P2_U1_CFG6 0x400114c6 +#define CYDEV_UCFG_B1_P2_U1_CFG7 0x400114c7 +#define CYDEV_UCFG_B1_P2_U1_CFG8 0x400114c8 +#define CYDEV_UCFG_B1_P2_U1_CFG9 0x400114c9 +#define CYDEV_UCFG_B1_P2_U1_CFG10 0x400114ca +#define CYDEV_UCFG_B1_P2_U1_CFG11 0x400114cb +#define CYDEV_UCFG_B1_P2_U1_CFG12 0x400114cc +#define CYDEV_UCFG_B1_P2_U1_CFG13 0x400114cd +#define CYDEV_UCFG_B1_P2_U1_CFG14 0x400114ce +#define CYDEV_UCFG_B1_P2_U1_CFG15 0x400114cf +#define CYDEV_UCFG_B1_P2_U1_CFG16 0x400114d0 +#define CYDEV_UCFG_B1_P2_U1_CFG17 0x400114d1 +#define CYDEV_UCFG_B1_P2_U1_CFG18 0x400114d2 +#define CYDEV_UCFG_B1_P2_U1_CFG19 0x400114d3 +#define CYDEV_UCFG_B1_P2_U1_CFG20 0x400114d4 +#define CYDEV_UCFG_B1_P2_U1_CFG21 0x400114d5 +#define CYDEV_UCFG_B1_P2_U1_CFG22 0x400114d6 +#define CYDEV_UCFG_B1_P2_U1_CFG23 0x400114d7 +#define CYDEV_UCFG_B1_P2_U1_CFG24 0x400114d8 +#define CYDEV_UCFG_B1_P2_U1_CFG25 0x400114d9 +#define CYDEV_UCFG_B1_P2_U1_CFG26 0x400114da +#define CYDEV_UCFG_B1_P2_U1_CFG27 0x400114db +#define CYDEV_UCFG_B1_P2_U1_CFG28 0x400114dc +#define CYDEV_UCFG_B1_P2_U1_CFG29 0x400114dd +#define CYDEV_UCFG_B1_P2_U1_CFG30 0x400114de +#define CYDEV_UCFG_B1_P2_U1_CFG31 0x400114df +#define CYDEV_UCFG_B1_P2_U1_DCFG0 0x400114e0 +#define CYDEV_UCFG_B1_P2_U1_DCFG1 0x400114e2 +#define CYDEV_UCFG_B1_P2_U1_DCFG2 0x400114e4 +#define CYDEV_UCFG_B1_P2_U1_DCFG3 0x400114e6 +#define CYDEV_UCFG_B1_P2_U1_DCFG4 0x400114e8 +#define CYDEV_UCFG_B1_P2_U1_DCFG5 0x400114ea +#define CYDEV_UCFG_B1_P2_U1_DCFG6 0x400114ec +#define CYDEV_UCFG_B1_P2_U1_DCFG7 0x400114ee +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500 +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P3_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT0 0x40011600 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT1 0x40011604 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT2 0x40011608 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT3 0x4001160c +#define CYDEV_UCFG_B1_P3_U0_PLD_IT4 0x40011610 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT5 0x40011614 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT6 0x40011618 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT7 0x4001161c +#define CYDEV_UCFG_B1_P3_U0_PLD_IT8 0x40011620 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT9 0x40011624 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT10 0x40011628 +#define CYDEV_UCFG_B1_P3_U0_PLD_IT11 0x4001162c +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT0 0x40011630 +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT1 0x40011632 +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT2 0x40011634 +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT3 0x40011636 +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638 +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB 0x4001163a +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163c +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS 0x4001163e +#define CYDEV_UCFG_B1_P3_U0_CFG0 0x40011640 +#define CYDEV_UCFG_B1_P3_U0_CFG1 0x40011641 +#define CYDEV_UCFG_B1_P3_U0_CFG2 0x40011642 +#define CYDEV_UCFG_B1_P3_U0_CFG3 0x40011643 +#define CYDEV_UCFG_B1_P3_U0_CFG4 0x40011644 +#define CYDEV_UCFG_B1_P3_U0_CFG5 0x40011645 +#define CYDEV_UCFG_B1_P3_U0_CFG6 0x40011646 +#define CYDEV_UCFG_B1_P3_U0_CFG7 0x40011647 +#define CYDEV_UCFG_B1_P3_U0_CFG8 0x40011648 +#define CYDEV_UCFG_B1_P3_U0_CFG9 0x40011649 +#define CYDEV_UCFG_B1_P3_U0_CFG10 0x4001164a +#define CYDEV_UCFG_B1_P3_U0_CFG11 0x4001164b +#define CYDEV_UCFG_B1_P3_U0_CFG12 0x4001164c +#define CYDEV_UCFG_B1_P3_U0_CFG13 0x4001164d +#define CYDEV_UCFG_B1_P3_U0_CFG14 0x4001164e +#define CYDEV_UCFG_B1_P3_U0_CFG15 0x4001164f +#define CYDEV_UCFG_B1_P3_U0_CFG16 0x40011650 +#define CYDEV_UCFG_B1_P3_U0_CFG17 0x40011651 +#define CYDEV_UCFG_B1_P3_U0_CFG18 0x40011652 +#define CYDEV_UCFG_B1_P3_U0_CFG19 0x40011653 +#define CYDEV_UCFG_B1_P3_U0_CFG20 0x40011654 +#define CYDEV_UCFG_B1_P3_U0_CFG21 0x40011655 +#define CYDEV_UCFG_B1_P3_U0_CFG22 0x40011656 +#define CYDEV_UCFG_B1_P3_U0_CFG23 0x40011657 +#define CYDEV_UCFG_B1_P3_U0_CFG24 0x40011658 +#define CYDEV_UCFG_B1_P3_U0_CFG25 0x40011659 +#define CYDEV_UCFG_B1_P3_U0_CFG26 0x4001165a +#define CYDEV_UCFG_B1_P3_U0_CFG27 0x4001165b +#define CYDEV_UCFG_B1_P3_U0_CFG28 0x4001165c +#define CYDEV_UCFG_B1_P3_U0_CFG29 0x4001165d +#define CYDEV_UCFG_B1_P3_U0_CFG30 0x4001165e +#define CYDEV_UCFG_B1_P3_U0_CFG31 0x4001165f +#define CYDEV_UCFG_B1_P3_U0_DCFG0 0x40011660 +#define CYDEV_UCFG_B1_P3_U0_DCFG1 0x40011662 +#define CYDEV_UCFG_B1_P3_U0_DCFG2 0x40011664 +#define CYDEV_UCFG_B1_P3_U0_DCFG3 0x40011666 +#define CYDEV_UCFG_B1_P3_U0_DCFG4 0x40011668 +#define CYDEV_UCFG_B1_P3_U0_DCFG5 0x4001166a +#define CYDEV_UCFG_B1_P3_U0_DCFG6 0x4001166c +#define CYDEV_UCFG_B1_P3_U0_DCFG7 0x4001166e +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680 +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT0 0x40011680 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT1 0x40011684 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT2 0x40011688 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT3 0x4001168c +#define CYDEV_UCFG_B1_P3_U1_PLD_IT4 0x40011690 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT5 0x40011694 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT6 0x40011698 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT7 0x4001169c +#define CYDEV_UCFG_B1_P3_U1_PLD_IT8 0x400116a0 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT9 0x400116a4 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT10 0x400116a8 +#define CYDEV_UCFG_B1_P3_U1_PLD_IT11 0x400116ac +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT0 0x400116b0 +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT1 0x400116b2 +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT2 0x400116b4 +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT3 0x400116b6 +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8 +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB 0x400116ba +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bc +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS 0x400116be +#define CYDEV_UCFG_B1_P3_U1_CFG0 0x400116c0 +#define CYDEV_UCFG_B1_P3_U1_CFG1 0x400116c1 +#define CYDEV_UCFG_B1_P3_U1_CFG2 0x400116c2 +#define CYDEV_UCFG_B1_P3_U1_CFG3 0x400116c3 +#define CYDEV_UCFG_B1_P3_U1_CFG4 0x400116c4 +#define CYDEV_UCFG_B1_P3_U1_CFG5 0x400116c5 +#define CYDEV_UCFG_B1_P3_U1_CFG6 0x400116c6 +#define CYDEV_UCFG_B1_P3_U1_CFG7 0x400116c7 +#define CYDEV_UCFG_B1_P3_U1_CFG8 0x400116c8 +#define CYDEV_UCFG_B1_P3_U1_CFG9 0x400116c9 +#define CYDEV_UCFG_B1_P3_U1_CFG10 0x400116ca +#define CYDEV_UCFG_B1_P3_U1_CFG11 0x400116cb +#define CYDEV_UCFG_B1_P3_U1_CFG12 0x400116cc +#define CYDEV_UCFG_B1_P3_U1_CFG13 0x400116cd +#define CYDEV_UCFG_B1_P3_U1_CFG14 0x400116ce +#define CYDEV_UCFG_B1_P3_U1_CFG15 0x400116cf +#define CYDEV_UCFG_B1_P3_U1_CFG16 0x400116d0 +#define CYDEV_UCFG_B1_P3_U1_CFG17 0x400116d1 +#define CYDEV_UCFG_B1_P3_U1_CFG18 0x400116d2 +#define CYDEV_UCFG_B1_P3_U1_CFG19 0x400116d3 +#define CYDEV_UCFG_B1_P3_U1_CFG20 0x400116d4 +#define CYDEV_UCFG_B1_P3_U1_CFG21 0x400116d5 +#define CYDEV_UCFG_B1_P3_U1_CFG22 0x400116d6 +#define CYDEV_UCFG_B1_P3_U1_CFG23 0x400116d7 +#define CYDEV_UCFG_B1_P3_U1_CFG24 0x400116d8 +#define CYDEV_UCFG_B1_P3_U1_CFG25 0x400116d9 +#define CYDEV_UCFG_B1_P3_U1_CFG26 0x400116da +#define CYDEV_UCFG_B1_P3_U1_CFG27 0x400116db +#define CYDEV_UCFG_B1_P3_U1_CFG28 0x400116dc +#define CYDEV_UCFG_B1_P3_U1_CFG29 0x400116dd +#define CYDEV_UCFG_B1_P3_U1_CFG30 0x400116de +#define CYDEV_UCFG_B1_P3_U1_CFG31 0x400116df +#define CYDEV_UCFG_B1_P3_U1_DCFG0 0x400116e0 +#define CYDEV_UCFG_B1_P3_U1_DCFG1 0x400116e2 +#define CYDEV_UCFG_B1_P3_U1_DCFG2 0x400116e4 +#define CYDEV_UCFG_B1_P3_U1_DCFG3 0x400116e6 +#define CYDEV_UCFG_B1_P3_U1_DCFG4 0x400116e8 +#define CYDEV_UCFG_B1_P3_U1_DCFG5 0x400116ea +#define CYDEV_UCFG_B1_P3_U1_DCFG6 0x400116ec +#define CYDEV_UCFG_B1_P3_U1_DCFG7 0x400116ee +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700 +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P4_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT0 0x40011800 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT1 0x40011804 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT2 0x40011808 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT3 0x4001180c +#define CYDEV_UCFG_B1_P4_U0_PLD_IT4 0x40011810 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT5 0x40011814 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT6 0x40011818 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT7 0x4001181c +#define CYDEV_UCFG_B1_P4_U0_PLD_IT8 0x40011820 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT9 0x40011824 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT10 0x40011828 +#define CYDEV_UCFG_B1_P4_U0_PLD_IT11 0x4001182c +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT0 0x40011830 +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT1 0x40011832 +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT2 0x40011834 +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT3 0x40011836 +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838 +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB 0x4001183a +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183c +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS 0x4001183e +#define CYDEV_UCFG_B1_P4_U0_CFG0 0x40011840 +#define CYDEV_UCFG_B1_P4_U0_CFG1 0x40011841 +#define CYDEV_UCFG_B1_P4_U0_CFG2 0x40011842 +#define CYDEV_UCFG_B1_P4_U0_CFG3 0x40011843 +#define CYDEV_UCFG_B1_P4_U0_CFG4 0x40011844 +#define CYDEV_UCFG_B1_P4_U0_CFG5 0x40011845 +#define CYDEV_UCFG_B1_P4_U0_CFG6 0x40011846 +#define CYDEV_UCFG_B1_P4_U0_CFG7 0x40011847 +#define CYDEV_UCFG_B1_P4_U0_CFG8 0x40011848 +#define CYDEV_UCFG_B1_P4_U0_CFG9 0x40011849 +#define CYDEV_UCFG_B1_P4_U0_CFG10 0x4001184a +#define CYDEV_UCFG_B1_P4_U0_CFG11 0x4001184b +#define CYDEV_UCFG_B1_P4_U0_CFG12 0x4001184c +#define CYDEV_UCFG_B1_P4_U0_CFG13 0x4001184d +#define CYDEV_UCFG_B1_P4_U0_CFG14 0x4001184e +#define CYDEV_UCFG_B1_P4_U0_CFG15 0x4001184f +#define CYDEV_UCFG_B1_P4_U0_CFG16 0x40011850 +#define CYDEV_UCFG_B1_P4_U0_CFG17 0x40011851 +#define CYDEV_UCFG_B1_P4_U0_CFG18 0x40011852 +#define CYDEV_UCFG_B1_P4_U0_CFG19 0x40011853 +#define CYDEV_UCFG_B1_P4_U0_CFG20 0x40011854 +#define CYDEV_UCFG_B1_P4_U0_CFG21 0x40011855 +#define CYDEV_UCFG_B1_P4_U0_CFG22 0x40011856 +#define CYDEV_UCFG_B1_P4_U0_CFG23 0x40011857 +#define CYDEV_UCFG_B1_P4_U0_CFG24 0x40011858 +#define CYDEV_UCFG_B1_P4_U0_CFG25 0x40011859 +#define CYDEV_UCFG_B1_P4_U0_CFG26 0x4001185a +#define CYDEV_UCFG_B1_P4_U0_CFG27 0x4001185b +#define CYDEV_UCFG_B1_P4_U0_CFG28 0x4001185c +#define CYDEV_UCFG_B1_P4_U0_CFG29 0x4001185d +#define CYDEV_UCFG_B1_P4_U0_CFG30 0x4001185e +#define CYDEV_UCFG_B1_P4_U0_CFG31 0x4001185f +#define CYDEV_UCFG_B1_P4_U0_DCFG0 0x40011860 +#define CYDEV_UCFG_B1_P4_U0_DCFG1 0x40011862 +#define CYDEV_UCFG_B1_P4_U0_DCFG2 0x40011864 +#define CYDEV_UCFG_B1_P4_U0_DCFG3 0x40011866 +#define CYDEV_UCFG_B1_P4_U0_DCFG4 0x40011868 +#define CYDEV_UCFG_B1_P4_U0_DCFG5 0x4001186a +#define CYDEV_UCFG_B1_P4_U0_DCFG6 0x4001186c +#define CYDEV_UCFG_B1_P4_U0_DCFG7 0x4001186e +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880 +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT0 0x40011880 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT1 0x40011884 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT2 0x40011888 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT3 0x4001188c +#define CYDEV_UCFG_B1_P4_U1_PLD_IT4 0x40011890 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT5 0x40011894 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT6 0x40011898 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT7 0x4001189c +#define CYDEV_UCFG_B1_P4_U1_PLD_IT8 0x400118a0 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT9 0x400118a4 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT10 0x400118a8 +#define CYDEV_UCFG_B1_P4_U1_PLD_IT11 0x400118ac +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT0 0x400118b0 +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT1 0x400118b2 +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT2 0x400118b4 +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT3 0x400118b6 +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8 +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB 0x400118ba +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bc +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS 0x400118be +#define CYDEV_UCFG_B1_P4_U1_CFG0 0x400118c0 +#define CYDEV_UCFG_B1_P4_U1_CFG1 0x400118c1 +#define CYDEV_UCFG_B1_P4_U1_CFG2 0x400118c2 +#define CYDEV_UCFG_B1_P4_U1_CFG3 0x400118c3 +#define CYDEV_UCFG_B1_P4_U1_CFG4 0x400118c4 +#define CYDEV_UCFG_B1_P4_U1_CFG5 0x400118c5 +#define CYDEV_UCFG_B1_P4_U1_CFG6 0x400118c6 +#define CYDEV_UCFG_B1_P4_U1_CFG7 0x400118c7 +#define CYDEV_UCFG_B1_P4_U1_CFG8 0x400118c8 +#define CYDEV_UCFG_B1_P4_U1_CFG9 0x400118c9 +#define CYDEV_UCFG_B1_P4_U1_CFG10 0x400118ca +#define CYDEV_UCFG_B1_P4_U1_CFG11 0x400118cb +#define CYDEV_UCFG_B1_P4_U1_CFG12 0x400118cc +#define CYDEV_UCFG_B1_P4_U1_CFG13 0x400118cd +#define CYDEV_UCFG_B1_P4_U1_CFG14 0x400118ce +#define CYDEV_UCFG_B1_P4_U1_CFG15 0x400118cf +#define CYDEV_UCFG_B1_P4_U1_CFG16 0x400118d0 +#define CYDEV_UCFG_B1_P4_U1_CFG17 0x400118d1 +#define CYDEV_UCFG_B1_P4_U1_CFG18 0x400118d2 +#define CYDEV_UCFG_B1_P4_U1_CFG19 0x400118d3 +#define CYDEV_UCFG_B1_P4_U1_CFG20 0x400118d4 +#define CYDEV_UCFG_B1_P4_U1_CFG21 0x400118d5 +#define CYDEV_UCFG_B1_P4_U1_CFG22 0x400118d6 +#define CYDEV_UCFG_B1_P4_U1_CFG23 0x400118d7 +#define CYDEV_UCFG_B1_P4_U1_CFG24 0x400118d8 +#define CYDEV_UCFG_B1_P4_U1_CFG25 0x400118d9 +#define CYDEV_UCFG_B1_P4_U1_CFG26 0x400118da +#define CYDEV_UCFG_B1_P4_U1_CFG27 0x400118db +#define CYDEV_UCFG_B1_P4_U1_CFG28 0x400118dc +#define CYDEV_UCFG_B1_P4_U1_CFG29 0x400118dd +#define CYDEV_UCFG_B1_P4_U1_CFG30 0x400118de +#define CYDEV_UCFG_B1_P4_U1_CFG31 0x400118df +#define CYDEV_UCFG_B1_P4_U1_DCFG0 0x400118e0 +#define CYDEV_UCFG_B1_P4_U1_DCFG1 0x400118e2 +#define CYDEV_UCFG_B1_P4_U1_DCFG2 0x400118e4 +#define CYDEV_UCFG_B1_P4_U1_DCFG3 0x400118e6 +#define CYDEV_UCFG_B1_P4_U1_DCFG4 0x400118e8 +#define CYDEV_UCFG_B1_P4_U1_DCFG5 0x400118ea +#define CYDEV_UCFG_B1_P4_U1_DCFG6 0x400118ec +#define CYDEV_UCFG_B1_P4_U1_DCFG7 0x400118ee +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900 +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT0 0x40011a00 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT1 0x40011a04 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT2 0x40011a08 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT3 0x40011a0c +#define CYDEV_UCFG_B1_P5_U0_PLD_IT4 0x40011a10 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT5 0x40011a14 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT6 0x40011a18 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT7 0x40011a1c +#define CYDEV_UCFG_B1_P5_U0_PLD_IT8 0x40011a20 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT9 0x40011a24 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT10 0x40011a28 +#define CYDEV_UCFG_B1_P5_U0_PLD_IT11 0x40011a2c +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT0 0x40011a30 +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT1 0x40011a32 +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT2 0x40011a34 +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT3 0x40011a36 +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38 +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB 0x40011a3a +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3c +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3e +#define CYDEV_UCFG_B1_P5_U0_CFG0 0x40011a40 +#define CYDEV_UCFG_B1_P5_U0_CFG1 0x40011a41 +#define CYDEV_UCFG_B1_P5_U0_CFG2 0x40011a42 +#define CYDEV_UCFG_B1_P5_U0_CFG3 0x40011a43 +#define CYDEV_UCFG_B1_P5_U0_CFG4 0x40011a44 +#define CYDEV_UCFG_B1_P5_U0_CFG5 0x40011a45 +#define CYDEV_UCFG_B1_P5_U0_CFG6 0x40011a46 +#define CYDEV_UCFG_B1_P5_U0_CFG7 0x40011a47 +#define CYDEV_UCFG_B1_P5_U0_CFG8 0x40011a48 +#define CYDEV_UCFG_B1_P5_U0_CFG9 0x40011a49 +#define CYDEV_UCFG_B1_P5_U0_CFG10 0x40011a4a +#define CYDEV_UCFG_B1_P5_U0_CFG11 0x40011a4b +#define CYDEV_UCFG_B1_P5_U0_CFG12 0x40011a4c +#define CYDEV_UCFG_B1_P5_U0_CFG13 0x40011a4d +#define CYDEV_UCFG_B1_P5_U0_CFG14 0x40011a4e +#define CYDEV_UCFG_B1_P5_U0_CFG15 0x40011a4f +#define CYDEV_UCFG_B1_P5_U0_CFG16 0x40011a50 +#define CYDEV_UCFG_B1_P5_U0_CFG17 0x40011a51 +#define CYDEV_UCFG_B1_P5_U0_CFG18 0x40011a52 +#define CYDEV_UCFG_B1_P5_U0_CFG19 0x40011a53 +#define CYDEV_UCFG_B1_P5_U0_CFG20 0x40011a54 +#define CYDEV_UCFG_B1_P5_U0_CFG21 0x40011a55 +#define CYDEV_UCFG_B1_P5_U0_CFG22 0x40011a56 +#define CYDEV_UCFG_B1_P5_U0_CFG23 0x40011a57 +#define CYDEV_UCFG_B1_P5_U0_CFG24 0x40011a58 +#define CYDEV_UCFG_B1_P5_U0_CFG25 0x40011a59 +#define CYDEV_UCFG_B1_P5_U0_CFG26 0x40011a5a +#define CYDEV_UCFG_B1_P5_U0_CFG27 0x40011a5b +#define CYDEV_UCFG_B1_P5_U0_CFG28 0x40011a5c +#define CYDEV_UCFG_B1_P5_U0_CFG29 0x40011a5d +#define CYDEV_UCFG_B1_P5_U0_CFG30 0x40011a5e +#define CYDEV_UCFG_B1_P5_U0_CFG31 0x40011a5f +#define CYDEV_UCFG_B1_P5_U0_DCFG0 0x40011a60 +#define CYDEV_UCFG_B1_P5_U0_DCFG1 0x40011a62 +#define CYDEV_UCFG_B1_P5_U0_DCFG2 0x40011a64 +#define CYDEV_UCFG_B1_P5_U0_DCFG3 0x40011a66 +#define CYDEV_UCFG_B1_P5_U0_DCFG4 0x40011a68 +#define CYDEV_UCFG_B1_P5_U0_DCFG5 0x40011a6a +#define CYDEV_UCFG_B1_P5_U0_DCFG6 0x40011a6c +#define CYDEV_UCFG_B1_P5_U0_DCFG7 0x40011a6e +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80 +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT0 0x40011a80 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT1 0x40011a84 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT2 0x40011a88 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT3 0x40011a8c +#define CYDEV_UCFG_B1_P5_U1_PLD_IT4 0x40011a90 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT5 0x40011a94 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT6 0x40011a98 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT7 0x40011a9c +#define CYDEV_UCFG_B1_P5_U1_PLD_IT8 0x40011aa0 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT9 0x40011aa4 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT10 0x40011aa8 +#define CYDEV_UCFG_B1_P5_U1_PLD_IT11 0x40011aac +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT0 0x40011ab0 +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT1 0x40011ab2 +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT2 0x40011ab4 +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT3 0x40011ab6 +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8 +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB 0x40011aba +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abc +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS 0x40011abe +#define CYDEV_UCFG_B1_P5_U1_CFG0 0x40011ac0 +#define CYDEV_UCFG_B1_P5_U1_CFG1 0x40011ac1 +#define CYDEV_UCFG_B1_P5_U1_CFG2 0x40011ac2 +#define CYDEV_UCFG_B1_P5_U1_CFG3 0x40011ac3 +#define CYDEV_UCFG_B1_P5_U1_CFG4 0x40011ac4 +#define CYDEV_UCFG_B1_P5_U1_CFG5 0x40011ac5 +#define CYDEV_UCFG_B1_P5_U1_CFG6 0x40011ac6 +#define CYDEV_UCFG_B1_P5_U1_CFG7 0x40011ac7 +#define CYDEV_UCFG_B1_P5_U1_CFG8 0x40011ac8 +#define CYDEV_UCFG_B1_P5_U1_CFG9 0x40011ac9 +#define CYDEV_UCFG_B1_P5_U1_CFG10 0x40011aca +#define CYDEV_UCFG_B1_P5_U1_CFG11 0x40011acb +#define CYDEV_UCFG_B1_P5_U1_CFG12 0x40011acc +#define CYDEV_UCFG_B1_P5_U1_CFG13 0x40011acd +#define CYDEV_UCFG_B1_P5_U1_CFG14 0x40011ace +#define CYDEV_UCFG_B1_P5_U1_CFG15 0x40011acf +#define CYDEV_UCFG_B1_P5_U1_CFG16 0x40011ad0 +#define CYDEV_UCFG_B1_P5_U1_CFG17 0x40011ad1 +#define CYDEV_UCFG_B1_P5_U1_CFG18 0x40011ad2 +#define CYDEV_UCFG_B1_P5_U1_CFG19 0x40011ad3 +#define CYDEV_UCFG_B1_P5_U1_CFG20 0x40011ad4 +#define CYDEV_UCFG_B1_P5_U1_CFG21 0x40011ad5 +#define CYDEV_UCFG_B1_P5_U1_CFG22 0x40011ad6 +#define CYDEV_UCFG_B1_P5_U1_CFG23 0x40011ad7 +#define CYDEV_UCFG_B1_P5_U1_CFG24 0x40011ad8 +#define CYDEV_UCFG_B1_P5_U1_CFG25 0x40011ad9 +#define CYDEV_UCFG_B1_P5_U1_CFG26 0x40011ada +#define CYDEV_UCFG_B1_P5_U1_CFG27 0x40011adb +#define CYDEV_UCFG_B1_P5_U1_CFG28 0x40011adc +#define CYDEV_UCFG_B1_P5_U1_CFG29 0x40011add +#define CYDEV_UCFG_B1_P5_U1_CFG30 0x40011ade +#define CYDEV_UCFG_B1_P5_U1_CFG31 0x40011adf +#define CYDEV_UCFG_B1_P5_U1_DCFG0 0x40011ae0 +#define CYDEV_UCFG_B1_P5_U1_DCFG1 0x40011ae2 +#define CYDEV_UCFG_B1_P5_U1_DCFG2 0x40011ae4 +#define CYDEV_UCFG_B1_P5_U1_DCFG3 0x40011ae6 +#define CYDEV_UCFG_B1_P5_U1_DCFG4 0x40011ae8 +#define CYDEV_UCFG_B1_P5_U1_DCFG5 0x40011aea +#define CYDEV_UCFG_B1_P5_U1_DCFG6 0x40011aec +#define CYDEV_UCFG_B1_P5_U1_DCFG7 0x40011aee +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00 +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_DSI0_BASE 0x40014000 +#define CYDEV_UCFG_DSI0_SIZE 0x000000ef +#define CYDEV_UCFG_DSI1_BASE 0x40014100 +#define CYDEV_UCFG_DSI1_SIZE 0x000000ef +#define CYDEV_UCFG_DSI2_BASE 0x40014200 +#define CYDEV_UCFG_DSI2_SIZE 0x000000ef +#define CYDEV_UCFG_DSI3_BASE 0x40014300 +#define CYDEV_UCFG_DSI3_SIZE 0x000000ef +#define CYDEV_UCFG_DSI4_BASE 0x40014400 +#define CYDEV_UCFG_DSI4_SIZE 0x000000ef +#define CYDEV_UCFG_DSI5_BASE 0x40014500 +#define CYDEV_UCFG_DSI5_SIZE 0x000000ef +#define CYDEV_UCFG_DSI6_BASE 0x40014600 +#define CYDEV_UCFG_DSI6_SIZE 0x000000ef +#define CYDEV_UCFG_DSI7_BASE 0x40014700 +#define CYDEV_UCFG_DSI7_SIZE 0x000000ef +#define CYDEV_UCFG_DSI8_BASE 0x40014800 +#define CYDEV_UCFG_DSI8_SIZE 0x000000ef +#define CYDEV_UCFG_DSI9_BASE 0x40014900 +#define CYDEV_UCFG_DSI9_SIZE 0x000000ef +#define CYDEV_UCFG_DSI12_BASE 0x40014c00 +#define CYDEV_UCFG_DSI12_SIZE 0x000000ef +#define CYDEV_UCFG_DSI13_BASE 0x40014d00 +#define CYDEV_UCFG_DSI13_SIZE 0x000000ef +#define CYDEV_UCFG_BCTL0_BASE 0x40015000 +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010 +#define CYDEV_UCFG_BCTL0_MDCLK_EN 0x40015000 +#define CYDEV_UCFG_BCTL0_MBCLK_EN 0x40015001 +#define CYDEV_UCFG_BCTL0_WAIT_CFG 0x40015002 +#define CYDEV_UCFG_BCTL0_BANK_CTL 0x40015003 +#define CYDEV_UCFG_BCTL0_UDB_TEST_3 0x40015007 +#define CYDEV_UCFG_BCTL0_DCLK_EN0 0x40015008 +#define CYDEV_UCFG_BCTL0_BCLK_EN0 0x40015009 +#define CYDEV_UCFG_BCTL0_DCLK_EN1 0x4001500a +#define CYDEV_UCFG_BCTL0_BCLK_EN1 0x4001500b +#define CYDEV_UCFG_BCTL0_DCLK_EN2 0x4001500c +#define CYDEV_UCFG_BCTL0_BCLK_EN2 0x4001500d +#define CYDEV_UCFG_BCTL0_DCLK_EN3 0x4001500e +#define CYDEV_UCFG_BCTL0_BCLK_EN3 0x4001500f +#define CYDEV_UCFG_BCTL1_BASE 0x40015010 +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010 +#define CYDEV_UCFG_BCTL1_MDCLK_EN 0x40015010 +#define CYDEV_UCFG_BCTL1_MBCLK_EN 0x40015011 +#define CYDEV_UCFG_BCTL1_WAIT_CFG 0x40015012 +#define CYDEV_UCFG_BCTL1_BANK_CTL 0x40015013 +#define CYDEV_UCFG_BCTL1_UDB_TEST_3 0x40015017 +#define CYDEV_UCFG_BCTL1_DCLK_EN0 0x40015018 +#define CYDEV_UCFG_BCTL1_BCLK_EN0 0x40015019 +#define CYDEV_UCFG_BCTL1_DCLK_EN1 0x4001501a +#define CYDEV_UCFG_BCTL1_BCLK_EN1 0x4001501b +#define CYDEV_UCFG_BCTL1_DCLK_EN2 0x4001501c +#define CYDEV_UCFG_BCTL1_BCLK_EN2 0x4001501d +#define CYDEV_UCFG_BCTL1_DCLK_EN3 0x4001501e +#define CYDEV_UCFG_BCTL1_BCLK_EN3 0x4001501f +#define CYDEV_IDMUX_BASE 0x40015100 +#define CYDEV_IDMUX_SIZE 0x00000016 +#define CYDEV_IDMUX_IRQ_CTL0 0x40015100 +#define CYDEV_IDMUX_IRQ_CTL1 0x40015101 +#define CYDEV_IDMUX_IRQ_CTL2 0x40015102 +#define CYDEV_IDMUX_IRQ_CTL3 0x40015103 +#define CYDEV_IDMUX_IRQ_CTL4 0x40015104 +#define CYDEV_IDMUX_IRQ_CTL5 0x40015105 +#define CYDEV_IDMUX_IRQ_CTL6 0x40015106 +#define CYDEV_IDMUX_IRQ_CTL7 0x40015107 +#define CYDEV_IDMUX_DRQ_CTL0 0x40015110 +#define CYDEV_IDMUX_DRQ_CTL1 0x40015111 +#define CYDEV_IDMUX_DRQ_CTL2 0x40015112 +#define CYDEV_IDMUX_DRQ_CTL3 0x40015113 +#define CYDEV_IDMUX_DRQ_CTL4 0x40015114 +#define CYDEV_IDMUX_DRQ_CTL5 0x40015115 +#define CYDEV_CACHERAM_BASE 0x40030000 +#define CYDEV_CACHERAM_SIZE 0x00000400 +#define CYDEV_CACHERAM_DATA_MBASE 0x40030000 +#define CYDEV_CACHERAM_DATA_MSIZE 0x00000400 +#define CYDEV_SFR_BASE 0x40050100 +#define CYDEV_SFR_SIZE 0x000000fb +#define CYDEV_SFR_GPIO0 0x40050180 +#define CYDEV_SFR_GPIRD0 0x40050189 +#define CYDEV_SFR_GPIO0_SEL 0x4005018a +#define CYDEV_SFR_GPIO1 0x40050190 +#define CYDEV_SFR_GPIRD1 0x40050191 +#define CYDEV_SFR_GPIO2 0x40050198 +#define CYDEV_SFR_GPIRD2 0x40050199 +#define CYDEV_SFR_GPIO2_SEL 0x4005019a +#define CYDEV_SFR_GPIO1_SEL 0x400501a2 +#define CYDEV_SFR_GPIO3 0x400501b0 +#define CYDEV_SFR_GPIRD3 0x400501b1 +#define CYDEV_SFR_GPIO3_SEL 0x400501b2 +#define CYDEV_SFR_GPIO4 0x400501c0 +#define CYDEV_SFR_GPIRD4 0x400501c1 +#define CYDEV_SFR_GPIO4_SEL 0x400501c2 +#define CYDEV_SFR_GPIO5 0x400501c8 +#define CYDEV_SFR_GPIRD5 0x400501c9 +#define CYDEV_SFR_GPIO5_SEL 0x400501ca +#define CYDEV_SFR_GPIO6 0x400501d8 +#define CYDEV_SFR_GPIRD6 0x400501d9 +#define CYDEV_SFR_GPIO6_SEL 0x400501da +#define CYDEV_SFR_GPIO12 0x400501e8 +#define CYDEV_SFR_GPIRD12 0x400501e9 +#define CYDEV_SFR_GPIO12_SEL 0x400501f2 +#define CYDEV_SFR_GPIO15 0x400501f8 +#define CYDEV_SFR_GPIRD15 0x400501f9 +#define CYDEV_SFR_GPIO15_SEL 0x400501fa +#define CYDEV_P3BA_BASE 0x40050300 +#define CYDEV_P3BA_SIZE 0x0000002b +#define CYDEV_P3BA_Y_START 0x40050300 +#define CYDEV_P3BA_YROLL 0x40050301 +#define CYDEV_P3BA_YCFG 0x40050302 +#define CYDEV_P3BA_X_START1 0x40050303 +#define CYDEV_P3BA_X_START2 0x40050304 +#define CYDEV_P3BA_XROLL1 0x40050305 +#define CYDEV_P3BA_XROLL2 0x40050306 +#define CYDEV_P3BA_XINC 0x40050307 +#define CYDEV_P3BA_XCFG 0x40050308 +#define CYDEV_P3BA_OFFSETADDR1 0x40050309 +#define CYDEV_P3BA_OFFSETADDR2 0x4005030a +#define CYDEV_P3BA_OFFSETADDR3 0x4005030b +#define CYDEV_P3BA_ABSADDR1 0x4005030c +#define CYDEV_P3BA_ABSADDR2 0x4005030d +#define CYDEV_P3BA_ABSADDR3 0x4005030e +#define CYDEV_P3BA_ABSADDR4 0x4005030f +#define CYDEV_P3BA_DATCFG1 0x40050310 +#define CYDEV_P3BA_DATCFG2 0x40050311 +#define CYDEV_P3BA_CMP_RSLT1 0x40050314 +#define CYDEV_P3BA_CMP_RSLT2 0x40050315 +#define CYDEV_P3BA_CMP_RSLT3 0x40050316 +#define CYDEV_P3BA_CMP_RSLT4 0x40050317 +#define CYDEV_P3BA_DATA_REG1 0x40050318 +#define CYDEV_P3BA_DATA_REG2 0x40050319 +#define CYDEV_P3BA_DATA_REG3 0x4005031a +#define CYDEV_P3BA_DATA_REG4 0x4005031b +#define CYDEV_P3BA_EXP_DATA1 0x4005031c +#define CYDEV_P3BA_EXP_DATA2 0x4005031d +#define CYDEV_P3BA_EXP_DATA3 0x4005031e +#define CYDEV_P3BA_EXP_DATA4 0x4005031f +#define CYDEV_P3BA_MSTR_HRDATA1 0x40050320 +#define CYDEV_P3BA_MSTR_HRDATA2 0x40050321 +#define CYDEV_P3BA_MSTR_HRDATA3 0x40050322 +#define CYDEV_P3BA_MSTR_HRDATA4 0x40050323 +#define CYDEV_P3BA_BIST_EN 0x40050324 +#define CYDEV_P3BA_PHUB_MASTER_SSR 0x40050325 +#define CYDEV_P3BA_SEQCFG1 0x40050326 +#define CYDEV_P3BA_SEQCFG2 0x40050327 +#define CYDEV_P3BA_Y_CURR 0x40050328 +#define CYDEV_P3BA_X_CURR1 0x40050329 +#define CYDEV_P3BA_X_CURR2 0x4005032a +#define CYDEV_PANTHER_BASE 0x40080000 +#define CYDEV_PANTHER_SIZE 0x00000020 +#define CYDEV_PANTHER_STCALIB_CFG 0x40080000 +#define CYDEV_PANTHER_WAITPIPE 0x40080004 +#define CYDEV_PANTHER_TRACE_CFG 0x40080008 +#define CYDEV_PANTHER_DBG_CFG 0x4008000c +#define CYDEV_PANTHER_CM3_LCKRST_STAT 0x40080018 +#define CYDEV_PANTHER_DEVICE_ID 0x4008001c +#define CYDEV_FLSECC_BASE 0x48000000 +#define CYDEV_FLSECC_SIZE 0x00008000 +#define CYDEV_FLSECC_DATA_MBASE 0x48000000 +#define CYDEV_FLSECC_DATA_MSIZE 0x00008000 +#define CYDEV_FLSHID_BASE 0x49000000 +#define CYDEV_FLSHID_SIZE 0x00000200 +#define CYDEV_FLSHID_RSVD_MBASE 0x49000000 +#define CYDEV_FLSHID_RSVD_MSIZE 0x00000080 +#define CYDEV_FLSHID_CUST_MDATA_MBASE 0x49000080 +#define CYDEV_FLSHID_CUST_MDATA_MSIZE 0x00000080 +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100 +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040 +#define CYDEV_FLSHID_CUST_TABLES_Y_LOC 0x49000100 +#define CYDEV_FLSHID_CUST_TABLES_X_LOC 0x49000101 +#define CYDEV_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102 +#define CYDEV_FLSHID_CUST_TABLES_LOT_LSB 0x49000103 +#define CYDEV_FLSHID_CUST_TABLES_LOT_MSB 0x49000104 +#define CYDEV_FLSHID_CUST_TABLES_WRK_WK 0x49000105 +#define CYDEV_FLSHID_CUST_TABLES_FAB_YR 0x49000106 +#define CYDEV_FLSHID_CUST_TABLES_MINOR 0x49000107 +#define CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108 +#define CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109 +#define CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010a +#define CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010b +#define CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010c +#define CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010d +#define CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010e +#define CYDEV_FLSHID_CUST_TABLES_IMO_USB 0x4900010f +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110 +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111 +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112 +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113 +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114 +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115 +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116 +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117 +#define CYDEV_FLSHID_CUST_TABLES_DEC_M1 0x49000118 +#define CYDEV_FLSHID_CUST_TABLES_DEC_M2 0x49000119 +#define CYDEV_FLSHID_CUST_TABLES_DEC_M3 0x4900011a +#define CYDEV_FLSHID_CUST_TABLES_DEC_M4 0x4900011b +#define CYDEV_FLSHID_CUST_TABLES_DEC_M5 0x4900011c +#define CYDEV_FLSHID_CUST_TABLES_DEC_M6 0x4900011d +#define CYDEV_FLSHID_CUST_TABLES_DEC_M7 0x4900011e +#define CYDEV_FLSHID_CUST_TABLES_DEC_M8 0x4900011f +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M1 0x49000120 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M2 0x49000121 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M3 0x49000122 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M4 0x49000123 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M5 0x49000124 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M6 0x49000125 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M7 0x49000126 +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M8 0x49000127 +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M1 0x49000128 +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M2 0x49000129 +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M3 0x4900012a +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M4 0x4900012b +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M5 0x4900012c +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M6 0x4900012d +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M7 0x4900012e +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M8 0x4900012f +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M1 0x49000130 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M2 0x49000131 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M3 0x49000132 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M4 0x49000133 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M5 0x49000134 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M6 0x49000135 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M7 0x49000136 +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M8 0x49000137 +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M1 0x49000138 +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M2 0x49000139 +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M3 0x4900013a +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M4 0x4900013b +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M5 0x4900013c +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M6 0x4900013d +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M7 0x4900013e +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M8 0x4900013f +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180 +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080 +#define CYDEV_FLSHID_MFG_CFG_IMO_TR1 0x49000188 +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR0 0x490001ac +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR0 0x490001ae +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0 +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2 +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4 +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6 +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8 +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR1 0x490001ba +#define CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ce +#define CYDEV_EXTMEM_BASE 0x60000000 +#define CYDEV_EXTMEM_SIZE 0x00800000 +#define CYDEV_EXTMEM_DATA_MBASE 0x60000000 +#define CYDEV_EXTMEM_DATA_MSIZE 0x00800000 +#define CYDEV_ITM_BASE 0xe0000000 +#define CYDEV_ITM_SIZE 0x00001000 +#define CYDEV_ITM_TRACE_EN 0xe0000e00 +#define CYDEV_ITM_TRACE_PRIVILEGE 0xe0000e40 +#define CYDEV_ITM_TRACE_CTRL 0xe0000e80 +#define CYDEV_ITM_LOCK_ACCESS 0xe0000fb0 +#define CYDEV_ITM_LOCK_STATUS 0xe0000fb4 +#define CYDEV_ITM_PID4 0xe0000fd0 +#define CYDEV_ITM_PID5 0xe0000fd4 +#define CYDEV_ITM_PID6 0xe0000fd8 +#define CYDEV_ITM_PID7 0xe0000fdc +#define CYDEV_ITM_PID0 0xe0000fe0 +#define CYDEV_ITM_PID1 0xe0000fe4 +#define CYDEV_ITM_PID2 0xe0000fe8 +#define CYDEV_ITM_PID3 0xe0000fec +#define CYDEV_ITM_CID0 0xe0000ff0 +#define CYDEV_ITM_CID1 0xe0000ff4 +#define CYDEV_ITM_CID2 0xe0000ff8 +#define CYDEV_ITM_CID3 0xe0000ffc +#define CYDEV_DWT_BASE 0xe0001000 +#define CYDEV_DWT_SIZE 0x0000005c +#define CYDEV_DWT_CTRL 0xe0001000 +#define CYDEV_DWT_CYCLE_COUNT 0xe0001004 +#define CYDEV_DWT_CPI_COUNT 0xe0001008 +#define CYDEV_DWT_EXC_OVHD_COUNT 0xe000100c +#define CYDEV_DWT_SLEEP_COUNT 0xe0001010 +#define CYDEV_DWT_LSU_COUNT 0xe0001014 +#define CYDEV_DWT_FOLD_COUNT 0xe0001018 +#define CYDEV_DWT_PC_SAMPLE 0xe000101c +#define CYDEV_DWT_COMP_0 0xe0001020 +#define CYDEV_DWT_MASK_0 0xe0001024 +#define CYDEV_DWT_FUNCTION_0 0xe0001028 +#define CYDEV_DWT_COMP_1 0xe0001030 +#define CYDEV_DWT_MASK_1 0xe0001034 +#define CYDEV_DWT_FUNCTION_1 0xe0001038 +#define CYDEV_DWT_COMP_2 0xe0001040 +#define CYDEV_DWT_MASK_2 0xe0001044 +#define CYDEV_DWT_FUNCTION_2 0xe0001048 +#define CYDEV_DWT_COMP_3 0xe0001050 +#define CYDEV_DWT_MASK_3 0xe0001054 +#define CYDEV_DWT_FUNCTION_3 0xe0001058 +#define CYDEV_FPB_BASE 0xe0002000 +#define CYDEV_FPB_SIZE 0x00001000 +#define CYDEV_FPB_CTRL 0xe0002000 +#define CYDEV_FPB_REMAP 0xe0002004 +#define CYDEV_FPB_FP_COMP_0 0xe0002008 +#define CYDEV_FPB_FP_COMP_1 0xe000200c +#define CYDEV_FPB_FP_COMP_2 0xe0002010 +#define CYDEV_FPB_FP_COMP_3 0xe0002014 +#define CYDEV_FPB_FP_COMP_4 0xe0002018 +#define CYDEV_FPB_FP_COMP_5 0xe000201c +#define CYDEV_FPB_FP_COMP_6 0xe0002020 +#define CYDEV_FPB_FP_COMP_7 0xe0002024 +#define CYDEV_FPB_PID4 0xe0002fd0 +#define CYDEV_FPB_PID5 0xe0002fd4 +#define CYDEV_FPB_PID6 0xe0002fd8 +#define CYDEV_FPB_PID7 0xe0002fdc +#define CYDEV_FPB_PID0 0xe0002fe0 +#define CYDEV_FPB_PID1 0xe0002fe4 +#define CYDEV_FPB_PID2 0xe0002fe8 +#define CYDEV_FPB_PID3 0xe0002fec +#define CYDEV_FPB_CID0 0xe0002ff0 +#define CYDEV_FPB_CID1 0xe0002ff4 +#define CYDEV_FPB_CID2 0xe0002ff8 +#define CYDEV_FPB_CID3 0xe0002ffc +#define CYDEV_NVIC_BASE 0xe000e000 +#define CYDEV_NVIC_SIZE 0x00000d3c +#define CYDEV_NVIC_INT_CTL_TYPE 0xe000e004 +#define CYDEV_NVIC_SYSTICK_CTL 0xe000e010 +#define CYDEV_NVIC_SYSTICK_RELOAD 0xe000e014 +#define CYDEV_NVIC_SYSTICK_CURRENT 0xe000e018 +#define CYDEV_NVIC_SYSTICK_CAL 0xe000e01c +#define CYDEV_NVIC_SETENA0 0xe000e100 +#define CYDEV_NVIC_CLRENA0 0xe000e180 +#define CYDEV_NVIC_SETPEND0 0xe000e200 +#define CYDEV_NVIC_CLRPEND0 0xe000e280 +#define CYDEV_NVIC_ACTIVE0 0xe000e300 +#define CYDEV_NVIC_PRI_0 0xe000e400 +#define CYDEV_NVIC_PRI_1 0xe000e401 +#define CYDEV_NVIC_PRI_2 0xe000e402 +#define CYDEV_NVIC_PRI_3 0xe000e403 +#define CYDEV_NVIC_PRI_4 0xe000e404 +#define CYDEV_NVIC_PRI_5 0xe000e405 +#define CYDEV_NVIC_PRI_6 0xe000e406 +#define CYDEV_NVIC_PRI_7 0xe000e407 +#define CYDEV_NVIC_PRI_8 0xe000e408 +#define CYDEV_NVIC_PRI_9 0xe000e409 +#define CYDEV_NVIC_PRI_10 0xe000e40a +#define CYDEV_NVIC_PRI_11 0xe000e40b +#define CYDEV_NVIC_PRI_12 0xe000e40c +#define CYDEV_NVIC_PRI_13 0xe000e40d +#define CYDEV_NVIC_PRI_14 0xe000e40e +#define CYDEV_NVIC_PRI_15 0xe000e40f +#define CYDEV_NVIC_PRI_16 0xe000e410 +#define CYDEV_NVIC_PRI_17 0xe000e411 +#define CYDEV_NVIC_PRI_18 0xe000e412 +#define CYDEV_NVIC_PRI_19 0xe000e413 +#define CYDEV_NVIC_PRI_20 0xe000e414 +#define CYDEV_NVIC_PRI_21 0xe000e415 +#define CYDEV_NVIC_PRI_22 0xe000e416 +#define CYDEV_NVIC_PRI_23 0xe000e417 +#define CYDEV_NVIC_PRI_24 0xe000e418 +#define CYDEV_NVIC_PRI_25 0xe000e419 +#define CYDEV_NVIC_PRI_26 0xe000e41a +#define CYDEV_NVIC_PRI_27 0xe000e41b +#define CYDEV_NVIC_PRI_28 0xe000e41c +#define CYDEV_NVIC_PRI_29 0xe000e41d +#define CYDEV_NVIC_PRI_30 0xe000e41e +#define CYDEV_NVIC_PRI_31 0xe000e41f +#define CYDEV_NVIC_CPUID_BASE 0xe000ed00 +#define CYDEV_NVIC_INTR_CTRL_STATE 0xe000ed04 +#define CYDEV_NVIC_VECT_OFFSET 0xe000ed08 +#define CYDEV_NVIC_APPLN_INTR 0xe000ed0c +#define CYDEV_NVIC_SYSTEM_CONTROL 0xe000ed10 +#define CYDEV_NVIC_CFG_CONTROL 0xe000ed14 +#define CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18 +#define CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1c +#define CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20 +#define CYDEV_NVIC_SYS_HANDLER_CSR 0xe000ed24 +#define CYDEV_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28 +#define CYDEV_NVIC_BUS_FAULT_STATUS 0xe000ed29 +#define CYDEV_NVIC_USAGE_FAULT_STATUS 0xe000ed2a +#define CYDEV_NVIC_HARD_FAULT_STATUS 0xe000ed2c +#define CYDEV_NVIC_DEBUG_FAULT_STATUS 0xe000ed30 +#define CYDEV_NVIC_MEMMAN_FAULT_ADD 0xe000ed34 +#define CYDEV_NVIC_BUS_FAULT_ADD 0xe000ed38 +#define CYDEV_CORE_DBG_BASE 0xe000edf0 +#define CYDEV_CORE_DBG_SIZE 0x00000010 +#define CYDEV_CORE_DBG_DBG_HLT_CS 0xe000edf0 +#define CYDEV_CORE_DBG_DBG_REG_SEL 0xe000edf4 +#define CYDEV_CORE_DBG_DBG_REG_DATA 0xe000edf8 +#define CYDEV_CORE_DBG_EXC_MON_CTL 0xe000edfc +#define CYDEV_TPIU_BASE 0xe0040000 +#define CYDEV_TPIU_SIZE 0x00001000 +#define CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000 +#define CYDEV_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004 +#define CYDEV_TPIU_ASYNC_CLK_PRESCALER 0xe0040010 +#define CYDEV_TPIU_PROTOCOL 0xe00400f0 +#define CYDEV_TPIU_FORM_FLUSH_STAT 0xe0040300 +#define CYDEV_TPIU_FORM_FLUSH_CTRL 0xe0040304 +#define CYDEV_TPIU_TRIGGER 0xe0040ee8 +#define CYDEV_TPIU_ITETMDATA 0xe0040eec +#define CYDEV_TPIU_ITATBCTR2 0xe0040ef0 +#define CYDEV_TPIU_ITATBCTR0 0xe0040ef8 +#define CYDEV_TPIU_ITITMDATA 0xe0040efc +#define CYDEV_TPIU_ITCTRL 0xe0040f00 +#define CYDEV_TPIU_DEVID 0xe0040fc8 +#define CYDEV_TPIU_DEVTYPE 0xe0040fcc +#define CYDEV_TPIU_PID4 0xe0040fd0 +#define CYDEV_TPIU_PID5 0xe0040fd4 +#define CYDEV_TPIU_PID6 0xe0040fd8 +#define CYDEV_TPIU_PID7 0xe0040fdc +#define CYDEV_TPIU_PID0 0xe0040fe0 +#define CYDEV_TPIU_PID1 0xe0040fe4 +#define CYDEV_TPIU_PID2 0xe0040fe8 +#define CYDEV_TPIU_PID3 0xe0040fec +#define CYDEV_TPIU_CID0 0xe0040ff0 +#define CYDEV_TPIU_CID1 0xe0040ff4 +#define CYDEV_TPIU_CID2 0xe0040ff8 +#define CYDEV_TPIU_CID3 0xe0040ffc +#define CYDEV_ETM_BASE 0xe0041000 +#define CYDEV_ETM_SIZE 0x00001000 +#define CYDEV_ETM_CTL 0xe0041000 +#define CYDEV_ETM_CFG_CODE 0xe0041004 +#define CYDEV_ETM_TRIG_EVENT 0xe0041008 +#define CYDEV_ETM_STATUS 0xe0041010 +#define CYDEV_ETM_SYS_CFG 0xe0041014 +#define CYDEV_ETM_TRACE_ENB_EVENT 0xe0041020 +#define CYDEV_ETM_TRACE_EN_CTRL1 0xe0041024 +#define CYDEV_ETM_FIFOFULL_LEVEL 0xe004102c +#define CYDEV_ETM_SYNC_FREQ 0xe00411e0 +#define CYDEV_ETM_ETM_ID 0xe00411e4 +#define CYDEV_ETM_CFG_CODE_EXT 0xe00411e8 +#define CYDEV_ETM_TR_SS_EMBICE_CTRL 0xe00411f0 +#define CYDEV_ETM_CS_TRACE_ID 0xe0041200 +#define CYDEV_ETM_OS_LOCK_ACCESS 0xe0041300 +#define CYDEV_ETM_OS_LOCK_STATUS 0xe0041304 +#define CYDEV_ETM_PDSR 0xe0041314 +#define CYDEV_ETM_ITMISCIN 0xe0041ee0 +#define CYDEV_ETM_ITTRIGOUT 0xe0041ee8 +#define CYDEV_ETM_ITATBCTR2 0xe0041ef0 +#define CYDEV_ETM_ITATBCTR0 0xe0041ef8 +#define CYDEV_ETM_INT_MODE_CTRL 0xe0041f00 +#define CYDEV_ETM_CLM_TAG_SET 0xe0041fa0 +#define CYDEV_ETM_CLM_TAG_CLR 0xe0041fa4 +#define CYDEV_ETM_LOCK_ACCESS 0xe0041fb0 +#define CYDEV_ETM_LOCK_STATUS 0xe0041fb4 +#define CYDEV_ETM_AUTH_STATUS 0xe0041fb8 +#define CYDEV_ETM_DEV_TYPE 0xe0041fcc +#define CYDEV_ETM_PID4 0xe0041fd0 +#define CYDEV_ETM_PID5 0xe0041fd4 +#define CYDEV_ETM_PID6 0xe0041fd8 +#define CYDEV_ETM_PID7 0xe0041fdc +#define CYDEV_ETM_PID0 0xe0041fe0 +#define CYDEV_ETM_PID1 0xe0041fe4 +#define CYDEV_ETM_PID2 0xe0041fe8 +#define CYDEV_ETM_PID3 0xe0041fec +#define CYDEV_ETM_CID0 0xe0041ff0 +#define CYDEV_ETM_CID1 0xe0041ff4 +#define CYDEV_ETM_CID2 0xe0041ff8 +#define CYDEV_ETM_CID3 0xe0041ffc +#define CYDEV_ROM_TABLE_BASE 0xe00ff000 +#define CYDEV_ROM_TABLE_SIZE 0x00001000 +#define CYDEV_ROM_TABLE_NVIC 0xe00ff000 +#define CYDEV_ROM_TABLE_DWT 0xe00ff004 +#define CYDEV_ROM_TABLE_FPB 0xe00ff008 +#define CYDEV_ROM_TABLE_ITM 0xe00ff00c +#define CYDEV_ROM_TABLE_TPIU 0xe00ff010 +#define CYDEV_ROM_TABLE_ETM 0xe00ff014 +#define CYDEV_ROM_TABLE_END 0xe00ff018 +#define CYDEV_ROM_TABLE_MEMTYPE 0xe00fffcc +#define CYDEV_ROM_TABLE_PID4 0xe00fffd0 +#define CYDEV_ROM_TABLE_PID5 0xe00fffd4 +#define CYDEV_ROM_TABLE_PID6 0xe00fffd8 +#define CYDEV_ROM_TABLE_PID7 0xe00fffdc +#define CYDEV_ROM_TABLE_PID0 0xe00fffe0 +#define CYDEV_ROM_TABLE_PID1 0xe00fffe4 +#define CYDEV_ROM_TABLE_PID2 0xe00fffe8 +#define CYDEV_ROM_TABLE_PID3 0xe00fffec +#define CYDEV_ROM_TABLE_CID0 0xe00ffff0 +#define CYDEV_ROM_TABLE_CID1 0xe00ffff4 +#define CYDEV_ROM_TABLE_CID2 0xe00ffff8 +#define CYDEV_ROM_TABLE_CID3 0xe00ffffc +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000 +#define CYDEV_FLS_ROW_SIZE 0x00000100 +#define CYDEV_ECC_SECTOR_SIZE 0x00002000 +#define CYDEV_ECC_ROW_SIZE 0x00000020 +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400 +#define CYDEV_EEPROM_ROW_SIZE 0x00000010 +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004 +#define CYCLK_LD_SYNC_EN 0x00000002 +#define CYCLK_LD_LOAD 0x00000001 +#define CYCLK_PIPE 0x00000080 +#define CYCLK_SSS 0x00000040 +#define CYCLK_EARLY 0x00000020 +#define CYCLK_DUTY 0x00000010 +#define CYCLK_SYNC 0x00000008 +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc new file mode 100644 index 0000000..ea4e01d --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydeviceiar_trm.inc @@ -0,0 +1,5356 @@ +; +; FILENAME: cydeviceiar_trm.inc +; +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + +#define CYDEV_FLASH_BASE 0x00000000 +#define CYDEV_FLASH_SIZE 0x00020000 +#define CYREG_FLASH_DATA_MBASE 0x00000000 +#define CYREG_FLASH_DATA_MSIZE 0x00020000 +#define CYDEV_SRAM_BASE 0x1fffc000 +#define CYDEV_SRAM_SIZE 0x00008000 +#define CYREG_SRAM_CODE64K_MBASE 0x1fff8000 +#define CYREG_SRAM_CODE64K_MSIZE 0x00004000 +#define CYREG_SRAM_CODE32K_MBASE 0x1fffc000 +#define CYREG_SRAM_CODE32K_MSIZE 0x00002000 +#define CYREG_SRAM_CODE16K_MBASE 0x1fffe000 +#define CYREG_SRAM_CODE16K_MSIZE 0x00001000 +#define CYREG_SRAM_CODE_MBASE 0x1fffc000 +#define CYREG_SRAM_CODE_MSIZE 0x00004000 +#define CYREG_SRAM_DATA_MBASE 0x20000000 +#define CYREG_SRAM_DATA_MSIZE 0x00004000 +#define CYREG_SRAM_DATA16K_MBASE 0x20001000 +#define CYREG_SRAM_DATA16K_MSIZE 0x00001000 +#define CYREG_SRAM_DATA32K_MBASE 0x20002000 +#define CYREG_SRAM_DATA32K_MSIZE 0x00002000 +#define CYREG_SRAM_DATA64K_MBASE 0x20004000 +#define CYREG_SRAM_DATA64K_MSIZE 0x00004000 +#define CYDEV_DMA_BASE 0x20008000 +#define CYDEV_DMA_SIZE 0x00008000 +#define CYREG_DMA_SRAM64K_MBASE 0x20008000 +#define CYREG_DMA_SRAM64K_MSIZE 0x00004000 +#define CYREG_DMA_SRAM32K_MBASE 0x2000c000 +#define CYREG_DMA_SRAM32K_MSIZE 0x00002000 +#define CYREG_DMA_SRAM16K_MBASE 0x2000e000 +#define CYREG_DMA_SRAM16K_MSIZE 0x00001000 +#define CYREG_DMA_SRAM_MBASE 0x2000f000 +#define CYREG_DMA_SRAM_MSIZE 0x00001000 +#define CYDEV_CLKDIST_BASE 0x40004000 +#define CYDEV_CLKDIST_SIZE 0x00000110 +#define CYREG_CLKDIST_CR 0x40004000 +#define CYREG_CLKDIST_LD 0x40004001 +#define CYREG_CLKDIST_WRK0 0x40004002 +#define CYREG_CLKDIST_WRK1 0x40004003 +#define CYREG_CLKDIST_MSTR0 0x40004004 +#define CYREG_CLKDIST_MSTR1 0x40004005 +#define CYREG_CLKDIST_BCFG0 0x40004006 +#define CYREG_CLKDIST_BCFG1 0x40004007 +#define CYREG_CLKDIST_BCFG2 0x40004008 +#define CYREG_CLKDIST_UCFG 0x40004009 +#define CYREG_CLKDIST_DLY0 0x4000400a +#define CYREG_CLKDIST_DLY1 0x4000400b +#define CYREG_CLKDIST_DMASK 0x40004010 +#define CYREG_CLKDIST_AMASK 0x40004014 +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080 +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG0_CFG0 0x40004080 +#define CYREG_CLKDIST_DCFG0_CFG1 0x40004081 +#define CYREG_CLKDIST_DCFG0_CFG2 0x40004082 +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084 +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG1_CFG0 0x40004084 +#define CYREG_CLKDIST_DCFG1_CFG1 0x40004085 +#define CYREG_CLKDIST_DCFG1_CFG2 0x40004086 +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088 +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG2_CFG0 0x40004088 +#define CYREG_CLKDIST_DCFG2_CFG1 0x40004089 +#define CYREG_CLKDIST_DCFG2_CFG2 0x4000408a +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408c +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG3_CFG0 0x4000408c +#define CYREG_CLKDIST_DCFG3_CFG1 0x4000408d +#define CYREG_CLKDIST_DCFG3_CFG2 0x4000408e +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090 +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG4_CFG0 0x40004090 +#define CYREG_CLKDIST_DCFG4_CFG1 0x40004091 +#define CYREG_CLKDIST_DCFG4_CFG2 0x40004092 +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094 +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG5_CFG0 0x40004094 +#define CYREG_CLKDIST_DCFG5_CFG1 0x40004095 +#define CYREG_CLKDIST_DCFG5_CFG2 0x40004096 +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098 +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG6_CFG0 0x40004098 +#define CYREG_CLKDIST_DCFG6_CFG1 0x40004099 +#define CYREG_CLKDIST_DCFG6_CFG2 0x4000409a +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409c +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003 +#define CYREG_CLKDIST_DCFG7_CFG0 0x4000409c +#define CYREG_CLKDIST_DCFG7_CFG1 0x4000409d +#define CYREG_CLKDIST_DCFG7_CFG2 0x4000409e +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100 +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG0_CFG0 0x40004100 +#define CYREG_CLKDIST_ACFG0_CFG1 0x40004101 +#define CYREG_CLKDIST_ACFG0_CFG2 0x40004102 +#define CYREG_CLKDIST_ACFG0_CFG3 0x40004103 +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104 +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG1_CFG0 0x40004104 +#define CYREG_CLKDIST_ACFG1_CFG1 0x40004105 +#define CYREG_CLKDIST_ACFG1_CFG2 0x40004106 +#define CYREG_CLKDIST_ACFG1_CFG3 0x40004107 +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108 +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG2_CFG0 0x40004108 +#define CYREG_CLKDIST_ACFG2_CFG1 0x40004109 +#define CYREG_CLKDIST_ACFG2_CFG2 0x4000410a +#define CYREG_CLKDIST_ACFG2_CFG3 0x4000410b +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410c +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004 +#define CYREG_CLKDIST_ACFG3_CFG0 0x4000410c +#define CYREG_CLKDIST_ACFG3_CFG1 0x4000410d +#define CYREG_CLKDIST_ACFG3_CFG2 0x4000410e +#define CYREG_CLKDIST_ACFG3_CFG3 0x4000410f +#define CYDEV_FASTCLK_BASE 0x40004200 +#define CYDEV_FASTCLK_SIZE 0x00000026 +#define CYDEV_FASTCLK_IMO_BASE 0x40004200 +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001 +#define CYREG_FASTCLK_IMO_CR 0x40004200 +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210 +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004 +#define CYREG_FASTCLK_XMHZ_CSR 0x40004210 +#define CYREG_FASTCLK_XMHZ_CFG0 0x40004212 +#define CYREG_FASTCLK_XMHZ_CFG1 0x40004213 +#define CYDEV_FASTCLK_PLL_BASE 0x40004220 +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006 +#define CYREG_FASTCLK_PLL_CFG0 0x40004220 +#define CYREG_FASTCLK_PLL_CFG1 0x40004221 +#define CYREG_FASTCLK_PLL_P 0x40004222 +#define CYREG_FASTCLK_PLL_Q 0x40004223 +#define CYREG_FASTCLK_PLL_SR 0x40004225 +#define CYDEV_SLOWCLK_BASE 0x40004300 +#define CYDEV_SLOWCLK_SIZE 0x0000000b +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300 +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002 +#define CYREG_SLOWCLK_ILO_CR0 0x40004300 +#define CYREG_SLOWCLK_ILO_CR1 0x40004301 +#define CYDEV_SLOWCLK_X32_BASE 0x40004308 +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003 +#define CYREG_SLOWCLK_X32_CR 0x40004308 +#define CYREG_SLOWCLK_X32_CFG 0x40004309 +#define CYREG_SLOWCLK_X32_TST 0x4000430a +#define CYDEV_BOOST_BASE 0x40004320 +#define CYDEV_BOOST_SIZE 0x00000007 +#define CYREG_BOOST_CR0 0x40004320 +#define CYREG_BOOST_CR1 0x40004321 +#define CYREG_BOOST_CR2 0x40004322 +#define CYREG_BOOST_CR3 0x40004323 +#define CYREG_BOOST_SR 0x40004324 +#define CYREG_BOOST_CR4 0x40004325 +#define CYREG_BOOST_SR2 0x40004326 +#define CYDEV_PWRSYS_BASE 0x40004330 +#define CYDEV_PWRSYS_SIZE 0x00000002 +#define CYREG_PWRSYS_CR0 0x40004330 +#define CYREG_PWRSYS_CR1 0x40004331 +#define CYDEV_PM_BASE 0x40004380 +#define CYDEV_PM_SIZE 0x00000057 +#define CYREG_PM_TW_CFG0 0x40004380 +#define CYREG_PM_TW_CFG1 0x40004381 +#define CYREG_PM_TW_CFG2 0x40004382 +#define CYREG_PM_WDT_CFG 0x40004383 +#define CYREG_PM_WDT_CR 0x40004384 +#define CYREG_PM_INT_SR 0x40004390 +#define CYREG_PM_MODE_CFG0 0x40004391 +#define CYREG_PM_MODE_CFG1 0x40004392 +#define CYREG_PM_MODE_CSR 0x40004393 +#define CYREG_PM_USB_CR0 0x40004394 +#define CYREG_PM_WAKEUP_CFG0 0x40004398 +#define CYREG_PM_WAKEUP_CFG1 0x40004399 +#define CYREG_PM_WAKEUP_CFG2 0x4000439a +#define CYDEV_PM_ACT_BASE 0x400043a0 +#define CYDEV_PM_ACT_SIZE 0x0000000e +#define CYREG_PM_ACT_CFG0 0x400043a0 +#define CYREG_PM_ACT_CFG1 0x400043a1 +#define CYREG_PM_ACT_CFG2 0x400043a2 +#define CYREG_PM_ACT_CFG3 0x400043a3 +#define CYREG_PM_ACT_CFG4 0x400043a4 +#define CYREG_PM_ACT_CFG5 0x400043a5 +#define CYREG_PM_ACT_CFG6 0x400043a6 +#define CYREG_PM_ACT_CFG7 0x400043a7 +#define CYREG_PM_ACT_CFG8 0x400043a8 +#define CYREG_PM_ACT_CFG9 0x400043a9 +#define CYREG_PM_ACT_CFG10 0x400043aa +#define CYREG_PM_ACT_CFG11 0x400043ab +#define CYREG_PM_ACT_CFG12 0x400043ac +#define CYREG_PM_ACT_CFG13 0x400043ad +#define CYDEV_PM_STBY_BASE 0x400043b0 +#define CYDEV_PM_STBY_SIZE 0x0000000e +#define CYREG_PM_STBY_CFG0 0x400043b0 +#define CYREG_PM_STBY_CFG1 0x400043b1 +#define CYREG_PM_STBY_CFG2 0x400043b2 +#define CYREG_PM_STBY_CFG3 0x400043b3 +#define CYREG_PM_STBY_CFG4 0x400043b4 +#define CYREG_PM_STBY_CFG5 0x400043b5 +#define CYREG_PM_STBY_CFG6 0x400043b6 +#define CYREG_PM_STBY_CFG7 0x400043b7 +#define CYREG_PM_STBY_CFG8 0x400043b8 +#define CYREG_PM_STBY_CFG9 0x400043b9 +#define CYREG_PM_STBY_CFG10 0x400043ba +#define CYREG_PM_STBY_CFG11 0x400043bb +#define CYREG_PM_STBY_CFG12 0x400043bc +#define CYREG_PM_STBY_CFG13 0x400043bd +#define CYDEV_PM_AVAIL_BASE 0x400043c0 +#define CYDEV_PM_AVAIL_SIZE 0x00000017 +#define CYREG_PM_AVAIL_CR0 0x400043c0 +#define CYREG_PM_AVAIL_CR1 0x400043c1 +#define CYREG_PM_AVAIL_CR2 0x400043c2 +#define CYREG_PM_AVAIL_CR3 0x400043c3 +#define CYREG_PM_AVAIL_CR4 0x400043c4 +#define CYREG_PM_AVAIL_CR5 0x400043c5 +#define CYREG_PM_AVAIL_CR6 0x400043c6 +#define CYREG_PM_AVAIL_SR0 0x400043d0 +#define CYREG_PM_AVAIL_SR1 0x400043d1 +#define CYREG_PM_AVAIL_SR2 0x400043d2 +#define CYREG_PM_AVAIL_SR3 0x400043d3 +#define CYREG_PM_AVAIL_SR4 0x400043d4 +#define CYREG_PM_AVAIL_SR5 0x400043d5 +#define CYREG_PM_AVAIL_SR6 0x400043d6 +#define CYDEV_PICU_BASE 0x40004500 +#define CYDEV_PICU_SIZE 0x000000b0 +#define CYDEV_PICU_INTTYPE_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080 +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500 +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008 +#define CYREG_PICU0_INTTYPE0 0x40004500 +#define CYREG_PICU0_INTTYPE1 0x40004501 +#define CYREG_PICU0_INTTYPE2 0x40004502 +#define CYREG_PICU0_INTTYPE3 0x40004503 +#define CYREG_PICU0_INTTYPE4 0x40004504 +#define CYREG_PICU0_INTTYPE5 0x40004505 +#define CYREG_PICU0_INTTYPE6 0x40004506 +#define CYREG_PICU0_INTTYPE7 0x40004507 +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508 +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008 +#define CYREG_PICU1_INTTYPE0 0x40004508 +#define CYREG_PICU1_INTTYPE1 0x40004509 +#define CYREG_PICU1_INTTYPE2 0x4000450a +#define CYREG_PICU1_INTTYPE3 0x4000450b +#define CYREG_PICU1_INTTYPE4 0x4000450c +#define CYREG_PICU1_INTTYPE5 0x4000450d +#define CYREG_PICU1_INTTYPE6 0x4000450e +#define CYREG_PICU1_INTTYPE7 0x4000450f +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510 +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008 +#define CYREG_PICU2_INTTYPE0 0x40004510 +#define CYREG_PICU2_INTTYPE1 0x40004511 +#define CYREG_PICU2_INTTYPE2 0x40004512 +#define CYREG_PICU2_INTTYPE3 0x40004513 +#define CYREG_PICU2_INTTYPE4 0x40004514 +#define CYREG_PICU2_INTTYPE5 0x40004515 +#define CYREG_PICU2_INTTYPE6 0x40004516 +#define CYREG_PICU2_INTTYPE7 0x40004517 +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518 +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008 +#define CYREG_PICU3_INTTYPE0 0x40004518 +#define CYREG_PICU3_INTTYPE1 0x40004519 +#define CYREG_PICU3_INTTYPE2 0x4000451a +#define CYREG_PICU3_INTTYPE3 0x4000451b +#define CYREG_PICU3_INTTYPE4 0x4000451c +#define CYREG_PICU3_INTTYPE5 0x4000451d +#define CYREG_PICU3_INTTYPE6 0x4000451e +#define CYREG_PICU3_INTTYPE7 0x4000451f +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520 +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008 +#define CYREG_PICU4_INTTYPE0 0x40004520 +#define CYREG_PICU4_INTTYPE1 0x40004521 +#define CYREG_PICU4_INTTYPE2 0x40004522 +#define CYREG_PICU4_INTTYPE3 0x40004523 +#define CYREG_PICU4_INTTYPE4 0x40004524 +#define CYREG_PICU4_INTTYPE5 0x40004525 +#define CYREG_PICU4_INTTYPE6 0x40004526 +#define CYREG_PICU4_INTTYPE7 0x40004527 +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528 +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008 +#define CYREG_PICU5_INTTYPE0 0x40004528 +#define CYREG_PICU5_INTTYPE1 0x40004529 +#define CYREG_PICU5_INTTYPE2 0x4000452a +#define CYREG_PICU5_INTTYPE3 0x4000452b +#define CYREG_PICU5_INTTYPE4 0x4000452c +#define CYREG_PICU5_INTTYPE5 0x4000452d +#define CYREG_PICU5_INTTYPE6 0x4000452e +#define CYREG_PICU5_INTTYPE7 0x4000452f +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530 +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008 +#define CYREG_PICU6_INTTYPE0 0x40004530 +#define CYREG_PICU6_INTTYPE1 0x40004531 +#define CYREG_PICU6_INTTYPE2 0x40004532 +#define CYREG_PICU6_INTTYPE3 0x40004533 +#define CYREG_PICU6_INTTYPE4 0x40004534 +#define CYREG_PICU6_INTTYPE5 0x40004535 +#define CYREG_PICU6_INTTYPE6 0x40004536 +#define CYREG_PICU6_INTTYPE7 0x40004537 +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560 +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008 +#define CYREG_PICU12_INTTYPE0 0x40004560 +#define CYREG_PICU12_INTTYPE1 0x40004561 +#define CYREG_PICU12_INTTYPE2 0x40004562 +#define CYREG_PICU12_INTTYPE3 0x40004563 +#define CYREG_PICU12_INTTYPE4 0x40004564 +#define CYREG_PICU12_INTTYPE5 0x40004565 +#define CYREG_PICU12_INTTYPE6 0x40004566 +#define CYREG_PICU12_INTTYPE7 0x40004567 +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578 +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008 +#define CYREG_PICU15_INTTYPE0 0x40004578 +#define CYREG_PICU15_INTTYPE1 0x40004579 +#define CYREG_PICU15_INTTYPE2 0x4000457a +#define CYREG_PICU15_INTTYPE3 0x4000457b +#define CYREG_PICU15_INTTYPE4 0x4000457c +#define CYREG_PICU15_INTTYPE5 0x4000457d +#define CYREG_PICU15_INTTYPE6 0x4000457e +#define CYREG_PICU15_INTTYPE7 0x4000457f +#define CYDEV_PICU_STAT_BASE 0x40004580 +#define CYDEV_PICU_STAT_SIZE 0x00000010 +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580 +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001 +#define CYREG_PICU0_INTSTAT 0x40004580 +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581 +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001 +#define CYREG_PICU1_INTSTAT 0x40004581 +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582 +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001 +#define CYREG_PICU2_INTSTAT 0x40004582 +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583 +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001 +#define CYREG_PICU3_INTSTAT 0x40004583 +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584 +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001 +#define CYREG_PICU4_INTSTAT 0x40004584 +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585 +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001 +#define CYREG_PICU5_INTSTAT 0x40004585 +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586 +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001 +#define CYREG_PICU6_INTSTAT 0x40004586 +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458c +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001 +#define CYREG_PICU12_INTSTAT 0x4000458c +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458f +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001 +#define CYREG_PICU15_INTSTAT 0x4000458f +#define CYDEV_PICU_SNAP_BASE 0x40004590 +#define CYDEV_PICU_SNAP_SIZE 0x00000010 +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590 +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001 +#define CYREG_PICU0_SNAP 0x40004590 +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591 +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001 +#define CYREG_PICU1_SNAP 0x40004591 +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592 +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001 +#define CYREG_PICU2_SNAP 0x40004592 +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593 +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001 +#define CYREG_PICU3_SNAP 0x40004593 +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594 +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001 +#define CYREG_PICU4_SNAP 0x40004594 +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595 +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001 +#define CYREG_PICU5_SNAP 0x40004595 +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596 +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001 +#define CYREG_PICU6_SNAP 0x40004596 +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459c +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001 +#define CYREG_PICU12_SNAP 0x4000459c +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459f +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001 +#define CYREG_PICU_15_SNAP_15 0x4000459f +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010 +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001 +#define CYREG_PICU0_DISABLE_COR 0x400045a0 +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001 +#define CYREG_PICU1_DISABLE_COR 0x400045a1 +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001 +#define CYREG_PICU2_DISABLE_COR 0x400045a2 +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001 +#define CYREG_PICU3_DISABLE_COR 0x400045a3 +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001 +#define CYREG_PICU4_DISABLE_COR 0x400045a4 +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001 +#define CYREG_PICU5_DISABLE_COR 0x400045a5 +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001 +#define CYREG_PICU6_DISABLE_COR 0x400045a6 +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001 +#define CYREG_PICU12_DISABLE_COR 0x400045ac +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045af +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001 +#define CYREG_PICU15_DISABLE_COR 0x400045af +#define CYDEV_MFGCFG_BASE 0x40004600 +#define CYDEV_MFGCFG_SIZE 0x000000ed +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600 +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038 +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001 +#define CYREG_DAC0_TR 0x40004608 +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001 +#define CYREG_DAC1_TR 0x40004609 +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001 +#define CYREG_DAC2_TR 0x4000460a +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460b +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001 +#define CYREG_DAC3_TR 0x4000460b +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001 +#define CYREG_NPUMP_DSM_TR0 0x40004610 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001 +#define CYREG_NPUMP_SC_TR0 0x40004611 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612 +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001 +#define CYREG_NPUMP_OPAMP_TR0 0x40004612 +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001 +#define CYREG_SAR0_TR0 0x40004614 +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616 +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001 +#define CYREG_SAR1_TR0 0x40004616 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620 +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002 +#define CYREG_OPAMP0_TR0 0x40004620 +#define CYREG_OPAMP0_TR1 0x40004621 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622 +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002 +#define CYREG_OPAMP1_TR0 0x40004622 +#define CYREG_OPAMP1_TR1 0x40004623 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624 +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002 +#define CYREG_OPAMP2_TR0 0x40004624 +#define CYREG_OPAMP2_TR1 0x40004625 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626 +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002 +#define CYREG_OPAMP3_TR0 0x40004626 +#define CYREG_OPAMP3_TR1 0x40004627 +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630 +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002 +#define CYREG_CMP0_TR0 0x40004630 +#define CYREG_CMP0_TR1 0x40004631 +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632 +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002 +#define CYREG_CMP1_TR0 0x40004632 +#define CYREG_CMP1_TR1 0x40004633 +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634 +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002 +#define CYREG_CMP2_TR0 0x40004634 +#define CYREG_CMP2_TR1 0x40004635 +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636 +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002 +#define CYREG_CMP3_TR0 0x40004636 +#define CYREG_CMP3_TR1 0x40004637 +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680 +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000b +#define CYREG_PWRSYS_HIB_TR0 0x40004680 +#define CYREG_PWRSYS_HIB_TR1 0x40004681 +#define CYREG_PWRSYS_I2C_TR 0x40004682 +#define CYREG_PWRSYS_SLP_TR 0x40004683 +#define CYREG_PWRSYS_BUZZ_TR 0x40004684 +#define CYREG_PWRSYS_WAKE_TR0 0x40004685 +#define CYREG_PWRSYS_WAKE_TR1 0x40004686 +#define CYREG_PWRSYS_BREF_TR 0x40004687 +#define CYREG_PWRSYS_BG_TR 0x40004688 +#define CYREG_PWRSYS_WAKE_TR2 0x40004689 +#define CYREG_PWRSYS_WAKE_TR3 0x4000468a +#define CYDEV_MFGCFG_ILO_BASE 0x40004690 +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002 +#define CYREG_ILO_TR0 0x40004690 +#define CYREG_ILO_TR1 0x40004691 +#define CYDEV_MFGCFG_X32_BASE 0x40004698 +#define CYDEV_MFGCFG_X32_SIZE 0x00000001 +#define CYREG_X32_TR 0x40004698 +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0 +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005 +#define CYREG_IMO_TR0 0x400046a0 +#define CYREG_IMO_TR1 0x400046a1 +#define CYREG_IMO_GAIN 0x400046a2 +#define CYREG_IMO_C36M 0x400046a3 +#define CYREG_IMO_TR2 0x400046a4 +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8 +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001 +#define CYREG_XMHZ_TR 0x400046a8 +#define CYREG_MFGCFG_DLY 0x400046c0 +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0 +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000d +#define CYREG_MLOGIC_DMPSTR 0x400046e2 +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4 +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002 +#define CYREG_MLOGIC_SEG_CR 0x400046e4 +#define CYREG_MLOGIC_SEG_CFG0 0x400046e5 +#define CYREG_MLOGIC_DEBUG 0x400046e8 +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046ea +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001 +#define CYREG_MLOGIC_CPU_SCR_CPU_SCR 0x400046ea +#define CYREG_MLOGIC_REV_ID 0x400046ec +#define CYDEV_RESET_BASE 0x400046f0 +#define CYDEV_RESET_SIZE 0x0000000f +#define CYREG_RESET_IPOR_CR0 0x400046f0 +#define CYREG_RESET_IPOR_CR1 0x400046f1 +#define CYREG_RESET_IPOR_CR2 0x400046f2 +#define CYREG_RESET_IPOR_CR3 0x400046f3 +#define CYREG_RESET_CR0 0x400046f4 +#define CYREG_RESET_CR1 0x400046f5 +#define CYREG_RESET_CR2 0x400046f6 +#define CYREG_RESET_CR3 0x400046f7 +#define CYREG_RESET_CR4 0x400046f8 +#define CYREG_RESET_CR5 0x400046f9 +#define CYREG_RESET_SR0 0x400046fa +#define CYREG_RESET_SR1 0x400046fb +#define CYREG_RESET_SR2 0x400046fc +#define CYREG_RESET_SR3 0x400046fd +#define CYREG_RESET_TR 0x400046fe +#define CYDEV_SPC_BASE 0x40004700 +#define CYDEV_SPC_SIZE 0x00000100 +#define CYREG_SPC_FM_EE_CR 0x40004700 +#define CYREG_SPC_FM_EE_WAKE_CNT 0x40004701 +#define CYREG_SPC_EE_SCR 0x40004702 +#define CYREG_SPC_EE_ERR 0x40004703 +#define CYREG_SPC_CPU_DATA 0x40004720 +#define CYREG_SPC_DMA_DATA 0x40004721 +#define CYREG_SPC_SR 0x40004722 +#define CYREG_SPC_CR 0x40004723 +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780 +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080 +#define CYREG_SPC_DMM_MAP_SRAM_MBASE 0x40004780 +#define CYREG_SPC_DMM_MAP_SRAM_MSIZE 0x00000080 +#define CYDEV_CACHE_BASE 0x40004800 +#define CYDEV_CACHE_SIZE 0x0000009c +#define CYREG_CACHE_CC_CTL 0x40004800 +#define CYREG_CACHE_ECC_CORR 0x40004880 +#define CYREG_CACHE_ECC_ERR 0x40004888 +#define CYREG_CACHE_FLASH_ERR 0x40004890 +#define CYREG_CACHE_HITMISS 0x40004898 +#define CYDEV_I2C_BASE 0x40004900 +#define CYDEV_I2C_SIZE 0x000000e1 +#define CYREG_I2C_XCFG 0x400049c8 +#define CYREG_I2C_ADR 0x400049ca +#define CYREG_I2C_CFG 0x400049d6 +#define CYREG_I2C_CSR 0x400049d7 +#define CYREG_I2C_D 0x400049d8 +#define CYREG_I2C_MCSR 0x400049d9 +#define CYREG_I2C_CLK_DIV1 0x400049db +#define CYREG_I2C_CLK_DIV2 0x400049dc +#define CYREG_I2C_TMOUT_CSR 0x400049dd +#define CYREG_I2C_TMOUT_SR 0x400049de +#define CYREG_I2C_TMOUT_CFG0 0x400049df +#define CYREG_I2C_TMOUT_CFG1 0x400049e0 +#define CYDEV_DEC_BASE 0x40004e00 +#define CYDEV_DEC_SIZE 0x00000015 +#define CYREG_DEC_CR 0x40004e00 +#define CYREG_DEC_SR 0x40004e01 +#define CYREG_DEC_SHIFT1 0x40004e02 +#define CYREG_DEC_SHIFT2 0x40004e03 +#define CYREG_DEC_DR2 0x40004e04 +#define CYREG_DEC_DR2H 0x40004e05 +#define CYREG_DEC_DR1 0x40004e06 +#define CYREG_DEC_OCOR 0x40004e08 +#define CYREG_DEC_OCORM 0x40004e09 +#define CYREG_DEC_OCORH 0x40004e0a +#define CYREG_DEC_GCOR 0x40004e0c +#define CYREG_DEC_GCORH 0x40004e0d +#define CYREG_DEC_GVAL 0x40004e0e +#define CYREG_DEC_OUTSAMP 0x40004e10 +#define CYREG_DEC_OUTSAMPM 0x40004e11 +#define CYREG_DEC_OUTSAMPH 0x40004e12 +#define CYREG_DEC_OUTSAMPS 0x40004e13 +#define CYREG_DEC_COHER 0x40004e14 +#define CYDEV_TMR0_BASE 0x40004f00 +#define CYDEV_TMR0_SIZE 0x0000000c +#define CYREG_TMR0_CFG0 0x40004f00 +#define CYREG_TMR0_CFG1 0x40004f01 +#define CYREG_TMR0_CFG2 0x40004f02 +#define CYREG_TMR0_SR0 0x40004f03 +#define CYREG_TMR0_PER0 0x40004f04 +#define CYREG_TMR0_PER1 0x40004f05 +#define CYREG_TMR0_CNT_CMP0 0x40004f06 +#define CYREG_TMR0_CNT_CMP1 0x40004f07 +#define CYREG_TMR0_CAP0 0x40004f08 +#define CYREG_TMR0_CAP1 0x40004f09 +#define CYREG_TMR0_RT0 0x40004f0a +#define CYREG_TMR0_RT1 0x40004f0b +#define CYDEV_TMR1_BASE 0x40004f0c +#define CYDEV_TMR1_SIZE 0x0000000c +#define CYREG_TMR1_CFG0 0x40004f0c +#define CYREG_TMR1_CFG1 0x40004f0d +#define CYREG_TMR1_CFG2 0x40004f0e +#define CYREG_TMR1_SR0 0x40004f0f +#define CYREG_TMR1_PER0 0x40004f10 +#define CYREG_TMR1_PER1 0x40004f11 +#define CYREG_TMR1_CNT_CMP0 0x40004f12 +#define CYREG_TMR1_CNT_CMP1 0x40004f13 +#define CYREG_TMR1_CAP0 0x40004f14 +#define CYREG_TMR1_CAP1 0x40004f15 +#define CYREG_TMR1_RT0 0x40004f16 +#define CYREG_TMR1_RT1 0x40004f17 +#define CYDEV_TMR2_BASE 0x40004f18 +#define CYDEV_TMR2_SIZE 0x0000000c +#define CYREG_TMR2_CFG0 0x40004f18 +#define CYREG_TMR2_CFG1 0x40004f19 +#define CYREG_TMR2_CFG2 0x40004f1a +#define CYREG_TMR2_SR0 0x40004f1b +#define CYREG_TMR2_PER0 0x40004f1c +#define CYREG_TMR2_PER1 0x40004f1d +#define CYREG_TMR2_CNT_CMP0 0x40004f1e +#define CYREG_TMR2_CNT_CMP1 0x40004f1f +#define CYREG_TMR2_CAP0 0x40004f20 +#define CYREG_TMR2_CAP1 0x40004f21 +#define CYREG_TMR2_RT0 0x40004f22 +#define CYREG_TMR2_RT1 0x40004f23 +#define CYDEV_TMR3_BASE 0x40004f24 +#define CYDEV_TMR3_SIZE 0x0000000c +#define CYREG_TMR3_CFG0 0x40004f24 +#define CYREG_TMR3_CFG1 0x40004f25 +#define CYREG_TMR3_CFG2 0x40004f26 +#define CYREG_TMR3_SR0 0x40004f27 +#define CYREG_TMR3_PER0 0x40004f28 +#define CYREG_TMR3_PER1 0x40004f29 +#define CYREG_TMR3_CNT_CMP0 0x40004f2a +#define CYREG_TMR3_CNT_CMP1 0x40004f2b +#define CYREG_TMR3_CAP0 0x40004f2c +#define CYREG_TMR3_CAP1 0x40004f2d +#define CYREG_TMR3_RT0 0x40004f2e +#define CYREG_TMR3_RT1 0x40004f2f +#define CYDEV_IO_BASE 0x40005000 +#define CYDEV_IO_SIZE 0x00000200 +#define CYDEV_IO_PC_BASE 0x40005000 +#define CYDEV_IO_PC_SIZE 0x00000080 +#define CYDEV_IO_PC_PRT0_BASE 0x40005000 +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008 +#define CYREG_PRT0_PC0 0x40005000 +#define CYREG_PRT0_PC1 0x40005001 +#define CYREG_PRT0_PC2 0x40005002 +#define CYREG_PRT0_PC3 0x40005003 +#define CYREG_PRT0_PC4 0x40005004 +#define CYREG_PRT0_PC5 0x40005005 +#define CYREG_PRT0_PC6 0x40005006 +#define CYREG_PRT0_PC7 0x40005007 +#define CYDEV_IO_PC_PRT1_BASE 0x40005008 +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008 +#define CYREG_PRT1_PC0 0x40005008 +#define CYREG_PRT1_PC1 0x40005009 +#define CYREG_PRT1_PC2 0x4000500a +#define CYREG_PRT1_PC3 0x4000500b +#define CYREG_PRT1_PC4 0x4000500c +#define CYREG_PRT1_PC5 0x4000500d +#define CYREG_PRT1_PC6 0x4000500e +#define CYREG_PRT1_PC7 0x4000500f +#define CYDEV_IO_PC_PRT2_BASE 0x40005010 +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008 +#define CYREG_PRT2_PC0 0x40005010 +#define CYREG_PRT2_PC1 0x40005011 +#define CYREG_PRT2_PC2 0x40005012 +#define CYREG_PRT2_PC3 0x40005013 +#define CYREG_PRT2_PC4 0x40005014 +#define CYREG_PRT2_PC5 0x40005015 +#define CYREG_PRT2_PC6 0x40005016 +#define CYREG_PRT2_PC7 0x40005017 +#define CYDEV_IO_PC_PRT3_BASE 0x40005018 +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008 +#define CYREG_PRT3_PC0 0x40005018 +#define CYREG_PRT3_PC1 0x40005019 +#define CYREG_PRT3_PC2 0x4000501a +#define CYREG_PRT3_PC3 0x4000501b +#define CYREG_PRT3_PC4 0x4000501c +#define CYREG_PRT3_PC5 0x4000501d +#define CYREG_PRT3_PC6 0x4000501e +#define CYREG_PRT3_PC7 0x4000501f +#define CYDEV_IO_PC_PRT4_BASE 0x40005020 +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008 +#define CYREG_PRT4_PC0 0x40005020 +#define CYREG_PRT4_PC1 0x40005021 +#define CYREG_PRT4_PC2 0x40005022 +#define CYREG_PRT4_PC3 0x40005023 +#define CYREG_PRT4_PC4 0x40005024 +#define CYREG_PRT4_PC5 0x40005025 +#define CYREG_PRT4_PC6 0x40005026 +#define CYREG_PRT4_PC7 0x40005027 +#define CYDEV_IO_PC_PRT5_BASE 0x40005028 +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008 +#define CYREG_PRT5_PC0 0x40005028 +#define CYREG_PRT5_PC1 0x40005029 +#define CYREG_PRT5_PC2 0x4000502a +#define CYREG_PRT5_PC3 0x4000502b +#define CYREG_PRT5_PC4 0x4000502c +#define CYREG_PRT5_PC5 0x4000502d +#define CYREG_PRT5_PC6 0x4000502e +#define CYREG_PRT5_PC7 0x4000502f +#define CYDEV_IO_PC_PRT6_BASE 0x40005030 +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008 +#define CYREG_PRT6_PC0 0x40005030 +#define CYREG_PRT6_PC1 0x40005031 +#define CYREG_PRT6_PC2 0x40005032 +#define CYREG_PRT6_PC3 0x40005033 +#define CYREG_PRT6_PC4 0x40005034 +#define CYREG_PRT6_PC5 0x40005035 +#define CYREG_PRT6_PC6 0x40005036 +#define CYREG_PRT6_PC7 0x40005037 +#define CYDEV_IO_PC_PRT12_BASE 0x40005060 +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008 +#define CYREG_PRT12_PC0 0x40005060 +#define CYREG_PRT12_PC1 0x40005061 +#define CYREG_PRT12_PC2 0x40005062 +#define CYREG_PRT12_PC3 0x40005063 +#define CYREG_PRT12_PC4 0x40005064 +#define CYREG_PRT12_PC5 0x40005065 +#define CYREG_PRT12_PC6 0x40005066 +#define CYREG_PRT12_PC7 0x40005067 +#define CYDEV_IO_PC_PRT15_BASE 0x40005078 +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006 +#define CYREG_IO_PC_PRT15_PC0 0x40005078 +#define CYREG_IO_PC_PRT15_PC1 0x40005079 +#define CYREG_IO_PC_PRT15_PC2 0x4000507a +#define CYREG_IO_PC_PRT15_PC3 0x4000507b +#define CYREG_IO_PC_PRT15_PC4 0x4000507c +#define CYREG_IO_PC_PRT15_PC5 0x4000507d +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507e +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002 +#define CYREG_IO_PC_PRT15_7_6_PC0 0x4000507e +#define CYREG_IO_PC_PRT15_7_6_PC1 0x4000507f +#define CYDEV_IO_DR_BASE 0x40005080 +#define CYDEV_IO_DR_SIZE 0x00000010 +#define CYDEV_IO_DR_PRT0_BASE 0x40005080 +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001 +#define CYREG_PRT0_DR_ALIAS 0x40005080 +#define CYDEV_IO_DR_PRT1_BASE 0x40005081 +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001 +#define CYREG_PRT1_DR_ALIAS 0x40005081 +#define CYDEV_IO_DR_PRT2_BASE 0x40005082 +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001 +#define CYREG_PRT2_DR_ALIAS 0x40005082 +#define CYDEV_IO_DR_PRT3_BASE 0x40005083 +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001 +#define CYREG_PRT3_DR_ALIAS 0x40005083 +#define CYDEV_IO_DR_PRT4_BASE 0x40005084 +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001 +#define CYREG_PRT4_DR_ALIAS 0x40005084 +#define CYDEV_IO_DR_PRT5_BASE 0x40005085 +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001 +#define CYREG_PRT5_DR_ALIAS 0x40005085 +#define CYDEV_IO_DR_PRT6_BASE 0x40005086 +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001 +#define CYREG_PRT6_DR_ALIAS 0x40005086 +#define CYDEV_IO_DR_PRT12_BASE 0x4000508c +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001 +#define CYREG_PRT12_DR_ALIAS 0x4000508c +#define CYDEV_IO_DR_PRT15_BASE 0x4000508f +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001 +#define CYREG_PRT15_DR_15_ALIAS 0x4000508f +#define CYDEV_IO_PS_BASE 0x40005090 +#define CYDEV_IO_PS_SIZE 0x00000010 +#define CYDEV_IO_PS_PRT0_BASE 0x40005090 +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001 +#define CYREG_PRT0_PS_ALIAS 0x40005090 +#define CYDEV_IO_PS_PRT1_BASE 0x40005091 +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001 +#define CYREG_PRT1_PS_ALIAS 0x40005091 +#define CYDEV_IO_PS_PRT2_BASE 0x40005092 +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001 +#define CYREG_PRT2_PS_ALIAS 0x40005092 +#define CYDEV_IO_PS_PRT3_BASE 0x40005093 +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001 +#define CYREG_PRT3_PS_ALIAS 0x40005093 +#define CYDEV_IO_PS_PRT4_BASE 0x40005094 +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001 +#define CYREG_PRT4_PS_ALIAS 0x40005094 +#define CYDEV_IO_PS_PRT5_BASE 0x40005095 +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001 +#define CYREG_PRT5_PS_ALIAS 0x40005095 +#define CYDEV_IO_PS_PRT6_BASE 0x40005096 +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001 +#define CYREG_PRT6_PS_ALIAS 0x40005096 +#define CYDEV_IO_PS_PRT12_BASE 0x4000509c +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001 +#define CYREG_PRT12_PS_ALIAS 0x4000509c +#define CYDEV_IO_PS_PRT15_BASE 0x4000509f +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001 +#define CYREG_PRT15_PS15_ALIAS 0x4000509f +#define CYDEV_IO_PRT_BASE 0x40005100 +#define CYDEV_IO_PRT_SIZE 0x00000100 +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100 +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010 +#define CYREG_PRT0_DR 0x40005100 +#define CYREG_PRT0_PS 0x40005101 +#define CYREG_PRT0_DM0 0x40005102 +#define CYREG_PRT0_DM1 0x40005103 +#define CYREG_PRT0_DM2 0x40005104 +#define CYREG_PRT0_SLW 0x40005105 +#define CYREG_PRT0_BYP 0x40005106 +#define CYREG_PRT0_BIE 0x40005107 +#define CYREG_PRT0_INP_DIS 0x40005108 +#define CYREG_PRT0_CTL 0x40005109 +#define CYREG_PRT0_PRT 0x4000510a +#define CYREG_PRT0_BIT_MASK 0x4000510b +#define CYREG_PRT0_AMUX 0x4000510c +#define CYREG_PRT0_AG 0x4000510d +#define CYREG_PRT0_LCD_COM_SEG 0x4000510e +#define CYREG_PRT0_LCD_EN 0x4000510f +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110 +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010 +#define CYREG_PRT1_DR 0x40005110 +#define CYREG_PRT1_PS 0x40005111 +#define CYREG_PRT1_DM0 0x40005112 +#define CYREG_PRT1_DM1 0x40005113 +#define CYREG_PRT1_DM2 0x40005114 +#define CYREG_PRT1_SLW 0x40005115 +#define CYREG_PRT1_BYP 0x40005116 +#define CYREG_PRT1_BIE 0x40005117 +#define CYREG_PRT1_INP_DIS 0x40005118 +#define CYREG_PRT1_CTL 0x40005119 +#define CYREG_PRT1_PRT 0x4000511a +#define CYREG_PRT1_BIT_MASK 0x4000511b +#define CYREG_PRT1_AMUX 0x4000511c +#define CYREG_PRT1_AG 0x4000511d +#define CYREG_PRT1_LCD_COM_SEG 0x4000511e +#define CYREG_PRT1_LCD_EN 0x4000511f +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120 +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010 +#define CYREG_PRT2_DR 0x40005120 +#define CYREG_PRT2_PS 0x40005121 +#define CYREG_PRT2_DM0 0x40005122 +#define CYREG_PRT2_DM1 0x40005123 +#define CYREG_PRT2_DM2 0x40005124 +#define CYREG_PRT2_SLW 0x40005125 +#define CYREG_PRT2_BYP 0x40005126 +#define CYREG_PRT2_BIE 0x40005127 +#define CYREG_PRT2_INP_DIS 0x40005128 +#define CYREG_PRT2_CTL 0x40005129 +#define CYREG_PRT2_PRT 0x4000512a +#define CYREG_PRT2_BIT_MASK 0x4000512b +#define CYREG_PRT2_AMUX 0x4000512c +#define CYREG_PRT2_AG 0x4000512d +#define CYREG_PRT2_LCD_COM_SEG 0x4000512e +#define CYREG_PRT2_LCD_EN 0x4000512f +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130 +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010 +#define CYREG_PRT3_DR 0x40005130 +#define CYREG_PRT3_PS 0x40005131 +#define CYREG_PRT3_DM0 0x40005132 +#define CYREG_PRT3_DM1 0x40005133 +#define CYREG_PRT3_DM2 0x40005134 +#define CYREG_PRT3_SLW 0x40005135 +#define CYREG_PRT3_BYP 0x40005136 +#define CYREG_PRT3_BIE 0x40005137 +#define CYREG_PRT3_INP_DIS 0x40005138 +#define CYREG_PRT3_CTL 0x40005139 +#define CYREG_PRT3_PRT 0x4000513a +#define CYREG_PRT3_BIT_MASK 0x4000513b +#define CYREG_PRT3_AMUX 0x4000513c +#define CYREG_PRT3_AG 0x4000513d +#define CYREG_PRT3_LCD_COM_SEG 0x4000513e +#define CYREG_PRT3_LCD_EN 0x4000513f +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140 +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010 +#define CYREG_PRT4_DR 0x40005140 +#define CYREG_PRT4_PS 0x40005141 +#define CYREG_PRT4_DM0 0x40005142 +#define CYREG_PRT4_DM1 0x40005143 +#define CYREG_PRT4_DM2 0x40005144 +#define CYREG_PRT4_SLW 0x40005145 +#define CYREG_PRT4_BYP 0x40005146 +#define CYREG_PRT4_BIE 0x40005147 +#define CYREG_PRT4_INP_DIS 0x40005148 +#define CYREG_PRT4_CTL 0x40005149 +#define CYREG_PRT4_PRT 0x4000514a +#define CYREG_PRT4_BIT_MASK 0x4000514b +#define CYREG_PRT4_AMUX 0x4000514c +#define CYREG_PRT4_AG 0x4000514d +#define CYREG_PRT4_LCD_COM_SEG 0x4000514e +#define CYREG_PRT4_LCD_EN 0x4000514f +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150 +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010 +#define CYREG_PRT5_DR 0x40005150 +#define CYREG_PRT5_PS 0x40005151 +#define CYREG_PRT5_DM0 0x40005152 +#define CYREG_PRT5_DM1 0x40005153 +#define CYREG_PRT5_DM2 0x40005154 +#define CYREG_PRT5_SLW 0x40005155 +#define CYREG_PRT5_BYP 0x40005156 +#define CYREG_PRT5_BIE 0x40005157 +#define CYREG_PRT5_INP_DIS 0x40005158 +#define CYREG_PRT5_CTL 0x40005159 +#define CYREG_PRT5_PRT 0x4000515a +#define CYREG_PRT5_BIT_MASK 0x4000515b +#define CYREG_PRT5_AMUX 0x4000515c +#define CYREG_PRT5_AG 0x4000515d +#define CYREG_PRT5_LCD_COM_SEG 0x4000515e +#define CYREG_PRT5_LCD_EN 0x4000515f +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160 +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010 +#define CYREG_PRT6_DR 0x40005160 +#define CYREG_PRT6_PS 0x40005161 +#define CYREG_PRT6_DM0 0x40005162 +#define CYREG_PRT6_DM1 0x40005163 +#define CYREG_PRT6_DM2 0x40005164 +#define CYREG_PRT6_SLW 0x40005165 +#define CYREG_PRT6_BYP 0x40005166 +#define CYREG_PRT6_BIE 0x40005167 +#define CYREG_PRT6_INP_DIS 0x40005168 +#define CYREG_PRT6_CTL 0x40005169 +#define CYREG_PRT6_PRT 0x4000516a +#define CYREG_PRT6_BIT_MASK 0x4000516b +#define CYREG_PRT6_AMUX 0x4000516c +#define CYREG_PRT6_AG 0x4000516d +#define CYREG_PRT6_LCD_COM_SEG 0x4000516e +#define CYREG_PRT6_LCD_EN 0x4000516f +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0 +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010 +#define CYREG_PRT12_DR 0x400051c0 +#define CYREG_PRT12_PS 0x400051c1 +#define CYREG_PRT12_DM0 0x400051c2 +#define CYREG_PRT12_DM1 0x400051c3 +#define CYREG_PRT12_DM2 0x400051c4 +#define CYREG_PRT12_SLW 0x400051c5 +#define CYREG_PRT12_BYP 0x400051c6 +#define CYREG_PRT12_BIE 0x400051c7 +#define CYREG_PRT12_INP_DIS 0x400051c8 +#define CYREG_PRT12_SIO_HYST_EN 0x400051c9 +#define CYREG_PRT12_PRT 0x400051ca +#define CYREG_PRT12_BIT_MASK 0x400051cb +#define CYREG_PRT12_SIO_REG_HIFREQ 0x400051cc +#define CYREG_PRT12_AG 0x400051cd +#define CYREG_PRT12_SIO_CFG 0x400051ce +#define CYREG_PRT12_SIO_DIFF 0x400051cf +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0 +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010 +#define CYREG_PRT15_DR 0x400051f0 +#define CYREG_PRT15_PS 0x400051f1 +#define CYREG_PRT15_DM0 0x400051f2 +#define CYREG_PRT15_DM1 0x400051f3 +#define CYREG_PRT15_DM2 0x400051f4 +#define CYREG_PRT15_SLW 0x400051f5 +#define CYREG_PRT15_BYP 0x400051f6 +#define CYREG_PRT15_BIE 0x400051f7 +#define CYREG_PRT15_INP_DIS 0x400051f8 +#define CYREG_PRT15_CTL 0x400051f9 +#define CYREG_PRT15_PRT 0x400051fa +#define CYREG_PRT15_BIT_MASK 0x400051fb +#define CYREG_PRT15_AMUX 0x400051fc +#define CYREG_PRT15_AG 0x400051fd +#define CYREG_PRT15_LCD_COM_SEG 0x400051fe +#define CYREG_PRT15_LCD_EN 0x400051ff +#define CYDEV_PRTDSI_BASE 0x40005200 +#define CYDEV_PRTDSI_SIZE 0x0000007f +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200 +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007 +#define CYREG_PRT0_OUT_SEL0 0x40005200 +#define CYREG_PRT0_OUT_SEL1 0x40005201 +#define CYREG_PRT0_OE_SEL0 0x40005202 +#define CYREG_PRT0_OE_SEL1 0x40005203 +#define CYREG_PRT0_DBL_SYNC_IN 0x40005204 +#define CYREG_PRT0_SYNC_OUT 0x40005205 +#define CYREG_PRT0_CAPS_SEL 0x40005206 +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208 +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007 +#define CYREG_PRT1_OUT_SEL0 0x40005208 +#define CYREG_PRT1_OUT_SEL1 0x40005209 +#define CYREG_PRT1_OE_SEL0 0x4000520a +#define CYREG_PRT1_OE_SEL1 0x4000520b +#define CYREG_PRT1_DBL_SYNC_IN 0x4000520c +#define CYREG_PRT1_SYNC_OUT 0x4000520d +#define CYREG_PRT1_CAPS_SEL 0x4000520e +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210 +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007 +#define CYREG_PRT2_OUT_SEL0 0x40005210 +#define CYREG_PRT2_OUT_SEL1 0x40005211 +#define CYREG_PRT2_OE_SEL0 0x40005212 +#define CYREG_PRT2_OE_SEL1 0x40005213 +#define CYREG_PRT2_DBL_SYNC_IN 0x40005214 +#define CYREG_PRT2_SYNC_OUT 0x40005215 +#define CYREG_PRT2_CAPS_SEL 0x40005216 +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218 +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007 +#define CYREG_PRT3_OUT_SEL0 0x40005218 +#define CYREG_PRT3_OUT_SEL1 0x40005219 +#define CYREG_PRT3_OE_SEL0 0x4000521a +#define CYREG_PRT3_OE_SEL1 0x4000521b +#define CYREG_PRT3_DBL_SYNC_IN 0x4000521c +#define CYREG_PRT3_SYNC_OUT 0x4000521d +#define CYREG_PRT3_CAPS_SEL 0x4000521e +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220 +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007 +#define CYREG_PRT4_OUT_SEL0 0x40005220 +#define CYREG_PRT4_OUT_SEL1 0x40005221 +#define CYREG_PRT4_OE_SEL0 0x40005222 +#define CYREG_PRT4_OE_SEL1 0x40005223 +#define CYREG_PRT4_DBL_SYNC_IN 0x40005224 +#define CYREG_PRT4_SYNC_OUT 0x40005225 +#define CYREG_PRT4_CAPS_SEL 0x40005226 +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228 +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007 +#define CYREG_PRT5_OUT_SEL0 0x40005228 +#define CYREG_PRT5_OUT_SEL1 0x40005229 +#define CYREG_PRT5_OE_SEL0 0x4000522a +#define CYREG_PRT5_OE_SEL1 0x4000522b +#define CYREG_PRT5_DBL_SYNC_IN 0x4000522c +#define CYREG_PRT5_SYNC_OUT 0x4000522d +#define CYREG_PRT5_CAPS_SEL 0x4000522e +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230 +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007 +#define CYREG_PRT6_OUT_SEL0 0x40005230 +#define CYREG_PRT6_OUT_SEL1 0x40005231 +#define CYREG_PRT6_OE_SEL0 0x40005232 +#define CYREG_PRT6_OE_SEL1 0x40005233 +#define CYREG_PRT6_DBL_SYNC_IN 0x40005234 +#define CYREG_PRT6_SYNC_OUT 0x40005235 +#define CYREG_PRT6_CAPS_SEL 0x40005236 +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260 +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006 +#define CYREG_PRT12_OUT_SEL0 0x40005260 +#define CYREG_PRT12_OUT_SEL1 0x40005261 +#define CYREG_PRT12_OE_SEL0 0x40005262 +#define CYREG_PRT12_OE_SEL1 0x40005263 +#define CYREG_PRT12_DBL_SYNC_IN 0x40005264 +#define CYREG_PRT12_SYNC_OUT 0x40005265 +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278 +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007 +#define CYREG_PRT15_OUT_SEL0 0x40005278 +#define CYREG_PRT15_OUT_SEL1 0x40005279 +#define CYREG_PRT15_OE_SEL0 0x4000527a +#define CYREG_PRT15_OE_SEL1 0x4000527b +#define CYREG_PRT15_DBL_SYNC_IN 0x4000527c +#define CYREG_PRT15_SYNC_OUT 0x4000527d +#define CYREG_PRT15_CAPS_SEL 0x4000527e +#define CYDEV_EMIF_BASE 0x40005400 +#define CYDEV_EMIF_SIZE 0x00000007 +#define CYREG_EMIF_NO_UDB 0x40005400 +#define CYREG_EMIF_RP_WAIT_STATES 0x40005401 +#define CYREG_EMIF_MEM_DWN 0x40005402 +#define CYREG_EMIF_MEMCLK_DIV 0x40005403 +#define CYREG_EMIF_CLOCK_EN 0x40005404 +#define CYREG_EMIF_EM_TYPE 0x40005405 +#define CYREG_EMIF_WP_WAIT_STATES 0x40005406 +#define CYDEV_ANAIF_BASE 0x40005800 +#define CYDEV_ANAIF_SIZE 0x000003a9 +#define CYDEV_ANAIF_CFG_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SIZE 0x0000010f +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800 +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003 +#define CYREG_SC0_CR0 0x40005800 +#define CYREG_SC0_CR1 0x40005801 +#define CYREG_SC0_CR2 0x40005802 +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804 +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003 +#define CYREG_SC1_CR0 0x40005804 +#define CYREG_SC1_CR1 0x40005805 +#define CYREG_SC1_CR2 0x40005806 +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808 +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003 +#define CYREG_SC2_CR0 0x40005808 +#define CYREG_SC2_CR1 0x40005809 +#define CYREG_SC2_CR2 0x4000580a +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580c +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003 +#define CYREG_SC3_CR0 0x4000580c +#define CYREG_SC3_CR1 0x4000580d +#define CYREG_SC3_CR2 0x4000580e +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820 +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003 +#define CYREG_DAC0_CR0 0x40005820 +#define CYREG_DAC0_CR1 0x40005821 +#define CYREG_DAC0_TST 0x40005822 +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824 +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003 +#define CYREG_DAC1_CR0 0x40005824 +#define CYREG_DAC1_CR1 0x40005825 +#define CYREG_DAC1_TST 0x40005826 +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828 +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003 +#define CYREG_DAC2_CR0 0x40005828 +#define CYREG_DAC2_CR1 0x40005829 +#define CYREG_DAC2_TST 0x4000582a +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582c +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003 +#define CYREG_DAC3_CR0 0x4000582c +#define CYREG_DAC3_CR1 0x4000582d +#define CYREG_DAC3_TST 0x4000582e +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840 +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001 +#define CYREG_CMP0_CR 0x40005840 +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841 +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001 +#define CYREG_CMP1_CR 0x40005841 +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842 +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001 +#define CYREG_CMP2_CR 0x40005842 +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843 +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001 +#define CYREG_CMP3_CR 0x40005843 +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848 +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002 +#define CYREG_LUT0_CR 0x40005848 +#define CYREG_LUT0_MX 0x40005849 +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584a +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002 +#define CYREG_LUT1_CR 0x4000584a +#define CYREG_LUT1_MX 0x4000584b +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584c +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002 +#define CYREG_LUT2_CR 0x4000584c +#define CYREG_LUT2_MX 0x4000584d +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584e +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002 +#define CYREG_LUT3_CR 0x4000584e +#define CYREG_LUT3_MX 0x4000584f +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858 +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002 +#define CYREG_OPAMP0_CR 0x40005858 +#define CYREG_OPAMP0_RSVD 0x40005859 +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585a +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002 +#define CYREG_OPAMP1_CR 0x4000585a +#define CYREG_OPAMP1_RSVD 0x4000585b +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585c +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002 +#define CYREG_OPAMP2_CR 0x4000585c +#define CYREG_OPAMP2_RSVD 0x4000585d +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585e +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002 +#define CYREG_OPAMP3_CR 0x4000585e +#define CYREG_OPAMP3_RSVD 0x4000585f +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868 +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002 +#define CYREG_LCDDAC_CR0 0x40005868 +#define CYREG_LCDDAC_CR1 0x40005869 +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586a +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001 +#define CYREG_LCDDRV_CR 0x4000586a +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586b +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001 +#define CYREG_LCDTMR_CFG 0x4000586b +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586c +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004 +#define CYREG_BG_CR0 0x4000586c +#define CYREG_BG_RSVD 0x4000586d +#define CYREG_BG_DFT0 0x4000586e +#define CYREG_BG_DFT1 0x4000586f +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870 +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002 +#define CYREG_CAPSL_CFG0 0x40005870 +#define CYREG_CAPSL_CFG1 0x40005871 +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872 +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002 +#define CYREG_CAPSR_CFG0 0x40005872 +#define CYREG_CAPSR_CFG1 0x40005873 +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876 +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002 +#define CYREG_PUMP_CR0 0x40005876 +#define CYREG_PUMP_CR1 0x40005877 +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878 +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002 +#define CYREG_LPF0_CR0 0x40005878 +#define CYREG_LPF0_RSVD 0x40005879 +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587a +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002 +#define CYREG_LPF1_CR0 0x4000587a +#define CYREG_LPF1_RSVD 0x4000587b +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587c +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001 +#define CYREG_ANAIF_CFG_MISC_CR0 0x4000587c +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880 +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020 +#define CYREG_DSM0_CR0 0x40005880 +#define CYREG_DSM0_CR1 0x40005881 +#define CYREG_DSM0_CR2 0x40005882 +#define CYREG_DSM0_CR3 0x40005883 +#define CYREG_DSM0_CR4 0x40005884 +#define CYREG_DSM0_CR5 0x40005885 +#define CYREG_DSM0_CR6 0x40005886 +#define CYREG_DSM0_CR7 0x40005887 +#define CYREG_DSM0_CR8 0x40005888 +#define CYREG_DSM0_CR9 0x40005889 +#define CYREG_DSM0_CR10 0x4000588a +#define CYREG_DSM0_CR11 0x4000588b +#define CYREG_DSM0_CR12 0x4000588c +#define CYREG_DSM0_CR13 0x4000588d +#define CYREG_DSM0_CR14 0x4000588e +#define CYREG_DSM0_CR15 0x4000588f +#define CYREG_DSM0_CR16 0x40005890 +#define CYREG_DSM0_CR17 0x40005891 +#define CYREG_DSM0_REF0 0x40005892 +#define CYREG_DSM0_REF1 0x40005893 +#define CYREG_DSM0_REF2 0x40005894 +#define CYREG_DSM0_REF3 0x40005895 +#define CYREG_DSM0_DEM0 0x40005896 +#define CYREG_DSM0_DEM1 0x40005897 +#define CYREG_DSM0_TST0 0x40005898 +#define CYREG_DSM0_TST1 0x40005899 +#define CYREG_DSM0_BUF0 0x4000589a +#define CYREG_DSM0_BUF1 0x4000589b +#define CYREG_DSM0_BUF2 0x4000589c +#define CYREG_DSM0_BUF3 0x4000589d +#define CYREG_DSM0_MISC 0x4000589e +#define CYREG_DSM0_RSVD1 0x4000589f +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900 +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007 +#define CYREG_SAR0_CSR0 0x40005900 +#define CYREG_SAR0_CSR1 0x40005901 +#define CYREG_SAR0_CSR2 0x40005902 +#define CYREG_SAR0_CSR3 0x40005903 +#define CYREG_SAR0_CSR4 0x40005904 +#define CYREG_SAR0_CSR5 0x40005905 +#define CYREG_SAR0_CSR6 0x40005906 +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908 +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007 +#define CYREG_SAR1_CSR0 0x40005908 +#define CYREG_SAR1_CSR1 0x40005909 +#define CYREG_SAR1_CSR2 0x4000590a +#define CYREG_SAR1_CSR3 0x4000590b +#define CYREG_SAR1_CSR4 0x4000590c +#define CYREG_SAR1_CSR5 0x4000590d +#define CYREG_SAR1_CSR6 0x4000590e +#define CYDEV_ANAIF_RT_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SIZE 0x00000162 +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00 +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000d +#define CYREG_SC0_SW0 0x40005a00 +#define CYREG_SC0_SW2 0x40005a02 +#define CYREG_SC0_SW3 0x40005a03 +#define CYREG_SC0_SW4 0x40005a04 +#define CYREG_SC0_SW6 0x40005a06 +#define CYREG_SC0_SW7 0x40005a07 +#define CYREG_SC0_SW8 0x40005a08 +#define CYREG_SC0_SW10 0x40005a0a +#define CYREG_SC0_CLK 0x40005a0b +#define CYREG_SC0_BST 0x40005a0c +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10 +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000d +#define CYREG_SC1_SW0 0x40005a10 +#define CYREG_SC1_SW2 0x40005a12 +#define CYREG_SC1_SW3 0x40005a13 +#define CYREG_SC1_SW4 0x40005a14 +#define CYREG_SC1_SW6 0x40005a16 +#define CYREG_SC1_SW7 0x40005a17 +#define CYREG_SC1_SW8 0x40005a18 +#define CYREG_SC1_SW10 0x40005a1a +#define CYREG_SC1_CLK 0x40005a1b +#define CYREG_SC1_BST 0x40005a1c +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20 +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000d +#define CYREG_SC2_SW0 0x40005a20 +#define CYREG_SC2_SW2 0x40005a22 +#define CYREG_SC2_SW3 0x40005a23 +#define CYREG_SC2_SW4 0x40005a24 +#define CYREG_SC2_SW6 0x40005a26 +#define CYREG_SC2_SW7 0x40005a27 +#define CYREG_SC2_SW8 0x40005a28 +#define CYREG_SC2_SW10 0x40005a2a +#define CYREG_SC2_CLK 0x40005a2b +#define CYREG_SC2_BST 0x40005a2c +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30 +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000d +#define CYREG_SC3_SW0 0x40005a30 +#define CYREG_SC3_SW2 0x40005a32 +#define CYREG_SC3_SW3 0x40005a33 +#define CYREG_SC3_SW4 0x40005a34 +#define CYREG_SC3_SW6 0x40005a36 +#define CYREG_SC3_SW7 0x40005a37 +#define CYREG_SC3_SW8 0x40005a38 +#define CYREG_SC3_SW10 0x40005a3a +#define CYREG_SC3_CLK 0x40005a3b +#define CYREG_SC3_BST 0x40005a3c +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80 +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008 +#define CYREG_DAC0_SW0 0x40005a80 +#define CYREG_DAC0_SW2 0x40005a82 +#define CYREG_DAC0_SW3 0x40005a83 +#define CYREG_DAC0_SW4 0x40005a84 +#define CYREG_DAC0_STROBE 0x40005a87 +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88 +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008 +#define CYREG_DAC1_SW0 0x40005a88 +#define CYREG_DAC1_SW2 0x40005a8a +#define CYREG_DAC1_SW3 0x40005a8b +#define CYREG_DAC1_SW4 0x40005a8c +#define CYREG_DAC1_STROBE 0x40005a8f +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90 +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008 +#define CYREG_DAC2_SW0 0x40005a90 +#define CYREG_DAC2_SW2 0x40005a92 +#define CYREG_DAC2_SW3 0x40005a93 +#define CYREG_DAC2_SW4 0x40005a94 +#define CYREG_DAC2_STROBE 0x40005a97 +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98 +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008 +#define CYREG_DAC3_SW0 0x40005a98 +#define CYREG_DAC3_SW2 0x40005a9a +#define CYREG_DAC3_SW3 0x40005a9b +#define CYREG_DAC3_SW4 0x40005a9c +#define CYREG_DAC3_STROBE 0x40005a9f +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0 +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008 +#define CYREG_CMP0_SW0 0x40005ac0 +#define CYREG_CMP0_SW2 0x40005ac2 +#define CYREG_CMP0_SW3 0x40005ac3 +#define CYREG_CMP0_SW4 0x40005ac4 +#define CYREG_CMP0_SW6 0x40005ac6 +#define CYREG_CMP0_CLK 0x40005ac7 +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8 +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008 +#define CYREG_CMP1_SW0 0x40005ac8 +#define CYREG_CMP1_SW2 0x40005aca +#define CYREG_CMP1_SW3 0x40005acb +#define CYREG_CMP1_SW4 0x40005acc +#define CYREG_CMP1_SW6 0x40005ace +#define CYREG_CMP1_CLK 0x40005acf +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0 +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008 +#define CYREG_CMP2_SW0 0x40005ad0 +#define CYREG_CMP2_SW2 0x40005ad2 +#define CYREG_CMP2_SW3 0x40005ad3 +#define CYREG_CMP2_SW4 0x40005ad4 +#define CYREG_CMP2_SW6 0x40005ad6 +#define CYREG_CMP2_CLK 0x40005ad7 +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8 +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008 +#define CYREG_CMP3_SW0 0x40005ad8 +#define CYREG_CMP3_SW2 0x40005ada +#define CYREG_CMP3_SW3 0x40005adb +#define CYREG_CMP3_SW4 0x40005adc +#define CYREG_CMP3_SW6 0x40005ade +#define CYREG_CMP3_CLK 0x40005adf +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00 +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008 +#define CYREG_DSM0_SW0 0x40005b00 +#define CYREG_DSM0_SW2 0x40005b02 +#define CYREG_DSM0_SW3 0x40005b03 +#define CYREG_DSM0_SW4 0x40005b04 +#define CYREG_DSM0_SW6 0x40005b06 +#define CYREG_DSM0_CLK 0x40005b07 +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20 +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008 +#define CYREG_SAR0_SW0 0x40005b20 +#define CYREG_SAR0_SW2 0x40005b22 +#define CYREG_SAR0_SW3 0x40005b23 +#define CYREG_SAR0_SW4 0x40005b24 +#define CYREG_SAR0_SW6 0x40005b26 +#define CYREG_SAR0_CLK 0x40005b27 +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28 +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008 +#define CYREG_SAR1_SW0 0x40005b28 +#define CYREG_SAR1_SW2 0x40005b2a +#define CYREG_SAR1_SW3 0x40005b2b +#define CYREG_SAR1_SW4 0x40005b2c +#define CYREG_SAR1_SW6 0x40005b2e +#define CYREG_SAR1_CLK 0x40005b2f +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40 +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002 +#define CYREG_OPAMP0_MX 0x40005b40 +#define CYREG_OPAMP0_SW 0x40005b41 +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42 +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002 +#define CYREG_OPAMP1_MX 0x40005b42 +#define CYREG_OPAMP1_SW 0x40005b43 +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44 +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002 +#define CYREG_OPAMP2_MX 0x40005b44 +#define CYREG_OPAMP2_SW 0x40005b45 +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46 +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002 +#define CYREG_OPAMP3_MX 0x40005b46 +#define CYREG_OPAMP3_SW 0x40005b47 +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50 +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005 +#define CYREG_LCDDAC_SW0 0x40005b50 +#define CYREG_LCDDAC_SW1 0x40005b51 +#define CYREG_LCDDAC_SW2 0x40005b52 +#define CYREG_LCDDAC_SW3 0x40005b53 +#define CYREG_LCDDAC_SW4 0x40005b54 +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56 +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001 +#define CYREG_SC_MISC 0x40005b56 +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58 +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004 +#define CYREG_BUS_SW0 0x40005b58 +#define CYREG_BUS_SW2 0x40005b5a +#define CYREG_BUS_SW3 0x40005b5b +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5c +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006 +#define CYREG_DFT_CR0 0x40005b5c +#define CYREG_DFT_CR1 0x40005b5d +#define CYREG_DFT_CR2 0x40005b5e +#define CYREG_DFT_CR3 0x40005b5f +#define CYREG_DFT_CR4 0x40005b60 +#define CYREG_DFT_CR5 0x40005b61 +#define CYDEV_ANAIF_WRK_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_SIZE 0x00000029 +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001 +#define CYREG_DAC0_D 0x40005b80 +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001 +#define CYREG_DAC1_D 0x40005b81 +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001 +#define CYREG_DAC2_D 0x40005b82 +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83 +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001 +#define CYREG_DAC3_D 0x40005b83 +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88 +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002 +#define CYREG_DSM0_OUT0 0x40005b88 +#define CYREG_DSM0_OUT1 0x40005b89 +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90 +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005 +#define CYREG_LUT_SR 0x40005b90 +#define CYREG_LUT_WRK1 0x40005b91 +#define CYREG_LUT_MSK 0x40005b92 +#define CYREG_LUT_CLK 0x40005b93 +#define CYREG_LUT_CPTR 0x40005b94 +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96 +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002 +#define CYREG_CMP_WRK 0x40005b96 +#define CYREG_CMP_TST 0x40005b97 +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98 +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005 +#define CYREG_SC_SR 0x40005b98 +#define CYREG_SC_WRK1 0x40005b99 +#define CYREG_SC_MSK 0x40005b9a +#define CYREG_SC_CMPINV 0x40005b9b +#define CYREG_SC_CPTR 0x40005b9c +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0 +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002 +#define CYREG_SAR0_WRK0 0x40005ba0 +#define CYREG_SAR0_WRK1 0x40005ba1 +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2 +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002 +#define CYREG_SAR1_WRK0 0x40005ba2 +#define CYREG_SAR1_WRK1 0x40005ba3 +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8 +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001 +#define CYREG_ANAIF_WRK_SARS_SOF 0x40005ba8 +#define CYDEV_USB_BASE 0x40006000 +#define CYDEV_USB_SIZE 0x00000300 +#define CYREG_USB_EP0_DR0 0x40006000 +#define CYREG_USB_EP0_DR1 0x40006001 +#define CYREG_USB_EP0_DR2 0x40006002 +#define CYREG_USB_EP0_DR3 0x40006003 +#define CYREG_USB_EP0_DR4 0x40006004 +#define CYREG_USB_EP0_DR5 0x40006005 +#define CYREG_USB_EP0_DR6 0x40006006 +#define CYREG_USB_EP0_DR7 0x40006007 +#define CYREG_USB_CR0 0x40006008 +#define CYREG_USB_CR1 0x40006009 +#define CYREG_USB_SIE_EP_INT_EN 0x4000600a +#define CYREG_USB_SIE_EP_INT_SR 0x4000600b +#define CYDEV_USB_SIE_EP1_BASE 0x4000600c +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003 +#define CYREG_USB_SIE_EP1_CNT0 0x4000600c +#define CYREG_USB_SIE_EP1_CNT1 0x4000600d +#define CYREG_USB_SIE_EP1_CR0 0x4000600e +#define CYREG_USB_USBIO_CR0 0x40006010 +#define CYREG_USB_USBIO_CR1 0x40006012 +#define CYREG_USB_DYN_RECONFIG 0x40006014 +#define CYREG_USB_SOF0 0x40006018 +#define CYREG_USB_SOF1 0x40006019 +#define CYDEV_USB_SIE_EP2_BASE 0x4000601c +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003 +#define CYREG_USB_SIE_EP2_CNT0 0x4000601c +#define CYREG_USB_SIE_EP2_CNT1 0x4000601d +#define CYREG_USB_SIE_EP2_CR0 0x4000601e +#define CYREG_USB_EP0_CR 0x40006028 +#define CYREG_USB_EP0_CNT 0x40006029 +#define CYDEV_USB_SIE_EP3_BASE 0x4000602c +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003 +#define CYREG_USB_SIE_EP3_CNT0 0x4000602c +#define CYREG_USB_SIE_EP3_CNT1 0x4000602d +#define CYREG_USB_SIE_EP3_CR0 0x4000602e +#define CYDEV_USB_SIE_EP4_BASE 0x4000603c +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003 +#define CYREG_USB_SIE_EP4_CNT0 0x4000603c +#define CYREG_USB_SIE_EP4_CNT1 0x4000603d +#define CYREG_USB_SIE_EP4_CR0 0x4000603e +#define CYDEV_USB_SIE_EP5_BASE 0x4000604c +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003 +#define CYREG_USB_SIE_EP5_CNT0 0x4000604c +#define CYREG_USB_SIE_EP5_CNT1 0x4000604d +#define CYREG_USB_SIE_EP5_CR0 0x4000604e +#define CYDEV_USB_SIE_EP6_BASE 0x4000605c +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003 +#define CYREG_USB_SIE_EP6_CNT0 0x4000605c +#define CYREG_USB_SIE_EP6_CNT1 0x4000605d +#define CYREG_USB_SIE_EP6_CR0 0x4000605e +#define CYDEV_USB_SIE_EP7_BASE 0x4000606c +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003 +#define CYREG_USB_SIE_EP7_CNT0 0x4000606c +#define CYREG_USB_SIE_EP7_CNT1 0x4000606d +#define CYREG_USB_SIE_EP7_CR0 0x4000606e +#define CYDEV_USB_SIE_EP8_BASE 0x4000607c +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003 +#define CYREG_USB_SIE_EP8_CNT0 0x4000607c +#define CYREG_USB_SIE_EP8_CNT1 0x4000607d +#define CYREG_USB_SIE_EP8_CR0 0x4000607e +#define CYDEV_USB_ARB_EP1_BASE 0x40006080 +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003 +#define CYREG_USB_ARB_EP1_CFG 0x40006080 +#define CYREG_USB_ARB_EP1_INT_EN 0x40006081 +#define CYREG_USB_ARB_EP1_SR 0x40006082 +#define CYDEV_USB_ARB_RW1_BASE 0x40006084 +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005 +#define CYREG_USB_ARB_RW1_WA 0x40006084 +#define CYREG_USB_ARB_RW1_WA_MSB 0x40006085 +#define CYREG_USB_ARB_RW1_RA 0x40006086 +#define CYREG_USB_ARB_RW1_RA_MSB 0x40006087 +#define CYREG_USB_ARB_RW1_DR 0x40006088 +#define CYREG_USB_BUF_SIZE 0x4000608c +#define CYREG_USB_EP_ACTIVE 0x4000608e +#define CYREG_USB_EP_TYPE 0x4000608f +#define CYDEV_USB_ARB_EP2_BASE 0x40006090 +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003 +#define CYREG_USB_ARB_EP2_CFG 0x40006090 +#define CYREG_USB_ARB_EP2_INT_EN 0x40006091 +#define CYREG_USB_ARB_EP2_SR 0x40006092 +#define CYDEV_USB_ARB_RW2_BASE 0x40006094 +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005 +#define CYREG_USB_ARB_RW2_WA 0x40006094 +#define CYREG_USB_ARB_RW2_WA_MSB 0x40006095 +#define CYREG_USB_ARB_RW2_RA 0x40006096 +#define CYREG_USB_ARB_RW2_RA_MSB 0x40006097 +#define CYREG_USB_ARB_RW2_DR 0x40006098 +#define CYREG_USB_ARB_CFG 0x4000609c +#define CYREG_USB_USB_CLK_EN 0x4000609d +#define CYREG_USB_ARB_INT_EN 0x4000609e +#define CYREG_USB_ARB_INT_SR 0x4000609f +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0 +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003 +#define CYREG_USB_ARB_EP3_CFG 0x400060a0 +#define CYREG_USB_ARB_EP3_INT_EN 0x400060a1 +#define CYREG_USB_ARB_EP3_SR 0x400060a2 +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4 +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005 +#define CYREG_USB_ARB_RW3_WA 0x400060a4 +#define CYREG_USB_ARB_RW3_WA_MSB 0x400060a5 +#define CYREG_USB_ARB_RW3_RA 0x400060a6 +#define CYREG_USB_ARB_RW3_RA_MSB 0x400060a7 +#define CYREG_USB_ARB_RW3_DR 0x400060a8 +#define CYREG_USB_CWA 0x400060ac +#define CYREG_USB_CWA_MSB 0x400060ad +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0 +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003 +#define CYREG_USB_ARB_EP4_CFG 0x400060b0 +#define CYREG_USB_ARB_EP4_INT_EN 0x400060b1 +#define CYREG_USB_ARB_EP4_SR 0x400060b2 +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4 +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005 +#define CYREG_USB_ARB_RW4_WA 0x400060b4 +#define CYREG_USB_ARB_RW4_WA_MSB 0x400060b5 +#define CYREG_USB_ARB_RW4_RA 0x400060b6 +#define CYREG_USB_ARB_RW4_RA_MSB 0x400060b7 +#define CYREG_USB_ARB_RW4_DR 0x400060b8 +#define CYREG_USB_DMA_THRES 0x400060bc +#define CYREG_USB_DMA_THRES_MSB 0x400060bd +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0 +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003 +#define CYREG_USB_ARB_EP5_CFG 0x400060c0 +#define CYREG_USB_ARB_EP5_INT_EN 0x400060c1 +#define CYREG_USB_ARB_EP5_SR 0x400060c2 +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4 +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005 +#define CYREG_USB_ARB_RW5_WA 0x400060c4 +#define CYREG_USB_ARB_RW5_WA_MSB 0x400060c5 +#define CYREG_USB_ARB_RW5_RA 0x400060c6 +#define CYREG_USB_ARB_RW5_RA_MSB 0x400060c7 +#define CYREG_USB_ARB_RW5_DR 0x400060c8 +#define CYREG_USB_BUS_RST_CNT 0x400060cc +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0 +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003 +#define CYREG_USB_ARB_EP6_CFG 0x400060d0 +#define CYREG_USB_ARB_EP6_INT_EN 0x400060d1 +#define CYREG_USB_ARB_EP6_SR 0x400060d2 +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4 +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005 +#define CYREG_USB_ARB_RW6_WA 0x400060d4 +#define CYREG_USB_ARB_RW6_WA_MSB 0x400060d5 +#define CYREG_USB_ARB_RW6_RA 0x400060d6 +#define CYREG_USB_ARB_RW6_RA_MSB 0x400060d7 +#define CYREG_USB_ARB_RW6_DR 0x400060d8 +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0 +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003 +#define CYREG_USB_ARB_EP7_CFG 0x400060e0 +#define CYREG_USB_ARB_EP7_INT_EN 0x400060e1 +#define CYREG_USB_ARB_EP7_SR 0x400060e2 +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4 +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005 +#define CYREG_USB_ARB_RW7_WA 0x400060e4 +#define CYREG_USB_ARB_RW7_WA_MSB 0x400060e5 +#define CYREG_USB_ARB_RW7_RA 0x400060e6 +#define CYREG_USB_ARB_RW7_RA_MSB 0x400060e7 +#define CYREG_USB_ARB_RW7_DR 0x400060e8 +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0 +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003 +#define CYREG_USB_ARB_EP8_CFG 0x400060f0 +#define CYREG_USB_ARB_EP8_INT_EN 0x400060f1 +#define CYREG_USB_ARB_EP8_SR 0x400060f2 +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4 +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005 +#define CYREG_USB_ARB_RW8_WA 0x400060f4 +#define CYREG_USB_ARB_RW8_WA_MSB 0x400060f5 +#define CYREG_USB_ARB_RW8_RA 0x400060f6 +#define CYREG_USB_ARB_RW8_RA_MSB 0x400060f7 +#define CYREG_USB_ARB_RW8_DR 0x400060f8 +#define CYDEV_USB_MEM_BASE 0x40006100 +#define CYDEV_USB_MEM_SIZE 0x00000200 +#define CYREG_USB_MEM_DATA_MBASE 0x40006100 +#define CYREG_USB_MEM_DATA_MSIZE 0x00000200 +#define CYDEV_UWRK_BASE 0x40006400 +#define CYDEV_UWRK_SIZE 0x00000b60 +#define CYDEV_UWRK_UWRK8_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0 +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400 +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0 +#define CYREG_B0_UDB00_A0 0x40006400 +#define CYREG_B0_UDB01_A0 0x40006401 +#define CYREG_B0_UDB02_A0 0x40006402 +#define CYREG_B0_UDB03_A0 0x40006403 +#define CYREG_B0_UDB04_A0 0x40006404 +#define CYREG_B0_UDB05_A0 0x40006405 +#define CYREG_B0_UDB06_A0 0x40006406 +#define CYREG_B0_UDB07_A0 0x40006407 +#define CYREG_B0_UDB08_A0 0x40006408 +#define CYREG_B0_UDB09_A0 0x40006409 +#define CYREG_B0_UDB10_A0 0x4000640a +#define CYREG_B0_UDB11_A0 0x4000640b +#define CYREG_B0_UDB12_A0 0x4000640c +#define CYREG_B0_UDB13_A0 0x4000640d +#define CYREG_B0_UDB14_A0 0x4000640e +#define CYREG_B0_UDB15_A0 0x4000640f +#define CYREG_B0_UDB00_A1 0x40006410 +#define CYREG_B0_UDB01_A1 0x40006411 +#define CYREG_B0_UDB02_A1 0x40006412 +#define CYREG_B0_UDB03_A1 0x40006413 +#define CYREG_B0_UDB04_A1 0x40006414 +#define CYREG_B0_UDB05_A1 0x40006415 +#define CYREG_B0_UDB06_A1 0x40006416 +#define CYREG_B0_UDB07_A1 0x40006417 +#define CYREG_B0_UDB08_A1 0x40006418 +#define CYREG_B0_UDB09_A1 0x40006419 +#define CYREG_B0_UDB10_A1 0x4000641a +#define CYREG_B0_UDB11_A1 0x4000641b +#define CYREG_B0_UDB12_A1 0x4000641c +#define CYREG_B0_UDB13_A1 0x4000641d +#define CYREG_B0_UDB14_A1 0x4000641e +#define CYREG_B0_UDB15_A1 0x4000641f +#define CYREG_B0_UDB00_D0 0x40006420 +#define CYREG_B0_UDB01_D0 0x40006421 +#define CYREG_B0_UDB02_D0 0x40006422 +#define CYREG_B0_UDB03_D0 0x40006423 +#define CYREG_B0_UDB04_D0 0x40006424 +#define CYREG_B0_UDB05_D0 0x40006425 +#define CYREG_B0_UDB06_D0 0x40006426 +#define CYREG_B0_UDB07_D0 0x40006427 +#define CYREG_B0_UDB08_D0 0x40006428 +#define CYREG_B0_UDB09_D0 0x40006429 +#define CYREG_B0_UDB10_D0 0x4000642a +#define CYREG_B0_UDB11_D0 0x4000642b +#define CYREG_B0_UDB12_D0 0x4000642c +#define CYREG_B0_UDB13_D0 0x4000642d +#define CYREG_B0_UDB14_D0 0x4000642e +#define CYREG_B0_UDB15_D0 0x4000642f +#define CYREG_B0_UDB00_D1 0x40006430 +#define CYREG_B0_UDB01_D1 0x40006431 +#define CYREG_B0_UDB02_D1 0x40006432 +#define CYREG_B0_UDB03_D1 0x40006433 +#define CYREG_B0_UDB04_D1 0x40006434 +#define CYREG_B0_UDB05_D1 0x40006435 +#define CYREG_B0_UDB06_D1 0x40006436 +#define CYREG_B0_UDB07_D1 0x40006437 +#define CYREG_B0_UDB08_D1 0x40006438 +#define CYREG_B0_UDB09_D1 0x40006439 +#define CYREG_B0_UDB10_D1 0x4000643a +#define CYREG_B0_UDB11_D1 0x4000643b +#define CYREG_B0_UDB12_D1 0x4000643c +#define CYREG_B0_UDB13_D1 0x4000643d +#define CYREG_B0_UDB14_D1 0x4000643e +#define CYREG_B0_UDB15_D1 0x4000643f +#define CYREG_B0_UDB00_F0 0x40006440 +#define CYREG_B0_UDB01_F0 0x40006441 +#define CYREG_B0_UDB02_F0 0x40006442 +#define CYREG_B0_UDB03_F0 0x40006443 +#define CYREG_B0_UDB04_F0 0x40006444 +#define CYREG_B0_UDB05_F0 0x40006445 +#define CYREG_B0_UDB06_F0 0x40006446 +#define CYREG_B0_UDB07_F0 0x40006447 +#define CYREG_B0_UDB08_F0 0x40006448 +#define CYREG_B0_UDB09_F0 0x40006449 +#define CYREG_B0_UDB10_F0 0x4000644a +#define CYREG_B0_UDB11_F0 0x4000644b +#define CYREG_B0_UDB12_F0 0x4000644c +#define CYREG_B0_UDB13_F0 0x4000644d +#define CYREG_B0_UDB14_F0 0x4000644e +#define CYREG_B0_UDB15_F0 0x4000644f +#define CYREG_B0_UDB00_F1 0x40006450 +#define CYREG_B0_UDB01_F1 0x40006451 +#define CYREG_B0_UDB02_F1 0x40006452 +#define CYREG_B0_UDB03_F1 0x40006453 +#define CYREG_B0_UDB04_F1 0x40006454 +#define CYREG_B0_UDB05_F1 0x40006455 +#define CYREG_B0_UDB06_F1 0x40006456 +#define CYREG_B0_UDB07_F1 0x40006457 +#define CYREG_B0_UDB08_F1 0x40006458 +#define CYREG_B0_UDB09_F1 0x40006459 +#define CYREG_B0_UDB10_F1 0x4000645a +#define CYREG_B0_UDB11_F1 0x4000645b +#define CYREG_B0_UDB12_F1 0x4000645c +#define CYREG_B0_UDB13_F1 0x4000645d +#define CYREG_B0_UDB14_F1 0x4000645e +#define CYREG_B0_UDB15_F1 0x4000645f +#define CYREG_B0_UDB00_ST 0x40006460 +#define CYREG_B0_UDB01_ST 0x40006461 +#define CYREG_B0_UDB02_ST 0x40006462 +#define CYREG_B0_UDB03_ST 0x40006463 +#define CYREG_B0_UDB04_ST 0x40006464 +#define CYREG_B0_UDB05_ST 0x40006465 +#define CYREG_B0_UDB06_ST 0x40006466 +#define CYREG_B0_UDB07_ST 0x40006467 +#define CYREG_B0_UDB08_ST 0x40006468 +#define CYREG_B0_UDB09_ST 0x40006469 +#define CYREG_B0_UDB10_ST 0x4000646a +#define CYREG_B0_UDB11_ST 0x4000646b +#define CYREG_B0_UDB12_ST 0x4000646c +#define CYREG_B0_UDB13_ST 0x4000646d +#define CYREG_B0_UDB14_ST 0x4000646e +#define CYREG_B0_UDB15_ST 0x4000646f +#define CYREG_B0_UDB00_CTL 0x40006470 +#define CYREG_B0_UDB01_CTL 0x40006471 +#define CYREG_B0_UDB02_CTL 0x40006472 +#define CYREG_B0_UDB03_CTL 0x40006473 +#define CYREG_B0_UDB04_CTL 0x40006474 +#define CYREG_B0_UDB05_CTL 0x40006475 +#define CYREG_B0_UDB06_CTL 0x40006476 +#define CYREG_B0_UDB07_CTL 0x40006477 +#define CYREG_B0_UDB08_CTL 0x40006478 +#define CYREG_B0_UDB09_CTL 0x40006479 +#define CYREG_B0_UDB10_CTL 0x4000647a +#define CYREG_B0_UDB11_CTL 0x4000647b +#define CYREG_B0_UDB12_CTL 0x4000647c +#define CYREG_B0_UDB13_CTL 0x4000647d +#define CYREG_B0_UDB14_CTL 0x4000647e +#define CYREG_B0_UDB15_CTL 0x4000647f +#define CYREG_B0_UDB00_MSK 0x40006480 +#define CYREG_B0_UDB01_MSK 0x40006481 +#define CYREG_B0_UDB02_MSK 0x40006482 +#define CYREG_B0_UDB03_MSK 0x40006483 +#define CYREG_B0_UDB04_MSK 0x40006484 +#define CYREG_B0_UDB05_MSK 0x40006485 +#define CYREG_B0_UDB06_MSK 0x40006486 +#define CYREG_B0_UDB07_MSK 0x40006487 +#define CYREG_B0_UDB08_MSK 0x40006488 +#define CYREG_B0_UDB09_MSK 0x40006489 +#define CYREG_B0_UDB10_MSK 0x4000648a +#define CYREG_B0_UDB11_MSK 0x4000648b +#define CYREG_B0_UDB12_MSK 0x4000648c +#define CYREG_B0_UDB13_MSK 0x4000648d +#define CYREG_B0_UDB14_MSK 0x4000648e +#define CYREG_B0_UDB15_MSK 0x4000648f +#define CYREG_B0_UDB00_ACTL 0x40006490 +#define CYREG_B0_UDB01_ACTL 0x40006491 +#define CYREG_B0_UDB02_ACTL 0x40006492 +#define CYREG_B0_UDB03_ACTL 0x40006493 +#define CYREG_B0_UDB04_ACTL 0x40006494 +#define CYREG_B0_UDB05_ACTL 0x40006495 +#define CYREG_B0_UDB06_ACTL 0x40006496 +#define CYREG_B0_UDB07_ACTL 0x40006497 +#define CYREG_B0_UDB08_ACTL 0x40006498 +#define CYREG_B0_UDB09_ACTL 0x40006499 +#define CYREG_B0_UDB10_ACTL 0x4000649a +#define CYREG_B0_UDB11_ACTL 0x4000649b +#define CYREG_B0_UDB12_ACTL 0x4000649c +#define CYREG_B0_UDB13_ACTL 0x4000649d +#define CYREG_B0_UDB14_ACTL 0x4000649e +#define CYREG_B0_UDB15_ACTL 0x4000649f +#define CYREG_B0_UDB00_MC 0x400064a0 +#define CYREG_B0_UDB01_MC 0x400064a1 +#define CYREG_B0_UDB02_MC 0x400064a2 +#define CYREG_B0_UDB03_MC 0x400064a3 +#define CYREG_B0_UDB04_MC 0x400064a4 +#define CYREG_B0_UDB05_MC 0x400064a5 +#define CYREG_B0_UDB06_MC 0x400064a6 +#define CYREG_B0_UDB07_MC 0x400064a7 +#define CYREG_B0_UDB08_MC 0x400064a8 +#define CYREG_B0_UDB09_MC 0x400064a9 +#define CYREG_B0_UDB10_MC 0x400064aa +#define CYREG_B0_UDB11_MC 0x400064ab +#define CYREG_B0_UDB12_MC 0x400064ac +#define CYREG_B0_UDB13_MC 0x400064ad +#define CYREG_B0_UDB14_MC 0x400064ae +#define CYREG_B0_UDB15_MC 0x400064af +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500 +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0 +#define CYREG_B1_UDB04_A0 0x40006504 +#define CYREG_B1_UDB05_A0 0x40006505 +#define CYREG_B1_UDB06_A0 0x40006506 +#define CYREG_B1_UDB07_A0 0x40006507 +#define CYREG_B1_UDB08_A0 0x40006508 +#define CYREG_B1_UDB09_A0 0x40006509 +#define CYREG_B1_UDB10_A0 0x4000650a +#define CYREG_B1_UDB11_A0 0x4000650b +#define CYREG_B1_UDB04_A1 0x40006514 +#define CYREG_B1_UDB05_A1 0x40006515 +#define CYREG_B1_UDB06_A1 0x40006516 +#define CYREG_B1_UDB07_A1 0x40006517 +#define CYREG_B1_UDB08_A1 0x40006518 +#define CYREG_B1_UDB09_A1 0x40006519 +#define CYREG_B1_UDB10_A1 0x4000651a +#define CYREG_B1_UDB11_A1 0x4000651b +#define CYREG_B1_UDB04_D0 0x40006524 +#define CYREG_B1_UDB05_D0 0x40006525 +#define CYREG_B1_UDB06_D0 0x40006526 +#define CYREG_B1_UDB07_D0 0x40006527 +#define CYREG_B1_UDB08_D0 0x40006528 +#define CYREG_B1_UDB09_D0 0x40006529 +#define CYREG_B1_UDB10_D0 0x4000652a +#define CYREG_B1_UDB11_D0 0x4000652b +#define CYREG_B1_UDB04_D1 0x40006534 +#define CYREG_B1_UDB05_D1 0x40006535 +#define CYREG_B1_UDB06_D1 0x40006536 +#define CYREG_B1_UDB07_D1 0x40006537 +#define CYREG_B1_UDB08_D1 0x40006538 +#define CYREG_B1_UDB09_D1 0x40006539 +#define CYREG_B1_UDB10_D1 0x4000653a +#define CYREG_B1_UDB11_D1 0x4000653b +#define CYREG_B1_UDB04_F0 0x40006544 +#define CYREG_B1_UDB05_F0 0x40006545 +#define CYREG_B1_UDB06_F0 0x40006546 +#define CYREG_B1_UDB07_F0 0x40006547 +#define CYREG_B1_UDB08_F0 0x40006548 +#define CYREG_B1_UDB09_F0 0x40006549 +#define CYREG_B1_UDB10_F0 0x4000654a +#define CYREG_B1_UDB11_F0 0x4000654b +#define CYREG_B1_UDB04_F1 0x40006554 +#define CYREG_B1_UDB05_F1 0x40006555 +#define CYREG_B1_UDB06_F1 0x40006556 +#define CYREG_B1_UDB07_F1 0x40006557 +#define CYREG_B1_UDB08_F1 0x40006558 +#define CYREG_B1_UDB09_F1 0x40006559 +#define CYREG_B1_UDB10_F1 0x4000655a +#define CYREG_B1_UDB11_F1 0x4000655b +#define CYREG_B1_UDB04_ST 0x40006564 +#define CYREG_B1_UDB05_ST 0x40006565 +#define CYREG_B1_UDB06_ST 0x40006566 +#define CYREG_B1_UDB07_ST 0x40006567 +#define CYREG_B1_UDB08_ST 0x40006568 +#define CYREG_B1_UDB09_ST 0x40006569 +#define CYREG_B1_UDB10_ST 0x4000656a +#define CYREG_B1_UDB11_ST 0x4000656b +#define CYREG_B1_UDB04_CTL 0x40006574 +#define CYREG_B1_UDB05_CTL 0x40006575 +#define CYREG_B1_UDB06_CTL 0x40006576 +#define CYREG_B1_UDB07_CTL 0x40006577 +#define CYREG_B1_UDB08_CTL 0x40006578 +#define CYREG_B1_UDB09_CTL 0x40006579 +#define CYREG_B1_UDB10_CTL 0x4000657a +#define CYREG_B1_UDB11_CTL 0x4000657b +#define CYREG_B1_UDB04_MSK 0x40006584 +#define CYREG_B1_UDB05_MSK 0x40006585 +#define CYREG_B1_UDB06_MSK 0x40006586 +#define CYREG_B1_UDB07_MSK 0x40006587 +#define CYREG_B1_UDB08_MSK 0x40006588 +#define CYREG_B1_UDB09_MSK 0x40006589 +#define CYREG_B1_UDB10_MSK 0x4000658a +#define CYREG_B1_UDB11_MSK 0x4000658b +#define CYREG_B1_UDB04_ACTL 0x40006594 +#define CYREG_B1_UDB05_ACTL 0x40006595 +#define CYREG_B1_UDB06_ACTL 0x40006596 +#define CYREG_B1_UDB07_ACTL 0x40006597 +#define CYREG_B1_UDB08_ACTL 0x40006598 +#define CYREG_B1_UDB09_ACTL 0x40006599 +#define CYREG_B1_UDB10_ACTL 0x4000659a +#define CYREG_B1_UDB11_ACTL 0x4000659b +#define CYREG_B1_UDB04_MC 0x400065a4 +#define CYREG_B1_UDB05_MC 0x400065a5 +#define CYREG_B1_UDB06_MC 0x400065a6 +#define CYREG_B1_UDB07_MC 0x400065a7 +#define CYREG_B1_UDB08_MC 0x400065a8 +#define CYREG_B1_UDB09_MC 0x400065a9 +#define CYREG_B1_UDB10_MC 0x400065aa +#define CYREG_B1_UDB11_MC 0x400065ab +#define CYDEV_UWRK_UWRK16_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760 +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160 +#define CYREG_B0_UDB00_A0_A1 0x40006800 +#define CYREG_B0_UDB01_A0_A1 0x40006802 +#define CYREG_B0_UDB02_A0_A1 0x40006804 +#define CYREG_B0_UDB03_A0_A1 0x40006806 +#define CYREG_B0_UDB04_A0_A1 0x40006808 +#define CYREG_B0_UDB05_A0_A1 0x4000680a +#define CYREG_B0_UDB06_A0_A1 0x4000680c +#define CYREG_B0_UDB07_A0_A1 0x4000680e +#define CYREG_B0_UDB08_A0_A1 0x40006810 +#define CYREG_B0_UDB09_A0_A1 0x40006812 +#define CYREG_B0_UDB10_A0_A1 0x40006814 +#define CYREG_B0_UDB11_A0_A1 0x40006816 +#define CYREG_B0_UDB12_A0_A1 0x40006818 +#define CYREG_B0_UDB13_A0_A1 0x4000681a +#define CYREG_B0_UDB14_A0_A1 0x4000681c +#define CYREG_B0_UDB15_A0_A1 0x4000681e +#define CYREG_B0_UDB00_D0_D1 0x40006840 +#define CYREG_B0_UDB01_D0_D1 0x40006842 +#define CYREG_B0_UDB02_D0_D1 0x40006844 +#define CYREG_B0_UDB03_D0_D1 0x40006846 +#define CYREG_B0_UDB04_D0_D1 0x40006848 +#define CYREG_B0_UDB05_D0_D1 0x4000684a +#define CYREG_B0_UDB06_D0_D1 0x4000684c +#define CYREG_B0_UDB07_D0_D1 0x4000684e +#define CYREG_B0_UDB08_D0_D1 0x40006850 +#define CYREG_B0_UDB09_D0_D1 0x40006852 +#define CYREG_B0_UDB10_D0_D1 0x40006854 +#define CYREG_B0_UDB11_D0_D1 0x40006856 +#define CYREG_B0_UDB12_D0_D1 0x40006858 +#define CYREG_B0_UDB13_D0_D1 0x4000685a +#define CYREG_B0_UDB14_D0_D1 0x4000685c +#define CYREG_B0_UDB15_D0_D1 0x4000685e +#define CYREG_B0_UDB00_F0_F1 0x40006880 +#define CYREG_B0_UDB01_F0_F1 0x40006882 +#define CYREG_B0_UDB02_F0_F1 0x40006884 +#define CYREG_B0_UDB03_F0_F1 0x40006886 +#define CYREG_B0_UDB04_F0_F1 0x40006888 +#define CYREG_B0_UDB05_F0_F1 0x4000688a +#define CYREG_B0_UDB06_F0_F1 0x4000688c +#define CYREG_B0_UDB07_F0_F1 0x4000688e +#define CYREG_B0_UDB08_F0_F1 0x40006890 +#define CYREG_B0_UDB09_F0_F1 0x40006892 +#define CYREG_B0_UDB10_F0_F1 0x40006894 +#define CYREG_B0_UDB11_F0_F1 0x40006896 +#define CYREG_B0_UDB12_F0_F1 0x40006898 +#define CYREG_B0_UDB13_F0_F1 0x4000689a +#define CYREG_B0_UDB14_F0_F1 0x4000689c +#define CYREG_B0_UDB15_F0_F1 0x4000689e +#define CYREG_B0_UDB00_ST_CTL 0x400068c0 +#define CYREG_B0_UDB01_ST_CTL 0x400068c2 +#define CYREG_B0_UDB02_ST_CTL 0x400068c4 +#define CYREG_B0_UDB03_ST_CTL 0x400068c6 +#define CYREG_B0_UDB04_ST_CTL 0x400068c8 +#define CYREG_B0_UDB05_ST_CTL 0x400068ca +#define CYREG_B0_UDB06_ST_CTL 0x400068cc +#define CYREG_B0_UDB07_ST_CTL 0x400068ce +#define CYREG_B0_UDB08_ST_CTL 0x400068d0 +#define CYREG_B0_UDB09_ST_CTL 0x400068d2 +#define CYREG_B0_UDB10_ST_CTL 0x400068d4 +#define CYREG_B0_UDB11_ST_CTL 0x400068d6 +#define CYREG_B0_UDB12_ST_CTL 0x400068d8 +#define CYREG_B0_UDB13_ST_CTL 0x400068da +#define CYREG_B0_UDB14_ST_CTL 0x400068dc +#define CYREG_B0_UDB15_ST_CTL 0x400068de +#define CYREG_B0_UDB00_MSK_ACTL 0x40006900 +#define CYREG_B0_UDB01_MSK_ACTL 0x40006902 +#define CYREG_B0_UDB02_MSK_ACTL 0x40006904 +#define CYREG_B0_UDB03_MSK_ACTL 0x40006906 +#define CYREG_B0_UDB04_MSK_ACTL 0x40006908 +#define CYREG_B0_UDB05_MSK_ACTL 0x4000690a +#define CYREG_B0_UDB06_MSK_ACTL 0x4000690c +#define CYREG_B0_UDB07_MSK_ACTL 0x4000690e +#define CYREG_B0_UDB08_MSK_ACTL 0x40006910 +#define CYREG_B0_UDB09_MSK_ACTL 0x40006912 +#define CYREG_B0_UDB10_MSK_ACTL 0x40006914 +#define CYREG_B0_UDB11_MSK_ACTL 0x40006916 +#define CYREG_B0_UDB12_MSK_ACTL 0x40006918 +#define CYREG_B0_UDB13_MSK_ACTL 0x4000691a +#define CYREG_B0_UDB14_MSK_ACTL 0x4000691c +#define CYREG_B0_UDB15_MSK_ACTL 0x4000691e +#define CYREG_B0_UDB00_MC_00 0x40006940 +#define CYREG_B0_UDB01_MC_00 0x40006942 +#define CYREG_B0_UDB02_MC_00 0x40006944 +#define CYREG_B0_UDB03_MC_00 0x40006946 +#define CYREG_B0_UDB04_MC_00 0x40006948 +#define CYREG_B0_UDB05_MC_00 0x4000694a +#define CYREG_B0_UDB06_MC_00 0x4000694c +#define CYREG_B0_UDB07_MC_00 0x4000694e +#define CYREG_B0_UDB08_MC_00 0x40006950 +#define CYREG_B0_UDB09_MC_00 0x40006952 +#define CYREG_B0_UDB10_MC_00 0x40006954 +#define CYREG_B0_UDB11_MC_00 0x40006956 +#define CYREG_B0_UDB12_MC_00 0x40006958 +#define CYREG_B0_UDB13_MC_00 0x4000695a +#define CYREG_B0_UDB14_MC_00 0x4000695c +#define CYREG_B0_UDB15_MC_00 0x4000695e +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160 +#define CYREG_B1_UDB04_A0_A1 0x40006a08 +#define CYREG_B1_UDB05_A0_A1 0x40006a0a +#define CYREG_B1_UDB06_A0_A1 0x40006a0c +#define CYREG_B1_UDB07_A0_A1 0x40006a0e +#define CYREG_B1_UDB08_A0_A1 0x40006a10 +#define CYREG_B1_UDB09_A0_A1 0x40006a12 +#define CYREG_B1_UDB10_A0_A1 0x40006a14 +#define CYREG_B1_UDB11_A0_A1 0x40006a16 +#define CYREG_B1_UDB04_D0_D1 0x40006a48 +#define CYREG_B1_UDB05_D0_D1 0x40006a4a +#define CYREG_B1_UDB06_D0_D1 0x40006a4c +#define CYREG_B1_UDB07_D0_D1 0x40006a4e +#define CYREG_B1_UDB08_D0_D1 0x40006a50 +#define CYREG_B1_UDB09_D0_D1 0x40006a52 +#define CYREG_B1_UDB10_D0_D1 0x40006a54 +#define CYREG_B1_UDB11_D0_D1 0x40006a56 +#define CYREG_B1_UDB04_F0_F1 0x40006a88 +#define CYREG_B1_UDB05_F0_F1 0x40006a8a +#define CYREG_B1_UDB06_F0_F1 0x40006a8c +#define CYREG_B1_UDB07_F0_F1 0x40006a8e +#define CYREG_B1_UDB08_F0_F1 0x40006a90 +#define CYREG_B1_UDB09_F0_F1 0x40006a92 +#define CYREG_B1_UDB10_F0_F1 0x40006a94 +#define CYREG_B1_UDB11_F0_F1 0x40006a96 +#define CYREG_B1_UDB04_ST_CTL 0x40006ac8 +#define CYREG_B1_UDB05_ST_CTL 0x40006aca +#define CYREG_B1_UDB06_ST_CTL 0x40006acc +#define CYREG_B1_UDB07_ST_CTL 0x40006ace +#define CYREG_B1_UDB08_ST_CTL 0x40006ad0 +#define CYREG_B1_UDB09_ST_CTL 0x40006ad2 +#define CYREG_B1_UDB10_ST_CTL 0x40006ad4 +#define CYREG_B1_UDB11_ST_CTL 0x40006ad6 +#define CYREG_B1_UDB04_MSK_ACTL 0x40006b08 +#define CYREG_B1_UDB05_MSK_ACTL 0x40006b0a +#define CYREG_B1_UDB06_MSK_ACTL 0x40006b0c +#define CYREG_B1_UDB07_MSK_ACTL 0x40006b0e +#define CYREG_B1_UDB08_MSK_ACTL 0x40006b10 +#define CYREG_B1_UDB09_MSK_ACTL 0x40006b12 +#define CYREG_B1_UDB10_MSK_ACTL 0x40006b14 +#define CYREG_B1_UDB11_MSK_ACTL 0x40006b16 +#define CYREG_B1_UDB04_MC_00 0x40006b48 +#define CYREG_B1_UDB05_MC_00 0x40006b4a +#define CYREG_B1_UDB06_MC_00 0x40006b4c +#define CYREG_B1_UDB07_MC_00 0x40006b4e +#define CYREG_B1_UDB08_MC_00 0x40006b50 +#define CYREG_B1_UDB09_MC_00 0x40006b52 +#define CYREG_B1_UDB10_MC_00 0x40006b54 +#define CYREG_B1_UDB11_MC_00 0x40006b56 +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075e +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800 +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015e +#define CYREG_B0_UDB00_01_A0 0x40006800 +#define CYREG_B0_UDB01_02_A0 0x40006802 +#define CYREG_B0_UDB02_03_A0 0x40006804 +#define CYREG_B0_UDB03_04_A0 0x40006806 +#define CYREG_B0_UDB04_05_A0 0x40006808 +#define CYREG_B0_UDB05_06_A0 0x4000680a +#define CYREG_B0_UDB06_07_A0 0x4000680c +#define CYREG_B0_UDB07_08_A0 0x4000680e +#define CYREG_B0_UDB08_09_A0 0x40006810 +#define CYREG_B0_UDB09_10_A0 0x40006812 +#define CYREG_B0_UDB10_11_A0 0x40006814 +#define CYREG_B0_UDB11_12_A0 0x40006816 +#define CYREG_B0_UDB12_13_A0 0x40006818 +#define CYREG_B0_UDB13_14_A0 0x4000681a +#define CYREG_B0_UDB14_15_A0 0x4000681c +#define CYREG_B0_UDB00_01_A1 0x40006820 +#define CYREG_B0_UDB01_02_A1 0x40006822 +#define CYREG_B0_UDB02_03_A1 0x40006824 +#define CYREG_B0_UDB03_04_A1 0x40006826 +#define CYREG_B0_UDB04_05_A1 0x40006828 +#define CYREG_B0_UDB05_06_A1 0x4000682a +#define CYREG_B0_UDB06_07_A1 0x4000682c +#define CYREG_B0_UDB07_08_A1 0x4000682e +#define CYREG_B0_UDB08_09_A1 0x40006830 +#define CYREG_B0_UDB09_10_A1 0x40006832 +#define CYREG_B0_UDB10_11_A1 0x40006834 +#define CYREG_B0_UDB11_12_A1 0x40006836 +#define CYREG_B0_UDB12_13_A1 0x40006838 +#define CYREG_B0_UDB13_14_A1 0x4000683a +#define CYREG_B0_UDB14_15_A1 0x4000683c +#define CYREG_B0_UDB00_01_D0 0x40006840 +#define CYREG_B0_UDB01_02_D0 0x40006842 +#define CYREG_B0_UDB02_03_D0 0x40006844 +#define CYREG_B0_UDB03_04_D0 0x40006846 +#define CYREG_B0_UDB04_05_D0 0x40006848 +#define CYREG_B0_UDB05_06_D0 0x4000684a +#define CYREG_B0_UDB06_07_D0 0x4000684c +#define CYREG_B0_UDB07_08_D0 0x4000684e +#define CYREG_B0_UDB08_09_D0 0x40006850 +#define CYREG_B0_UDB09_10_D0 0x40006852 +#define CYREG_B0_UDB10_11_D0 0x40006854 +#define CYREG_B0_UDB11_12_D0 0x40006856 +#define CYREG_B0_UDB12_13_D0 0x40006858 +#define CYREG_B0_UDB13_14_D0 0x4000685a +#define CYREG_B0_UDB14_15_D0 0x4000685c +#define CYREG_B0_UDB00_01_D1 0x40006860 +#define CYREG_B0_UDB01_02_D1 0x40006862 +#define CYREG_B0_UDB02_03_D1 0x40006864 +#define CYREG_B0_UDB03_04_D1 0x40006866 +#define CYREG_B0_UDB04_05_D1 0x40006868 +#define CYREG_B0_UDB05_06_D1 0x4000686a +#define CYREG_B0_UDB06_07_D1 0x4000686c +#define CYREG_B0_UDB07_08_D1 0x4000686e +#define CYREG_B0_UDB08_09_D1 0x40006870 +#define CYREG_B0_UDB09_10_D1 0x40006872 +#define CYREG_B0_UDB10_11_D1 0x40006874 +#define CYREG_B0_UDB11_12_D1 0x40006876 +#define CYREG_B0_UDB12_13_D1 0x40006878 +#define CYREG_B0_UDB13_14_D1 0x4000687a +#define CYREG_B0_UDB14_15_D1 0x4000687c +#define CYREG_B0_UDB00_01_F0 0x40006880 +#define CYREG_B0_UDB01_02_F0 0x40006882 +#define CYREG_B0_UDB02_03_F0 0x40006884 +#define CYREG_B0_UDB03_04_F0 0x40006886 +#define CYREG_B0_UDB04_05_F0 0x40006888 +#define CYREG_B0_UDB05_06_F0 0x4000688a +#define CYREG_B0_UDB06_07_F0 0x4000688c +#define CYREG_B0_UDB07_08_F0 0x4000688e +#define CYREG_B0_UDB08_09_F0 0x40006890 +#define CYREG_B0_UDB09_10_F0 0x40006892 +#define CYREG_B0_UDB10_11_F0 0x40006894 +#define CYREG_B0_UDB11_12_F0 0x40006896 +#define CYREG_B0_UDB12_13_F0 0x40006898 +#define CYREG_B0_UDB13_14_F0 0x4000689a +#define CYREG_B0_UDB14_15_F0 0x4000689c +#define CYREG_B0_UDB00_01_F1 0x400068a0 +#define CYREG_B0_UDB01_02_F1 0x400068a2 +#define CYREG_B0_UDB02_03_F1 0x400068a4 +#define CYREG_B0_UDB03_04_F1 0x400068a6 +#define CYREG_B0_UDB04_05_F1 0x400068a8 +#define CYREG_B0_UDB05_06_F1 0x400068aa +#define CYREG_B0_UDB06_07_F1 0x400068ac +#define CYREG_B0_UDB07_08_F1 0x400068ae +#define CYREG_B0_UDB08_09_F1 0x400068b0 +#define CYREG_B0_UDB09_10_F1 0x400068b2 +#define CYREG_B0_UDB10_11_F1 0x400068b4 +#define CYREG_B0_UDB11_12_F1 0x400068b6 +#define CYREG_B0_UDB12_13_F1 0x400068b8 +#define CYREG_B0_UDB13_14_F1 0x400068ba +#define CYREG_B0_UDB14_15_F1 0x400068bc +#define CYREG_B0_UDB00_01_ST 0x400068c0 +#define CYREG_B0_UDB01_02_ST 0x400068c2 +#define CYREG_B0_UDB02_03_ST 0x400068c4 +#define CYREG_B0_UDB03_04_ST 0x400068c6 +#define CYREG_B0_UDB04_05_ST 0x400068c8 +#define CYREG_B0_UDB05_06_ST 0x400068ca +#define CYREG_B0_UDB06_07_ST 0x400068cc +#define CYREG_B0_UDB07_08_ST 0x400068ce +#define CYREG_B0_UDB08_09_ST 0x400068d0 +#define CYREG_B0_UDB09_10_ST 0x400068d2 +#define CYREG_B0_UDB10_11_ST 0x400068d4 +#define CYREG_B0_UDB11_12_ST 0x400068d6 +#define CYREG_B0_UDB12_13_ST 0x400068d8 +#define CYREG_B0_UDB13_14_ST 0x400068da +#define CYREG_B0_UDB14_15_ST 0x400068dc +#define CYREG_B0_UDB00_01_CTL 0x400068e0 +#define CYREG_B0_UDB01_02_CTL 0x400068e2 +#define CYREG_B0_UDB02_03_CTL 0x400068e4 +#define CYREG_B0_UDB03_04_CTL 0x400068e6 +#define CYREG_B0_UDB04_05_CTL 0x400068e8 +#define CYREG_B0_UDB05_06_CTL 0x400068ea +#define CYREG_B0_UDB06_07_CTL 0x400068ec +#define CYREG_B0_UDB07_08_CTL 0x400068ee +#define CYREG_B0_UDB08_09_CTL 0x400068f0 +#define CYREG_B0_UDB09_10_CTL 0x400068f2 +#define CYREG_B0_UDB10_11_CTL 0x400068f4 +#define CYREG_B0_UDB11_12_CTL 0x400068f6 +#define CYREG_B0_UDB12_13_CTL 0x400068f8 +#define CYREG_B0_UDB13_14_CTL 0x400068fa +#define CYREG_B0_UDB14_15_CTL 0x400068fc +#define CYREG_B0_UDB00_01_MSK 0x40006900 +#define CYREG_B0_UDB01_02_MSK 0x40006902 +#define CYREG_B0_UDB02_03_MSK 0x40006904 +#define CYREG_B0_UDB03_04_MSK 0x40006906 +#define CYREG_B0_UDB04_05_MSK 0x40006908 +#define CYREG_B0_UDB05_06_MSK 0x4000690a +#define CYREG_B0_UDB06_07_MSK 0x4000690c +#define CYREG_B0_UDB07_08_MSK 0x4000690e +#define CYREG_B0_UDB08_09_MSK 0x40006910 +#define CYREG_B0_UDB09_10_MSK 0x40006912 +#define CYREG_B0_UDB10_11_MSK 0x40006914 +#define CYREG_B0_UDB11_12_MSK 0x40006916 +#define CYREG_B0_UDB12_13_MSK 0x40006918 +#define CYREG_B0_UDB13_14_MSK 0x4000691a +#define CYREG_B0_UDB14_15_MSK 0x4000691c +#define CYREG_B0_UDB00_01_ACTL 0x40006920 +#define CYREG_B0_UDB01_02_ACTL 0x40006922 +#define CYREG_B0_UDB02_03_ACTL 0x40006924 +#define CYREG_B0_UDB03_04_ACTL 0x40006926 +#define CYREG_B0_UDB04_05_ACTL 0x40006928 +#define CYREG_B0_UDB05_06_ACTL 0x4000692a +#define CYREG_B0_UDB06_07_ACTL 0x4000692c +#define CYREG_B0_UDB07_08_ACTL 0x4000692e +#define CYREG_B0_UDB08_09_ACTL 0x40006930 +#define CYREG_B0_UDB09_10_ACTL 0x40006932 +#define CYREG_B0_UDB10_11_ACTL 0x40006934 +#define CYREG_B0_UDB11_12_ACTL 0x40006936 +#define CYREG_B0_UDB12_13_ACTL 0x40006938 +#define CYREG_B0_UDB13_14_ACTL 0x4000693a +#define CYREG_B0_UDB14_15_ACTL 0x4000693c +#define CYREG_B0_UDB00_01_MC 0x40006940 +#define CYREG_B0_UDB01_02_MC 0x40006942 +#define CYREG_B0_UDB02_03_MC 0x40006944 +#define CYREG_B0_UDB03_04_MC 0x40006946 +#define CYREG_B0_UDB04_05_MC 0x40006948 +#define CYREG_B0_UDB05_06_MC 0x4000694a +#define CYREG_B0_UDB06_07_MC 0x4000694c +#define CYREG_B0_UDB07_08_MC 0x4000694e +#define CYREG_B0_UDB08_09_MC 0x40006950 +#define CYREG_B0_UDB09_10_MC 0x40006952 +#define CYREG_B0_UDB10_11_MC 0x40006954 +#define CYREG_B0_UDB11_12_MC 0x40006956 +#define CYREG_B0_UDB12_13_MC 0x40006958 +#define CYREG_B0_UDB13_14_MC 0x4000695a +#define CYREG_B0_UDB14_15_MC 0x4000695c +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00 +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015e +#define CYREG_B1_UDB04_05_A0 0x40006a08 +#define CYREG_B1_UDB05_06_A0 0x40006a0a +#define CYREG_B1_UDB06_07_A0 0x40006a0c +#define CYREG_B1_UDB07_08_A0 0x40006a0e +#define CYREG_B1_UDB08_09_A0 0x40006a10 +#define CYREG_B1_UDB09_10_A0 0x40006a12 +#define CYREG_B1_UDB10_11_A0 0x40006a14 +#define CYREG_B1_UDB11_12_A0 0x40006a16 +#define CYREG_B1_UDB04_05_A1 0x40006a28 +#define CYREG_B1_UDB05_06_A1 0x40006a2a +#define CYREG_B1_UDB06_07_A1 0x40006a2c +#define CYREG_B1_UDB07_08_A1 0x40006a2e +#define CYREG_B1_UDB08_09_A1 0x40006a30 +#define CYREG_B1_UDB09_10_A1 0x40006a32 +#define CYREG_B1_UDB10_11_A1 0x40006a34 +#define CYREG_B1_UDB11_12_A1 0x40006a36 +#define CYREG_B1_UDB04_05_D0 0x40006a48 +#define CYREG_B1_UDB05_06_D0 0x40006a4a +#define CYREG_B1_UDB06_07_D0 0x40006a4c +#define CYREG_B1_UDB07_08_D0 0x40006a4e +#define CYREG_B1_UDB08_09_D0 0x40006a50 +#define CYREG_B1_UDB09_10_D0 0x40006a52 +#define CYREG_B1_UDB10_11_D0 0x40006a54 +#define CYREG_B1_UDB11_12_D0 0x40006a56 +#define CYREG_B1_UDB04_05_D1 0x40006a68 +#define CYREG_B1_UDB05_06_D1 0x40006a6a +#define CYREG_B1_UDB06_07_D1 0x40006a6c +#define CYREG_B1_UDB07_08_D1 0x40006a6e +#define CYREG_B1_UDB08_09_D1 0x40006a70 +#define CYREG_B1_UDB09_10_D1 0x40006a72 +#define CYREG_B1_UDB10_11_D1 0x40006a74 +#define CYREG_B1_UDB11_12_D1 0x40006a76 +#define CYREG_B1_UDB04_05_F0 0x40006a88 +#define CYREG_B1_UDB05_06_F0 0x40006a8a +#define CYREG_B1_UDB06_07_F0 0x40006a8c +#define CYREG_B1_UDB07_08_F0 0x40006a8e +#define CYREG_B1_UDB08_09_F0 0x40006a90 +#define CYREG_B1_UDB09_10_F0 0x40006a92 +#define CYREG_B1_UDB10_11_F0 0x40006a94 +#define CYREG_B1_UDB11_12_F0 0x40006a96 +#define CYREG_B1_UDB04_05_F1 0x40006aa8 +#define CYREG_B1_UDB05_06_F1 0x40006aaa +#define CYREG_B1_UDB06_07_F1 0x40006aac +#define CYREG_B1_UDB07_08_F1 0x40006aae +#define CYREG_B1_UDB08_09_F1 0x40006ab0 +#define CYREG_B1_UDB09_10_F1 0x40006ab2 +#define CYREG_B1_UDB10_11_F1 0x40006ab4 +#define CYREG_B1_UDB11_12_F1 0x40006ab6 +#define CYREG_B1_UDB04_05_ST 0x40006ac8 +#define CYREG_B1_UDB05_06_ST 0x40006aca +#define CYREG_B1_UDB06_07_ST 0x40006acc +#define CYREG_B1_UDB07_08_ST 0x40006ace +#define CYREG_B1_UDB08_09_ST 0x40006ad0 +#define CYREG_B1_UDB09_10_ST 0x40006ad2 +#define CYREG_B1_UDB10_11_ST 0x40006ad4 +#define CYREG_B1_UDB11_12_ST 0x40006ad6 +#define CYREG_B1_UDB04_05_CTL 0x40006ae8 +#define CYREG_B1_UDB05_06_CTL 0x40006aea +#define CYREG_B1_UDB06_07_CTL 0x40006aec +#define CYREG_B1_UDB07_08_CTL 0x40006aee +#define CYREG_B1_UDB08_09_CTL 0x40006af0 +#define CYREG_B1_UDB09_10_CTL 0x40006af2 +#define CYREG_B1_UDB10_11_CTL 0x40006af4 +#define CYREG_B1_UDB11_12_CTL 0x40006af6 +#define CYREG_B1_UDB04_05_MSK 0x40006b08 +#define CYREG_B1_UDB05_06_MSK 0x40006b0a +#define CYREG_B1_UDB06_07_MSK 0x40006b0c +#define CYREG_B1_UDB07_08_MSK 0x40006b0e +#define CYREG_B1_UDB08_09_MSK 0x40006b10 +#define CYREG_B1_UDB09_10_MSK 0x40006b12 +#define CYREG_B1_UDB10_11_MSK 0x40006b14 +#define CYREG_B1_UDB11_12_MSK 0x40006b16 +#define CYREG_B1_UDB04_05_ACTL 0x40006b28 +#define CYREG_B1_UDB05_06_ACTL 0x40006b2a +#define CYREG_B1_UDB06_07_ACTL 0x40006b2c +#define CYREG_B1_UDB07_08_ACTL 0x40006b2e +#define CYREG_B1_UDB08_09_ACTL 0x40006b30 +#define CYREG_B1_UDB09_10_ACTL 0x40006b32 +#define CYREG_B1_UDB10_11_ACTL 0x40006b34 +#define CYREG_B1_UDB11_12_ACTL 0x40006b36 +#define CYREG_B1_UDB04_05_MC 0x40006b48 +#define CYREG_B1_UDB05_06_MC 0x40006b4a +#define CYREG_B1_UDB06_07_MC 0x40006b4c +#define CYREG_B1_UDB07_08_MC 0x40006b4e +#define CYREG_B1_UDB08_09_MC 0x40006b50 +#define CYREG_B1_UDB09_10_MC 0x40006b52 +#define CYREG_B1_UDB10_11_MC 0x40006b54 +#define CYREG_B1_UDB11_12_MC 0x40006b56 +#define CYDEV_PHUB_BASE 0x40007000 +#define CYDEV_PHUB_SIZE 0x00000c00 +#define CYREG_PHUB_CFG 0x40007000 +#define CYREG_PHUB_ERR 0x40007004 +#define CYREG_PHUB_ERR_ADR 0x40007008 +#define CYDEV_PHUB_CH0_BASE 0x40007010 +#define CYDEV_PHUB_CH0_SIZE 0x0000000c +#define CYREG_PHUB_CH0_BASIC_CFG 0x40007010 +#define CYREG_PHUB_CH0_ACTION 0x40007014 +#define CYREG_PHUB_CH0_BASIC_STATUS 0x40007018 +#define CYDEV_PHUB_CH1_BASE 0x40007020 +#define CYDEV_PHUB_CH1_SIZE 0x0000000c +#define CYREG_PHUB_CH1_BASIC_CFG 0x40007020 +#define CYREG_PHUB_CH1_ACTION 0x40007024 +#define CYREG_PHUB_CH1_BASIC_STATUS 0x40007028 +#define CYDEV_PHUB_CH2_BASE 0x40007030 +#define CYDEV_PHUB_CH2_SIZE 0x0000000c +#define CYREG_PHUB_CH2_BASIC_CFG 0x40007030 +#define CYREG_PHUB_CH2_ACTION 0x40007034 +#define CYREG_PHUB_CH2_BASIC_STATUS 0x40007038 +#define CYDEV_PHUB_CH3_BASE 0x40007040 +#define CYDEV_PHUB_CH3_SIZE 0x0000000c +#define CYREG_PHUB_CH3_BASIC_CFG 0x40007040 +#define CYREG_PHUB_CH3_ACTION 0x40007044 +#define CYREG_PHUB_CH3_BASIC_STATUS 0x40007048 +#define CYDEV_PHUB_CH4_BASE 0x40007050 +#define CYDEV_PHUB_CH4_SIZE 0x0000000c +#define CYREG_PHUB_CH4_BASIC_CFG 0x40007050 +#define CYREG_PHUB_CH4_ACTION 0x40007054 +#define CYREG_PHUB_CH4_BASIC_STATUS 0x40007058 +#define CYDEV_PHUB_CH5_BASE 0x40007060 +#define CYDEV_PHUB_CH5_SIZE 0x0000000c +#define CYREG_PHUB_CH5_BASIC_CFG 0x40007060 +#define CYREG_PHUB_CH5_ACTION 0x40007064 +#define CYREG_PHUB_CH5_BASIC_STATUS 0x40007068 +#define CYDEV_PHUB_CH6_BASE 0x40007070 +#define CYDEV_PHUB_CH6_SIZE 0x0000000c +#define CYREG_PHUB_CH6_BASIC_CFG 0x40007070 +#define CYREG_PHUB_CH6_ACTION 0x40007074 +#define CYREG_PHUB_CH6_BASIC_STATUS 0x40007078 +#define CYDEV_PHUB_CH7_BASE 0x40007080 +#define CYDEV_PHUB_CH7_SIZE 0x0000000c +#define CYREG_PHUB_CH7_BASIC_CFG 0x40007080 +#define CYREG_PHUB_CH7_ACTION 0x40007084 +#define CYREG_PHUB_CH7_BASIC_STATUS 0x40007088 +#define CYDEV_PHUB_CH8_BASE 0x40007090 +#define CYDEV_PHUB_CH8_SIZE 0x0000000c +#define CYREG_PHUB_CH8_BASIC_CFG 0x40007090 +#define CYREG_PHUB_CH8_ACTION 0x40007094 +#define CYREG_PHUB_CH8_BASIC_STATUS 0x40007098 +#define CYDEV_PHUB_CH9_BASE 0x400070a0 +#define CYDEV_PHUB_CH9_SIZE 0x0000000c +#define CYREG_PHUB_CH9_BASIC_CFG 0x400070a0 +#define CYREG_PHUB_CH9_ACTION 0x400070a4 +#define CYREG_PHUB_CH9_BASIC_STATUS 0x400070a8 +#define CYDEV_PHUB_CH10_BASE 0x400070b0 +#define CYDEV_PHUB_CH10_SIZE 0x0000000c +#define CYREG_PHUB_CH10_BASIC_CFG 0x400070b0 +#define CYREG_PHUB_CH10_ACTION 0x400070b4 +#define CYREG_PHUB_CH10_BASIC_STATUS 0x400070b8 +#define CYDEV_PHUB_CH11_BASE 0x400070c0 +#define CYDEV_PHUB_CH11_SIZE 0x0000000c +#define CYREG_PHUB_CH11_BASIC_CFG 0x400070c0 +#define CYREG_PHUB_CH11_ACTION 0x400070c4 +#define CYREG_PHUB_CH11_BASIC_STATUS 0x400070c8 +#define CYDEV_PHUB_CH12_BASE 0x400070d0 +#define CYDEV_PHUB_CH12_SIZE 0x0000000c +#define CYREG_PHUB_CH12_BASIC_CFG 0x400070d0 +#define CYREG_PHUB_CH12_ACTION 0x400070d4 +#define CYREG_PHUB_CH12_BASIC_STATUS 0x400070d8 +#define CYDEV_PHUB_CH13_BASE 0x400070e0 +#define CYDEV_PHUB_CH13_SIZE 0x0000000c +#define CYREG_PHUB_CH13_BASIC_CFG 0x400070e0 +#define CYREG_PHUB_CH13_ACTION 0x400070e4 +#define CYREG_PHUB_CH13_BASIC_STATUS 0x400070e8 +#define CYDEV_PHUB_CH14_BASE 0x400070f0 +#define CYDEV_PHUB_CH14_SIZE 0x0000000c +#define CYREG_PHUB_CH14_BASIC_CFG 0x400070f0 +#define CYREG_PHUB_CH14_ACTION 0x400070f4 +#define CYREG_PHUB_CH14_BASIC_STATUS 0x400070f8 +#define CYDEV_PHUB_CH15_BASE 0x40007100 +#define CYDEV_PHUB_CH15_SIZE 0x0000000c +#define CYREG_PHUB_CH15_BASIC_CFG 0x40007100 +#define CYREG_PHUB_CH15_ACTION 0x40007104 +#define CYREG_PHUB_CH15_BASIC_STATUS 0x40007108 +#define CYDEV_PHUB_CH16_BASE 0x40007110 +#define CYDEV_PHUB_CH16_SIZE 0x0000000c +#define CYREG_PHUB_CH16_BASIC_CFG 0x40007110 +#define CYREG_PHUB_CH16_ACTION 0x40007114 +#define CYREG_PHUB_CH16_BASIC_STATUS 0x40007118 +#define CYDEV_PHUB_CH17_BASE 0x40007120 +#define CYDEV_PHUB_CH17_SIZE 0x0000000c +#define CYREG_PHUB_CH17_BASIC_CFG 0x40007120 +#define CYREG_PHUB_CH17_ACTION 0x40007124 +#define CYREG_PHUB_CH17_BASIC_STATUS 0x40007128 +#define CYDEV_PHUB_CH18_BASE 0x40007130 +#define CYDEV_PHUB_CH18_SIZE 0x0000000c +#define CYREG_PHUB_CH18_BASIC_CFG 0x40007130 +#define CYREG_PHUB_CH18_ACTION 0x40007134 +#define CYREG_PHUB_CH18_BASIC_STATUS 0x40007138 +#define CYDEV_PHUB_CH19_BASE 0x40007140 +#define CYDEV_PHUB_CH19_SIZE 0x0000000c +#define CYREG_PHUB_CH19_BASIC_CFG 0x40007140 +#define CYREG_PHUB_CH19_ACTION 0x40007144 +#define CYREG_PHUB_CH19_BASIC_STATUS 0x40007148 +#define CYDEV_PHUB_CH20_BASE 0x40007150 +#define CYDEV_PHUB_CH20_SIZE 0x0000000c +#define CYREG_PHUB_CH20_BASIC_CFG 0x40007150 +#define CYREG_PHUB_CH20_ACTION 0x40007154 +#define CYREG_PHUB_CH20_BASIC_STATUS 0x40007158 +#define CYDEV_PHUB_CH21_BASE 0x40007160 +#define CYDEV_PHUB_CH21_SIZE 0x0000000c +#define CYREG_PHUB_CH21_BASIC_CFG 0x40007160 +#define CYREG_PHUB_CH21_ACTION 0x40007164 +#define CYREG_PHUB_CH21_BASIC_STATUS 0x40007168 +#define CYDEV_PHUB_CH22_BASE 0x40007170 +#define CYDEV_PHUB_CH22_SIZE 0x0000000c +#define CYREG_PHUB_CH22_BASIC_CFG 0x40007170 +#define CYREG_PHUB_CH22_ACTION 0x40007174 +#define CYREG_PHUB_CH22_BASIC_STATUS 0x40007178 +#define CYDEV_PHUB_CH23_BASE 0x40007180 +#define CYDEV_PHUB_CH23_SIZE 0x0000000c +#define CYREG_PHUB_CH23_BASIC_CFG 0x40007180 +#define CYREG_PHUB_CH23_ACTION 0x40007184 +#define CYREG_PHUB_CH23_BASIC_STATUS 0x40007188 +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600 +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM0_CFG0 0x40007600 +#define CYREG_PHUB_CFGMEM0_CFG1 0x40007604 +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608 +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM1_CFG0 0x40007608 +#define CYREG_PHUB_CFGMEM1_CFG1 0x4000760c +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610 +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM2_CFG0 0x40007610 +#define CYREG_PHUB_CFGMEM2_CFG1 0x40007614 +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618 +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM3_CFG0 0x40007618 +#define CYREG_PHUB_CFGMEM3_CFG1 0x4000761c +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620 +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM4_CFG0 0x40007620 +#define CYREG_PHUB_CFGMEM4_CFG1 0x40007624 +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628 +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM5_CFG0 0x40007628 +#define CYREG_PHUB_CFGMEM5_CFG1 0x4000762c +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630 +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM6_CFG0 0x40007630 +#define CYREG_PHUB_CFGMEM6_CFG1 0x40007634 +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638 +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM7_CFG0 0x40007638 +#define CYREG_PHUB_CFGMEM7_CFG1 0x4000763c +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640 +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM8_CFG0 0x40007640 +#define CYREG_PHUB_CFGMEM8_CFG1 0x40007644 +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648 +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM9_CFG0 0x40007648 +#define CYREG_PHUB_CFGMEM9_CFG1 0x4000764c +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650 +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM10_CFG0 0x40007650 +#define CYREG_PHUB_CFGMEM10_CFG1 0x40007654 +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658 +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM11_CFG0 0x40007658 +#define CYREG_PHUB_CFGMEM11_CFG1 0x4000765c +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660 +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM12_CFG0 0x40007660 +#define CYREG_PHUB_CFGMEM12_CFG1 0x40007664 +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668 +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM13_CFG0 0x40007668 +#define CYREG_PHUB_CFGMEM13_CFG1 0x4000766c +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670 +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM14_CFG0 0x40007670 +#define CYREG_PHUB_CFGMEM14_CFG1 0x40007674 +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678 +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM15_CFG0 0x40007678 +#define CYREG_PHUB_CFGMEM15_CFG1 0x4000767c +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680 +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM16_CFG0 0x40007680 +#define CYREG_PHUB_CFGMEM16_CFG1 0x40007684 +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688 +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM17_CFG0 0x40007688 +#define CYREG_PHUB_CFGMEM17_CFG1 0x4000768c +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690 +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM18_CFG0 0x40007690 +#define CYREG_PHUB_CFGMEM18_CFG1 0x40007694 +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698 +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM19_CFG0 0x40007698 +#define CYREG_PHUB_CFGMEM19_CFG1 0x4000769c +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0 +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM20_CFG0 0x400076a0 +#define CYREG_PHUB_CFGMEM20_CFG1 0x400076a4 +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8 +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM21_CFG0 0x400076a8 +#define CYREG_PHUB_CFGMEM21_CFG1 0x400076ac +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0 +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM22_CFG0 0x400076b0 +#define CYREG_PHUB_CFGMEM22_CFG1 0x400076b4 +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8 +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008 +#define CYREG_PHUB_CFGMEM23_CFG0 0x400076b8 +#define CYREG_PHUB_CFGMEM23_CFG1 0x400076bc +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800 +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM0_ORIG_TD0 0x40007800 +#define CYREG_PHUB_TDMEM0_ORIG_TD1 0x40007804 +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808 +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM1_ORIG_TD0 0x40007808 +#define CYREG_PHUB_TDMEM1_ORIG_TD1 0x4000780c +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810 +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM2_ORIG_TD0 0x40007810 +#define CYREG_PHUB_TDMEM2_ORIG_TD1 0x40007814 +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818 +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM3_ORIG_TD0 0x40007818 +#define CYREG_PHUB_TDMEM3_ORIG_TD1 0x4000781c +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820 +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM4_ORIG_TD0 0x40007820 +#define CYREG_PHUB_TDMEM4_ORIG_TD1 0x40007824 +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828 +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM5_ORIG_TD0 0x40007828 +#define CYREG_PHUB_TDMEM5_ORIG_TD1 0x4000782c +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830 +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM6_ORIG_TD0 0x40007830 +#define CYREG_PHUB_TDMEM6_ORIG_TD1 0x40007834 +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838 +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM7_ORIG_TD0 0x40007838 +#define CYREG_PHUB_TDMEM7_ORIG_TD1 0x4000783c +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840 +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM8_ORIG_TD0 0x40007840 +#define CYREG_PHUB_TDMEM8_ORIG_TD1 0x40007844 +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848 +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM9_ORIG_TD0 0x40007848 +#define CYREG_PHUB_TDMEM9_ORIG_TD1 0x4000784c +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850 +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM10_ORIG_TD0 0x40007850 +#define CYREG_PHUB_TDMEM10_ORIG_TD1 0x40007854 +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858 +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM11_ORIG_TD0 0x40007858 +#define CYREG_PHUB_TDMEM11_ORIG_TD1 0x4000785c +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860 +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM12_ORIG_TD0 0x40007860 +#define CYREG_PHUB_TDMEM12_ORIG_TD1 0x40007864 +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868 +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM13_ORIG_TD0 0x40007868 +#define CYREG_PHUB_TDMEM13_ORIG_TD1 0x4000786c +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870 +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM14_ORIG_TD0 0x40007870 +#define CYREG_PHUB_TDMEM14_ORIG_TD1 0x40007874 +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878 +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM15_ORIG_TD0 0x40007878 +#define CYREG_PHUB_TDMEM15_ORIG_TD1 0x4000787c +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880 +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM16_ORIG_TD0 0x40007880 +#define CYREG_PHUB_TDMEM16_ORIG_TD1 0x40007884 +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888 +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM17_ORIG_TD0 0x40007888 +#define CYREG_PHUB_TDMEM17_ORIG_TD1 0x4000788c +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890 +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM18_ORIG_TD0 0x40007890 +#define CYREG_PHUB_TDMEM18_ORIG_TD1 0x40007894 +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898 +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM19_ORIG_TD0 0x40007898 +#define CYREG_PHUB_TDMEM19_ORIG_TD1 0x4000789c +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0 +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM20_ORIG_TD0 0x400078a0 +#define CYREG_PHUB_TDMEM20_ORIG_TD1 0x400078a4 +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8 +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM21_ORIG_TD0 0x400078a8 +#define CYREG_PHUB_TDMEM21_ORIG_TD1 0x400078ac +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0 +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM22_ORIG_TD0 0x400078b0 +#define CYREG_PHUB_TDMEM22_ORIG_TD1 0x400078b4 +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8 +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM23_ORIG_TD0 0x400078b8 +#define CYREG_PHUB_TDMEM23_ORIG_TD1 0x400078bc +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0 +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM24_ORIG_TD0 0x400078c0 +#define CYREG_PHUB_TDMEM24_ORIG_TD1 0x400078c4 +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8 +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM25_ORIG_TD0 0x400078c8 +#define CYREG_PHUB_TDMEM25_ORIG_TD1 0x400078cc +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0 +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM26_ORIG_TD0 0x400078d0 +#define CYREG_PHUB_TDMEM26_ORIG_TD1 0x400078d4 +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8 +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM27_ORIG_TD0 0x400078d8 +#define CYREG_PHUB_TDMEM27_ORIG_TD1 0x400078dc +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0 +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM28_ORIG_TD0 0x400078e0 +#define CYREG_PHUB_TDMEM28_ORIG_TD1 0x400078e4 +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8 +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM29_ORIG_TD0 0x400078e8 +#define CYREG_PHUB_TDMEM29_ORIG_TD1 0x400078ec +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0 +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM30_ORIG_TD0 0x400078f0 +#define CYREG_PHUB_TDMEM30_ORIG_TD1 0x400078f4 +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8 +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM31_ORIG_TD0 0x400078f8 +#define CYREG_PHUB_TDMEM31_ORIG_TD1 0x400078fc +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900 +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM32_ORIG_TD0 0x40007900 +#define CYREG_PHUB_TDMEM32_ORIG_TD1 0x40007904 +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908 +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM33_ORIG_TD0 0x40007908 +#define CYREG_PHUB_TDMEM33_ORIG_TD1 0x4000790c +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910 +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM34_ORIG_TD0 0x40007910 +#define CYREG_PHUB_TDMEM34_ORIG_TD1 0x40007914 +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918 +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM35_ORIG_TD0 0x40007918 +#define CYREG_PHUB_TDMEM35_ORIG_TD1 0x4000791c +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920 +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM36_ORIG_TD0 0x40007920 +#define CYREG_PHUB_TDMEM36_ORIG_TD1 0x40007924 +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928 +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM37_ORIG_TD0 0x40007928 +#define CYREG_PHUB_TDMEM37_ORIG_TD1 0x4000792c +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930 +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM38_ORIG_TD0 0x40007930 +#define CYREG_PHUB_TDMEM38_ORIG_TD1 0x40007934 +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938 +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM39_ORIG_TD0 0x40007938 +#define CYREG_PHUB_TDMEM39_ORIG_TD1 0x4000793c +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940 +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM40_ORIG_TD0 0x40007940 +#define CYREG_PHUB_TDMEM40_ORIG_TD1 0x40007944 +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948 +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM41_ORIG_TD0 0x40007948 +#define CYREG_PHUB_TDMEM41_ORIG_TD1 0x4000794c +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950 +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM42_ORIG_TD0 0x40007950 +#define CYREG_PHUB_TDMEM42_ORIG_TD1 0x40007954 +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958 +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM43_ORIG_TD0 0x40007958 +#define CYREG_PHUB_TDMEM43_ORIG_TD1 0x4000795c +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960 +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM44_ORIG_TD0 0x40007960 +#define CYREG_PHUB_TDMEM44_ORIG_TD1 0x40007964 +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968 +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM45_ORIG_TD0 0x40007968 +#define CYREG_PHUB_TDMEM45_ORIG_TD1 0x4000796c +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970 +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM46_ORIG_TD0 0x40007970 +#define CYREG_PHUB_TDMEM46_ORIG_TD1 0x40007974 +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978 +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM47_ORIG_TD0 0x40007978 +#define CYREG_PHUB_TDMEM47_ORIG_TD1 0x4000797c +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980 +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM48_ORIG_TD0 0x40007980 +#define CYREG_PHUB_TDMEM48_ORIG_TD1 0x40007984 +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988 +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM49_ORIG_TD0 0x40007988 +#define CYREG_PHUB_TDMEM49_ORIG_TD1 0x4000798c +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990 +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM50_ORIG_TD0 0x40007990 +#define CYREG_PHUB_TDMEM50_ORIG_TD1 0x40007994 +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998 +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM51_ORIG_TD0 0x40007998 +#define CYREG_PHUB_TDMEM51_ORIG_TD1 0x4000799c +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0 +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM52_ORIG_TD0 0x400079a0 +#define CYREG_PHUB_TDMEM52_ORIG_TD1 0x400079a4 +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8 +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM53_ORIG_TD0 0x400079a8 +#define CYREG_PHUB_TDMEM53_ORIG_TD1 0x400079ac +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0 +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM54_ORIG_TD0 0x400079b0 +#define CYREG_PHUB_TDMEM54_ORIG_TD1 0x400079b4 +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8 +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM55_ORIG_TD0 0x400079b8 +#define CYREG_PHUB_TDMEM55_ORIG_TD1 0x400079bc +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0 +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM56_ORIG_TD0 0x400079c0 +#define CYREG_PHUB_TDMEM56_ORIG_TD1 0x400079c4 +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8 +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM57_ORIG_TD0 0x400079c8 +#define CYREG_PHUB_TDMEM57_ORIG_TD1 0x400079cc +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0 +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM58_ORIG_TD0 0x400079d0 +#define CYREG_PHUB_TDMEM58_ORIG_TD1 0x400079d4 +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8 +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM59_ORIG_TD0 0x400079d8 +#define CYREG_PHUB_TDMEM59_ORIG_TD1 0x400079dc +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0 +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM60_ORIG_TD0 0x400079e0 +#define CYREG_PHUB_TDMEM60_ORIG_TD1 0x400079e4 +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8 +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM61_ORIG_TD0 0x400079e8 +#define CYREG_PHUB_TDMEM61_ORIG_TD1 0x400079ec +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0 +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM62_ORIG_TD0 0x400079f0 +#define CYREG_PHUB_TDMEM62_ORIG_TD1 0x400079f4 +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8 +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM63_ORIG_TD0 0x400079f8 +#define CYREG_PHUB_TDMEM63_ORIG_TD1 0x400079fc +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00 +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM64_ORIG_TD0 0x40007a00 +#define CYREG_PHUB_TDMEM64_ORIG_TD1 0x40007a04 +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08 +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM65_ORIG_TD0 0x40007a08 +#define CYREG_PHUB_TDMEM65_ORIG_TD1 0x40007a0c +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10 +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM66_ORIG_TD0 0x40007a10 +#define CYREG_PHUB_TDMEM66_ORIG_TD1 0x40007a14 +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18 +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM67_ORIG_TD0 0x40007a18 +#define CYREG_PHUB_TDMEM67_ORIG_TD1 0x40007a1c +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20 +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM68_ORIG_TD0 0x40007a20 +#define CYREG_PHUB_TDMEM68_ORIG_TD1 0x40007a24 +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28 +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM69_ORIG_TD0 0x40007a28 +#define CYREG_PHUB_TDMEM69_ORIG_TD1 0x40007a2c +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30 +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM70_ORIG_TD0 0x40007a30 +#define CYREG_PHUB_TDMEM70_ORIG_TD1 0x40007a34 +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38 +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM71_ORIG_TD0 0x40007a38 +#define CYREG_PHUB_TDMEM71_ORIG_TD1 0x40007a3c +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40 +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM72_ORIG_TD0 0x40007a40 +#define CYREG_PHUB_TDMEM72_ORIG_TD1 0x40007a44 +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48 +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM73_ORIG_TD0 0x40007a48 +#define CYREG_PHUB_TDMEM73_ORIG_TD1 0x40007a4c +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50 +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM74_ORIG_TD0 0x40007a50 +#define CYREG_PHUB_TDMEM74_ORIG_TD1 0x40007a54 +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58 +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM75_ORIG_TD0 0x40007a58 +#define CYREG_PHUB_TDMEM75_ORIG_TD1 0x40007a5c +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60 +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM76_ORIG_TD0 0x40007a60 +#define CYREG_PHUB_TDMEM76_ORIG_TD1 0x40007a64 +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68 +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM77_ORIG_TD0 0x40007a68 +#define CYREG_PHUB_TDMEM77_ORIG_TD1 0x40007a6c +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70 +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM78_ORIG_TD0 0x40007a70 +#define CYREG_PHUB_TDMEM78_ORIG_TD1 0x40007a74 +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78 +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM79_ORIG_TD0 0x40007a78 +#define CYREG_PHUB_TDMEM79_ORIG_TD1 0x40007a7c +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80 +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM80_ORIG_TD0 0x40007a80 +#define CYREG_PHUB_TDMEM80_ORIG_TD1 0x40007a84 +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88 +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM81_ORIG_TD0 0x40007a88 +#define CYREG_PHUB_TDMEM81_ORIG_TD1 0x40007a8c +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90 +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM82_ORIG_TD0 0x40007a90 +#define CYREG_PHUB_TDMEM82_ORIG_TD1 0x40007a94 +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98 +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM83_ORIG_TD0 0x40007a98 +#define CYREG_PHUB_TDMEM83_ORIG_TD1 0x40007a9c +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0 +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM84_ORIG_TD0 0x40007aa0 +#define CYREG_PHUB_TDMEM84_ORIG_TD1 0x40007aa4 +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8 +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM85_ORIG_TD0 0x40007aa8 +#define CYREG_PHUB_TDMEM85_ORIG_TD1 0x40007aac +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0 +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM86_ORIG_TD0 0x40007ab0 +#define CYREG_PHUB_TDMEM86_ORIG_TD1 0x40007ab4 +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8 +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM87_ORIG_TD0 0x40007ab8 +#define CYREG_PHUB_TDMEM87_ORIG_TD1 0x40007abc +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0 +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM88_ORIG_TD0 0x40007ac0 +#define CYREG_PHUB_TDMEM88_ORIG_TD1 0x40007ac4 +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8 +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM89_ORIG_TD0 0x40007ac8 +#define CYREG_PHUB_TDMEM89_ORIG_TD1 0x40007acc +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0 +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM90_ORIG_TD0 0x40007ad0 +#define CYREG_PHUB_TDMEM90_ORIG_TD1 0x40007ad4 +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8 +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM91_ORIG_TD0 0x40007ad8 +#define CYREG_PHUB_TDMEM91_ORIG_TD1 0x40007adc +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0 +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM92_ORIG_TD0 0x40007ae0 +#define CYREG_PHUB_TDMEM92_ORIG_TD1 0x40007ae4 +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8 +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM93_ORIG_TD0 0x40007ae8 +#define CYREG_PHUB_TDMEM93_ORIG_TD1 0x40007aec +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0 +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM94_ORIG_TD0 0x40007af0 +#define CYREG_PHUB_TDMEM94_ORIG_TD1 0x40007af4 +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8 +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM95_ORIG_TD0 0x40007af8 +#define CYREG_PHUB_TDMEM95_ORIG_TD1 0x40007afc +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00 +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM96_ORIG_TD0 0x40007b00 +#define CYREG_PHUB_TDMEM96_ORIG_TD1 0x40007b04 +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08 +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM97_ORIG_TD0 0x40007b08 +#define CYREG_PHUB_TDMEM97_ORIG_TD1 0x40007b0c +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10 +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM98_ORIG_TD0 0x40007b10 +#define CYREG_PHUB_TDMEM98_ORIG_TD1 0x40007b14 +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18 +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM99_ORIG_TD0 0x40007b18 +#define CYREG_PHUB_TDMEM99_ORIG_TD1 0x40007b1c +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20 +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM100_ORIG_TD0 0x40007b20 +#define CYREG_PHUB_TDMEM100_ORIG_TD1 0x40007b24 +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28 +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM101_ORIG_TD0 0x40007b28 +#define CYREG_PHUB_TDMEM101_ORIG_TD1 0x40007b2c +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30 +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM102_ORIG_TD0 0x40007b30 +#define CYREG_PHUB_TDMEM102_ORIG_TD1 0x40007b34 +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38 +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM103_ORIG_TD0 0x40007b38 +#define CYREG_PHUB_TDMEM103_ORIG_TD1 0x40007b3c +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40 +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM104_ORIG_TD0 0x40007b40 +#define CYREG_PHUB_TDMEM104_ORIG_TD1 0x40007b44 +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48 +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM105_ORIG_TD0 0x40007b48 +#define CYREG_PHUB_TDMEM105_ORIG_TD1 0x40007b4c +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50 +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM106_ORIG_TD0 0x40007b50 +#define CYREG_PHUB_TDMEM106_ORIG_TD1 0x40007b54 +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58 +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM107_ORIG_TD0 0x40007b58 +#define CYREG_PHUB_TDMEM107_ORIG_TD1 0x40007b5c +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60 +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM108_ORIG_TD0 0x40007b60 +#define CYREG_PHUB_TDMEM108_ORIG_TD1 0x40007b64 +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68 +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM109_ORIG_TD0 0x40007b68 +#define CYREG_PHUB_TDMEM109_ORIG_TD1 0x40007b6c +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70 +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM110_ORIG_TD0 0x40007b70 +#define CYREG_PHUB_TDMEM110_ORIG_TD1 0x40007b74 +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78 +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM111_ORIG_TD0 0x40007b78 +#define CYREG_PHUB_TDMEM111_ORIG_TD1 0x40007b7c +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80 +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM112_ORIG_TD0 0x40007b80 +#define CYREG_PHUB_TDMEM112_ORIG_TD1 0x40007b84 +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88 +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM113_ORIG_TD0 0x40007b88 +#define CYREG_PHUB_TDMEM113_ORIG_TD1 0x40007b8c +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90 +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM114_ORIG_TD0 0x40007b90 +#define CYREG_PHUB_TDMEM114_ORIG_TD1 0x40007b94 +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98 +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM115_ORIG_TD0 0x40007b98 +#define CYREG_PHUB_TDMEM115_ORIG_TD1 0x40007b9c +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0 +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM116_ORIG_TD0 0x40007ba0 +#define CYREG_PHUB_TDMEM116_ORIG_TD1 0x40007ba4 +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8 +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM117_ORIG_TD0 0x40007ba8 +#define CYREG_PHUB_TDMEM117_ORIG_TD1 0x40007bac +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0 +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM118_ORIG_TD0 0x40007bb0 +#define CYREG_PHUB_TDMEM118_ORIG_TD1 0x40007bb4 +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8 +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM119_ORIG_TD0 0x40007bb8 +#define CYREG_PHUB_TDMEM119_ORIG_TD1 0x40007bbc +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0 +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM120_ORIG_TD0 0x40007bc0 +#define CYREG_PHUB_TDMEM120_ORIG_TD1 0x40007bc4 +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8 +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM121_ORIG_TD0 0x40007bc8 +#define CYREG_PHUB_TDMEM121_ORIG_TD1 0x40007bcc +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0 +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM122_ORIG_TD0 0x40007bd0 +#define CYREG_PHUB_TDMEM122_ORIG_TD1 0x40007bd4 +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8 +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM123_ORIG_TD0 0x40007bd8 +#define CYREG_PHUB_TDMEM123_ORIG_TD1 0x40007bdc +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0 +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM124_ORIG_TD0 0x40007be0 +#define CYREG_PHUB_TDMEM124_ORIG_TD1 0x40007be4 +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8 +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM125_ORIG_TD0 0x40007be8 +#define CYREG_PHUB_TDMEM125_ORIG_TD1 0x40007bec +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0 +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM126_ORIG_TD0 0x40007bf0 +#define CYREG_PHUB_TDMEM126_ORIG_TD1 0x40007bf4 +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8 +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008 +#define CYREG_PHUB_TDMEM127_ORIG_TD0 0x40007bf8 +#define CYREG_PHUB_TDMEM127_ORIG_TD1 0x40007bfc +#define CYDEV_EE_BASE 0x40008000 +#define CYDEV_EE_SIZE 0x00000800 +#define CYREG_EE_DATA_MBASE 0x40008000 +#define CYREG_EE_DATA_MSIZE 0x00000800 +#define CYDEV_CAN0_BASE 0x4000a000 +#define CYDEV_CAN0_SIZE 0x000002a0 +#define CYDEV_CAN0_CSR_BASE 0x4000a000 +#define CYDEV_CAN0_CSR_SIZE 0x00000018 +#define CYREG_CAN0_CSR_INT_SR 0x4000a000 +#define CYREG_CAN0_CSR_INT_EN 0x4000a004 +#define CYREG_CAN0_CSR_BUF_SR 0x4000a008 +#define CYREG_CAN0_CSR_ERR_SR 0x4000a00c +#define CYREG_CAN0_CSR_CMD 0x4000a010 +#define CYREG_CAN0_CSR_CFG 0x4000a014 +#define CYDEV_CAN0_TX0_BASE 0x4000a020 +#define CYDEV_CAN0_TX0_SIZE 0x00000010 +#define CYREG_CAN0_TX0_CMD 0x4000a020 +#define CYREG_CAN0_TX0_ID 0x4000a024 +#define CYREG_CAN0_TX0_DH 0x4000a028 +#define CYREG_CAN0_TX0_DL 0x4000a02c +#define CYDEV_CAN0_TX1_BASE 0x4000a030 +#define CYDEV_CAN0_TX1_SIZE 0x00000010 +#define CYREG_CAN0_TX1_CMD 0x4000a030 +#define CYREG_CAN0_TX1_ID 0x4000a034 +#define CYREG_CAN0_TX1_DH 0x4000a038 +#define CYREG_CAN0_TX1_DL 0x4000a03c +#define CYDEV_CAN0_TX2_BASE 0x4000a040 +#define CYDEV_CAN0_TX2_SIZE 0x00000010 +#define CYREG_CAN0_TX2_CMD 0x4000a040 +#define CYREG_CAN0_TX2_ID 0x4000a044 +#define CYREG_CAN0_TX2_DH 0x4000a048 +#define CYREG_CAN0_TX2_DL 0x4000a04c +#define CYDEV_CAN0_TX3_BASE 0x4000a050 +#define CYDEV_CAN0_TX3_SIZE 0x00000010 +#define CYREG_CAN0_TX3_CMD 0x4000a050 +#define CYREG_CAN0_TX3_ID 0x4000a054 +#define CYREG_CAN0_TX3_DH 0x4000a058 +#define CYREG_CAN0_TX3_DL 0x4000a05c +#define CYDEV_CAN0_TX4_BASE 0x4000a060 +#define CYDEV_CAN0_TX4_SIZE 0x00000010 +#define CYREG_CAN0_TX4_CMD 0x4000a060 +#define CYREG_CAN0_TX4_ID 0x4000a064 +#define CYREG_CAN0_TX4_DH 0x4000a068 +#define CYREG_CAN0_TX4_DL 0x4000a06c +#define CYDEV_CAN0_TX5_BASE 0x4000a070 +#define CYDEV_CAN0_TX5_SIZE 0x00000010 +#define CYREG_CAN0_TX5_CMD 0x4000a070 +#define CYREG_CAN0_TX5_ID 0x4000a074 +#define CYREG_CAN0_TX5_DH 0x4000a078 +#define CYREG_CAN0_TX5_DL 0x4000a07c +#define CYDEV_CAN0_TX6_BASE 0x4000a080 +#define CYDEV_CAN0_TX6_SIZE 0x00000010 +#define CYREG_CAN0_TX6_CMD 0x4000a080 +#define CYREG_CAN0_TX6_ID 0x4000a084 +#define CYREG_CAN0_TX6_DH 0x4000a088 +#define CYREG_CAN0_TX6_DL 0x4000a08c +#define CYDEV_CAN0_TX7_BASE 0x4000a090 +#define CYDEV_CAN0_TX7_SIZE 0x00000010 +#define CYREG_CAN0_TX7_CMD 0x4000a090 +#define CYREG_CAN0_TX7_ID 0x4000a094 +#define CYREG_CAN0_TX7_DH 0x4000a098 +#define CYREG_CAN0_TX7_DL 0x4000a09c +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0 +#define CYDEV_CAN0_RX0_SIZE 0x00000020 +#define CYREG_CAN0_RX0_CMD 0x4000a0a0 +#define CYREG_CAN0_RX0_ID 0x4000a0a4 +#define CYREG_CAN0_RX0_DH 0x4000a0a8 +#define CYREG_CAN0_RX0_DL 0x4000a0ac +#define CYREG_CAN0_RX0_AMR 0x4000a0b0 +#define CYREG_CAN0_RX0_ACR 0x4000a0b4 +#define CYREG_CAN0_RX0_AMRD 0x4000a0b8 +#define CYREG_CAN0_RX0_ACRD 0x4000a0bc +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0 +#define CYDEV_CAN0_RX1_SIZE 0x00000020 +#define CYREG_CAN0_RX1_CMD 0x4000a0c0 +#define CYREG_CAN0_RX1_ID 0x4000a0c4 +#define CYREG_CAN0_RX1_DH 0x4000a0c8 +#define CYREG_CAN0_RX1_DL 0x4000a0cc +#define CYREG_CAN0_RX1_AMR 0x4000a0d0 +#define CYREG_CAN0_RX1_ACR 0x4000a0d4 +#define CYREG_CAN0_RX1_AMRD 0x4000a0d8 +#define CYREG_CAN0_RX1_ACRD 0x4000a0dc +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0 +#define CYDEV_CAN0_RX2_SIZE 0x00000020 +#define CYREG_CAN0_RX2_CMD 0x4000a0e0 +#define CYREG_CAN0_RX2_ID 0x4000a0e4 +#define CYREG_CAN0_RX2_DH 0x4000a0e8 +#define CYREG_CAN0_RX2_DL 0x4000a0ec +#define CYREG_CAN0_RX2_AMR 0x4000a0f0 +#define CYREG_CAN0_RX2_ACR 0x4000a0f4 +#define CYREG_CAN0_RX2_AMRD 0x4000a0f8 +#define CYREG_CAN0_RX2_ACRD 0x4000a0fc +#define CYDEV_CAN0_RX3_BASE 0x4000a100 +#define CYDEV_CAN0_RX3_SIZE 0x00000020 +#define CYREG_CAN0_RX3_CMD 0x4000a100 +#define CYREG_CAN0_RX3_ID 0x4000a104 +#define CYREG_CAN0_RX3_DH 0x4000a108 +#define CYREG_CAN0_RX3_DL 0x4000a10c +#define CYREG_CAN0_RX3_AMR 0x4000a110 +#define CYREG_CAN0_RX3_ACR 0x4000a114 +#define CYREG_CAN0_RX3_AMRD 0x4000a118 +#define CYREG_CAN0_RX3_ACRD 0x4000a11c +#define CYDEV_CAN0_RX4_BASE 0x4000a120 +#define CYDEV_CAN0_RX4_SIZE 0x00000020 +#define CYREG_CAN0_RX4_CMD 0x4000a120 +#define CYREG_CAN0_RX4_ID 0x4000a124 +#define CYREG_CAN0_RX4_DH 0x4000a128 +#define CYREG_CAN0_RX4_DL 0x4000a12c +#define CYREG_CAN0_RX4_AMR 0x4000a130 +#define CYREG_CAN0_RX4_ACR 0x4000a134 +#define CYREG_CAN0_RX4_AMRD 0x4000a138 +#define CYREG_CAN0_RX4_ACRD 0x4000a13c +#define CYDEV_CAN0_RX5_BASE 0x4000a140 +#define CYDEV_CAN0_RX5_SIZE 0x00000020 +#define CYREG_CAN0_RX5_CMD 0x4000a140 +#define CYREG_CAN0_RX5_ID 0x4000a144 +#define CYREG_CAN0_RX5_DH 0x4000a148 +#define CYREG_CAN0_RX5_DL 0x4000a14c +#define CYREG_CAN0_RX5_AMR 0x4000a150 +#define CYREG_CAN0_RX5_ACR 0x4000a154 +#define CYREG_CAN0_RX5_AMRD 0x4000a158 +#define CYREG_CAN0_RX5_ACRD 0x4000a15c +#define CYDEV_CAN0_RX6_BASE 0x4000a160 +#define CYDEV_CAN0_RX6_SIZE 0x00000020 +#define CYREG_CAN0_RX6_CMD 0x4000a160 +#define CYREG_CAN0_RX6_ID 0x4000a164 +#define CYREG_CAN0_RX6_DH 0x4000a168 +#define CYREG_CAN0_RX6_DL 0x4000a16c +#define CYREG_CAN0_RX6_AMR 0x4000a170 +#define CYREG_CAN0_RX6_ACR 0x4000a174 +#define CYREG_CAN0_RX6_AMRD 0x4000a178 +#define CYREG_CAN0_RX6_ACRD 0x4000a17c +#define CYDEV_CAN0_RX7_BASE 0x4000a180 +#define CYDEV_CAN0_RX7_SIZE 0x00000020 +#define CYREG_CAN0_RX7_CMD 0x4000a180 +#define CYREG_CAN0_RX7_ID 0x4000a184 +#define CYREG_CAN0_RX7_DH 0x4000a188 +#define CYREG_CAN0_RX7_DL 0x4000a18c +#define CYREG_CAN0_RX7_AMR 0x4000a190 +#define CYREG_CAN0_RX7_ACR 0x4000a194 +#define CYREG_CAN0_RX7_AMRD 0x4000a198 +#define CYREG_CAN0_RX7_ACRD 0x4000a19c +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0 +#define CYDEV_CAN0_RX8_SIZE 0x00000020 +#define CYREG_CAN0_RX8_CMD 0x4000a1a0 +#define CYREG_CAN0_RX8_ID 0x4000a1a4 +#define CYREG_CAN0_RX8_DH 0x4000a1a8 +#define CYREG_CAN0_RX8_DL 0x4000a1ac +#define CYREG_CAN0_RX8_AMR 0x4000a1b0 +#define CYREG_CAN0_RX8_ACR 0x4000a1b4 +#define CYREG_CAN0_RX8_AMRD 0x4000a1b8 +#define CYREG_CAN0_RX8_ACRD 0x4000a1bc +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0 +#define CYDEV_CAN0_RX9_SIZE 0x00000020 +#define CYREG_CAN0_RX9_CMD 0x4000a1c0 +#define CYREG_CAN0_RX9_ID 0x4000a1c4 +#define CYREG_CAN0_RX9_DH 0x4000a1c8 +#define CYREG_CAN0_RX9_DL 0x4000a1cc +#define CYREG_CAN0_RX9_AMR 0x4000a1d0 +#define CYREG_CAN0_RX9_ACR 0x4000a1d4 +#define CYREG_CAN0_RX9_AMRD 0x4000a1d8 +#define CYREG_CAN0_RX9_ACRD 0x4000a1dc +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0 +#define CYDEV_CAN0_RX10_SIZE 0x00000020 +#define CYREG_CAN0_RX10_CMD 0x4000a1e0 +#define CYREG_CAN0_RX10_ID 0x4000a1e4 +#define CYREG_CAN0_RX10_DH 0x4000a1e8 +#define CYREG_CAN0_RX10_DL 0x4000a1ec +#define CYREG_CAN0_RX10_AMR 0x4000a1f0 +#define CYREG_CAN0_RX10_ACR 0x4000a1f4 +#define CYREG_CAN0_RX10_AMRD 0x4000a1f8 +#define CYREG_CAN0_RX10_ACRD 0x4000a1fc +#define CYDEV_CAN0_RX11_BASE 0x4000a200 +#define CYDEV_CAN0_RX11_SIZE 0x00000020 +#define CYREG_CAN0_RX11_CMD 0x4000a200 +#define CYREG_CAN0_RX11_ID 0x4000a204 +#define CYREG_CAN0_RX11_DH 0x4000a208 +#define CYREG_CAN0_RX11_DL 0x4000a20c +#define CYREG_CAN0_RX11_AMR 0x4000a210 +#define CYREG_CAN0_RX11_ACR 0x4000a214 +#define CYREG_CAN0_RX11_AMRD 0x4000a218 +#define CYREG_CAN0_RX11_ACRD 0x4000a21c +#define CYDEV_CAN0_RX12_BASE 0x4000a220 +#define CYDEV_CAN0_RX12_SIZE 0x00000020 +#define CYREG_CAN0_RX12_CMD 0x4000a220 +#define CYREG_CAN0_RX12_ID 0x4000a224 +#define CYREG_CAN0_RX12_DH 0x4000a228 +#define CYREG_CAN0_RX12_DL 0x4000a22c +#define CYREG_CAN0_RX12_AMR 0x4000a230 +#define CYREG_CAN0_RX12_ACR 0x4000a234 +#define CYREG_CAN0_RX12_AMRD 0x4000a238 +#define CYREG_CAN0_RX12_ACRD 0x4000a23c +#define CYDEV_CAN0_RX13_BASE 0x4000a240 +#define CYDEV_CAN0_RX13_SIZE 0x00000020 +#define CYREG_CAN0_RX13_CMD 0x4000a240 +#define CYREG_CAN0_RX13_ID 0x4000a244 +#define CYREG_CAN0_RX13_DH 0x4000a248 +#define CYREG_CAN0_RX13_DL 0x4000a24c +#define CYREG_CAN0_RX13_AMR 0x4000a250 +#define CYREG_CAN0_RX13_ACR 0x4000a254 +#define CYREG_CAN0_RX13_AMRD 0x4000a258 +#define CYREG_CAN0_RX13_ACRD 0x4000a25c +#define CYDEV_CAN0_RX14_BASE 0x4000a260 +#define CYDEV_CAN0_RX14_SIZE 0x00000020 +#define CYREG_CAN0_RX14_CMD 0x4000a260 +#define CYREG_CAN0_RX14_ID 0x4000a264 +#define CYREG_CAN0_RX14_DH 0x4000a268 +#define CYREG_CAN0_RX14_DL 0x4000a26c +#define CYREG_CAN0_RX14_AMR 0x4000a270 +#define CYREG_CAN0_RX14_ACR 0x4000a274 +#define CYREG_CAN0_RX14_AMRD 0x4000a278 +#define CYREG_CAN0_RX14_ACRD 0x4000a27c +#define CYDEV_CAN0_RX15_BASE 0x4000a280 +#define CYDEV_CAN0_RX15_SIZE 0x00000020 +#define CYREG_CAN0_RX15_CMD 0x4000a280 +#define CYREG_CAN0_RX15_ID 0x4000a284 +#define CYREG_CAN0_RX15_DH 0x4000a288 +#define CYREG_CAN0_RX15_DL 0x4000a28c +#define CYREG_CAN0_RX15_AMR 0x4000a290 +#define CYREG_CAN0_RX15_ACR 0x4000a294 +#define CYREG_CAN0_RX15_AMRD 0x4000a298 +#define CYREG_CAN0_RX15_ACRD 0x4000a29c +#define CYDEV_DFB0_BASE 0x4000c000 +#define CYDEV_DFB0_SIZE 0x000007b5 +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000 +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200 +#define CYREG_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000 +#define CYREG_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200 +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200 +#define CYREG_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200 +#define CYREG_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200 +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400 +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100 +#define CYREG_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400 +#define CYREG_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500 +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100 +#define CYREG_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500 +#define CYREG_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600 +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100 +#define CYREG_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600 +#define CYREG_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100 +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700 +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040 +#define CYREG_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700 +#define CYREG_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040 +#define CYREG_DFB0_CR 0x4000c780 +#define CYREG_DFB0_SR 0x4000c784 +#define CYREG_DFB0_RAM_EN 0x4000c788 +#define CYREG_DFB0_RAM_DIR 0x4000c78c +#define CYREG_DFB0_SEMA 0x4000c790 +#define CYREG_DFB0_DSI_CTRL 0x4000c794 +#define CYREG_DFB0_INT_CTRL 0x4000c798 +#define CYREG_DFB0_DMA_CTRL 0x4000c79c +#define CYREG_DFB0_STAGEA 0x4000c7a0 +#define CYREG_DFB0_STAGEAM 0x4000c7a1 +#define CYREG_DFB0_STAGEAH 0x4000c7a2 +#define CYREG_DFB0_STAGEB 0x4000c7a4 +#define CYREG_DFB0_STAGEBM 0x4000c7a5 +#define CYREG_DFB0_STAGEBH 0x4000c7a6 +#define CYREG_DFB0_HOLDA 0x4000c7a8 +#define CYREG_DFB0_HOLDAM 0x4000c7a9 +#define CYREG_DFB0_HOLDAH 0x4000c7aa +#define CYREG_DFB0_HOLDAS 0x4000c7ab +#define CYREG_DFB0_HOLDB 0x4000c7ac +#define CYREG_DFB0_HOLDBM 0x4000c7ad +#define CYREG_DFB0_HOLDBH 0x4000c7ae +#define CYREG_DFB0_HOLDBS 0x4000c7af +#define CYREG_DFB0_COHER 0x4000c7b0 +#define CYREG_DFB0_DALIGN 0x4000c7b4 +#define CYDEV_UCFG_BASE 0x40010000 +#define CYDEV_UCFG_SIZE 0x00005040 +#define CYDEV_UCFG_B0_BASE 0x40010000 +#define CYDEV_UCFG_B0_SIZE 0x00000fef +#define CYDEV_UCFG_B0_P0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000 +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070 +#define CYREG_B0_P0_U0_PLD_IT0 0x40010000 +#define CYREG_B0_P0_U0_PLD_IT1 0x40010004 +#define CYREG_B0_P0_U0_PLD_IT2 0x40010008 +#define CYREG_B0_P0_U0_PLD_IT3 0x4001000c +#define CYREG_B0_P0_U0_PLD_IT4 0x40010010 +#define CYREG_B0_P0_U0_PLD_IT5 0x40010014 +#define CYREG_B0_P0_U0_PLD_IT6 0x40010018 +#define CYREG_B0_P0_U0_PLD_IT7 0x4001001c +#define CYREG_B0_P0_U0_PLD_IT8 0x40010020 +#define CYREG_B0_P0_U0_PLD_IT9 0x40010024 +#define CYREG_B0_P0_U0_PLD_IT10 0x40010028 +#define CYREG_B0_P0_U0_PLD_IT11 0x4001002c +#define CYREG_B0_P0_U0_PLD_ORT0 0x40010030 +#define CYREG_B0_P0_U0_PLD_ORT1 0x40010032 +#define CYREG_B0_P0_U0_PLD_ORT2 0x40010034 +#define CYREG_B0_P0_U0_PLD_ORT3 0x40010036 +#define CYREG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038 +#define CYREG_B0_P0_U0_MC_CFG_XORFB 0x4001003a +#define CYREG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003c +#define CYREG_B0_P0_U0_MC_CFG_BYPASS 0x4001003e +#define CYREG_B0_P0_U0_CFG0 0x40010040 +#define CYREG_B0_P0_U0_CFG1 0x40010041 +#define CYREG_B0_P0_U0_CFG2 0x40010042 +#define CYREG_B0_P0_U0_CFG3 0x40010043 +#define CYREG_B0_P0_U0_CFG4 0x40010044 +#define CYREG_B0_P0_U0_CFG5 0x40010045 +#define CYREG_B0_P0_U0_CFG6 0x40010046 +#define CYREG_B0_P0_U0_CFG7 0x40010047 +#define CYREG_B0_P0_U0_CFG8 0x40010048 +#define CYREG_B0_P0_U0_CFG9 0x40010049 +#define CYREG_B0_P0_U0_CFG10 0x4001004a +#define CYREG_B0_P0_U0_CFG11 0x4001004b +#define CYREG_B0_P0_U0_CFG12 0x4001004c +#define CYREG_B0_P0_U0_CFG13 0x4001004d +#define CYREG_B0_P0_U0_CFG14 0x4001004e +#define CYREG_B0_P0_U0_CFG15 0x4001004f +#define CYREG_B0_P0_U0_CFG16 0x40010050 +#define CYREG_B0_P0_U0_CFG17 0x40010051 +#define CYREG_B0_P0_U0_CFG18 0x40010052 +#define CYREG_B0_P0_U0_CFG19 0x40010053 +#define CYREG_B0_P0_U0_CFG20 0x40010054 +#define CYREG_B0_P0_U0_CFG21 0x40010055 +#define CYREG_B0_P0_U0_CFG22 0x40010056 +#define CYREG_B0_P0_U0_CFG23 0x40010057 +#define CYREG_B0_P0_U0_CFG24 0x40010058 +#define CYREG_B0_P0_U0_CFG25 0x40010059 +#define CYREG_B0_P0_U0_CFG26 0x4001005a +#define CYREG_B0_P0_U0_CFG27 0x4001005b +#define CYREG_B0_P0_U0_CFG28 0x4001005c +#define CYREG_B0_P0_U0_CFG29 0x4001005d +#define CYREG_B0_P0_U0_CFG30 0x4001005e +#define CYREG_B0_P0_U0_CFG31 0x4001005f +#define CYREG_B0_P0_U0_DCFG0 0x40010060 +#define CYREG_B0_P0_U0_DCFG1 0x40010062 +#define CYREG_B0_P0_U0_DCFG2 0x40010064 +#define CYREG_B0_P0_U0_DCFG3 0x40010066 +#define CYREG_B0_P0_U0_DCFG4 0x40010068 +#define CYREG_B0_P0_U0_DCFG5 0x4001006a +#define CYREG_B0_P0_U0_DCFG6 0x4001006c +#define CYREG_B0_P0_U0_DCFG7 0x4001006e +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080 +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070 +#define CYREG_B0_P0_U1_PLD_IT0 0x40010080 +#define CYREG_B0_P0_U1_PLD_IT1 0x40010084 +#define CYREG_B0_P0_U1_PLD_IT2 0x40010088 +#define CYREG_B0_P0_U1_PLD_IT3 0x4001008c +#define CYREG_B0_P0_U1_PLD_IT4 0x40010090 +#define CYREG_B0_P0_U1_PLD_IT5 0x40010094 +#define CYREG_B0_P0_U1_PLD_IT6 0x40010098 +#define CYREG_B0_P0_U1_PLD_IT7 0x4001009c +#define CYREG_B0_P0_U1_PLD_IT8 0x400100a0 +#define CYREG_B0_P0_U1_PLD_IT9 0x400100a4 +#define CYREG_B0_P0_U1_PLD_IT10 0x400100a8 +#define CYREG_B0_P0_U1_PLD_IT11 0x400100ac +#define CYREG_B0_P0_U1_PLD_ORT0 0x400100b0 +#define CYREG_B0_P0_U1_PLD_ORT1 0x400100b2 +#define CYREG_B0_P0_U1_PLD_ORT2 0x400100b4 +#define CYREG_B0_P0_U1_PLD_ORT3 0x400100b6 +#define CYREG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8 +#define CYREG_B0_P0_U1_MC_CFG_XORFB 0x400100ba +#define CYREG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bc +#define CYREG_B0_P0_U1_MC_CFG_BYPASS 0x400100be +#define CYREG_B0_P0_U1_CFG0 0x400100c0 +#define CYREG_B0_P0_U1_CFG1 0x400100c1 +#define CYREG_B0_P0_U1_CFG2 0x400100c2 +#define CYREG_B0_P0_U1_CFG3 0x400100c3 +#define CYREG_B0_P0_U1_CFG4 0x400100c4 +#define CYREG_B0_P0_U1_CFG5 0x400100c5 +#define CYREG_B0_P0_U1_CFG6 0x400100c6 +#define CYREG_B0_P0_U1_CFG7 0x400100c7 +#define CYREG_B0_P0_U1_CFG8 0x400100c8 +#define CYREG_B0_P0_U1_CFG9 0x400100c9 +#define CYREG_B0_P0_U1_CFG10 0x400100ca +#define CYREG_B0_P0_U1_CFG11 0x400100cb +#define CYREG_B0_P0_U1_CFG12 0x400100cc +#define CYREG_B0_P0_U1_CFG13 0x400100cd +#define CYREG_B0_P0_U1_CFG14 0x400100ce +#define CYREG_B0_P0_U1_CFG15 0x400100cf +#define CYREG_B0_P0_U1_CFG16 0x400100d0 +#define CYREG_B0_P0_U1_CFG17 0x400100d1 +#define CYREG_B0_P0_U1_CFG18 0x400100d2 +#define CYREG_B0_P0_U1_CFG19 0x400100d3 +#define CYREG_B0_P0_U1_CFG20 0x400100d4 +#define CYREG_B0_P0_U1_CFG21 0x400100d5 +#define CYREG_B0_P0_U1_CFG22 0x400100d6 +#define CYREG_B0_P0_U1_CFG23 0x400100d7 +#define CYREG_B0_P0_U1_CFG24 0x400100d8 +#define CYREG_B0_P0_U1_CFG25 0x400100d9 +#define CYREG_B0_P0_U1_CFG26 0x400100da +#define CYREG_B0_P0_U1_CFG27 0x400100db +#define CYREG_B0_P0_U1_CFG28 0x400100dc +#define CYREG_B0_P0_U1_CFG29 0x400100dd +#define CYREG_B0_P0_U1_CFG30 0x400100de +#define CYREG_B0_P0_U1_CFG31 0x400100df +#define CYREG_B0_P0_U1_DCFG0 0x400100e0 +#define CYREG_B0_P0_U1_DCFG1 0x400100e2 +#define CYREG_B0_P0_U1_DCFG2 0x400100e4 +#define CYREG_B0_P0_U1_DCFG3 0x400100e6 +#define CYREG_B0_P0_U1_DCFG4 0x400100e8 +#define CYREG_B0_P0_U1_DCFG5 0x400100ea +#define CYREG_B0_P0_U1_DCFG6 0x400100ec +#define CYREG_B0_P0_U1_DCFG7 0x400100ee +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100 +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P1_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200 +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070 +#define CYREG_B0_P1_U0_PLD_IT0 0x40010200 +#define CYREG_B0_P1_U0_PLD_IT1 0x40010204 +#define CYREG_B0_P1_U0_PLD_IT2 0x40010208 +#define CYREG_B0_P1_U0_PLD_IT3 0x4001020c +#define CYREG_B0_P1_U0_PLD_IT4 0x40010210 +#define CYREG_B0_P1_U0_PLD_IT5 0x40010214 +#define CYREG_B0_P1_U0_PLD_IT6 0x40010218 +#define CYREG_B0_P1_U0_PLD_IT7 0x4001021c +#define CYREG_B0_P1_U0_PLD_IT8 0x40010220 +#define CYREG_B0_P1_U0_PLD_IT9 0x40010224 +#define CYREG_B0_P1_U0_PLD_IT10 0x40010228 +#define CYREG_B0_P1_U0_PLD_IT11 0x4001022c +#define CYREG_B0_P1_U0_PLD_ORT0 0x40010230 +#define CYREG_B0_P1_U0_PLD_ORT1 0x40010232 +#define CYREG_B0_P1_U0_PLD_ORT2 0x40010234 +#define CYREG_B0_P1_U0_PLD_ORT3 0x40010236 +#define CYREG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238 +#define CYREG_B0_P1_U0_MC_CFG_XORFB 0x4001023a +#define CYREG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023c +#define CYREG_B0_P1_U0_MC_CFG_BYPASS 0x4001023e +#define CYREG_B0_P1_U0_CFG0 0x40010240 +#define CYREG_B0_P1_U0_CFG1 0x40010241 +#define CYREG_B0_P1_U0_CFG2 0x40010242 +#define CYREG_B0_P1_U0_CFG3 0x40010243 +#define CYREG_B0_P1_U0_CFG4 0x40010244 +#define CYREG_B0_P1_U0_CFG5 0x40010245 +#define CYREG_B0_P1_U0_CFG6 0x40010246 +#define CYREG_B0_P1_U0_CFG7 0x40010247 +#define CYREG_B0_P1_U0_CFG8 0x40010248 +#define CYREG_B0_P1_U0_CFG9 0x40010249 +#define CYREG_B0_P1_U0_CFG10 0x4001024a +#define CYREG_B0_P1_U0_CFG11 0x4001024b +#define CYREG_B0_P1_U0_CFG12 0x4001024c +#define CYREG_B0_P1_U0_CFG13 0x4001024d +#define CYREG_B0_P1_U0_CFG14 0x4001024e +#define CYREG_B0_P1_U0_CFG15 0x4001024f +#define CYREG_B0_P1_U0_CFG16 0x40010250 +#define CYREG_B0_P1_U0_CFG17 0x40010251 +#define CYREG_B0_P1_U0_CFG18 0x40010252 +#define CYREG_B0_P1_U0_CFG19 0x40010253 +#define CYREG_B0_P1_U0_CFG20 0x40010254 +#define CYREG_B0_P1_U0_CFG21 0x40010255 +#define CYREG_B0_P1_U0_CFG22 0x40010256 +#define CYREG_B0_P1_U0_CFG23 0x40010257 +#define CYREG_B0_P1_U0_CFG24 0x40010258 +#define CYREG_B0_P1_U0_CFG25 0x40010259 +#define CYREG_B0_P1_U0_CFG26 0x4001025a +#define CYREG_B0_P1_U0_CFG27 0x4001025b +#define CYREG_B0_P1_U0_CFG28 0x4001025c +#define CYREG_B0_P1_U0_CFG29 0x4001025d +#define CYREG_B0_P1_U0_CFG30 0x4001025e +#define CYREG_B0_P1_U0_CFG31 0x4001025f +#define CYREG_B0_P1_U0_DCFG0 0x40010260 +#define CYREG_B0_P1_U0_DCFG1 0x40010262 +#define CYREG_B0_P1_U0_DCFG2 0x40010264 +#define CYREG_B0_P1_U0_DCFG3 0x40010266 +#define CYREG_B0_P1_U0_DCFG4 0x40010268 +#define CYREG_B0_P1_U0_DCFG5 0x4001026a +#define CYREG_B0_P1_U0_DCFG6 0x4001026c +#define CYREG_B0_P1_U0_DCFG7 0x4001026e +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280 +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070 +#define CYREG_B0_P1_U1_PLD_IT0 0x40010280 +#define CYREG_B0_P1_U1_PLD_IT1 0x40010284 +#define CYREG_B0_P1_U1_PLD_IT2 0x40010288 +#define CYREG_B0_P1_U1_PLD_IT3 0x4001028c +#define CYREG_B0_P1_U1_PLD_IT4 0x40010290 +#define CYREG_B0_P1_U1_PLD_IT5 0x40010294 +#define CYREG_B0_P1_U1_PLD_IT6 0x40010298 +#define CYREG_B0_P1_U1_PLD_IT7 0x4001029c +#define CYREG_B0_P1_U1_PLD_IT8 0x400102a0 +#define CYREG_B0_P1_U1_PLD_IT9 0x400102a4 +#define CYREG_B0_P1_U1_PLD_IT10 0x400102a8 +#define CYREG_B0_P1_U1_PLD_IT11 0x400102ac +#define CYREG_B0_P1_U1_PLD_ORT0 0x400102b0 +#define CYREG_B0_P1_U1_PLD_ORT1 0x400102b2 +#define CYREG_B0_P1_U1_PLD_ORT2 0x400102b4 +#define CYREG_B0_P1_U1_PLD_ORT3 0x400102b6 +#define CYREG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8 +#define CYREG_B0_P1_U1_MC_CFG_XORFB 0x400102ba +#define CYREG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bc +#define CYREG_B0_P1_U1_MC_CFG_BYPASS 0x400102be +#define CYREG_B0_P1_U1_CFG0 0x400102c0 +#define CYREG_B0_P1_U1_CFG1 0x400102c1 +#define CYREG_B0_P1_U1_CFG2 0x400102c2 +#define CYREG_B0_P1_U1_CFG3 0x400102c3 +#define CYREG_B0_P1_U1_CFG4 0x400102c4 +#define CYREG_B0_P1_U1_CFG5 0x400102c5 +#define CYREG_B0_P1_U1_CFG6 0x400102c6 +#define CYREG_B0_P1_U1_CFG7 0x400102c7 +#define CYREG_B0_P1_U1_CFG8 0x400102c8 +#define CYREG_B0_P1_U1_CFG9 0x400102c9 +#define CYREG_B0_P1_U1_CFG10 0x400102ca +#define CYREG_B0_P1_U1_CFG11 0x400102cb +#define CYREG_B0_P1_U1_CFG12 0x400102cc +#define CYREG_B0_P1_U1_CFG13 0x400102cd +#define CYREG_B0_P1_U1_CFG14 0x400102ce +#define CYREG_B0_P1_U1_CFG15 0x400102cf +#define CYREG_B0_P1_U1_CFG16 0x400102d0 +#define CYREG_B0_P1_U1_CFG17 0x400102d1 +#define CYREG_B0_P1_U1_CFG18 0x400102d2 +#define CYREG_B0_P1_U1_CFG19 0x400102d3 +#define CYREG_B0_P1_U1_CFG20 0x400102d4 +#define CYREG_B0_P1_U1_CFG21 0x400102d5 +#define CYREG_B0_P1_U1_CFG22 0x400102d6 +#define CYREG_B0_P1_U1_CFG23 0x400102d7 +#define CYREG_B0_P1_U1_CFG24 0x400102d8 +#define CYREG_B0_P1_U1_CFG25 0x400102d9 +#define CYREG_B0_P1_U1_CFG26 0x400102da +#define CYREG_B0_P1_U1_CFG27 0x400102db +#define CYREG_B0_P1_U1_CFG28 0x400102dc +#define CYREG_B0_P1_U1_CFG29 0x400102dd +#define CYREG_B0_P1_U1_CFG30 0x400102de +#define CYREG_B0_P1_U1_CFG31 0x400102df +#define CYREG_B0_P1_U1_DCFG0 0x400102e0 +#define CYREG_B0_P1_U1_DCFG1 0x400102e2 +#define CYREG_B0_P1_U1_DCFG2 0x400102e4 +#define CYREG_B0_P1_U1_DCFG3 0x400102e6 +#define CYREG_B0_P1_U1_DCFG4 0x400102e8 +#define CYREG_B0_P1_U1_DCFG5 0x400102ea +#define CYREG_B0_P1_U1_DCFG6 0x400102ec +#define CYREG_B0_P1_U1_DCFG7 0x400102ee +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300 +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P2_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400 +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070 +#define CYREG_B0_P2_U0_PLD_IT0 0x40010400 +#define CYREG_B0_P2_U0_PLD_IT1 0x40010404 +#define CYREG_B0_P2_U0_PLD_IT2 0x40010408 +#define CYREG_B0_P2_U0_PLD_IT3 0x4001040c +#define CYREG_B0_P2_U0_PLD_IT4 0x40010410 +#define CYREG_B0_P2_U0_PLD_IT5 0x40010414 +#define CYREG_B0_P2_U0_PLD_IT6 0x40010418 +#define CYREG_B0_P2_U0_PLD_IT7 0x4001041c +#define CYREG_B0_P2_U0_PLD_IT8 0x40010420 +#define CYREG_B0_P2_U0_PLD_IT9 0x40010424 +#define CYREG_B0_P2_U0_PLD_IT10 0x40010428 +#define CYREG_B0_P2_U0_PLD_IT11 0x4001042c +#define CYREG_B0_P2_U0_PLD_ORT0 0x40010430 +#define CYREG_B0_P2_U0_PLD_ORT1 0x40010432 +#define CYREG_B0_P2_U0_PLD_ORT2 0x40010434 +#define CYREG_B0_P2_U0_PLD_ORT3 0x40010436 +#define CYREG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438 +#define CYREG_B0_P2_U0_MC_CFG_XORFB 0x4001043a +#define CYREG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043c +#define CYREG_B0_P2_U0_MC_CFG_BYPASS 0x4001043e +#define CYREG_B0_P2_U0_CFG0 0x40010440 +#define CYREG_B0_P2_U0_CFG1 0x40010441 +#define CYREG_B0_P2_U0_CFG2 0x40010442 +#define CYREG_B0_P2_U0_CFG3 0x40010443 +#define CYREG_B0_P2_U0_CFG4 0x40010444 +#define CYREG_B0_P2_U0_CFG5 0x40010445 +#define CYREG_B0_P2_U0_CFG6 0x40010446 +#define CYREG_B0_P2_U0_CFG7 0x40010447 +#define CYREG_B0_P2_U0_CFG8 0x40010448 +#define CYREG_B0_P2_U0_CFG9 0x40010449 +#define CYREG_B0_P2_U0_CFG10 0x4001044a +#define CYREG_B0_P2_U0_CFG11 0x4001044b +#define CYREG_B0_P2_U0_CFG12 0x4001044c +#define CYREG_B0_P2_U0_CFG13 0x4001044d +#define CYREG_B0_P2_U0_CFG14 0x4001044e +#define CYREG_B0_P2_U0_CFG15 0x4001044f +#define CYREG_B0_P2_U0_CFG16 0x40010450 +#define CYREG_B0_P2_U0_CFG17 0x40010451 +#define CYREG_B0_P2_U0_CFG18 0x40010452 +#define CYREG_B0_P2_U0_CFG19 0x40010453 +#define CYREG_B0_P2_U0_CFG20 0x40010454 +#define CYREG_B0_P2_U0_CFG21 0x40010455 +#define CYREG_B0_P2_U0_CFG22 0x40010456 +#define CYREG_B0_P2_U0_CFG23 0x40010457 +#define CYREG_B0_P2_U0_CFG24 0x40010458 +#define CYREG_B0_P2_U0_CFG25 0x40010459 +#define CYREG_B0_P2_U0_CFG26 0x4001045a +#define CYREG_B0_P2_U0_CFG27 0x4001045b +#define CYREG_B0_P2_U0_CFG28 0x4001045c +#define CYREG_B0_P2_U0_CFG29 0x4001045d +#define CYREG_B0_P2_U0_CFG30 0x4001045e +#define CYREG_B0_P2_U0_CFG31 0x4001045f +#define CYREG_B0_P2_U0_DCFG0 0x40010460 +#define CYREG_B0_P2_U0_DCFG1 0x40010462 +#define CYREG_B0_P2_U0_DCFG2 0x40010464 +#define CYREG_B0_P2_U0_DCFG3 0x40010466 +#define CYREG_B0_P2_U0_DCFG4 0x40010468 +#define CYREG_B0_P2_U0_DCFG5 0x4001046a +#define CYREG_B0_P2_U0_DCFG6 0x4001046c +#define CYREG_B0_P2_U0_DCFG7 0x4001046e +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480 +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070 +#define CYREG_B0_P2_U1_PLD_IT0 0x40010480 +#define CYREG_B0_P2_U1_PLD_IT1 0x40010484 +#define CYREG_B0_P2_U1_PLD_IT2 0x40010488 +#define CYREG_B0_P2_U1_PLD_IT3 0x4001048c +#define CYREG_B0_P2_U1_PLD_IT4 0x40010490 +#define CYREG_B0_P2_U1_PLD_IT5 0x40010494 +#define CYREG_B0_P2_U1_PLD_IT6 0x40010498 +#define CYREG_B0_P2_U1_PLD_IT7 0x4001049c +#define CYREG_B0_P2_U1_PLD_IT8 0x400104a0 +#define CYREG_B0_P2_U1_PLD_IT9 0x400104a4 +#define CYREG_B0_P2_U1_PLD_IT10 0x400104a8 +#define CYREG_B0_P2_U1_PLD_IT11 0x400104ac +#define CYREG_B0_P2_U1_PLD_ORT0 0x400104b0 +#define CYREG_B0_P2_U1_PLD_ORT1 0x400104b2 +#define CYREG_B0_P2_U1_PLD_ORT2 0x400104b4 +#define CYREG_B0_P2_U1_PLD_ORT3 0x400104b6 +#define CYREG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8 +#define CYREG_B0_P2_U1_MC_CFG_XORFB 0x400104ba +#define CYREG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bc +#define CYREG_B0_P2_U1_MC_CFG_BYPASS 0x400104be +#define CYREG_B0_P2_U1_CFG0 0x400104c0 +#define CYREG_B0_P2_U1_CFG1 0x400104c1 +#define CYREG_B0_P2_U1_CFG2 0x400104c2 +#define CYREG_B0_P2_U1_CFG3 0x400104c3 +#define CYREG_B0_P2_U1_CFG4 0x400104c4 +#define CYREG_B0_P2_U1_CFG5 0x400104c5 +#define CYREG_B0_P2_U1_CFG6 0x400104c6 +#define CYREG_B0_P2_U1_CFG7 0x400104c7 +#define CYREG_B0_P2_U1_CFG8 0x400104c8 +#define CYREG_B0_P2_U1_CFG9 0x400104c9 +#define CYREG_B0_P2_U1_CFG10 0x400104ca +#define CYREG_B0_P2_U1_CFG11 0x400104cb +#define CYREG_B0_P2_U1_CFG12 0x400104cc +#define CYREG_B0_P2_U1_CFG13 0x400104cd +#define CYREG_B0_P2_U1_CFG14 0x400104ce +#define CYREG_B0_P2_U1_CFG15 0x400104cf +#define CYREG_B0_P2_U1_CFG16 0x400104d0 +#define CYREG_B0_P2_U1_CFG17 0x400104d1 +#define CYREG_B0_P2_U1_CFG18 0x400104d2 +#define CYREG_B0_P2_U1_CFG19 0x400104d3 +#define CYREG_B0_P2_U1_CFG20 0x400104d4 +#define CYREG_B0_P2_U1_CFG21 0x400104d5 +#define CYREG_B0_P2_U1_CFG22 0x400104d6 +#define CYREG_B0_P2_U1_CFG23 0x400104d7 +#define CYREG_B0_P2_U1_CFG24 0x400104d8 +#define CYREG_B0_P2_U1_CFG25 0x400104d9 +#define CYREG_B0_P2_U1_CFG26 0x400104da +#define CYREG_B0_P2_U1_CFG27 0x400104db +#define CYREG_B0_P2_U1_CFG28 0x400104dc +#define CYREG_B0_P2_U1_CFG29 0x400104dd +#define CYREG_B0_P2_U1_CFG30 0x400104de +#define CYREG_B0_P2_U1_CFG31 0x400104df +#define CYREG_B0_P2_U1_DCFG0 0x400104e0 +#define CYREG_B0_P2_U1_DCFG1 0x400104e2 +#define CYREG_B0_P2_U1_DCFG2 0x400104e4 +#define CYREG_B0_P2_U1_DCFG3 0x400104e6 +#define CYREG_B0_P2_U1_DCFG4 0x400104e8 +#define CYREG_B0_P2_U1_DCFG5 0x400104ea +#define CYREG_B0_P2_U1_DCFG6 0x400104ec +#define CYREG_B0_P2_U1_DCFG7 0x400104ee +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500 +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P3_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600 +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070 +#define CYREG_B0_P3_U0_PLD_IT0 0x40010600 +#define CYREG_B0_P3_U0_PLD_IT1 0x40010604 +#define CYREG_B0_P3_U0_PLD_IT2 0x40010608 +#define CYREG_B0_P3_U0_PLD_IT3 0x4001060c +#define CYREG_B0_P3_U0_PLD_IT4 0x40010610 +#define CYREG_B0_P3_U0_PLD_IT5 0x40010614 +#define CYREG_B0_P3_U0_PLD_IT6 0x40010618 +#define CYREG_B0_P3_U0_PLD_IT7 0x4001061c +#define CYREG_B0_P3_U0_PLD_IT8 0x40010620 +#define CYREG_B0_P3_U0_PLD_IT9 0x40010624 +#define CYREG_B0_P3_U0_PLD_IT10 0x40010628 +#define CYREG_B0_P3_U0_PLD_IT11 0x4001062c +#define CYREG_B0_P3_U0_PLD_ORT0 0x40010630 +#define CYREG_B0_P3_U0_PLD_ORT1 0x40010632 +#define CYREG_B0_P3_U0_PLD_ORT2 0x40010634 +#define CYREG_B0_P3_U0_PLD_ORT3 0x40010636 +#define CYREG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638 +#define CYREG_B0_P3_U0_MC_CFG_XORFB 0x4001063a +#define CYREG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063c +#define CYREG_B0_P3_U0_MC_CFG_BYPASS 0x4001063e +#define CYREG_B0_P3_U0_CFG0 0x40010640 +#define CYREG_B0_P3_U0_CFG1 0x40010641 +#define CYREG_B0_P3_U0_CFG2 0x40010642 +#define CYREG_B0_P3_U0_CFG3 0x40010643 +#define CYREG_B0_P3_U0_CFG4 0x40010644 +#define CYREG_B0_P3_U0_CFG5 0x40010645 +#define CYREG_B0_P3_U0_CFG6 0x40010646 +#define CYREG_B0_P3_U0_CFG7 0x40010647 +#define CYREG_B0_P3_U0_CFG8 0x40010648 +#define CYREG_B0_P3_U0_CFG9 0x40010649 +#define CYREG_B0_P3_U0_CFG10 0x4001064a +#define CYREG_B0_P3_U0_CFG11 0x4001064b +#define CYREG_B0_P3_U0_CFG12 0x4001064c +#define CYREG_B0_P3_U0_CFG13 0x4001064d +#define CYREG_B0_P3_U0_CFG14 0x4001064e +#define CYREG_B0_P3_U0_CFG15 0x4001064f +#define CYREG_B0_P3_U0_CFG16 0x40010650 +#define CYREG_B0_P3_U0_CFG17 0x40010651 +#define CYREG_B0_P3_U0_CFG18 0x40010652 +#define CYREG_B0_P3_U0_CFG19 0x40010653 +#define CYREG_B0_P3_U0_CFG20 0x40010654 +#define CYREG_B0_P3_U0_CFG21 0x40010655 +#define CYREG_B0_P3_U0_CFG22 0x40010656 +#define CYREG_B0_P3_U0_CFG23 0x40010657 +#define CYREG_B0_P3_U0_CFG24 0x40010658 +#define CYREG_B0_P3_U0_CFG25 0x40010659 +#define CYREG_B0_P3_U0_CFG26 0x4001065a +#define CYREG_B0_P3_U0_CFG27 0x4001065b +#define CYREG_B0_P3_U0_CFG28 0x4001065c +#define CYREG_B0_P3_U0_CFG29 0x4001065d +#define CYREG_B0_P3_U0_CFG30 0x4001065e +#define CYREG_B0_P3_U0_CFG31 0x4001065f +#define CYREG_B0_P3_U0_DCFG0 0x40010660 +#define CYREG_B0_P3_U0_DCFG1 0x40010662 +#define CYREG_B0_P3_U0_DCFG2 0x40010664 +#define CYREG_B0_P3_U0_DCFG3 0x40010666 +#define CYREG_B0_P3_U0_DCFG4 0x40010668 +#define CYREG_B0_P3_U0_DCFG5 0x4001066a +#define CYREG_B0_P3_U0_DCFG6 0x4001066c +#define CYREG_B0_P3_U0_DCFG7 0x4001066e +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680 +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070 +#define CYREG_B0_P3_U1_PLD_IT0 0x40010680 +#define CYREG_B0_P3_U1_PLD_IT1 0x40010684 +#define CYREG_B0_P3_U1_PLD_IT2 0x40010688 +#define CYREG_B0_P3_U1_PLD_IT3 0x4001068c +#define CYREG_B0_P3_U1_PLD_IT4 0x40010690 +#define CYREG_B0_P3_U1_PLD_IT5 0x40010694 +#define CYREG_B0_P3_U1_PLD_IT6 0x40010698 +#define CYREG_B0_P3_U1_PLD_IT7 0x4001069c +#define CYREG_B0_P3_U1_PLD_IT8 0x400106a0 +#define CYREG_B0_P3_U1_PLD_IT9 0x400106a4 +#define CYREG_B0_P3_U1_PLD_IT10 0x400106a8 +#define CYREG_B0_P3_U1_PLD_IT11 0x400106ac +#define CYREG_B0_P3_U1_PLD_ORT0 0x400106b0 +#define CYREG_B0_P3_U1_PLD_ORT1 0x400106b2 +#define CYREG_B0_P3_U1_PLD_ORT2 0x400106b4 +#define CYREG_B0_P3_U1_PLD_ORT3 0x400106b6 +#define CYREG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8 +#define CYREG_B0_P3_U1_MC_CFG_XORFB 0x400106ba +#define CYREG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bc +#define CYREG_B0_P3_U1_MC_CFG_BYPASS 0x400106be +#define CYREG_B0_P3_U1_CFG0 0x400106c0 +#define CYREG_B0_P3_U1_CFG1 0x400106c1 +#define CYREG_B0_P3_U1_CFG2 0x400106c2 +#define CYREG_B0_P3_U1_CFG3 0x400106c3 +#define CYREG_B0_P3_U1_CFG4 0x400106c4 +#define CYREG_B0_P3_U1_CFG5 0x400106c5 +#define CYREG_B0_P3_U1_CFG6 0x400106c6 +#define CYREG_B0_P3_U1_CFG7 0x400106c7 +#define CYREG_B0_P3_U1_CFG8 0x400106c8 +#define CYREG_B0_P3_U1_CFG9 0x400106c9 +#define CYREG_B0_P3_U1_CFG10 0x400106ca +#define CYREG_B0_P3_U1_CFG11 0x400106cb +#define CYREG_B0_P3_U1_CFG12 0x400106cc +#define CYREG_B0_P3_U1_CFG13 0x400106cd +#define CYREG_B0_P3_U1_CFG14 0x400106ce +#define CYREG_B0_P3_U1_CFG15 0x400106cf +#define CYREG_B0_P3_U1_CFG16 0x400106d0 +#define CYREG_B0_P3_U1_CFG17 0x400106d1 +#define CYREG_B0_P3_U1_CFG18 0x400106d2 +#define CYREG_B0_P3_U1_CFG19 0x400106d3 +#define CYREG_B0_P3_U1_CFG20 0x400106d4 +#define CYREG_B0_P3_U1_CFG21 0x400106d5 +#define CYREG_B0_P3_U1_CFG22 0x400106d6 +#define CYREG_B0_P3_U1_CFG23 0x400106d7 +#define CYREG_B0_P3_U1_CFG24 0x400106d8 +#define CYREG_B0_P3_U1_CFG25 0x400106d9 +#define CYREG_B0_P3_U1_CFG26 0x400106da +#define CYREG_B0_P3_U1_CFG27 0x400106db +#define CYREG_B0_P3_U1_CFG28 0x400106dc +#define CYREG_B0_P3_U1_CFG29 0x400106dd +#define CYREG_B0_P3_U1_CFG30 0x400106de +#define CYREG_B0_P3_U1_CFG31 0x400106df +#define CYREG_B0_P3_U1_DCFG0 0x400106e0 +#define CYREG_B0_P3_U1_DCFG1 0x400106e2 +#define CYREG_B0_P3_U1_DCFG2 0x400106e4 +#define CYREG_B0_P3_U1_DCFG3 0x400106e6 +#define CYREG_B0_P3_U1_DCFG4 0x400106e8 +#define CYREG_B0_P3_U1_DCFG5 0x400106ea +#define CYREG_B0_P3_U1_DCFG6 0x400106ec +#define CYREG_B0_P3_U1_DCFG7 0x400106ee +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700 +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P4_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800 +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070 +#define CYREG_B0_P4_U0_PLD_IT0 0x40010800 +#define CYREG_B0_P4_U0_PLD_IT1 0x40010804 +#define CYREG_B0_P4_U0_PLD_IT2 0x40010808 +#define CYREG_B0_P4_U0_PLD_IT3 0x4001080c +#define CYREG_B0_P4_U0_PLD_IT4 0x40010810 +#define CYREG_B0_P4_U0_PLD_IT5 0x40010814 +#define CYREG_B0_P4_U0_PLD_IT6 0x40010818 +#define CYREG_B0_P4_U0_PLD_IT7 0x4001081c +#define CYREG_B0_P4_U0_PLD_IT8 0x40010820 +#define CYREG_B0_P4_U0_PLD_IT9 0x40010824 +#define CYREG_B0_P4_U0_PLD_IT10 0x40010828 +#define CYREG_B0_P4_U0_PLD_IT11 0x4001082c +#define CYREG_B0_P4_U0_PLD_ORT0 0x40010830 +#define CYREG_B0_P4_U0_PLD_ORT1 0x40010832 +#define CYREG_B0_P4_U0_PLD_ORT2 0x40010834 +#define CYREG_B0_P4_U0_PLD_ORT3 0x40010836 +#define CYREG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838 +#define CYREG_B0_P4_U0_MC_CFG_XORFB 0x4001083a +#define CYREG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083c +#define CYREG_B0_P4_U0_MC_CFG_BYPASS 0x4001083e +#define CYREG_B0_P4_U0_CFG0 0x40010840 +#define CYREG_B0_P4_U0_CFG1 0x40010841 +#define CYREG_B0_P4_U0_CFG2 0x40010842 +#define CYREG_B0_P4_U0_CFG3 0x40010843 +#define CYREG_B0_P4_U0_CFG4 0x40010844 +#define CYREG_B0_P4_U0_CFG5 0x40010845 +#define CYREG_B0_P4_U0_CFG6 0x40010846 +#define CYREG_B0_P4_U0_CFG7 0x40010847 +#define CYREG_B0_P4_U0_CFG8 0x40010848 +#define CYREG_B0_P4_U0_CFG9 0x40010849 +#define CYREG_B0_P4_U0_CFG10 0x4001084a +#define CYREG_B0_P4_U0_CFG11 0x4001084b +#define CYREG_B0_P4_U0_CFG12 0x4001084c +#define CYREG_B0_P4_U0_CFG13 0x4001084d +#define CYREG_B0_P4_U0_CFG14 0x4001084e +#define CYREG_B0_P4_U0_CFG15 0x4001084f +#define CYREG_B0_P4_U0_CFG16 0x40010850 +#define CYREG_B0_P4_U0_CFG17 0x40010851 +#define CYREG_B0_P4_U0_CFG18 0x40010852 +#define CYREG_B0_P4_U0_CFG19 0x40010853 +#define CYREG_B0_P4_U0_CFG20 0x40010854 +#define CYREG_B0_P4_U0_CFG21 0x40010855 +#define CYREG_B0_P4_U0_CFG22 0x40010856 +#define CYREG_B0_P4_U0_CFG23 0x40010857 +#define CYREG_B0_P4_U0_CFG24 0x40010858 +#define CYREG_B0_P4_U0_CFG25 0x40010859 +#define CYREG_B0_P4_U0_CFG26 0x4001085a +#define CYREG_B0_P4_U0_CFG27 0x4001085b +#define CYREG_B0_P4_U0_CFG28 0x4001085c +#define CYREG_B0_P4_U0_CFG29 0x4001085d +#define CYREG_B0_P4_U0_CFG30 0x4001085e +#define CYREG_B0_P4_U0_CFG31 0x4001085f +#define CYREG_B0_P4_U0_DCFG0 0x40010860 +#define CYREG_B0_P4_U0_DCFG1 0x40010862 +#define CYREG_B0_P4_U0_DCFG2 0x40010864 +#define CYREG_B0_P4_U0_DCFG3 0x40010866 +#define CYREG_B0_P4_U0_DCFG4 0x40010868 +#define CYREG_B0_P4_U0_DCFG5 0x4001086a +#define CYREG_B0_P4_U0_DCFG6 0x4001086c +#define CYREG_B0_P4_U0_DCFG7 0x4001086e +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880 +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070 +#define CYREG_B0_P4_U1_PLD_IT0 0x40010880 +#define CYREG_B0_P4_U1_PLD_IT1 0x40010884 +#define CYREG_B0_P4_U1_PLD_IT2 0x40010888 +#define CYREG_B0_P4_U1_PLD_IT3 0x4001088c +#define CYREG_B0_P4_U1_PLD_IT4 0x40010890 +#define CYREG_B0_P4_U1_PLD_IT5 0x40010894 +#define CYREG_B0_P4_U1_PLD_IT6 0x40010898 +#define CYREG_B0_P4_U1_PLD_IT7 0x4001089c +#define CYREG_B0_P4_U1_PLD_IT8 0x400108a0 +#define CYREG_B0_P4_U1_PLD_IT9 0x400108a4 +#define CYREG_B0_P4_U1_PLD_IT10 0x400108a8 +#define CYREG_B0_P4_U1_PLD_IT11 0x400108ac +#define CYREG_B0_P4_U1_PLD_ORT0 0x400108b0 +#define CYREG_B0_P4_U1_PLD_ORT1 0x400108b2 +#define CYREG_B0_P4_U1_PLD_ORT2 0x400108b4 +#define CYREG_B0_P4_U1_PLD_ORT3 0x400108b6 +#define CYREG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8 +#define CYREG_B0_P4_U1_MC_CFG_XORFB 0x400108ba +#define CYREG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bc +#define CYREG_B0_P4_U1_MC_CFG_BYPASS 0x400108be +#define CYREG_B0_P4_U1_CFG0 0x400108c0 +#define CYREG_B0_P4_U1_CFG1 0x400108c1 +#define CYREG_B0_P4_U1_CFG2 0x400108c2 +#define CYREG_B0_P4_U1_CFG3 0x400108c3 +#define CYREG_B0_P4_U1_CFG4 0x400108c4 +#define CYREG_B0_P4_U1_CFG5 0x400108c5 +#define CYREG_B0_P4_U1_CFG6 0x400108c6 +#define CYREG_B0_P4_U1_CFG7 0x400108c7 +#define CYREG_B0_P4_U1_CFG8 0x400108c8 +#define CYREG_B0_P4_U1_CFG9 0x400108c9 +#define CYREG_B0_P4_U1_CFG10 0x400108ca +#define CYREG_B0_P4_U1_CFG11 0x400108cb +#define CYREG_B0_P4_U1_CFG12 0x400108cc +#define CYREG_B0_P4_U1_CFG13 0x400108cd +#define CYREG_B0_P4_U1_CFG14 0x400108ce +#define CYREG_B0_P4_U1_CFG15 0x400108cf +#define CYREG_B0_P4_U1_CFG16 0x400108d0 +#define CYREG_B0_P4_U1_CFG17 0x400108d1 +#define CYREG_B0_P4_U1_CFG18 0x400108d2 +#define CYREG_B0_P4_U1_CFG19 0x400108d3 +#define CYREG_B0_P4_U1_CFG20 0x400108d4 +#define CYREG_B0_P4_U1_CFG21 0x400108d5 +#define CYREG_B0_P4_U1_CFG22 0x400108d6 +#define CYREG_B0_P4_U1_CFG23 0x400108d7 +#define CYREG_B0_P4_U1_CFG24 0x400108d8 +#define CYREG_B0_P4_U1_CFG25 0x400108d9 +#define CYREG_B0_P4_U1_CFG26 0x400108da +#define CYREG_B0_P4_U1_CFG27 0x400108db +#define CYREG_B0_P4_U1_CFG28 0x400108dc +#define CYREG_B0_P4_U1_CFG29 0x400108dd +#define CYREG_B0_P4_U1_CFG30 0x400108de +#define CYREG_B0_P4_U1_CFG31 0x400108df +#define CYREG_B0_P4_U1_DCFG0 0x400108e0 +#define CYREG_B0_P4_U1_DCFG1 0x400108e2 +#define CYREG_B0_P4_U1_DCFG2 0x400108e4 +#define CYREG_B0_P4_U1_DCFG3 0x400108e6 +#define CYREG_B0_P4_U1_DCFG4 0x400108e8 +#define CYREG_B0_P4_U1_DCFG5 0x400108ea +#define CYREG_B0_P4_U1_DCFG6 0x400108ec +#define CYREG_B0_P4_U1_DCFG7 0x400108ee +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900 +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00 +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070 +#define CYREG_B0_P5_U0_PLD_IT0 0x40010a00 +#define CYREG_B0_P5_U0_PLD_IT1 0x40010a04 +#define CYREG_B0_P5_U0_PLD_IT2 0x40010a08 +#define CYREG_B0_P5_U0_PLD_IT3 0x40010a0c +#define CYREG_B0_P5_U0_PLD_IT4 0x40010a10 +#define CYREG_B0_P5_U0_PLD_IT5 0x40010a14 +#define CYREG_B0_P5_U0_PLD_IT6 0x40010a18 +#define CYREG_B0_P5_U0_PLD_IT7 0x40010a1c +#define CYREG_B0_P5_U0_PLD_IT8 0x40010a20 +#define CYREG_B0_P5_U0_PLD_IT9 0x40010a24 +#define CYREG_B0_P5_U0_PLD_IT10 0x40010a28 +#define CYREG_B0_P5_U0_PLD_IT11 0x40010a2c +#define CYREG_B0_P5_U0_PLD_ORT0 0x40010a30 +#define CYREG_B0_P5_U0_PLD_ORT1 0x40010a32 +#define CYREG_B0_P5_U0_PLD_ORT2 0x40010a34 +#define CYREG_B0_P5_U0_PLD_ORT3 0x40010a36 +#define CYREG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38 +#define CYREG_B0_P5_U0_MC_CFG_XORFB 0x40010a3a +#define CYREG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3c +#define CYREG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3e +#define CYREG_B0_P5_U0_CFG0 0x40010a40 +#define CYREG_B0_P5_U0_CFG1 0x40010a41 +#define CYREG_B0_P5_U0_CFG2 0x40010a42 +#define CYREG_B0_P5_U0_CFG3 0x40010a43 +#define CYREG_B0_P5_U0_CFG4 0x40010a44 +#define CYREG_B0_P5_U0_CFG5 0x40010a45 +#define CYREG_B0_P5_U0_CFG6 0x40010a46 +#define CYREG_B0_P5_U0_CFG7 0x40010a47 +#define CYREG_B0_P5_U0_CFG8 0x40010a48 +#define CYREG_B0_P5_U0_CFG9 0x40010a49 +#define CYREG_B0_P5_U0_CFG10 0x40010a4a +#define CYREG_B0_P5_U0_CFG11 0x40010a4b +#define CYREG_B0_P5_U0_CFG12 0x40010a4c +#define CYREG_B0_P5_U0_CFG13 0x40010a4d +#define CYREG_B0_P5_U0_CFG14 0x40010a4e +#define CYREG_B0_P5_U0_CFG15 0x40010a4f +#define CYREG_B0_P5_U0_CFG16 0x40010a50 +#define CYREG_B0_P5_U0_CFG17 0x40010a51 +#define CYREG_B0_P5_U0_CFG18 0x40010a52 +#define CYREG_B0_P5_U0_CFG19 0x40010a53 +#define CYREG_B0_P5_U0_CFG20 0x40010a54 +#define CYREG_B0_P5_U0_CFG21 0x40010a55 +#define CYREG_B0_P5_U0_CFG22 0x40010a56 +#define CYREG_B0_P5_U0_CFG23 0x40010a57 +#define CYREG_B0_P5_U0_CFG24 0x40010a58 +#define CYREG_B0_P5_U0_CFG25 0x40010a59 +#define CYREG_B0_P5_U0_CFG26 0x40010a5a +#define CYREG_B0_P5_U0_CFG27 0x40010a5b +#define CYREG_B0_P5_U0_CFG28 0x40010a5c +#define CYREG_B0_P5_U0_CFG29 0x40010a5d +#define CYREG_B0_P5_U0_CFG30 0x40010a5e +#define CYREG_B0_P5_U0_CFG31 0x40010a5f +#define CYREG_B0_P5_U0_DCFG0 0x40010a60 +#define CYREG_B0_P5_U0_DCFG1 0x40010a62 +#define CYREG_B0_P5_U0_DCFG2 0x40010a64 +#define CYREG_B0_P5_U0_DCFG3 0x40010a66 +#define CYREG_B0_P5_U0_DCFG4 0x40010a68 +#define CYREG_B0_P5_U0_DCFG5 0x40010a6a +#define CYREG_B0_P5_U0_DCFG6 0x40010a6c +#define CYREG_B0_P5_U0_DCFG7 0x40010a6e +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80 +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070 +#define CYREG_B0_P5_U1_PLD_IT0 0x40010a80 +#define CYREG_B0_P5_U1_PLD_IT1 0x40010a84 +#define CYREG_B0_P5_U1_PLD_IT2 0x40010a88 +#define CYREG_B0_P5_U1_PLD_IT3 0x40010a8c +#define CYREG_B0_P5_U1_PLD_IT4 0x40010a90 +#define CYREG_B0_P5_U1_PLD_IT5 0x40010a94 +#define CYREG_B0_P5_U1_PLD_IT6 0x40010a98 +#define CYREG_B0_P5_U1_PLD_IT7 0x40010a9c +#define CYREG_B0_P5_U1_PLD_IT8 0x40010aa0 +#define CYREG_B0_P5_U1_PLD_IT9 0x40010aa4 +#define CYREG_B0_P5_U1_PLD_IT10 0x40010aa8 +#define CYREG_B0_P5_U1_PLD_IT11 0x40010aac +#define CYREG_B0_P5_U1_PLD_ORT0 0x40010ab0 +#define CYREG_B0_P5_U1_PLD_ORT1 0x40010ab2 +#define CYREG_B0_P5_U1_PLD_ORT2 0x40010ab4 +#define CYREG_B0_P5_U1_PLD_ORT3 0x40010ab6 +#define CYREG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8 +#define CYREG_B0_P5_U1_MC_CFG_XORFB 0x40010aba +#define CYREG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abc +#define CYREG_B0_P5_U1_MC_CFG_BYPASS 0x40010abe +#define CYREG_B0_P5_U1_CFG0 0x40010ac0 +#define CYREG_B0_P5_U1_CFG1 0x40010ac1 +#define CYREG_B0_P5_U1_CFG2 0x40010ac2 +#define CYREG_B0_P5_U1_CFG3 0x40010ac3 +#define CYREG_B0_P5_U1_CFG4 0x40010ac4 +#define CYREG_B0_P5_U1_CFG5 0x40010ac5 +#define CYREG_B0_P5_U1_CFG6 0x40010ac6 +#define CYREG_B0_P5_U1_CFG7 0x40010ac7 +#define CYREG_B0_P5_U1_CFG8 0x40010ac8 +#define CYREG_B0_P5_U1_CFG9 0x40010ac9 +#define CYREG_B0_P5_U1_CFG10 0x40010aca +#define CYREG_B0_P5_U1_CFG11 0x40010acb +#define CYREG_B0_P5_U1_CFG12 0x40010acc +#define CYREG_B0_P5_U1_CFG13 0x40010acd +#define CYREG_B0_P5_U1_CFG14 0x40010ace +#define CYREG_B0_P5_U1_CFG15 0x40010acf +#define CYREG_B0_P5_U1_CFG16 0x40010ad0 +#define CYREG_B0_P5_U1_CFG17 0x40010ad1 +#define CYREG_B0_P5_U1_CFG18 0x40010ad2 +#define CYREG_B0_P5_U1_CFG19 0x40010ad3 +#define CYREG_B0_P5_U1_CFG20 0x40010ad4 +#define CYREG_B0_P5_U1_CFG21 0x40010ad5 +#define CYREG_B0_P5_U1_CFG22 0x40010ad6 +#define CYREG_B0_P5_U1_CFG23 0x40010ad7 +#define CYREG_B0_P5_U1_CFG24 0x40010ad8 +#define CYREG_B0_P5_U1_CFG25 0x40010ad9 +#define CYREG_B0_P5_U1_CFG26 0x40010ada +#define CYREG_B0_P5_U1_CFG27 0x40010adb +#define CYREG_B0_P5_U1_CFG28 0x40010adc +#define CYREG_B0_P5_U1_CFG29 0x40010add +#define CYREG_B0_P5_U1_CFG30 0x40010ade +#define CYREG_B0_P5_U1_CFG31 0x40010adf +#define CYREG_B0_P5_U1_DCFG0 0x40010ae0 +#define CYREG_B0_P5_U1_DCFG1 0x40010ae2 +#define CYREG_B0_P5_U1_DCFG2 0x40010ae4 +#define CYREG_B0_P5_U1_DCFG3 0x40010ae6 +#define CYREG_B0_P5_U1_DCFG4 0x40010ae8 +#define CYREG_B0_P5_U1_DCFG5 0x40010aea +#define CYREG_B0_P5_U1_DCFG6 0x40010aec +#define CYREG_B0_P5_U1_DCFG7 0x40010aee +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00 +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00 +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070 +#define CYREG_B0_P6_U0_PLD_IT0 0x40010c00 +#define CYREG_B0_P6_U0_PLD_IT1 0x40010c04 +#define CYREG_B0_P6_U0_PLD_IT2 0x40010c08 +#define CYREG_B0_P6_U0_PLD_IT3 0x40010c0c +#define CYREG_B0_P6_U0_PLD_IT4 0x40010c10 +#define CYREG_B0_P6_U0_PLD_IT5 0x40010c14 +#define CYREG_B0_P6_U0_PLD_IT6 0x40010c18 +#define CYREG_B0_P6_U0_PLD_IT7 0x40010c1c +#define CYREG_B0_P6_U0_PLD_IT8 0x40010c20 +#define CYREG_B0_P6_U0_PLD_IT9 0x40010c24 +#define CYREG_B0_P6_U0_PLD_IT10 0x40010c28 +#define CYREG_B0_P6_U0_PLD_IT11 0x40010c2c +#define CYREG_B0_P6_U0_PLD_ORT0 0x40010c30 +#define CYREG_B0_P6_U0_PLD_ORT1 0x40010c32 +#define CYREG_B0_P6_U0_PLD_ORT2 0x40010c34 +#define CYREG_B0_P6_U0_PLD_ORT3 0x40010c36 +#define CYREG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38 +#define CYREG_B0_P6_U0_MC_CFG_XORFB 0x40010c3a +#define CYREG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3c +#define CYREG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3e +#define CYREG_B0_P6_U0_CFG0 0x40010c40 +#define CYREG_B0_P6_U0_CFG1 0x40010c41 +#define CYREG_B0_P6_U0_CFG2 0x40010c42 +#define CYREG_B0_P6_U0_CFG3 0x40010c43 +#define CYREG_B0_P6_U0_CFG4 0x40010c44 +#define CYREG_B0_P6_U0_CFG5 0x40010c45 +#define CYREG_B0_P6_U0_CFG6 0x40010c46 +#define CYREG_B0_P6_U0_CFG7 0x40010c47 +#define CYREG_B0_P6_U0_CFG8 0x40010c48 +#define CYREG_B0_P6_U0_CFG9 0x40010c49 +#define CYREG_B0_P6_U0_CFG10 0x40010c4a +#define CYREG_B0_P6_U0_CFG11 0x40010c4b +#define CYREG_B0_P6_U0_CFG12 0x40010c4c +#define CYREG_B0_P6_U0_CFG13 0x40010c4d +#define CYREG_B0_P6_U0_CFG14 0x40010c4e +#define CYREG_B0_P6_U0_CFG15 0x40010c4f +#define CYREG_B0_P6_U0_CFG16 0x40010c50 +#define CYREG_B0_P6_U0_CFG17 0x40010c51 +#define CYREG_B0_P6_U0_CFG18 0x40010c52 +#define CYREG_B0_P6_U0_CFG19 0x40010c53 +#define CYREG_B0_P6_U0_CFG20 0x40010c54 +#define CYREG_B0_P6_U0_CFG21 0x40010c55 +#define CYREG_B0_P6_U0_CFG22 0x40010c56 +#define CYREG_B0_P6_U0_CFG23 0x40010c57 +#define CYREG_B0_P6_U0_CFG24 0x40010c58 +#define CYREG_B0_P6_U0_CFG25 0x40010c59 +#define CYREG_B0_P6_U0_CFG26 0x40010c5a +#define CYREG_B0_P6_U0_CFG27 0x40010c5b +#define CYREG_B0_P6_U0_CFG28 0x40010c5c +#define CYREG_B0_P6_U0_CFG29 0x40010c5d +#define CYREG_B0_P6_U0_CFG30 0x40010c5e +#define CYREG_B0_P6_U0_CFG31 0x40010c5f +#define CYREG_B0_P6_U0_DCFG0 0x40010c60 +#define CYREG_B0_P6_U0_DCFG1 0x40010c62 +#define CYREG_B0_P6_U0_DCFG2 0x40010c64 +#define CYREG_B0_P6_U0_DCFG3 0x40010c66 +#define CYREG_B0_P6_U0_DCFG4 0x40010c68 +#define CYREG_B0_P6_U0_DCFG5 0x40010c6a +#define CYREG_B0_P6_U0_DCFG6 0x40010c6c +#define CYREG_B0_P6_U0_DCFG7 0x40010c6e +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80 +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070 +#define CYREG_B0_P6_U1_PLD_IT0 0x40010c80 +#define CYREG_B0_P6_U1_PLD_IT1 0x40010c84 +#define CYREG_B0_P6_U1_PLD_IT2 0x40010c88 +#define CYREG_B0_P6_U1_PLD_IT3 0x40010c8c +#define CYREG_B0_P6_U1_PLD_IT4 0x40010c90 +#define CYREG_B0_P6_U1_PLD_IT5 0x40010c94 +#define CYREG_B0_P6_U1_PLD_IT6 0x40010c98 +#define CYREG_B0_P6_U1_PLD_IT7 0x40010c9c +#define CYREG_B0_P6_U1_PLD_IT8 0x40010ca0 +#define CYREG_B0_P6_U1_PLD_IT9 0x40010ca4 +#define CYREG_B0_P6_U1_PLD_IT10 0x40010ca8 +#define CYREG_B0_P6_U1_PLD_IT11 0x40010cac +#define CYREG_B0_P6_U1_PLD_ORT0 0x40010cb0 +#define CYREG_B0_P6_U1_PLD_ORT1 0x40010cb2 +#define CYREG_B0_P6_U1_PLD_ORT2 0x40010cb4 +#define CYREG_B0_P6_U1_PLD_ORT3 0x40010cb6 +#define CYREG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8 +#define CYREG_B0_P6_U1_MC_CFG_XORFB 0x40010cba +#define CYREG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbc +#define CYREG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbe +#define CYREG_B0_P6_U1_CFG0 0x40010cc0 +#define CYREG_B0_P6_U1_CFG1 0x40010cc1 +#define CYREG_B0_P6_U1_CFG2 0x40010cc2 +#define CYREG_B0_P6_U1_CFG3 0x40010cc3 +#define CYREG_B0_P6_U1_CFG4 0x40010cc4 +#define CYREG_B0_P6_U1_CFG5 0x40010cc5 +#define CYREG_B0_P6_U1_CFG6 0x40010cc6 +#define CYREG_B0_P6_U1_CFG7 0x40010cc7 +#define CYREG_B0_P6_U1_CFG8 0x40010cc8 +#define CYREG_B0_P6_U1_CFG9 0x40010cc9 +#define CYREG_B0_P6_U1_CFG10 0x40010cca +#define CYREG_B0_P6_U1_CFG11 0x40010ccb +#define CYREG_B0_P6_U1_CFG12 0x40010ccc +#define CYREG_B0_P6_U1_CFG13 0x40010ccd +#define CYREG_B0_P6_U1_CFG14 0x40010cce +#define CYREG_B0_P6_U1_CFG15 0x40010ccf +#define CYREG_B0_P6_U1_CFG16 0x40010cd0 +#define CYREG_B0_P6_U1_CFG17 0x40010cd1 +#define CYREG_B0_P6_U1_CFG18 0x40010cd2 +#define CYREG_B0_P6_U1_CFG19 0x40010cd3 +#define CYREG_B0_P6_U1_CFG20 0x40010cd4 +#define CYREG_B0_P6_U1_CFG21 0x40010cd5 +#define CYREG_B0_P6_U1_CFG22 0x40010cd6 +#define CYREG_B0_P6_U1_CFG23 0x40010cd7 +#define CYREG_B0_P6_U1_CFG24 0x40010cd8 +#define CYREG_B0_P6_U1_CFG25 0x40010cd9 +#define CYREG_B0_P6_U1_CFG26 0x40010cda +#define CYREG_B0_P6_U1_CFG27 0x40010cdb +#define CYREG_B0_P6_U1_CFG28 0x40010cdc +#define CYREG_B0_P6_U1_CFG29 0x40010cdd +#define CYREG_B0_P6_U1_CFG30 0x40010cde +#define CYREG_B0_P6_U1_CFG31 0x40010cdf +#define CYREG_B0_P6_U1_DCFG0 0x40010ce0 +#define CYREG_B0_P6_U1_DCFG1 0x40010ce2 +#define CYREG_B0_P6_U1_DCFG2 0x40010ce4 +#define CYREG_B0_P6_U1_DCFG3 0x40010ce6 +#define CYREG_B0_P6_U1_DCFG4 0x40010ce8 +#define CYREG_B0_P6_U1_DCFG5 0x40010cea +#define CYREG_B0_P6_U1_DCFG6 0x40010cec +#define CYREG_B0_P6_U1_DCFG7 0x40010cee +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00 +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_SIZE 0x000001ef +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00 +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070 +#define CYREG_B0_P7_U0_PLD_IT0 0x40010e00 +#define CYREG_B0_P7_U0_PLD_IT1 0x40010e04 +#define CYREG_B0_P7_U0_PLD_IT2 0x40010e08 +#define CYREG_B0_P7_U0_PLD_IT3 0x40010e0c +#define CYREG_B0_P7_U0_PLD_IT4 0x40010e10 +#define CYREG_B0_P7_U0_PLD_IT5 0x40010e14 +#define CYREG_B0_P7_U0_PLD_IT6 0x40010e18 +#define CYREG_B0_P7_U0_PLD_IT7 0x40010e1c +#define CYREG_B0_P7_U0_PLD_IT8 0x40010e20 +#define CYREG_B0_P7_U0_PLD_IT9 0x40010e24 +#define CYREG_B0_P7_U0_PLD_IT10 0x40010e28 +#define CYREG_B0_P7_U0_PLD_IT11 0x40010e2c +#define CYREG_B0_P7_U0_PLD_ORT0 0x40010e30 +#define CYREG_B0_P7_U0_PLD_ORT1 0x40010e32 +#define CYREG_B0_P7_U0_PLD_ORT2 0x40010e34 +#define CYREG_B0_P7_U0_PLD_ORT3 0x40010e36 +#define CYREG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38 +#define CYREG_B0_P7_U0_MC_CFG_XORFB 0x40010e3a +#define CYREG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3c +#define CYREG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3e +#define CYREG_B0_P7_U0_CFG0 0x40010e40 +#define CYREG_B0_P7_U0_CFG1 0x40010e41 +#define CYREG_B0_P7_U0_CFG2 0x40010e42 +#define CYREG_B0_P7_U0_CFG3 0x40010e43 +#define CYREG_B0_P7_U0_CFG4 0x40010e44 +#define CYREG_B0_P7_U0_CFG5 0x40010e45 +#define CYREG_B0_P7_U0_CFG6 0x40010e46 +#define CYREG_B0_P7_U0_CFG7 0x40010e47 +#define CYREG_B0_P7_U0_CFG8 0x40010e48 +#define CYREG_B0_P7_U0_CFG9 0x40010e49 +#define CYREG_B0_P7_U0_CFG10 0x40010e4a +#define CYREG_B0_P7_U0_CFG11 0x40010e4b +#define CYREG_B0_P7_U0_CFG12 0x40010e4c +#define CYREG_B0_P7_U0_CFG13 0x40010e4d +#define CYREG_B0_P7_U0_CFG14 0x40010e4e +#define CYREG_B0_P7_U0_CFG15 0x40010e4f +#define CYREG_B0_P7_U0_CFG16 0x40010e50 +#define CYREG_B0_P7_U0_CFG17 0x40010e51 +#define CYREG_B0_P7_U0_CFG18 0x40010e52 +#define CYREG_B0_P7_U0_CFG19 0x40010e53 +#define CYREG_B0_P7_U0_CFG20 0x40010e54 +#define CYREG_B0_P7_U0_CFG21 0x40010e55 +#define CYREG_B0_P7_U0_CFG22 0x40010e56 +#define CYREG_B0_P7_U0_CFG23 0x40010e57 +#define CYREG_B0_P7_U0_CFG24 0x40010e58 +#define CYREG_B0_P7_U0_CFG25 0x40010e59 +#define CYREG_B0_P7_U0_CFG26 0x40010e5a +#define CYREG_B0_P7_U0_CFG27 0x40010e5b +#define CYREG_B0_P7_U0_CFG28 0x40010e5c +#define CYREG_B0_P7_U0_CFG29 0x40010e5d +#define CYREG_B0_P7_U0_CFG30 0x40010e5e +#define CYREG_B0_P7_U0_CFG31 0x40010e5f +#define CYREG_B0_P7_U0_DCFG0 0x40010e60 +#define CYREG_B0_P7_U0_DCFG1 0x40010e62 +#define CYREG_B0_P7_U0_DCFG2 0x40010e64 +#define CYREG_B0_P7_U0_DCFG3 0x40010e66 +#define CYREG_B0_P7_U0_DCFG4 0x40010e68 +#define CYREG_B0_P7_U0_DCFG5 0x40010e6a +#define CYREG_B0_P7_U0_DCFG6 0x40010e6c +#define CYREG_B0_P7_U0_DCFG7 0x40010e6e +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80 +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070 +#define CYREG_B0_P7_U1_PLD_IT0 0x40010e80 +#define CYREG_B0_P7_U1_PLD_IT1 0x40010e84 +#define CYREG_B0_P7_U1_PLD_IT2 0x40010e88 +#define CYREG_B0_P7_U1_PLD_IT3 0x40010e8c +#define CYREG_B0_P7_U1_PLD_IT4 0x40010e90 +#define CYREG_B0_P7_U1_PLD_IT5 0x40010e94 +#define CYREG_B0_P7_U1_PLD_IT6 0x40010e98 +#define CYREG_B0_P7_U1_PLD_IT7 0x40010e9c +#define CYREG_B0_P7_U1_PLD_IT8 0x40010ea0 +#define CYREG_B0_P7_U1_PLD_IT9 0x40010ea4 +#define CYREG_B0_P7_U1_PLD_IT10 0x40010ea8 +#define CYREG_B0_P7_U1_PLD_IT11 0x40010eac +#define CYREG_B0_P7_U1_PLD_ORT0 0x40010eb0 +#define CYREG_B0_P7_U1_PLD_ORT1 0x40010eb2 +#define CYREG_B0_P7_U1_PLD_ORT2 0x40010eb4 +#define CYREG_B0_P7_U1_PLD_ORT3 0x40010eb6 +#define CYREG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8 +#define CYREG_B0_P7_U1_MC_CFG_XORFB 0x40010eba +#define CYREG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebc +#define CYREG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebe +#define CYREG_B0_P7_U1_CFG0 0x40010ec0 +#define CYREG_B0_P7_U1_CFG1 0x40010ec1 +#define CYREG_B0_P7_U1_CFG2 0x40010ec2 +#define CYREG_B0_P7_U1_CFG3 0x40010ec3 +#define CYREG_B0_P7_U1_CFG4 0x40010ec4 +#define CYREG_B0_P7_U1_CFG5 0x40010ec5 +#define CYREG_B0_P7_U1_CFG6 0x40010ec6 +#define CYREG_B0_P7_U1_CFG7 0x40010ec7 +#define CYREG_B0_P7_U1_CFG8 0x40010ec8 +#define CYREG_B0_P7_U1_CFG9 0x40010ec9 +#define CYREG_B0_P7_U1_CFG10 0x40010eca +#define CYREG_B0_P7_U1_CFG11 0x40010ecb +#define CYREG_B0_P7_U1_CFG12 0x40010ecc +#define CYREG_B0_P7_U1_CFG13 0x40010ecd +#define CYREG_B0_P7_U1_CFG14 0x40010ece +#define CYREG_B0_P7_U1_CFG15 0x40010ecf +#define CYREG_B0_P7_U1_CFG16 0x40010ed0 +#define CYREG_B0_P7_U1_CFG17 0x40010ed1 +#define CYREG_B0_P7_U1_CFG18 0x40010ed2 +#define CYREG_B0_P7_U1_CFG19 0x40010ed3 +#define CYREG_B0_P7_U1_CFG20 0x40010ed4 +#define CYREG_B0_P7_U1_CFG21 0x40010ed5 +#define CYREG_B0_P7_U1_CFG22 0x40010ed6 +#define CYREG_B0_P7_U1_CFG23 0x40010ed7 +#define CYREG_B0_P7_U1_CFG24 0x40010ed8 +#define CYREG_B0_P7_U1_CFG25 0x40010ed9 +#define CYREG_B0_P7_U1_CFG26 0x40010eda +#define CYREG_B0_P7_U1_CFG27 0x40010edb +#define CYREG_B0_P7_U1_CFG28 0x40010edc +#define CYREG_B0_P7_U1_CFG29 0x40010edd +#define CYREG_B0_P7_U1_CFG30 0x40010ede +#define CYREG_B0_P7_U1_CFG31 0x40010edf +#define CYREG_B0_P7_U1_DCFG0 0x40010ee0 +#define CYREG_B0_P7_U1_DCFG1 0x40010ee2 +#define CYREG_B0_P7_U1_DCFG2 0x40010ee4 +#define CYREG_B0_P7_U1_DCFG3 0x40010ee6 +#define CYREG_B0_P7_U1_DCFG4 0x40010ee8 +#define CYREG_B0_P7_U1_DCFG5 0x40010eea +#define CYREG_B0_P7_U1_DCFG6 0x40010eec +#define CYREG_B0_P7_U1_DCFG7 0x40010eee +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00 +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_BASE 0x40011000 +#define CYDEV_UCFG_B1_SIZE 0x00000fef +#define CYDEV_UCFG_B1_P2_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400 +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070 +#define CYREG_B1_P2_U0_PLD_IT0 0x40011400 +#define CYREG_B1_P2_U0_PLD_IT1 0x40011404 +#define CYREG_B1_P2_U0_PLD_IT2 0x40011408 +#define CYREG_B1_P2_U0_PLD_IT3 0x4001140c +#define CYREG_B1_P2_U0_PLD_IT4 0x40011410 +#define CYREG_B1_P2_U0_PLD_IT5 0x40011414 +#define CYREG_B1_P2_U0_PLD_IT6 0x40011418 +#define CYREG_B1_P2_U0_PLD_IT7 0x4001141c +#define CYREG_B1_P2_U0_PLD_IT8 0x40011420 +#define CYREG_B1_P2_U0_PLD_IT9 0x40011424 +#define CYREG_B1_P2_U0_PLD_IT10 0x40011428 +#define CYREG_B1_P2_U0_PLD_IT11 0x4001142c +#define CYREG_B1_P2_U0_PLD_ORT0 0x40011430 +#define CYREG_B1_P2_U0_PLD_ORT1 0x40011432 +#define CYREG_B1_P2_U0_PLD_ORT2 0x40011434 +#define CYREG_B1_P2_U0_PLD_ORT3 0x40011436 +#define CYREG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438 +#define CYREG_B1_P2_U0_MC_CFG_XORFB 0x4001143a +#define CYREG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143c +#define CYREG_B1_P2_U0_MC_CFG_BYPASS 0x4001143e +#define CYREG_B1_P2_U0_CFG0 0x40011440 +#define CYREG_B1_P2_U0_CFG1 0x40011441 +#define CYREG_B1_P2_U0_CFG2 0x40011442 +#define CYREG_B1_P2_U0_CFG3 0x40011443 +#define CYREG_B1_P2_U0_CFG4 0x40011444 +#define CYREG_B1_P2_U0_CFG5 0x40011445 +#define CYREG_B1_P2_U0_CFG6 0x40011446 +#define CYREG_B1_P2_U0_CFG7 0x40011447 +#define CYREG_B1_P2_U0_CFG8 0x40011448 +#define CYREG_B1_P2_U0_CFG9 0x40011449 +#define CYREG_B1_P2_U0_CFG10 0x4001144a +#define CYREG_B1_P2_U0_CFG11 0x4001144b +#define CYREG_B1_P2_U0_CFG12 0x4001144c +#define CYREG_B1_P2_U0_CFG13 0x4001144d +#define CYREG_B1_P2_U0_CFG14 0x4001144e +#define CYREG_B1_P2_U0_CFG15 0x4001144f +#define CYREG_B1_P2_U0_CFG16 0x40011450 +#define CYREG_B1_P2_U0_CFG17 0x40011451 +#define CYREG_B1_P2_U0_CFG18 0x40011452 +#define CYREG_B1_P2_U0_CFG19 0x40011453 +#define CYREG_B1_P2_U0_CFG20 0x40011454 +#define CYREG_B1_P2_U0_CFG21 0x40011455 +#define CYREG_B1_P2_U0_CFG22 0x40011456 +#define CYREG_B1_P2_U0_CFG23 0x40011457 +#define CYREG_B1_P2_U0_CFG24 0x40011458 +#define CYREG_B1_P2_U0_CFG25 0x40011459 +#define CYREG_B1_P2_U0_CFG26 0x4001145a +#define CYREG_B1_P2_U0_CFG27 0x4001145b +#define CYREG_B1_P2_U0_CFG28 0x4001145c +#define CYREG_B1_P2_U0_CFG29 0x4001145d +#define CYREG_B1_P2_U0_CFG30 0x4001145e +#define CYREG_B1_P2_U0_CFG31 0x4001145f +#define CYREG_B1_P2_U0_DCFG0 0x40011460 +#define CYREG_B1_P2_U0_DCFG1 0x40011462 +#define CYREG_B1_P2_U0_DCFG2 0x40011464 +#define CYREG_B1_P2_U0_DCFG3 0x40011466 +#define CYREG_B1_P2_U0_DCFG4 0x40011468 +#define CYREG_B1_P2_U0_DCFG5 0x4001146a +#define CYREG_B1_P2_U0_DCFG6 0x4001146c +#define CYREG_B1_P2_U0_DCFG7 0x4001146e +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480 +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070 +#define CYREG_B1_P2_U1_PLD_IT0 0x40011480 +#define CYREG_B1_P2_U1_PLD_IT1 0x40011484 +#define CYREG_B1_P2_U1_PLD_IT2 0x40011488 +#define CYREG_B1_P2_U1_PLD_IT3 0x4001148c +#define CYREG_B1_P2_U1_PLD_IT4 0x40011490 +#define CYREG_B1_P2_U1_PLD_IT5 0x40011494 +#define CYREG_B1_P2_U1_PLD_IT6 0x40011498 +#define CYREG_B1_P2_U1_PLD_IT7 0x4001149c +#define CYREG_B1_P2_U1_PLD_IT8 0x400114a0 +#define CYREG_B1_P2_U1_PLD_IT9 0x400114a4 +#define CYREG_B1_P2_U1_PLD_IT10 0x400114a8 +#define CYREG_B1_P2_U1_PLD_IT11 0x400114ac +#define CYREG_B1_P2_U1_PLD_ORT0 0x400114b0 +#define CYREG_B1_P2_U1_PLD_ORT1 0x400114b2 +#define CYREG_B1_P2_U1_PLD_ORT2 0x400114b4 +#define CYREG_B1_P2_U1_PLD_ORT3 0x400114b6 +#define CYREG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8 +#define CYREG_B1_P2_U1_MC_CFG_XORFB 0x400114ba +#define CYREG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bc +#define CYREG_B1_P2_U1_MC_CFG_BYPASS 0x400114be +#define CYREG_B1_P2_U1_CFG0 0x400114c0 +#define CYREG_B1_P2_U1_CFG1 0x400114c1 +#define CYREG_B1_P2_U1_CFG2 0x400114c2 +#define CYREG_B1_P2_U1_CFG3 0x400114c3 +#define CYREG_B1_P2_U1_CFG4 0x400114c4 +#define CYREG_B1_P2_U1_CFG5 0x400114c5 +#define CYREG_B1_P2_U1_CFG6 0x400114c6 +#define CYREG_B1_P2_U1_CFG7 0x400114c7 +#define CYREG_B1_P2_U1_CFG8 0x400114c8 +#define CYREG_B1_P2_U1_CFG9 0x400114c9 +#define CYREG_B1_P2_U1_CFG10 0x400114ca +#define CYREG_B1_P2_U1_CFG11 0x400114cb +#define CYREG_B1_P2_U1_CFG12 0x400114cc +#define CYREG_B1_P2_U1_CFG13 0x400114cd +#define CYREG_B1_P2_U1_CFG14 0x400114ce +#define CYREG_B1_P2_U1_CFG15 0x400114cf +#define CYREG_B1_P2_U1_CFG16 0x400114d0 +#define CYREG_B1_P2_U1_CFG17 0x400114d1 +#define CYREG_B1_P2_U1_CFG18 0x400114d2 +#define CYREG_B1_P2_U1_CFG19 0x400114d3 +#define CYREG_B1_P2_U1_CFG20 0x400114d4 +#define CYREG_B1_P2_U1_CFG21 0x400114d5 +#define CYREG_B1_P2_U1_CFG22 0x400114d6 +#define CYREG_B1_P2_U1_CFG23 0x400114d7 +#define CYREG_B1_P2_U1_CFG24 0x400114d8 +#define CYREG_B1_P2_U1_CFG25 0x400114d9 +#define CYREG_B1_P2_U1_CFG26 0x400114da +#define CYREG_B1_P2_U1_CFG27 0x400114db +#define CYREG_B1_P2_U1_CFG28 0x400114dc +#define CYREG_B1_P2_U1_CFG29 0x400114dd +#define CYREG_B1_P2_U1_CFG30 0x400114de +#define CYREG_B1_P2_U1_CFG31 0x400114df +#define CYREG_B1_P2_U1_DCFG0 0x400114e0 +#define CYREG_B1_P2_U1_DCFG1 0x400114e2 +#define CYREG_B1_P2_U1_DCFG2 0x400114e4 +#define CYREG_B1_P2_U1_DCFG3 0x400114e6 +#define CYREG_B1_P2_U1_DCFG4 0x400114e8 +#define CYREG_B1_P2_U1_DCFG5 0x400114ea +#define CYREG_B1_P2_U1_DCFG6 0x400114ec +#define CYREG_B1_P2_U1_DCFG7 0x400114ee +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500 +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P3_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600 +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070 +#define CYREG_B1_P3_U0_PLD_IT0 0x40011600 +#define CYREG_B1_P3_U0_PLD_IT1 0x40011604 +#define CYREG_B1_P3_U0_PLD_IT2 0x40011608 +#define CYREG_B1_P3_U0_PLD_IT3 0x4001160c +#define CYREG_B1_P3_U0_PLD_IT4 0x40011610 +#define CYREG_B1_P3_U0_PLD_IT5 0x40011614 +#define CYREG_B1_P3_U0_PLD_IT6 0x40011618 +#define CYREG_B1_P3_U0_PLD_IT7 0x4001161c +#define CYREG_B1_P3_U0_PLD_IT8 0x40011620 +#define CYREG_B1_P3_U0_PLD_IT9 0x40011624 +#define CYREG_B1_P3_U0_PLD_IT10 0x40011628 +#define CYREG_B1_P3_U0_PLD_IT11 0x4001162c +#define CYREG_B1_P3_U0_PLD_ORT0 0x40011630 +#define CYREG_B1_P3_U0_PLD_ORT1 0x40011632 +#define CYREG_B1_P3_U0_PLD_ORT2 0x40011634 +#define CYREG_B1_P3_U0_PLD_ORT3 0x40011636 +#define CYREG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638 +#define CYREG_B1_P3_U0_MC_CFG_XORFB 0x4001163a +#define CYREG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163c +#define CYREG_B1_P3_U0_MC_CFG_BYPASS 0x4001163e +#define CYREG_B1_P3_U0_CFG0 0x40011640 +#define CYREG_B1_P3_U0_CFG1 0x40011641 +#define CYREG_B1_P3_U0_CFG2 0x40011642 +#define CYREG_B1_P3_U0_CFG3 0x40011643 +#define CYREG_B1_P3_U0_CFG4 0x40011644 +#define CYREG_B1_P3_U0_CFG5 0x40011645 +#define CYREG_B1_P3_U0_CFG6 0x40011646 +#define CYREG_B1_P3_U0_CFG7 0x40011647 +#define CYREG_B1_P3_U0_CFG8 0x40011648 +#define CYREG_B1_P3_U0_CFG9 0x40011649 +#define CYREG_B1_P3_U0_CFG10 0x4001164a +#define CYREG_B1_P3_U0_CFG11 0x4001164b +#define CYREG_B1_P3_U0_CFG12 0x4001164c +#define CYREG_B1_P3_U0_CFG13 0x4001164d +#define CYREG_B1_P3_U0_CFG14 0x4001164e +#define CYREG_B1_P3_U0_CFG15 0x4001164f +#define CYREG_B1_P3_U0_CFG16 0x40011650 +#define CYREG_B1_P3_U0_CFG17 0x40011651 +#define CYREG_B1_P3_U0_CFG18 0x40011652 +#define CYREG_B1_P3_U0_CFG19 0x40011653 +#define CYREG_B1_P3_U0_CFG20 0x40011654 +#define CYREG_B1_P3_U0_CFG21 0x40011655 +#define CYREG_B1_P3_U0_CFG22 0x40011656 +#define CYREG_B1_P3_U0_CFG23 0x40011657 +#define CYREG_B1_P3_U0_CFG24 0x40011658 +#define CYREG_B1_P3_U0_CFG25 0x40011659 +#define CYREG_B1_P3_U0_CFG26 0x4001165a +#define CYREG_B1_P3_U0_CFG27 0x4001165b +#define CYREG_B1_P3_U0_CFG28 0x4001165c +#define CYREG_B1_P3_U0_CFG29 0x4001165d +#define CYREG_B1_P3_U0_CFG30 0x4001165e +#define CYREG_B1_P3_U0_CFG31 0x4001165f +#define CYREG_B1_P3_U0_DCFG0 0x40011660 +#define CYREG_B1_P3_U0_DCFG1 0x40011662 +#define CYREG_B1_P3_U0_DCFG2 0x40011664 +#define CYREG_B1_P3_U0_DCFG3 0x40011666 +#define CYREG_B1_P3_U0_DCFG4 0x40011668 +#define CYREG_B1_P3_U0_DCFG5 0x4001166a +#define CYREG_B1_P3_U0_DCFG6 0x4001166c +#define CYREG_B1_P3_U0_DCFG7 0x4001166e +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680 +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070 +#define CYREG_B1_P3_U1_PLD_IT0 0x40011680 +#define CYREG_B1_P3_U1_PLD_IT1 0x40011684 +#define CYREG_B1_P3_U1_PLD_IT2 0x40011688 +#define CYREG_B1_P3_U1_PLD_IT3 0x4001168c +#define CYREG_B1_P3_U1_PLD_IT4 0x40011690 +#define CYREG_B1_P3_U1_PLD_IT5 0x40011694 +#define CYREG_B1_P3_U1_PLD_IT6 0x40011698 +#define CYREG_B1_P3_U1_PLD_IT7 0x4001169c +#define CYREG_B1_P3_U1_PLD_IT8 0x400116a0 +#define CYREG_B1_P3_U1_PLD_IT9 0x400116a4 +#define CYREG_B1_P3_U1_PLD_IT10 0x400116a8 +#define CYREG_B1_P3_U1_PLD_IT11 0x400116ac +#define CYREG_B1_P3_U1_PLD_ORT0 0x400116b0 +#define CYREG_B1_P3_U1_PLD_ORT1 0x400116b2 +#define CYREG_B1_P3_U1_PLD_ORT2 0x400116b4 +#define CYREG_B1_P3_U1_PLD_ORT3 0x400116b6 +#define CYREG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8 +#define CYREG_B1_P3_U1_MC_CFG_XORFB 0x400116ba +#define CYREG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bc +#define CYREG_B1_P3_U1_MC_CFG_BYPASS 0x400116be +#define CYREG_B1_P3_U1_CFG0 0x400116c0 +#define CYREG_B1_P3_U1_CFG1 0x400116c1 +#define CYREG_B1_P3_U1_CFG2 0x400116c2 +#define CYREG_B1_P3_U1_CFG3 0x400116c3 +#define CYREG_B1_P3_U1_CFG4 0x400116c4 +#define CYREG_B1_P3_U1_CFG5 0x400116c5 +#define CYREG_B1_P3_U1_CFG6 0x400116c6 +#define CYREG_B1_P3_U1_CFG7 0x400116c7 +#define CYREG_B1_P3_U1_CFG8 0x400116c8 +#define CYREG_B1_P3_U1_CFG9 0x400116c9 +#define CYREG_B1_P3_U1_CFG10 0x400116ca +#define CYREG_B1_P3_U1_CFG11 0x400116cb +#define CYREG_B1_P3_U1_CFG12 0x400116cc +#define CYREG_B1_P3_U1_CFG13 0x400116cd +#define CYREG_B1_P3_U1_CFG14 0x400116ce +#define CYREG_B1_P3_U1_CFG15 0x400116cf +#define CYREG_B1_P3_U1_CFG16 0x400116d0 +#define CYREG_B1_P3_U1_CFG17 0x400116d1 +#define CYREG_B1_P3_U1_CFG18 0x400116d2 +#define CYREG_B1_P3_U1_CFG19 0x400116d3 +#define CYREG_B1_P3_U1_CFG20 0x400116d4 +#define CYREG_B1_P3_U1_CFG21 0x400116d5 +#define CYREG_B1_P3_U1_CFG22 0x400116d6 +#define CYREG_B1_P3_U1_CFG23 0x400116d7 +#define CYREG_B1_P3_U1_CFG24 0x400116d8 +#define CYREG_B1_P3_U1_CFG25 0x400116d9 +#define CYREG_B1_P3_U1_CFG26 0x400116da +#define CYREG_B1_P3_U1_CFG27 0x400116db +#define CYREG_B1_P3_U1_CFG28 0x400116dc +#define CYREG_B1_P3_U1_CFG29 0x400116dd +#define CYREG_B1_P3_U1_CFG30 0x400116de +#define CYREG_B1_P3_U1_CFG31 0x400116df +#define CYREG_B1_P3_U1_DCFG0 0x400116e0 +#define CYREG_B1_P3_U1_DCFG1 0x400116e2 +#define CYREG_B1_P3_U1_DCFG2 0x400116e4 +#define CYREG_B1_P3_U1_DCFG3 0x400116e6 +#define CYREG_B1_P3_U1_DCFG4 0x400116e8 +#define CYREG_B1_P3_U1_DCFG5 0x400116ea +#define CYREG_B1_P3_U1_DCFG6 0x400116ec +#define CYREG_B1_P3_U1_DCFG7 0x400116ee +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700 +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P4_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800 +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070 +#define CYREG_B1_P4_U0_PLD_IT0 0x40011800 +#define CYREG_B1_P4_U0_PLD_IT1 0x40011804 +#define CYREG_B1_P4_U0_PLD_IT2 0x40011808 +#define CYREG_B1_P4_U0_PLD_IT3 0x4001180c +#define CYREG_B1_P4_U0_PLD_IT4 0x40011810 +#define CYREG_B1_P4_U0_PLD_IT5 0x40011814 +#define CYREG_B1_P4_U0_PLD_IT6 0x40011818 +#define CYREG_B1_P4_U0_PLD_IT7 0x4001181c +#define CYREG_B1_P4_U0_PLD_IT8 0x40011820 +#define CYREG_B1_P4_U0_PLD_IT9 0x40011824 +#define CYREG_B1_P4_U0_PLD_IT10 0x40011828 +#define CYREG_B1_P4_U0_PLD_IT11 0x4001182c +#define CYREG_B1_P4_U0_PLD_ORT0 0x40011830 +#define CYREG_B1_P4_U0_PLD_ORT1 0x40011832 +#define CYREG_B1_P4_U0_PLD_ORT2 0x40011834 +#define CYREG_B1_P4_U0_PLD_ORT3 0x40011836 +#define CYREG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838 +#define CYREG_B1_P4_U0_MC_CFG_XORFB 0x4001183a +#define CYREG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183c +#define CYREG_B1_P4_U0_MC_CFG_BYPASS 0x4001183e +#define CYREG_B1_P4_U0_CFG0 0x40011840 +#define CYREG_B1_P4_U0_CFG1 0x40011841 +#define CYREG_B1_P4_U0_CFG2 0x40011842 +#define CYREG_B1_P4_U0_CFG3 0x40011843 +#define CYREG_B1_P4_U0_CFG4 0x40011844 +#define CYREG_B1_P4_U0_CFG5 0x40011845 +#define CYREG_B1_P4_U0_CFG6 0x40011846 +#define CYREG_B1_P4_U0_CFG7 0x40011847 +#define CYREG_B1_P4_U0_CFG8 0x40011848 +#define CYREG_B1_P4_U0_CFG9 0x40011849 +#define CYREG_B1_P4_U0_CFG10 0x4001184a +#define CYREG_B1_P4_U0_CFG11 0x4001184b +#define CYREG_B1_P4_U0_CFG12 0x4001184c +#define CYREG_B1_P4_U0_CFG13 0x4001184d +#define CYREG_B1_P4_U0_CFG14 0x4001184e +#define CYREG_B1_P4_U0_CFG15 0x4001184f +#define CYREG_B1_P4_U0_CFG16 0x40011850 +#define CYREG_B1_P4_U0_CFG17 0x40011851 +#define CYREG_B1_P4_U0_CFG18 0x40011852 +#define CYREG_B1_P4_U0_CFG19 0x40011853 +#define CYREG_B1_P4_U0_CFG20 0x40011854 +#define CYREG_B1_P4_U0_CFG21 0x40011855 +#define CYREG_B1_P4_U0_CFG22 0x40011856 +#define CYREG_B1_P4_U0_CFG23 0x40011857 +#define CYREG_B1_P4_U0_CFG24 0x40011858 +#define CYREG_B1_P4_U0_CFG25 0x40011859 +#define CYREG_B1_P4_U0_CFG26 0x4001185a +#define CYREG_B1_P4_U0_CFG27 0x4001185b +#define CYREG_B1_P4_U0_CFG28 0x4001185c +#define CYREG_B1_P4_U0_CFG29 0x4001185d +#define CYREG_B1_P4_U0_CFG30 0x4001185e +#define CYREG_B1_P4_U0_CFG31 0x4001185f +#define CYREG_B1_P4_U0_DCFG0 0x40011860 +#define CYREG_B1_P4_U0_DCFG1 0x40011862 +#define CYREG_B1_P4_U0_DCFG2 0x40011864 +#define CYREG_B1_P4_U0_DCFG3 0x40011866 +#define CYREG_B1_P4_U0_DCFG4 0x40011868 +#define CYREG_B1_P4_U0_DCFG5 0x4001186a +#define CYREG_B1_P4_U0_DCFG6 0x4001186c +#define CYREG_B1_P4_U0_DCFG7 0x4001186e +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880 +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070 +#define CYREG_B1_P4_U1_PLD_IT0 0x40011880 +#define CYREG_B1_P4_U1_PLD_IT1 0x40011884 +#define CYREG_B1_P4_U1_PLD_IT2 0x40011888 +#define CYREG_B1_P4_U1_PLD_IT3 0x4001188c +#define CYREG_B1_P4_U1_PLD_IT4 0x40011890 +#define CYREG_B1_P4_U1_PLD_IT5 0x40011894 +#define CYREG_B1_P4_U1_PLD_IT6 0x40011898 +#define CYREG_B1_P4_U1_PLD_IT7 0x4001189c +#define CYREG_B1_P4_U1_PLD_IT8 0x400118a0 +#define CYREG_B1_P4_U1_PLD_IT9 0x400118a4 +#define CYREG_B1_P4_U1_PLD_IT10 0x400118a8 +#define CYREG_B1_P4_U1_PLD_IT11 0x400118ac +#define CYREG_B1_P4_U1_PLD_ORT0 0x400118b0 +#define CYREG_B1_P4_U1_PLD_ORT1 0x400118b2 +#define CYREG_B1_P4_U1_PLD_ORT2 0x400118b4 +#define CYREG_B1_P4_U1_PLD_ORT3 0x400118b6 +#define CYREG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8 +#define CYREG_B1_P4_U1_MC_CFG_XORFB 0x400118ba +#define CYREG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bc +#define CYREG_B1_P4_U1_MC_CFG_BYPASS 0x400118be +#define CYREG_B1_P4_U1_CFG0 0x400118c0 +#define CYREG_B1_P4_U1_CFG1 0x400118c1 +#define CYREG_B1_P4_U1_CFG2 0x400118c2 +#define CYREG_B1_P4_U1_CFG3 0x400118c3 +#define CYREG_B1_P4_U1_CFG4 0x400118c4 +#define CYREG_B1_P4_U1_CFG5 0x400118c5 +#define CYREG_B1_P4_U1_CFG6 0x400118c6 +#define CYREG_B1_P4_U1_CFG7 0x400118c7 +#define CYREG_B1_P4_U1_CFG8 0x400118c8 +#define CYREG_B1_P4_U1_CFG9 0x400118c9 +#define CYREG_B1_P4_U1_CFG10 0x400118ca +#define CYREG_B1_P4_U1_CFG11 0x400118cb +#define CYREG_B1_P4_U1_CFG12 0x400118cc +#define CYREG_B1_P4_U1_CFG13 0x400118cd +#define CYREG_B1_P4_U1_CFG14 0x400118ce +#define CYREG_B1_P4_U1_CFG15 0x400118cf +#define CYREG_B1_P4_U1_CFG16 0x400118d0 +#define CYREG_B1_P4_U1_CFG17 0x400118d1 +#define CYREG_B1_P4_U1_CFG18 0x400118d2 +#define CYREG_B1_P4_U1_CFG19 0x400118d3 +#define CYREG_B1_P4_U1_CFG20 0x400118d4 +#define CYREG_B1_P4_U1_CFG21 0x400118d5 +#define CYREG_B1_P4_U1_CFG22 0x400118d6 +#define CYREG_B1_P4_U1_CFG23 0x400118d7 +#define CYREG_B1_P4_U1_CFG24 0x400118d8 +#define CYREG_B1_P4_U1_CFG25 0x400118d9 +#define CYREG_B1_P4_U1_CFG26 0x400118da +#define CYREG_B1_P4_U1_CFG27 0x400118db +#define CYREG_B1_P4_U1_CFG28 0x400118dc +#define CYREG_B1_P4_U1_CFG29 0x400118dd +#define CYREG_B1_P4_U1_CFG30 0x400118de +#define CYREG_B1_P4_U1_CFG31 0x400118df +#define CYREG_B1_P4_U1_DCFG0 0x400118e0 +#define CYREG_B1_P4_U1_DCFG1 0x400118e2 +#define CYREG_B1_P4_U1_DCFG2 0x400118e4 +#define CYREG_B1_P4_U1_DCFG3 0x400118e6 +#define CYREG_B1_P4_U1_DCFG4 0x400118e8 +#define CYREG_B1_P4_U1_DCFG5 0x400118ea +#define CYREG_B1_P4_U1_DCFG6 0x400118ec +#define CYREG_B1_P4_U1_DCFG7 0x400118ee +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900 +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_SIZE 0x000001ef +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00 +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070 +#define CYREG_B1_P5_U0_PLD_IT0 0x40011a00 +#define CYREG_B1_P5_U0_PLD_IT1 0x40011a04 +#define CYREG_B1_P5_U0_PLD_IT2 0x40011a08 +#define CYREG_B1_P5_U0_PLD_IT3 0x40011a0c +#define CYREG_B1_P5_U0_PLD_IT4 0x40011a10 +#define CYREG_B1_P5_U0_PLD_IT5 0x40011a14 +#define CYREG_B1_P5_U0_PLD_IT6 0x40011a18 +#define CYREG_B1_P5_U0_PLD_IT7 0x40011a1c +#define CYREG_B1_P5_U0_PLD_IT8 0x40011a20 +#define CYREG_B1_P5_U0_PLD_IT9 0x40011a24 +#define CYREG_B1_P5_U0_PLD_IT10 0x40011a28 +#define CYREG_B1_P5_U0_PLD_IT11 0x40011a2c +#define CYREG_B1_P5_U0_PLD_ORT0 0x40011a30 +#define CYREG_B1_P5_U0_PLD_ORT1 0x40011a32 +#define CYREG_B1_P5_U0_PLD_ORT2 0x40011a34 +#define CYREG_B1_P5_U0_PLD_ORT3 0x40011a36 +#define CYREG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38 +#define CYREG_B1_P5_U0_MC_CFG_XORFB 0x40011a3a +#define CYREG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3c +#define CYREG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3e +#define CYREG_B1_P5_U0_CFG0 0x40011a40 +#define CYREG_B1_P5_U0_CFG1 0x40011a41 +#define CYREG_B1_P5_U0_CFG2 0x40011a42 +#define CYREG_B1_P5_U0_CFG3 0x40011a43 +#define CYREG_B1_P5_U0_CFG4 0x40011a44 +#define CYREG_B1_P5_U0_CFG5 0x40011a45 +#define CYREG_B1_P5_U0_CFG6 0x40011a46 +#define CYREG_B1_P5_U0_CFG7 0x40011a47 +#define CYREG_B1_P5_U0_CFG8 0x40011a48 +#define CYREG_B1_P5_U0_CFG9 0x40011a49 +#define CYREG_B1_P5_U0_CFG10 0x40011a4a +#define CYREG_B1_P5_U0_CFG11 0x40011a4b +#define CYREG_B1_P5_U0_CFG12 0x40011a4c +#define CYREG_B1_P5_U0_CFG13 0x40011a4d +#define CYREG_B1_P5_U0_CFG14 0x40011a4e +#define CYREG_B1_P5_U0_CFG15 0x40011a4f +#define CYREG_B1_P5_U0_CFG16 0x40011a50 +#define CYREG_B1_P5_U0_CFG17 0x40011a51 +#define CYREG_B1_P5_U0_CFG18 0x40011a52 +#define CYREG_B1_P5_U0_CFG19 0x40011a53 +#define CYREG_B1_P5_U0_CFG20 0x40011a54 +#define CYREG_B1_P5_U0_CFG21 0x40011a55 +#define CYREG_B1_P5_U0_CFG22 0x40011a56 +#define CYREG_B1_P5_U0_CFG23 0x40011a57 +#define CYREG_B1_P5_U0_CFG24 0x40011a58 +#define CYREG_B1_P5_U0_CFG25 0x40011a59 +#define CYREG_B1_P5_U0_CFG26 0x40011a5a +#define CYREG_B1_P5_U0_CFG27 0x40011a5b +#define CYREG_B1_P5_U0_CFG28 0x40011a5c +#define CYREG_B1_P5_U0_CFG29 0x40011a5d +#define CYREG_B1_P5_U0_CFG30 0x40011a5e +#define CYREG_B1_P5_U0_CFG31 0x40011a5f +#define CYREG_B1_P5_U0_DCFG0 0x40011a60 +#define CYREG_B1_P5_U0_DCFG1 0x40011a62 +#define CYREG_B1_P5_U0_DCFG2 0x40011a64 +#define CYREG_B1_P5_U0_DCFG3 0x40011a66 +#define CYREG_B1_P5_U0_DCFG4 0x40011a68 +#define CYREG_B1_P5_U0_DCFG5 0x40011a6a +#define CYREG_B1_P5_U0_DCFG6 0x40011a6c +#define CYREG_B1_P5_U0_DCFG7 0x40011a6e +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80 +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070 +#define CYREG_B1_P5_U1_PLD_IT0 0x40011a80 +#define CYREG_B1_P5_U1_PLD_IT1 0x40011a84 +#define CYREG_B1_P5_U1_PLD_IT2 0x40011a88 +#define CYREG_B1_P5_U1_PLD_IT3 0x40011a8c +#define CYREG_B1_P5_U1_PLD_IT4 0x40011a90 +#define CYREG_B1_P5_U1_PLD_IT5 0x40011a94 +#define CYREG_B1_P5_U1_PLD_IT6 0x40011a98 +#define CYREG_B1_P5_U1_PLD_IT7 0x40011a9c +#define CYREG_B1_P5_U1_PLD_IT8 0x40011aa0 +#define CYREG_B1_P5_U1_PLD_IT9 0x40011aa4 +#define CYREG_B1_P5_U1_PLD_IT10 0x40011aa8 +#define CYREG_B1_P5_U1_PLD_IT11 0x40011aac +#define CYREG_B1_P5_U1_PLD_ORT0 0x40011ab0 +#define CYREG_B1_P5_U1_PLD_ORT1 0x40011ab2 +#define CYREG_B1_P5_U1_PLD_ORT2 0x40011ab4 +#define CYREG_B1_P5_U1_PLD_ORT3 0x40011ab6 +#define CYREG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8 +#define CYREG_B1_P5_U1_MC_CFG_XORFB 0x40011aba +#define CYREG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abc +#define CYREG_B1_P5_U1_MC_CFG_BYPASS 0x40011abe +#define CYREG_B1_P5_U1_CFG0 0x40011ac0 +#define CYREG_B1_P5_U1_CFG1 0x40011ac1 +#define CYREG_B1_P5_U1_CFG2 0x40011ac2 +#define CYREG_B1_P5_U1_CFG3 0x40011ac3 +#define CYREG_B1_P5_U1_CFG4 0x40011ac4 +#define CYREG_B1_P5_U1_CFG5 0x40011ac5 +#define CYREG_B1_P5_U1_CFG6 0x40011ac6 +#define CYREG_B1_P5_U1_CFG7 0x40011ac7 +#define CYREG_B1_P5_U1_CFG8 0x40011ac8 +#define CYREG_B1_P5_U1_CFG9 0x40011ac9 +#define CYREG_B1_P5_U1_CFG10 0x40011aca +#define CYREG_B1_P5_U1_CFG11 0x40011acb +#define CYREG_B1_P5_U1_CFG12 0x40011acc +#define CYREG_B1_P5_U1_CFG13 0x40011acd +#define CYREG_B1_P5_U1_CFG14 0x40011ace +#define CYREG_B1_P5_U1_CFG15 0x40011acf +#define CYREG_B1_P5_U1_CFG16 0x40011ad0 +#define CYREG_B1_P5_U1_CFG17 0x40011ad1 +#define CYREG_B1_P5_U1_CFG18 0x40011ad2 +#define CYREG_B1_P5_U1_CFG19 0x40011ad3 +#define CYREG_B1_P5_U1_CFG20 0x40011ad4 +#define CYREG_B1_P5_U1_CFG21 0x40011ad5 +#define CYREG_B1_P5_U1_CFG22 0x40011ad6 +#define CYREG_B1_P5_U1_CFG23 0x40011ad7 +#define CYREG_B1_P5_U1_CFG24 0x40011ad8 +#define CYREG_B1_P5_U1_CFG25 0x40011ad9 +#define CYREG_B1_P5_U1_CFG26 0x40011ada +#define CYREG_B1_P5_U1_CFG27 0x40011adb +#define CYREG_B1_P5_U1_CFG28 0x40011adc +#define CYREG_B1_P5_U1_CFG29 0x40011add +#define CYREG_B1_P5_U1_CFG30 0x40011ade +#define CYREG_B1_P5_U1_CFG31 0x40011adf +#define CYREG_B1_P5_U1_DCFG0 0x40011ae0 +#define CYREG_B1_P5_U1_DCFG1 0x40011ae2 +#define CYREG_B1_P5_U1_DCFG2 0x40011ae4 +#define CYREG_B1_P5_U1_DCFG3 0x40011ae6 +#define CYREG_B1_P5_U1_DCFG4 0x40011ae8 +#define CYREG_B1_P5_U1_DCFG5 0x40011aea +#define CYREG_B1_P5_U1_DCFG6 0x40011aec +#define CYREG_B1_P5_U1_DCFG7 0x40011aee +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00 +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000ef +#define CYDEV_UCFG_DSI0_BASE 0x40014000 +#define CYDEV_UCFG_DSI0_SIZE 0x000000ef +#define CYDEV_UCFG_DSI1_BASE 0x40014100 +#define CYDEV_UCFG_DSI1_SIZE 0x000000ef +#define CYDEV_UCFG_DSI2_BASE 0x40014200 +#define CYDEV_UCFG_DSI2_SIZE 0x000000ef +#define CYDEV_UCFG_DSI3_BASE 0x40014300 +#define CYDEV_UCFG_DSI3_SIZE 0x000000ef +#define CYDEV_UCFG_DSI4_BASE 0x40014400 +#define CYDEV_UCFG_DSI4_SIZE 0x000000ef +#define CYDEV_UCFG_DSI5_BASE 0x40014500 +#define CYDEV_UCFG_DSI5_SIZE 0x000000ef +#define CYDEV_UCFG_DSI6_BASE 0x40014600 +#define CYDEV_UCFG_DSI6_SIZE 0x000000ef +#define CYDEV_UCFG_DSI7_BASE 0x40014700 +#define CYDEV_UCFG_DSI7_SIZE 0x000000ef +#define CYDEV_UCFG_DSI8_BASE 0x40014800 +#define CYDEV_UCFG_DSI8_SIZE 0x000000ef +#define CYDEV_UCFG_DSI9_BASE 0x40014900 +#define CYDEV_UCFG_DSI9_SIZE 0x000000ef +#define CYDEV_UCFG_DSI12_BASE 0x40014c00 +#define CYDEV_UCFG_DSI12_SIZE 0x000000ef +#define CYDEV_UCFG_DSI13_BASE 0x40014d00 +#define CYDEV_UCFG_DSI13_SIZE 0x000000ef +#define CYDEV_UCFG_BCTL0_BASE 0x40015000 +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010 +#define CYREG_BCTL0_MDCLK_EN 0x40015000 +#define CYREG_BCTL0_MBCLK_EN 0x40015001 +#define CYREG_BCTL0_WAIT_CFG 0x40015002 +#define CYREG_BCTL0_BANK_CTL 0x40015003 +#define CYREG_BCTL0_UDB_TEST_3 0x40015007 +#define CYREG_BCTL0_DCLK_EN0 0x40015008 +#define CYREG_BCTL0_BCLK_EN0 0x40015009 +#define CYREG_BCTL0_DCLK_EN1 0x4001500a +#define CYREG_BCTL0_BCLK_EN1 0x4001500b +#define CYREG_BCTL0_DCLK_EN2 0x4001500c +#define CYREG_BCTL0_BCLK_EN2 0x4001500d +#define CYREG_BCTL0_DCLK_EN3 0x4001500e +#define CYREG_BCTL0_BCLK_EN3 0x4001500f +#define CYDEV_UCFG_BCTL1_BASE 0x40015010 +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010 +#define CYREG_BCTL1_MDCLK_EN 0x40015010 +#define CYREG_BCTL1_MBCLK_EN 0x40015011 +#define CYREG_BCTL1_WAIT_CFG 0x40015012 +#define CYREG_BCTL1_BANK_CTL 0x40015013 +#define CYREG_BCTL1_UDB_TEST_3 0x40015017 +#define CYREG_BCTL1_DCLK_EN0 0x40015018 +#define CYREG_BCTL1_BCLK_EN0 0x40015019 +#define CYREG_BCTL1_DCLK_EN1 0x4001501a +#define CYREG_BCTL1_BCLK_EN1 0x4001501b +#define CYREG_BCTL1_DCLK_EN2 0x4001501c +#define CYREG_BCTL1_BCLK_EN2 0x4001501d +#define CYREG_BCTL1_DCLK_EN3 0x4001501e +#define CYREG_BCTL1_BCLK_EN3 0x4001501f +#define CYDEV_IDMUX_BASE 0x40015100 +#define CYDEV_IDMUX_SIZE 0x00000016 +#define CYREG_IDMUX_IRQ_CTL0 0x40015100 +#define CYREG_IDMUX_IRQ_CTL1 0x40015101 +#define CYREG_IDMUX_IRQ_CTL2 0x40015102 +#define CYREG_IDMUX_IRQ_CTL3 0x40015103 +#define CYREG_IDMUX_IRQ_CTL4 0x40015104 +#define CYREG_IDMUX_IRQ_CTL5 0x40015105 +#define CYREG_IDMUX_IRQ_CTL6 0x40015106 +#define CYREG_IDMUX_IRQ_CTL7 0x40015107 +#define CYREG_IDMUX_DRQ_CTL0 0x40015110 +#define CYREG_IDMUX_DRQ_CTL1 0x40015111 +#define CYREG_IDMUX_DRQ_CTL2 0x40015112 +#define CYREG_IDMUX_DRQ_CTL3 0x40015113 +#define CYREG_IDMUX_DRQ_CTL4 0x40015114 +#define CYREG_IDMUX_DRQ_CTL5 0x40015115 +#define CYDEV_CACHERAM_BASE 0x40030000 +#define CYDEV_CACHERAM_SIZE 0x00000400 +#define CYREG_CACHERAM_DATA_MBASE 0x40030000 +#define CYREG_CACHERAM_DATA_MSIZE 0x00000400 +#define CYDEV_SFR_BASE 0x40050100 +#define CYDEV_SFR_SIZE 0x000000fb +#define CYREG_SFR_GPIO0 0x40050180 +#define CYREG_SFR_GPIRD0 0x40050189 +#define CYREG_SFR_GPIO0_SEL 0x4005018a +#define CYREG_SFR_GPIO1 0x40050190 +#define CYREG_SFR_GPIRD1 0x40050191 +#define CYREG_SFR_GPIO2 0x40050198 +#define CYREG_SFR_GPIRD2 0x40050199 +#define CYREG_SFR_GPIO2_SEL 0x4005019a +#define CYREG_SFR_GPIO1_SEL 0x400501a2 +#define CYREG_SFR_GPIO3 0x400501b0 +#define CYREG_SFR_GPIRD3 0x400501b1 +#define CYREG_SFR_GPIO3_SEL 0x400501b2 +#define CYREG_SFR_GPIO4 0x400501c0 +#define CYREG_SFR_GPIRD4 0x400501c1 +#define CYREG_SFR_GPIO4_SEL 0x400501c2 +#define CYREG_SFR_GPIO5 0x400501c8 +#define CYREG_SFR_GPIRD5 0x400501c9 +#define CYREG_SFR_GPIO5_SEL 0x400501ca +#define CYREG_SFR_GPIO6 0x400501d8 +#define CYREG_SFR_GPIRD6 0x400501d9 +#define CYREG_SFR_GPIO6_SEL 0x400501da +#define CYREG_SFR_GPIO12 0x400501e8 +#define CYREG_SFR_GPIRD12 0x400501e9 +#define CYREG_SFR_GPIO12_SEL 0x400501f2 +#define CYREG_SFR_GPIO15 0x400501f8 +#define CYREG_SFR_GPIRD15 0x400501f9 +#define CYREG_SFR_GPIO15_SEL 0x400501fa +#define CYDEV_P3BA_BASE 0x40050300 +#define CYDEV_P3BA_SIZE 0x0000002b +#define CYREG_P3BA_Y_START 0x40050300 +#define CYREG_P3BA_YROLL 0x40050301 +#define CYREG_P3BA_YCFG 0x40050302 +#define CYREG_P3BA_X_START1 0x40050303 +#define CYREG_P3BA_X_START2 0x40050304 +#define CYREG_P3BA_XROLL1 0x40050305 +#define CYREG_P3BA_XROLL2 0x40050306 +#define CYREG_P3BA_XINC 0x40050307 +#define CYREG_P3BA_XCFG 0x40050308 +#define CYREG_P3BA_OFFSETADDR1 0x40050309 +#define CYREG_P3BA_OFFSETADDR2 0x4005030a +#define CYREG_P3BA_OFFSETADDR3 0x4005030b +#define CYREG_P3BA_ABSADDR1 0x4005030c +#define CYREG_P3BA_ABSADDR2 0x4005030d +#define CYREG_P3BA_ABSADDR3 0x4005030e +#define CYREG_P3BA_ABSADDR4 0x4005030f +#define CYREG_P3BA_DATCFG1 0x40050310 +#define CYREG_P3BA_DATCFG2 0x40050311 +#define CYREG_P3BA_CMP_RSLT1 0x40050314 +#define CYREG_P3BA_CMP_RSLT2 0x40050315 +#define CYREG_P3BA_CMP_RSLT3 0x40050316 +#define CYREG_P3BA_CMP_RSLT4 0x40050317 +#define CYREG_P3BA_DATA_REG1 0x40050318 +#define CYREG_P3BA_DATA_REG2 0x40050319 +#define CYREG_P3BA_DATA_REG3 0x4005031a +#define CYREG_P3BA_DATA_REG4 0x4005031b +#define CYREG_P3BA_EXP_DATA1 0x4005031c +#define CYREG_P3BA_EXP_DATA2 0x4005031d +#define CYREG_P3BA_EXP_DATA3 0x4005031e +#define CYREG_P3BA_EXP_DATA4 0x4005031f +#define CYREG_P3BA_MSTR_HRDATA1 0x40050320 +#define CYREG_P3BA_MSTR_HRDATA2 0x40050321 +#define CYREG_P3BA_MSTR_HRDATA3 0x40050322 +#define CYREG_P3BA_MSTR_HRDATA4 0x40050323 +#define CYREG_P3BA_BIST_EN 0x40050324 +#define CYREG_P3BA_PHUB_MASTER_SSR 0x40050325 +#define CYREG_P3BA_SEQCFG1 0x40050326 +#define CYREG_P3BA_SEQCFG2 0x40050327 +#define CYREG_P3BA_Y_CURR 0x40050328 +#define CYREG_P3BA_X_CURR1 0x40050329 +#define CYREG_P3BA_X_CURR2 0x4005032a +#define CYDEV_PANTHER_BASE 0x40080000 +#define CYDEV_PANTHER_SIZE 0x00000020 +#define CYREG_PANTHER_STCALIB_CFG 0x40080000 +#define CYREG_PANTHER_WAITPIPE 0x40080004 +#define CYREG_PANTHER_TRACE_CFG 0x40080008 +#define CYREG_PANTHER_DBG_CFG 0x4008000c +#define CYREG_PANTHER_CM3_LCKRST_STAT 0x40080018 +#define CYREG_PANTHER_DEVICE_ID 0x4008001c +#define CYDEV_FLSECC_BASE 0x48000000 +#define CYDEV_FLSECC_SIZE 0x00008000 +#define CYREG_FLSECC_DATA_MBASE 0x48000000 +#define CYREG_FLSECC_DATA_MSIZE 0x00008000 +#define CYDEV_FLSHID_BASE 0x49000000 +#define CYDEV_FLSHID_SIZE 0x00000200 +#define CYREG_FLSHID_RSVD_MBASE 0x49000000 +#define CYREG_FLSHID_RSVD_MSIZE 0x00000080 +#define CYREG_FLSHID_CUST_MDATA_MBASE 0x49000080 +#define CYREG_FLSHID_CUST_MDATA_MSIZE 0x00000080 +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100 +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040 +#define CYREG_FLSHID_CUST_TABLES_Y_LOC 0x49000100 +#define CYREG_FLSHID_CUST_TABLES_X_LOC 0x49000101 +#define CYREG_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102 +#define CYREG_FLSHID_CUST_TABLES_LOT_LSB 0x49000103 +#define CYREG_FLSHID_CUST_TABLES_LOT_MSB 0x49000104 +#define CYREG_FLSHID_CUST_TABLES_WRK_WK 0x49000105 +#define CYREG_FLSHID_CUST_TABLES_FAB_YR 0x49000106 +#define CYREG_FLSHID_CUST_TABLES_MINOR 0x49000107 +#define CYREG_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108 +#define CYREG_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109 +#define CYREG_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010a +#define CYREG_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010b +#define CYREG_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010c +#define CYREG_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010d +#define CYREG_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010e +#define CYREG_FLSHID_CUST_TABLES_IMO_USB 0x4900010f +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110 +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111 +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112 +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113 +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114 +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115 +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116 +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117 +#define CYREG_FLSHID_CUST_TABLES_DEC_M1 0x49000118 +#define CYREG_FLSHID_CUST_TABLES_DEC_M2 0x49000119 +#define CYREG_FLSHID_CUST_TABLES_DEC_M3 0x4900011a +#define CYREG_FLSHID_CUST_TABLES_DEC_M4 0x4900011b +#define CYREG_FLSHID_CUST_TABLES_DEC_M5 0x4900011c +#define CYREG_FLSHID_CUST_TABLES_DEC_M6 0x4900011d +#define CYREG_FLSHID_CUST_TABLES_DEC_M7 0x4900011e +#define CYREG_FLSHID_CUST_TABLES_DEC_M8 0x4900011f +#define CYREG_FLSHID_CUST_TABLES_DAC0_M1 0x49000120 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M2 0x49000121 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M3 0x49000122 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M4 0x49000123 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M5 0x49000124 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M6 0x49000125 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M7 0x49000126 +#define CYREG_FLSHID_CUST_TABLES_DAC0_M8 0x49000127 +#define CYREG_FLSHID_CUST_TABLES_DAC2_M1 0x49000128 +#define CYREG_FLSHID_CUST_TABLES_DAC2_M2 0x49000129 +#define CYREG_FLSHID_CUST_TABLES_DAC2_M3 0x4900012a +#define CYREG_FLSHID_CUST_TABLES_DAC2_M4 0x4900012b +#define CYREG_FLSHID_CUST_TABLES_DAC2_M5 0x4900012c +#define CYREG_FLSHID_CUST_TABLES_DAC2_M6 0x4900012d +#define CYREG_FLSHID_CUST_TABLES_DAC2_M7 0x4900012e +#define CYREG_FLSHID_CUST_TABLES_DAC2_M8 0x4900012f +#define CYREG_FLSHID_CUST_TABLES_DAC1_M1 0x49000130 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M2 0x49000131 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M3 0x49000132 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M4 0x49000133 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M5 0x49000134 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M6 0x49000135 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M7 0x49000136 +#define CYREG_FLSHID_CUST_TABLES_DAC1_M8 0x49000137 +#define CYREG_FLSHID_CUST_TABLES_DAC3_M1 0x49000138 +#define CYREG_FLSHID_CUST_TABLES_DAC3_M2 0x49000139 +#define CYREG_FLSHID_CUST_TABLES_DAC3_M3 0x4900013a +#define CYREG_FLSHID_CUST_TABLES_DAC3_M4 0x4900013b +#define CYREG_FLSHID_CUST_TABLES_DAC3_M5 0x4900013c +#define CYREG_FLSHID_CUST_TABLES_DAC3_M6 0x4900013d +#define CYREG_FLSHID_CUST_TABLES_DAC3_M7 0x4900013e +#define CYREG_FLSHID_CUST_TABLES_DAC3_M8 0x4900013f +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180 +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080 +#define CYREG_FLSHID_MFG_CFG_IMO_TR1 0x49000188 +#define CYREG_FLSHID_MFG_CFG_CMP0_TR0 0x490001ac +#define CYREG_FLSHID_MFG_CFG_CMP1_TR0 0x490001ae +#define CYREG_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0 +#define CYREG_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2 +#define CYREG_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4 +#define CYREG_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6 +#define CYREG_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8 +#define CYREG_FLSHID_MFG_CFG_CMP3_TR1 0x490001ba +#define CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ce +#define CYDEV_EXTMEM_BASE 0x60000000 +#define CYDEV_EXTMEM_SIZE 0x00800000 +#define CYREG_EXTMEM_DATA_MBASE 0x60000000 +#define CYREG_EXTMEM_DATA_MSIZE 0x00800000 +#define CYDEV_ITM_BASE 0xe0000000 +#define CYDEV_ITM_SIZE 0x00001000 +#define CYREG_ITM_TRACE_EN 0xe0000e00 +#define CYREG_ITM_TRACE_PRIVILEGE 0xe0000e40 +#define CYREG_ITM_TRACE_CTRL 0xe0000e80 +#define CYREG_ITM_LOCK_ACCESS 0xe0000fb0 +#define CYREG_ITM_LOCK_STATUS 0xe0000fb4 +#define CYREG_ITM_PID4 0xe0000fd0 +#define CYREG_ITM_PID5 0xe0000fd4 +#define CYREG_ITM_PID6 0xe0000fd8 +#define CYREG_ITM_PID7 0xe0000fdc +#define CYREG_ITM_PID0 0xe0000fe0 +#define CYREG_ITM_PID1 0xe0000fe4 +#define CYREG_ITM_PID2 0xe0000fe8 +#define CYREG_ITM_PID3 0xe0000fec +#define CYREG_ITM_CID0 0xe0000ff0 +#define CYREG_ITM_CID1 0xe0000ff4 +#define CYREG_ITM_CID2 0xe0000ff8 +#define CYREG_ITM_CID3 0xe0000ffc +#define CYDEV_DWT_BASE 0xe0001000 +#define CYDEV_DWT_SIZE 0x0000005c +#define CYREG_DWT_CTRL 0xe0001000 +#define CYREG_DWT_CYCLE_COUNT 0xe0001004 +#define CYREG_DWT_CPI_COUNT 0xe0001008 +#define CYREG_DWT_EXC_OVHD_COUNT 0xe000100c +#define CYREG_DWT_SLEEP_COUNT 0xe0001010 +#define CYREG_DWT_LSU_COUNT 0xe0001014 +#define CYREG_DWT_FOLD_COUNT 0xe0001018 +#define CYREG_DWT_PC_SAMPLE 0xe000101c +#define CYREG_DWT_COMP_0 0xe0001020 +#define CYREG_DWT_MASK_0 0xe0001024 +#define CYREG_DWT_FUNCTION_0 0xe0001028 +#define CYREG_DWT_COMP_1 0xe0001030 +#define CYREG_DWT_MASK_1 0xe0001034 +#define CYREG_DWT_FUNCTION_1 0xe0001038 +#define CYREG_DWT_COMP_2 0xe0001040 +#define CYREG_DWT_MASK_2 0xe0001044 +#define CYREG_DWT_FUNCTION_2 0xe0001048 +#define CYREG_DWT_COMP_3 0xe0001050 +#define CYREG_DWT_MASK_3 0xe0001054 +#define CYREG_DWT_FUNCTION_3 0xe0001058 +#define CYDEV_FPB_BASE 0xe0002000 +#define CYDEV_FPB_SIZE 0x00001000 +#define CYREG_FPB_CTRL 0xe0002000 +#define CYREG_FPB_REMAP 0xe0002004 +#define CYREG_FPB_FP_COMP_0 0xe0002008 +#define CYREG_FPB_FP_COMP_1 0xe000200c +#define CYREG_FPB_FP_COMP_2 0xe0002010 +#define CYREG_FPB_FP_COMP_3 0xe0002014 +#define CYREG_FPB_FP_COMP_4 0xe0002018 +#define CYREG_FPB_FP_COMP_5 0xe000201c +#define CYREG_FPB_FP_COMP_6 0xe0002020 +#define CYREG_FPB_FP_COMP_7 0xe0002024 +#define CYREG_FPB_PID4 0xe0002fd0 +#define CYREG_FPB_PID5 0xe0002fd4 +#define CYREG_FPB_PID6 0xe0002fd8 +#define CYREG_FPB_PID7 0xe0002fdc +#define CYREG_FPB_PID0 0xe0002fe0 +#define CYREG_FPB_PID1 0xe0002fe4 +#define CYREG_FPB_PID2 0xe0002fe8 +#define CYREG_FPB_PID3 0xe0002fec +#define CYREG_FPB_CID0 0xe0002ff0 +#define CYREG_FPB_CID1 0xe0002ff4 +#define CYREG_FPB_CID2 0xe0002ff8 +#define CYREG_FPB_CID3 0xe0002ffc +#define CYDEV_NVIC_BASE 0xe000e000 +#define CYDEV_NVIC_SIZE 0x00000d3c +#define CYREG_NVIC_INT_CTL_TYPE 0xe000e004 +#define CYREG_NVIC_SYSTICK_CTL 0xe000e010 +#define CYREG_NVIC_SYSTICK_RELOAD 0xe000e014 +#define CYREG_NVIC_SYSTICK_CURRENT 0xe000e018 +#define CYREG_NVIC_SYSTICK_CAL 0xe000e01c +#define CYREG_NVIC_SETENA0 0xe000e100 +#define CYREG_NVIC_CLRENA0 0xe000e180 +#define CYREG_NVIC_SETPEND0 0xe000e200 +#define CYREG_NVIC_CLRPEND0 0xe000e280 +#define CYREG_NVIC_ACTIVE0 0xe000e300 +#define CYREG_NVIC_PRI_0 0xe000e400 +#define CYREG_NVIC_PRI_1 0xe000e401 +#define CYREG_NVIC_PRI_2 0xe000e402 +#define CYREG_NVIC_PRI_3 0xe000e403 +#define CYREG_NVIC_PRI_4 0xe000e404 +#define CYREG_NVIC_PRI_5 0xe000e405 +#define CYREG_NVIC_PRI_6 0xe000e406 +#define CYREG_NVIC_PRI_7 0xe000e407 +#define CYREG_NVIC_PRI_8 0xe000e408 +#define CYREG_NVIC_PRI_9 0xe000e409 +#define CYREG_NVIC_PRI_10 0xe000e40a +#define CYREG_NVIC_PRI_11 0xe000e40b +#define CYREG_NVIC_PRI_12 0xe000e40c +#define CYREG_NVIC_PRI_13 0xe000e40d +#define CYREG_NVIC_PRI_14 0xe000e40e +#define CYREG_NVIC_PRI_15 0xe000e40f +#define CYREG_NVIC_PRI_16 0xe000e410 +#define CYREG_NVIC_PRI_17 0xe000e411 +#define CYREG_NVIC_PRI_18 0xe000e412 +#define CYREG_NVIC_PRI_19 0xe000e413 +#define CYREG_NVIC_PRI_20 0xe000e414 +#define CYREG_NVIC_PRI_21 0xe000e415 +#define CYREG_NVIC_PRI_22 0xe000e416 +#define CYREG_NVIC_PRI_23 0xe000e417 +#define CYREG_NVIC_PRI_24 0xe000e418 +#define CYREG_NVIC_PRI_25 0xe000e419 +#define CYREG_NVIC_PRI_26 0xe000e41a +#define CYREG_NVIC_PRI_27 0xe000e41b +#define CYREG_NVIC_PRI_28 0xe000e41c +#define CYREG_NVIC_PRI_29 0xe000e41d +#define CYREG_NVIC_PRI_30 0xe000e41e +#define CYREG_NVIC_PRI_31 0xe000e41f +#define CYREG_NVIC_CPUID_BASE 0xe000ed00 +#define CYREG_NVIC_INTR_CTRL_STATE 0xe000ed04 +#define CYREG_NVIC_VECT_OFFSET 0xe000ed08 +#define CYREG_NVIC_APPLN_INTR 0xe000ed0c +#define CYREG_NVIC_SYSTEM_CONTROL 0xe000ed10 +#define CYREG_NVIC_CFG_CONTROL 0xe000ed14 +#define CYREG_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18 +#define CYREG_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1c +#define CYREG_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20 +#define CYREG_NVIC_SYS_HANDLER_CSR 0xe000ed24 +#define CYREG_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28 +#define CYREG_NVIC_BUS_FAULT_STATUS 0xe000ed29 +#define CYREG_NVIC_USAGE_FAULT_STATUS 0xe000ed2a +#define CYREG_NVIC_HARD_FAULT_STATUS 0xe000ed2c +#define CYREG_NVIC_DEBUG_FAULT_STATUS 0xe000ed30 +#define CYREG_NVIC_MEMMAN_FAULT_ADD 0xe000ed34 +#define CYREG_NVIC_BUS_FAULT_ADD 0xe000ed38 +#define CYDEV_CORE_DBG_BASE 0xe000edf0 +#define CYDEV_CORE_DBG_SIZE 0x00000010 +#define CYREG_CORE_DBG_DBG_HLT_CS 0xe000edf0 +#define CYREG_CORE_DBG_DBG_REG_SEL 0xe000edf4 +#define CYREG_CORE_DBG_DBG_REG_DATA 0xe000edf8 +#define CYREG_CORE_DBG_EXC_MON_CTL 0xe000edfc +#define CYDEV_TPIU_BASE 0xe0040000 +#define CYDEV_TPIU_SIZE 0x00001000 +#define CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000 +#define CYREG_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004 +#define CYREG_TPIU_ASYNC_CLK_PRESCALER 0xe0040010 +#define CYREG_TPIU_PROTOCOL 0xe00400f0 +#define CYREG_TPIU_FORM_FLUSH_STAT 0xe0040300 +#define CYREG_TPIU_FORM_FLUSH_CTRL 0xe0040304 +#define CYREG_TPIU_TRIGGER 0xe0040ee8 +#define CYREG_TPIU_ITETMDATA 0xe0040eec +#define CYREG_TPIU_ITATBCTR2 0xe0040ef0 +#define CYREG_TPIU_ITATBCTR0 0xe0040ef8 +#define CYREG_TPIU_ITITMDATA 0xe0040efc +#define CYREG_TPIU_ITCTRL 0xe0040f00 +#define CYREG_TPIU_DEVID 0xe0040fc8 +#define CYREG_TPIU_DEVTYPE 0xe0040fcc +#define CYREG_TPIU_PID4 0xe0040fd0 +#define CYREG_TPIU_PID5 0xe0040fd4 +#define CYREG_TPIU_PID6 0xe0040fd8 +#define CYREG_TPIU_PID7 0xe0040fdc +#define CYREG_TPIU_PID0 0xe0040fe0 +#define CYREG_TPIU_PID1 0xe0040fe4 +#define CYREG_TPIU_PID2 0xe0040fe8 +#define CYREG_TPIU_PID3 0xe0040fec +#define CYREG_TPIU_CID0 0xe0040ff0 +#define CYREG_TPIU_CID1 0xe0040ff4 +#define CYREG_TPIU_CID2 0xe0040ff8 +#define CYREG_TPIU_CID3 0xe0040ffc +#define CYDEV_ETM_BASE 0xe0041000 +#define CYDEV_ETM_SIZE 0x00001000 +#define CYREG_ETM_CTL 0xe0041000 +#define CYREG_ETM_CFG_CODE 0xe0041004 +#define CYREG_ETM_TRIG_EVENT 0xe0041008 +#define CYREG_ETM_STATUS 0xe0041010 +#define CYREG_ETM_SYS_CFG 0xe0041014 +#define CYREG_ETM_TRACE_ENB_EVENT 0xe0041020 +#define CYREG_ETM_TRACE_EN_CTRL1 0xe0041024 +#define CYREG_ETM_FIFOFULL_LEVEL 0xe004102c +#define CYREG_ETM_SYNC_FREQ 0xe00411e0 +#define CYREG_ETM_ETM_ID 0xe00411e4 +#define CYREG_ETM_CFG_CODE_EXT 0xe00411e8 +#define CYREG_ETM_TR_SS_EMBICE_CTRL 0xe00411f0 +#define CYREG_ETM_CS_TRACE_ID 0xe0041200 +#define CYREG_ETM_OS_LOCK_ACCESS 0xe0041300 +#define CYREG_ETM_OS_LOCK_STATUS 0xe0041304 +#define CYREG_ETM_PDSR 0xe0041314 +#define CYREG_ETM_ITMISCIN 0xe0041ee0 +#define CYREG_ETM_ITTRIGOUT 0xe0041ee8 +#define CYREG_ETM_ITATBCTR2 0xe0041ef0 +#define CYREG_ETM_ITATBCTR0 0xe0041ef8 +#define CYREG_ETM_INT_MODE_CTRL 0xe0041f00 +#define CYREG_ETM_CLM_TAG_SET 0xe0041fa0 +#define CYREG_ETM_CLM_TAG_CLR 0xe0041fa4 +#define CYREG_ETM_LOCK_ACCESS 0xe0041fb0 +#define CYREG_ETM_LOCK_STATUS 0xe0041fb4 +#define CYREG_ETM_AUTH_STATUS 0xe0041fb8 +#define CYREG_ETM_DEV_TYPE 0xe0041fcc +#define CYREG_ETM_PID4 0xe0041fd0 +#define CYREG_ETM_PID5 0xe0041fd4 +#define CYREG_ETM_PID6 0xe0041fd8 +#define CYREG_ETM_PID7 0xe0041fdc +#define CYREG_ETM_PID0 0xe0041fe0 +#define CYREG_ETM_PID1 0xe0041fe4 +#define CYREG_ETM_PID2 0xe0041fe8 +#define CYREG_ETM_PID3 0xe0041fec +#define CYREG_ETM_CID0 0xe0041ff0 +#define CYREG_ETM_CID1 0xe0041ff4 +#define CYREG_ETM_CID2 0xe0041ff8 +#define CYREG_ETM_CID3 0xe0041ffc +#define CYDEV_ROM_TABLE_BASE 0xe00ff000 +#define CYDEV_ROM_TABLE_SIZE 0x00001000 +#define CYREG_ROM_TABLE_NVIC 0xe00ff000 +#define CYREG_ROM_TABLE_DWT 0xe00ff004 +#define CYREG_ROM_TABLE_FPB 0xe00ff008 +#define CYREG_ROM_TABLE_ITM 0xe00ff00c +#define CYREG_ROM_TABLE_TPIU 0xe00ff010 +#define CYREG_ROM_TABLE_ETM 0xe00ff014 +#define CYREG_ROM_TABLE_END 0xe00ff018 +#define CYREG_ROM_TABLE_MEMTYPE 0xe00fffcc +#define CYREG_ROM_TABLE_PID4 0xe00fffd0 +#define CYREG_ROM_TABLE_PID5 0xe00fffd4 +#define CYREG_ROM_TABLE_PID6 0xe00fffd8 +#define CYREG_ROM_TABLE_PID7 0xe00fffdc +#define CYREG_ROM_TABLE_PID0 0xe00fffe0 +#define CYREG_ROM_TABLE_PID1 0xe00fffe4 +#define CYREG_ROM_TABLE_PID2 0xe00fffe8 +#define CYREG_ROM_TABLE_PID3 0xe00fffec +#define CYREG_ROM_TABLE_CID0 0xe00ffff0 +#define CYREG_ROM_TABLE_CID1 0xe00ffff4 +#define CYREG_ROM_TABLE_CID2 0xe00ffff8 +#define CYREG_ROM_TABLE_CID3 0xe00ffffc +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000 +#define CYDEV_FLS_ROW_SIZE 0x00000100 +#define CYDEV_ECC_SECTOR_SIZE 0x00002000 +#define CYDEV_ECC_ROW_SIZE 0x00000020 +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400 +#define CYDEV_EEPROM_ROW_SIZE 0x00000010 +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004 +#define CYCLK_LD_SYNC_EN 0x00000002 +#define CYCLK_LD_LOAD 0x00000001 +#define CYCLK_PIPE 0x00000080 +#define CYCLK_SSS 0x00000040 +#define CYCLK_EARLY 0x00000020 +#define CYCLK_DUTY 0x00000010 +#define CYCLK_SYNC 0x00000008 +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv.inc new file mode 100644 index 0000000..4c8a537 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv.inc @@ -0,0 +1,16039 @@ +; +; FILENAME: cydevicerv.inc +; OBSOLETE: Do not use this file. Use the _trm version instead. +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + IF :LNOT::DEF:CYDEV_FLASH_BASE +CYDEV_FLASH_BASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_SIZE +CYDEV_FLASH_SIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_DATA_MBASE +CYDEV_FLASH_DATA_MBASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_DATA_MSIZE +CYDEV_FLASH_DATA_MSIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_BASE +CYDEV_SRAM_BASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_SIZE +CYDEV_SRAM_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MBASE +CYDEV_SRAM_CODE64K_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MSIZE +CYDEV_SRAM_CODE64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE32K_MBASE +CYDEV_SRAM_CODE32K_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE32K_MSIZE +CYDEV_SRAM_CODE32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE16K_MBASE +CYDEV_SRAM_CODE16K_MBASE EQU 0x1fffe000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE16K_MSIZE +CYDEV_SRAM_CODE16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE_MBASE +CYDEV_SRAM_CODE_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE_MSIZE +CYDEV_SRAM_CODE_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA_MBASE +CYDEV_SRAM_DATA_MBASE EQU 0x20000000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA_MSIZE +CYDEV_SRAM_DATA_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MBASE +CYDEV_SRAM_DATA16K_MBASE EQU 0x20001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MSIZE +CYDEV_SRAM_DATA16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA32K_MBASE +CYDEV_SRAM_DATA32K_MBASE EQU 0x20002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA32K_MSIZE +CYDEV_SRAM_DATA32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA64K_MBASE +CYDEV_SRAM_DATA64K_MBASE EQU 0x20004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA64K_MSIZE +CYDEV_SRAM_DATA64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_BASE +CYDEV_DMA_BASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SIZE +CYDEV_DMA_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM64K_MBASE +CYDEV_DMA_SRAM64K_MBASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM64K_MSIZE +CYDEV_DMA_SRAM64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM32K_MBASE +CYDEV_DMA_SRAM32K_MBASE EQU 0x2000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM32K_MSIZE +CYDEV_DMA_SRAM32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM16K_MBASE +CYDEV_DMA_SRAM16K_MBASE EQU 0x2000e000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM16K_MSIZE +CYDEV_DMA_SRAM16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM_MBASE +CYDEV_DMA_SRAM_MBASE EQU 0x2000f000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM_MSIZE +CYDEV_DMA_SRAM_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BASE +CYDEV_CLKDIST_BASE EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_SIZE +CYDEV_CLKDIST_SIZE EQU 0x00000110 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_CR +CYDEV_CLKDIST_CR EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_LD +CYDEV_CLKDIST_LD EQU 0x40004001 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_WRK0 +CYDEV_CLKDIST_WRK0 EQU 0x40004002 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_WRK1 +CYDEV_CLKDIST_WRK1 EQU 0x40004003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_MSTR0 +CYDEV_CLKDIST_MSTR0 EQU 0x40004004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_MSTR1 +CYDEV_CLKDIST_MSTR1 EQU 0x40004005 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG0 +CYDEV_CLKDIST_BCFG0 EQU 0x40004006 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG1 +CYDEV_CLKDIST_BCFG1 EQU 0x40004007 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG2 +CYDEV_CLKDIST_BCFG2 EQU 0x40004008 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_UCFG +CYDEV_CLKDIST_UCFG EQU 0x40004009 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DLY0 +CYDEV_CLKDIST_DLY0 EQU 0x4000400a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DLY1 +CYDEV_CLKDIST_DLY1 EQU 0x4000400b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DMASK +CYDEV_CLKDIST_DMASK EQU 0x40004010 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_AMASK +CYDEV_CLKDIST_AMASK EQU 0x40004014 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_BASE +CYDEV_CLKDIST_DCFG0_BASE EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_SIZE +CYDEV_CLKDIST_DCFG0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG0 +CYDEV_CLKDIST_DCFG0_CFG0 EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG1 +CYDEV_CLKDIST_DCFG0_CFG1 EQU 0x40004081 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG2 +CYDEV_CLKDIST_DCFG0_CFG2 EQU 0x40004082 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_BASE +CYDEV_CLKDIST_DCFG1_BASE EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_SIZE +CYDEV_CLKDIST_DCFG1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG0 +CYDEV_CLKDIST_DCFG1_CFG0 EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG1 +CYDEV_CLKDIST_DCFG1_CFG1 EQU 0x40004085 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG2 +CYDEV_CLKDIST_DCFG1_CFG2 EQU 0x40004086 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_BASE +CYDEV_CLKDIST_DCFG2_BASE EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_SIZE +CYDEV_CLKDIST_DCFG2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG0 +CYDEV_CLKDIST_DCFG2_CFG0 EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG1 +CYDEV_CLKDIST_DCFG2_CFG1 EQU 0x40004089 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG2 +CYDEV_CLKDIST_DCFG2_CFG2 EQU 0x4000408a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_BASE +CYDEV_CLKDIST_DCFG3_BASE EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_SIZE +CYDEV_CLKDIST_DCFG3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG0 +CYDEV_CLKDIST_DCFG3_CFG0 EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG1 +CYDEV_CLKDIST_DCFG3_CFG1 EQU 0x4000408d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG2 +CYDEV_CLKDIST_DCFG3_CFG2 EQU 0x4000408e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_BASE +CYDEV_CLKDIST_DCFG4_BASE EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_SIZE +CYDEV_CLKDIST_DCFG4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG0 +CYDEV_CLKDIST_DCFG4_CFG0 EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG1 +CYDEV_CLKDIST_DCFG4_CFG1 EQU 0x40004091 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG2 +CYDEV_CLKDIST_DCFG4_CFG2 EQU 0x40004092 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_BASE +CYDEV_CLKDIST_DCFG5_BASE EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_SIZE +CYDEV_CLKDIST_DCFG5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG0 +CYDEV_CLKDIST_DCFG5_CFG0 EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG1 +CYDEV_CLKDIST_DCFG5_CFG1 EQU 0x40004095 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG2 +CYDEV_CLKDIST_DCFG5_CFG2 EQU 0x40004096 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_BASE +CYDEV_CLKDIST_DCFG6_BASE EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_SIZE +CYDEV_CLKDIST_DCFG6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG0 +CYDEV_CLKDIST_DCFG6_CFG0 EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG1 +CYDEV_CLKDIST_DCFG6_CFG1 EQU 0x40004099 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG2 +CYDEV_CLKDIST_DCFG6_CFG2 EQU 0x4000409a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_BASE +CYDEV_CLKDIST_DCFG7_BASE EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_SIZE +CYDEV_CLKDIST_DCFG7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG0 +CYDEV_CLKDIST_DCFG7_CFG0 EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG1 +CYDEV_CLKDIST_DCFG7_CFG1 EQU 0x4000409d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG2 +CYDEV_CLKDIST_DCFG7_CFG2 EQU 0x4000409e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_BASE +CYDEV_CLKDIST_ACFG0_BASE EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_SIZE +CYDEV_CLKDIST_ACFG0_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG0 +CYDEV_CLKDIST_ACFG0_CFG0 EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG1 +CYDEV_CLKDIST_ACFG0_CFG1 EQU 0x40004101 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG2 +CYDEV_CLKDIST_ACFG0_CFG2 EQU 0x40004102 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG3 +CYDEV_CLKDIST_ACFG0_CFG3 EQU 0x40004103 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_BASE +CYDEV_CLKDIST_ACFG1_BASE EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_SIZE +CYDEV_CLKDIST_ACFG1_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG0 +CYDEV_CLKDIST_ACFG1_CFG0 EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG1 +CYDEV_CLKDIST_ACFG1_CFG1 EQU 0x40004105 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG2 +CYDEV_CLKDIST_ACFG1_CFG2 EQU 0x40004106 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG3 +CYDEV_CLKDIST_ACFG1_CFG3 EQU 0x40004107 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_BASE +CYDEV_CLKDIST_ACFG2_BASE EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_SIZE +CYDEV_CLKDIST_ACFG2_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG0 +CYDEV_CLKDIST_ACFG2_CFG0 EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG1 +CYDEV_CLKDIST_ACFG2_CFG1 EQU 0x40004109 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG2 +CYDEV_CLKDIST_ACFG2_CFG2 EQU 0x4000410a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG3 +CYDEV_CLKDIST_ACFG2_CFG3 EQU 0x4000410b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_BASE +CYDEV_CLKDIST_ACFG3_BASE EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_SIZE +CYDEV_CLKDIST_ACFG3_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG0 +CYDEV_CLKDIST_ACFG3_CFG0 EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG1 +CYDEV_CLKDIST_ACFG3_CFG1 EQU 0x4000410d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG2 +CYDEV_CLKDIST_ACFG3_CFG2 EQU 0x4000410e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG3 +CYDEV_CLKDIST_ACFG3_CFG3 EQU 0x4000410f + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_BASE +CYDEV_FASTCLK_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_SIZE +CYDEV_FASTCLK_SIZE EQU 0x00000026 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_BASE +CYDEV_FASTCLK_IMO_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_SIZE +CYDEV_FASTCLK_IMO_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_CR +CYDEV_FASTCLK_IMO_CR EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_BASE +CYDEV_FASTCLK_XMHZ_BASE EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_SIZE +CYDEV_FASTCLK_XMHZ_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CSR +CYDEV_FASTCLK_XMHZ_CSR EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CFG0 +CYDEV_FASTCLK_XMHZ_CFG0 EQU 0x40004212 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CFG1 +CYDEV_FASTCLK_XMHZ_CFG1 EQU 0x40004213 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_BASE +CYDEV_FASTCLK_PLL_BASE EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SIZE +CYDEV_FASTCLK_PLL_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_CFG0 +CYDEV_FASTCLK_PLL_CFG0 EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_CFG1 +CYDEV_FASTCLK_PLL_CFG1 EQU 0x40004221 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_P +CYDEV_FASTCLK_PLL_P EQU 0x40004222 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_Q +CYDEV_FASTCLK_PLL_Q EQU 0x40004223 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SR +CYDEV_FASTCLK_PLL_SR EQU 0x40004225 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_BASE +CYDEV_SLOWCLK_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_SIZE +CYDEV_SLOWCLK_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_BASE +CYDEV_SLOWCLK_ILO_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_SIZE +CYDEV_SLOWCLK_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_CR0 +CYDEV_SLOWCLK_ILO_CR0 EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_CR1 +CYDEV_SLOWCLK_ILO_CR1 EQU 0x40004301 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_BASE +CYDEV_SLOWCLK_X32_BASE EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_SIZE +CYDEV_SLOWCLK_X32_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_CR +CYDEV_SLOWCLK_X32_CR EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_CFG +CYDEV_SLOWCLK_X32_CFG EQU 0x40004309 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_TST +CYDEV_SLOWCLK_X32_TST EQU 0x4000430a + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_BASE +CYDEV_BOOST_BASE EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SIZE +CYDEV_BOOST_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR0 +CYDEV_BOOST_CR0 EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR1 +CYDEV_BOOST_CR1 EQU 0x40004321 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR2 +CYDEV_BOOST_CR2 EQU 0x40004322 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR3 +CYDEV_BOOST_CR3 EQU 0x40004323 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SR +CYDEV_BOOST_SR EQU 0x40004324 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR4 +CYDEV_BOOST_CR4 EQU 0x40004325 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SR2 +CYDEV_BOOST_SR2 EQU 0x40004326 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_BASE +CYDEV_PWRSYS_BASE EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_SIZE +CYDEV_PWRSYS_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_CR0 +CYDEV_PWRSYS_CR0 EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_CR1 +CYDEV_PWRSYS_CR1 EQU 0x40004331 + ENDIF + IF :LNOT::DEF:CYDEV_PM_BASE +CYDEV_PM_BASE EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_SIZE +CYDEV_PM_SIZE EQU 0x00000057 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG0 +CYDEV_PM_TW_CFG0 EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG1 +CYDEV_PM_TW_CFG1 EQU 0x40004381 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG2 +CYDEV_PM_TW_CFG2 EQU 0x40004382 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WDT_CFG +CYDEV_PM_WDT_CFG EQU 0x40004383 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WDT_CR +CYDEV_PM_WDT_CR EQU 0x40004384 + ENDIF + IF :LNOT::DEF:CYDEV_PM_INT_SR +CYDEV_PM_INT_SR EQU 0x40004390 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CFG0 +CYDEV_PM_MODE_CFG0 EQU 0x40004391 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CFG1 +CYDEV_PM_MODE_CFG1 EQU 0x40004392 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CSR +CYDEV_PM_MODE_CSR EQU 0x40004393 + ENDIF + IF :LNOT::DEF:CYDEV_PM_USB_CR0 +CYDEV_PM_USB_CR0 EQU 0x40004394 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG0 +CYDEV_PM_WAKEUP_CFG0 EQU 0x40004398 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG1 +CYDEV_PM_WAKEUP_CFG1 EQU 0x40004399 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG2 +CYDEV_PM_WAKEUP_CFG2 EQU 0x4000439a + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_BASE +CYDEV_PM_ACT_BASE EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_SIZE +CYDEV_PM_ACT_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG0 +CYDEV_PM_ACT_CFG0 EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG1 +CYDEV_PM_ACT_CFG1 EQU 0x400043a1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG2 +CYDEV_PM_ACT_CFG2 EQU 0x400043a2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG3 +CYDEV_PM_ACT_CFG3 EQU 0x400043a3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG4 +CYDEV_PM_ACT_CFG4 EQU 0x400043a4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG5 +CYDEV_PM_ACT_CFG5 EQU 0x400043a5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG6 +CYDEV_PM_ACT_CFG6 EQU 0x400043a6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG7 +CYDEV_PM_ACT_CFG7 EQU 0x400043a7 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG8 +CYDEV_PM_ACT_CFG8 EQU 0x400043a8 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG9 +CYDEV_PM_ACT_CFG9 EQU 0x400043a9 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG10 +CYDEV_PM_ACT_CFG10 EQU 0x400043aa + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG11 +CYDEV_PM_ACT_CFG11 EQU 0x400043ab + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG12 +CYDEV_PM_ACT_CFG12 EQU 0x400043ac + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG13 +CYDEV_PM_ACT_CFG13 EQU 0x400043ad + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_BASE +CYDEV_PM_STBY_BASE EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_SIZE +CYDEV_PM_STBY_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG0 +CYDEV_PM_STBY_CFG0 EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG1 +CYDEV_PM_STBY_CFG1 EQU 0x400043b1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG2 +CYDEV_PM_STBY_CFG2 EQU 0x400043b2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG3 +CYDEV_PM_STBY_CFG3 EQU 0x400043b3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG4 +CYDEV_PM_STBY_CFG4 EQU 0x400043b4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG5 +CYDEV_PM_STBY_CFG5 EQU 0x400043b5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG6 +CYDEV_PM_STBY_CFG6 EQU 0x400043b6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG7 +CYDEV_PM_STBY_CFG7 EQU 0x400043b7 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG8 +CYDEV_PM_STBY_CFG8 EQU 0x400043b8 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG9 +CYDEV_PM_STBY_CFG9 EQU 0x400043b9 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG10 +CYDEV_PM_STBY_CFG10 EQU 0x400043ba + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG11 +CYDEV_PM_STBY_CFG11 EQU 0x400043bb + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG12 +CYDEV_PM_STBY_CFG12 EQU 0x400043bc + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG13 +CYDEV_PM_STBY_CFG13 EQU 0x400043bd + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_BASE +CYDEV_PM_AVAIL_BASE EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SIZE +CYDEV_PM_AVAIL_SIZE EQU 0x00000017 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR0 +CYDEV_PM_AVAIL_CR0 EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR1 +CYDEV_PM_AVAIL_CR1 EQU 0x400043c1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR2 +CYDEV_PM_AVAIL_CR2 EQU 0x400043c2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR3 +CYDEV_PM_AVAIL_CR3 EQU 0x400043c3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR4 +CYDEV_PM_AVAIL_CR4 EQU 0x400043c4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR5 +CYDEV_PM_AVAIL_CR5 EQU 0x400043c5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR6 +CYDEV_PM_AVAIL_CR6 EQU 0x400043c6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR0 +CYDEV_PM_AVAIL_SR0 EQU 0x400043d0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR1 +CYDEV_PM_AVAIL_SR1 EQU 0x400043d1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR2 +CYDEV_PM_AVAIL_SR2 EQU 0x400043d2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR3 +CYDEV_PM_AVAIL_SR3 EQU 0x400043d3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR4 +CYDEV_PM_AVAIL_SR4 EQU 0x400043d4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR5 +CYDEV_PM_AVAIL_SR5 EQU 0x400043d5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR6 +CYDEV_PM_AVAIL_SR6 EQU 0x400043d6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_BASE +CYDEV_PICU_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SIZE +CYDEV_PICU_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_BASE +CYDEV_PICU_INTTYPE_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_SIZE +CYDEV_PICU_INTTYPE_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_BASE +CYDEV_PICU_INTTYPE_PICU0_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_SIZE +CYDEV_PICU_INTTYPE_PICU0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 EQU 0x40004501 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 EQU 0x40004502 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 EQU 0x40004503 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 EQU 0x40004504 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 EQU 0x40004505 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 EQU 0x40004506 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 EQU 0x40004507 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_BASE +CYDEV_PICU_INTTYPE_PICU1_BASE EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_SIZE +CYDEV_PICU_INTTYPE_PICU1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 EQU 0x40004509 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 EQU 0x4000450a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 EQU 0x4000450b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 EQU 0x4000450c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 EQU 0x4000450d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 EQU 0x4000450e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 EQU 0x4000450f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_BASE +CYDEV_PICU_INTTYPE_PICU2_BASE EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_SIZE +CYDEV_PICU_INTTYPE_PICU2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 EQU 0x40004511 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 EQU 0x40004512 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 EQU 0x40004513 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 EQU 0x40004514 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 EQU 0x40004515 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 EQU 0x40004516 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 EQU 0x40004517 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_BASE +CYDEV_PICU_INTTYPE_PICU3_BASE EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_SIZE +CYDEV_PICU_INTTYPE_PICU3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 EQU 0x40004519 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 EQU 0x4000451a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 EQU 0x4000451b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 EQU 0x4000451c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 EQU 0x4000451d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 EQU 0x4000451e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 EQU 0x4000451f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_BASE +CYDEV_PICU_INTTYPE_PICU4_BASE EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_SIZE +CYDEV_PICU_INTTYPE_PICU4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 EQU 0x40004521 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 EQU 0x40004522 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 EQU 0x40004523 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 EQU 0x40004524 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 EQU 0x40004525 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 EQU 0x40004526 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 EQU 0x40004527 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_BASE +CYDEV_PICU_INTTYPE_PICU5_BASE EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_SIZE +CYDEV_PICU_INTTYPE_PICU5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 EQU 0x40004529 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 EQU 0x4000452a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 EQU 0x4000452b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 EQU 0x4000452c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 EQU 0x4000452d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 EQU 0x4000452e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 EQU 0x4000452f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_BASE +CYDEV_PICU_INTTYPE_PICU6_BASE EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_SIZE +CYDEV_PICU_INTTYPE_PICU6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 EQU 0x40004531 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 EQU 0x40004532 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 EQU 0x40004533 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 EQU 0x40004534 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 EQU 0x40004535 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 EQU 0x40004536 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 EQU 0x40004537 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_BASE +CYDEV_PICU_INTTYPE_PICU12_BASE EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_SIZE +CYDEV_PICU_INTTYPE_PICU12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 EQU 0x40004561 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 EQU 0x40004562 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 EQU 0x40004563 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 EQU 0x40004564 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 EQU 0x40004565 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 EQU 0x40004566 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 EQU 0x40004567 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_BASE +CYDEV_PICU_INTTYPE_PICU15_BASE EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_SIZE +CYDEV_PICU_INTTYPE_PICU15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 EQU 0x40004579 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 EQU 0x4000457a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 EQU 0x4000457b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 EQU 0x4000457c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 EQU 0x4000457d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 EQU 0x4000457e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 EQU 0x4000457f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_BASE +CYDEV_PICU_STAT_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_SIZE +CYDEV_PICU_STAT_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_BASE +CYDEV_PICU_STAT_PICU0_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_SIZE +CYDEV_PICU_STAT_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_INTSTAT +CYDEV_PICU_STAT_PICU0_INTSTAT EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_BASE +CYDEV_PICU_STAT_PICU1_BASE EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_SIZE +CYDEV_PICU_STAT_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_INTSTAT +CYDEV_PICU_STAT_PICU1_INTSTAT EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_BASE +CYDEV_PICU_STAT_PICU2_BASE EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_SIZE +CYDEV_PICU_STAT_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_INTSTAT +CYDEV_PICU_STAT_PICU2_INTSTAT EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_BASE +CYDEV_PICU_STAT_PICU3_BASE EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_SIZE +CYDEV_PICU_STAT_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_INTSTAT +CYDEV_PICU_STAT_PICU3_INTSTAT EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_BASE +CYDEV_PICU_STAT_PICU4_BASE EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_SIZE +CYDEV_PICU_STAT_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_INTSTAT +CYDEV_PICU_STAT_PICU4_INTSTAT EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_BASE +CYDEV_PICU_STAT_PICU5_BASE EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_SIZE +CYDEV_PICU_STAT_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_INTSTAT +CYDEV_PICU_STAT_PICU5_INTSTAT EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_BASE +CYDEV_PICU_STAT_PICU6_BASE EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_SIZE +CYDEV_PICU_STAT_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_INTSTAT +CYDEV_PICU_STAT_PICU6_INTSTAT EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_BASE +CYDEV_PICU_STAT_PICU12_BASE EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_SIZE +CYDEV_PICU_STAT_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_INTSTAT +CYDEV_PICU_STAT_PICU12_INTSTAT EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_BASE +CYDEV_PICU_STAT_PICU15_BASE EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_SIZE +CYDEV_PICU_STAT_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_INTSTAT +CYDEV_PICU_STAT_PICU15_INTSTAT EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_BASE +CYDEV_PICU_SNAP_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_SIZE +CYDEV_PICU_SNAP_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_BASE +CYDEV_PICU_SNAP_PICU0_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SIZE +CYDEV_PICU_SNAP_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SNAP +CYDEV_PICU_SNAP_PICU0_SNAP EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_BASE +CYDEV_PICU_SNAP_PICU1_BASE EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SIZE +CYDEV_PICU_SNAP_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SNAP +CYDEV_PICU_SNAP_PICU1_SNAP EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_BASE +CYDEV_PICU_SNAP_PICU2_BASE EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SIZE +CYDEV_PICU_SNAP_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SNAP +CYDEV_PICU_SNAP_PICU2_SNAP EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_BASE +CYDEV_PICU_SNAP_PICU3_BASE EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SIZE +CYDEV_PICU_SNAP_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SNAP +CYDEV_PICU_SNAP_PICU3_SNAP EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_BASE +CYDEV_PICU_SNAP_PICU4_BASE EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SIZE +CYDEV_PICU_SNAP_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SNAP +CYDEV_PICU_SNAP_PICU4_SNAP EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_BASE +CYDEV_PICU_SNAP_PICU5_BASE EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SIZE +CYDEV_PICU_SNAP_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SNAP +CYDEV_PICU_SNAP_PICU5_SNAP EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_BASE +CYDEV_PICU_SNAP_PICU6_BASE EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SIZE +CYDEV_PICU_SNAP_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SNAP +CYDEV_PICU_SNAP_PICU6_SNAP EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_BASE +CYDEV_PICU_SNAP_PICU12_BASE EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SIZE +CYDEV_PICU_SNAP_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SNAP +CYDEV_PICU_SNAP_PICU12_SNAP EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_BASE +CYDEV_PICU_SNAP_PICU_15_BASE EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SIZE +CYDEV_PICU_SNAP_PICU_15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SNAP_15 +CYDEV_PICU_SNAP_PICU_15_SNAP_15 EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_BASE +CYDEV_PICU_DISABLE_COR_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_SIZE +CYDEV_PICU_DISABLE_COR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_BASE +CYDEV_PICU_DISABLE_COR_PICU0_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_SIZE +CYDEV_PICU_DISABLE_COR_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_BASE +CYDEV_PICU_DISABLE_COR_PICU1_BASE EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_SIZE +CYDEV_PICU_DISABLE_COR_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_BASE +CYDEV_PICU_DISABLE_COR_PICU2_BASE EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_SIZE +CYDEV_PICU_DISABLE_COR_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_BASE +CYDEV_PICU_DISABLE_COR_PICU3_BASE EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_SIZE +CYDEV_PICU_DISABLE_COR_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_BASE +CYDEV_PICU_DISABLE_COR_PICU4_BASE EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_SIZE +CYDEV_PICU_DISABLE_COR_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_BASE +CYDEV_PICU_DISABLE_COR_PICU5_BASE EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_SIZE +CYDEV_PICU_DISABLE_COR_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_BASE +CYDEV_PICU_DISABLE_COR_PICU6_BASE EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_SIZE +CYDEV_PICU_DISABLE_COR_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_BASE +CYDEV_PICU_DISABLE_COR_PICU12_BASE EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_SIZE +CYDEV_PICU_DISABLE_COR_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_BASE +CYDEV_PICU_DISABLE_COR_PICU15_BASE EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_SIZE +CYDEV_PICU_DISABLE_COR_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_BASE +CYDEV_MFGCFG_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_SIZE +CYDEV_MFGCFG_SIZE EQU 0x000000ed + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_BASE +CYDEV_MFGCFG_ANAIF_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SIZE +CYDEV_MFGCFG_ANAIF_SIZE EQU 0x00000038 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_BASE +CYDEV_MFGCFG_ANAIF_DAC0_BASE EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_SIZE +CYDEV_MFGCFG_ANAIF_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_TR +CYDEV_MFGCFG_ANAIF_DAC0_TR EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_BASE +CYDEV_MFGCFG_ANAIF_DAC1_BASE EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_SIZE +CYDEV_MFGCFG_ANAIF_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_TR +CYDEV_MFGCFG_ANAIF_DAC1_TR EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_BASE +CYDEV_MFGCFG_ANAIF_DAC2_BASE EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_SIZE +CYDEV_MFGCFG_ANAIF_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_TR +CYDEV_MFGCFG_ANAIF_DAC2_TR EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_BASE +CYDEV_MFGCFG_ANAIF_DAC3_BASE EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_SIZE +CYDEV_MFGCFG_ANAIF_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_TR +CYDEV_MFGCFG_ANAIF_DAC3_TR EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_BASE +CYDEV_MFGCFG_ANAIF_SAR0_BASE EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_SIZE +CYDEV_MFGCFG_ANAIF_SAR0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_TR0 +CYDEV_MFGCFG_ANAIF_SAR0_TR0 EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_BASE +CYDEV_MFGCFG_ANAIF_SAR1_BASE EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_SIZE +CYDEV_MFGCFG_ANAIF_SAR1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_TR0 +CYDEV_MFGCFG_ANAIF_SAR1_TR0 EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_BASE +CYDEV_MFGCFG_ANAIF_OPAMP0_BASE EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 EQU 0x40004621 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_BASE +CYDEV_MFGCFG_ANAIF_OPAMP1_BASE EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 EQU 0x40004623 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_BASE +CYDEV_MFGCFG_ANAIF_OPAMP2_BASE EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 EQU 0x40004625 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_BASE +CYDEV_MFGCFG_ANAIF_OPAMP3_BASE EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 EQU 0x40004627 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_BASE +CYDEV_MFGCFG_ANAIF_CMP0_BASE EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_SIZE +CYDEV_MFGCFG_ANAIF_CMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_TR0 +CYDEV_MFGCFG_ANAIF_CMP0_TR0 EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_TR1 +CYDEV_MFGCFG_ANAIF_CMP0_TR1 EQU 0x40004631 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_BASE +CYDEV_MFGCFG_ANAIF_CMP1_BASE EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_SIZE +CYDEV_MFGCFG_ANAIF_CMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_TR0 +CYDEV_MFGCFG_ANAIF_CMP1_TR0 EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_TR1 +CYDEV_MFGCFG_ANAIF_CMP1_TR1 EQU 0x40004633 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_BASE +CYDEV_MFGCFG_ANAIF_CMP2_BASE EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_SIZE +CYDEV_MFGCFG_ANAIF_CMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_TR0 +CYDEV_MFGCFG_ANAIF_CMP2_TR0 EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_TR1 +CYDEV_MFGCFG_ANAIF_CMP2_TR1 EQU 0x40004635 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_BASE +CYDEV_MFGCFG_ANAIF_CMP3_BASE EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_SIZE +CYDEV_MFGCFG_ANAIF_CMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_TR0 +CYDEV_MFGCFG_ANAIF_CMP3_TR0 EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_TR1 +CYDEV_MFGCFG_ANAIF_CMP3_TR1 EQU 0x40004637 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BASE +CYDEV_MFGCFG_PWRSYS_BASE EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SIZE +CYDEV_MFGCFG_PWRSYS_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_HIB_TR0 +CYDEV_MFGCFG_PWRSYS_HIB_TR0 EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_HIB_TR1 +CYDEV_MFGCFG_PWRSYS_HIB_TR1 EQU 0x40004681 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_I2C_TR +CYDEV_MFGCFG_PWRSYS_I2C_TR EQU 0x40004682 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SLP_TR +CYDEV_MFGCFG_PWRSYS_SLP_TR EQU 0x40004683 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BUZZ_TR +CYDEV_MFGCFG_PWRSYS_BUZZ_TR EQU 0x40004684 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR0 +CYDEV_MFGCFG_PWRSYS_WAKE_TR0 EQU 0x40004685 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR1 +CYDEV_MFGCFG_PWRSYS_WAKE_TR1 EQU 0x40004686 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BREF_TR +CYDEV_MFGCFG_PWRSYS_BREF_TR EQU 0x40004687 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BG_TR +CYDEV_MFGCFG_PWRSYS_BG_TR EQU 0x40004688 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR2 +CYDEV_MFGCFG_PWRSYS_WAKE_TR2 EQU 0x40004689 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR3 +CYDEV_MFGCFG_PWRSYS_WAKE_TR3 EQU 0x4000468a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_BASE +CYDEV_MFGCFG_ILO_BASE EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_SIZE +CYDEV_MFGCFG_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_TR0 +CYDEV_MFGCFG_ILO_TR0 EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_TR1 +CYDEV_MFGCFG_ILO_TR1 EQU 0x40004691 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_BASE +CYDEV_MFGCFG_X32_BASE EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_SIZE +CYDEV_MFGCFG_X32_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_TR +CYDEV_MFGCFG_X32_TR EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_BASE +CYDEV_MFGCFG_IMO_BASE EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_SIZE +CYDEV_MFGCFG_IMO_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR0 +CYDEV_MFGCFG_IMO_TR0 EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR1 +CYDEV_MFGCFG_IMO_TR1 EQU 0x400046a1 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_GAIN +CYDEV_MFGCFG_IMO_GAIN EQU 0x400046a2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_C36M +CYDEV_MFGCFG_IMO_C36M EQU 0x400046a3 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR2 +CYDEV_MFGCFG_IMO_TR2 EQU 0x400046a4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_BASE +CYDEV_MFGCFG_XMHZ_BASE EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_SIZE +CYDEV_MFGCFG_XMHZ_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_TR +CYDEV_MFGCFG_XMHZ_TR EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_DLY +CYDEV_MFGCFG_DLY EQU 0x400046c0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_BASE +CYDEV_MFGCFG_MLOGIC_BASE EQU 0x400046e0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SIZE +CYDEV_MFGCFG_MLOGIC_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_DMPSTR +CYDEV_MFGCFG_MLOGIC_DMPSTR EQU 0x400046e2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_BASE +CYDEV_MFGCFG_MLOGIC_SEG_BASE EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_SIZE +CYDEV_MFGCFG_MLOGIC_SEG_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_CR +CYDEV_MFGCFG_MLOGIC_SEG_CR EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_CFG0 +CYDEV_MFGCFG_MLOGIC_SEG_CFG0 EQU 0x400046e5 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_DEBUG +CYDEV_MFGCFG_MLOGIC_DEBUG EQU 0x400046e8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR +CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_REV_ID +CYDEV_MFGCFG_MLOGIC_REV_ID EQU 0x400046ec + ENDIF + IF :LNOT::DEF:CYDEV_RESET_BASE +CYDEV_RESET_BASE EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SIZE +CYDEV_RESET_SIZE EQU 0x0000000f + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR0 +CYDEV_RESET_IPOR_CR0 EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR1 +CYDEV_RESET_IPOR_CR1 EQU 0x400046f1 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR2 +CYDEV_RESET_IPOR_CR2 EQU 0x400046f2 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR3 +CYDEV_RESET_IPOR_CR3 EQU 0x400046f3 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR0 +CYDEV_RESET_CR0 EQU 0x400046f4 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR1 +CYDEV_RESET_CR1 EQU 0x400046f5 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR2 +CYDEV_RESET_CR2 EQU 0x400046f6 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR3 +CYDEV_RESET_CR3 EQU 0x400046f7 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR4 +CYDEV_RESET_CR4 EQU 0x400046f8 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR5 +CYDEV_RESET_CR5 EQU 0x400046f9 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR0 +CYDEV_RESET_SR0 EQU 0x400046fa + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR1 +CYDEV_RESET_SR1 EQU 0x400046fb + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR2 +CYDEV_RESET_SR2 EQU 0x400046fc + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR3 +CYDEV_RESET_SR3 EQU 0x400046fd + ENDIF + IF :LNOT::DEF:CYDEV_RESET_TR +CYDEV_RESET_TR EQU 0x400046fe + ENDIF + IF :LNOT::DEF:CYDEV_SPC_BASE +CYDEV_SPC_BASE EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SIZE +CYDEV_SPC_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_FM_EE_CR +CYDEV_SPC_FM_EE_CR EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_FM_EE_WAKE_CNT +CYDEV_SPC_FM_EE_WAKE_CNT EQU 0x40004701 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_EE_SCR +CYDEV_SPC_EE_SCR EQU 0x40004702 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_EE_ERR +CYDEV_SPC_EE_ERR EQU 0x40004703 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_CPU_DATA +CYDEV_SPC_CPU_DATA EQU 0x40004720 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMA_DATA +CYDEV_SPC_DMA_DATA EQU 0x40004721 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SR +CYDEV_SPC_SR EQU 0x40004722 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_CR +CYDEV_SPC_CR EQU 0x40004723 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_BASE +CYDEV_SPC_DMM_MAP_BASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SIZE +CYDEV_SPC_DMM_MAP_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SRAM_MBASE +CYDEV_SPC_DMM_MAP_SRAM_MBASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SRAM_MSIZE +CYDEV_SPC_DMM_MAP_SRAM_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_BASE +CYDEV_CACHE_BASE EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_SIZE +CYDEV_CACHE_SIZE EQU 0x0000009c + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_CC_CTL +CYDEV_CACHE_CC_CTL EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_ECC_CORR +CYDEV_CACHE_ECC_CORR EQU 0x40004880 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_ECC_ERR +CYDEV_CACHE_ECC_ERR EQU 0x40004888 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_FLASH_ERR +CYDEV_CACHE_FLASH_ERR EQU 0x40004890 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_HITMISS +CYDEV_CACHE_HITMISS EQU 0x40004898 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_BASE +CYDEV_I2C_BASE EQU 0x40004900 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_SIZE +CYDEV_I2C_SIZE EQU 0x000000e1 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_XCFG +CYDEV_I2C_XCFG EQU 0x400049c8 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_ADR +CYDEV_I2C_ADR EQU 0x400049ca + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CFG +CYDEV_I2C_CFG EQU 0x400049d6 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CSR +CYDEV_I2C_CSR EQU 0x400049d7 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_D +CYDEV_I2C_D EQU 0x400049d8 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_MCSR +CYDEV_I2C_MCSR EQU 0x400049d9 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CLK_DIV1 +CYDEV_I2C_CLK_DIV1 EQU 0x400049db + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CLK_DIV2 +CYDEV_I2C_CLK_DIV2 EQU 0x400049dc + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CSR +CYDEV_I2C_TMOUT_CSR EQU 0x400049dd + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_SR +CYDEV_I2C_TMOUT_SR EQU 0x400049de + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CFG0 +CYDEV_I2C_TMOUT_CFG0 EQU 0x400049df + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CFG1 +CYDEV_I2C_TMOUT_CFG1 EQU 0x400049e0 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_BASE +CYDEV_DEC_BASE EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SIZE +CYDEV_DEC_SIZE EQU 0x00000015 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_CR +CYDEV_DEC_CR EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SR +CYDEV_DEC_SR EQU 0x40004e01 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SHIFT1 +CYDEV_DEC_SHIFT1 EQU 0x40004e02 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SHIFT2 +CYDEV_DEC_SHIFT2 EQU 0x40004e03 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR2 +CYDEV_DEC_DR2 EQU 0x40004e04 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR2H +CYDEV_DEC_DR2H EQU 0x40004e05 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR1 +CYDEV_DEC_DR1 EQU 0x40004e06 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCOR +CYDEV_DEC_OCOR EQU 0x40004e08 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCORM +CYDEV_DEC_OCORM EQU 0x40004e09 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCORH +CYDEV_DEC_OCORH EQU 0x40004e0a + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GCOR +CYDEV_DEC_GCOR EQU 0x40004e0c + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GCORH +CYDEV_DEC_GCORH EQU 0x40004e0d + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GVAL +CYDEV_DEC_GVAL EQU 0x40004e0e + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMP +CYDEV_DEC_OUTSAMP EQU 0x40004e10 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPM +CYDEV_DEC_OUTSAMPM EQU 0x40004e11 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPH +CYDEV_DEC_OUTSAMPH EQU 0x40004e12 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPS +CYDEV_DEC_OUTSAMPS EQU 0x40004e13 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_COHER +CYDEV_DEC_COHER EQU 0x40004e14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_BASE +CYDEV_TMR0_BASE EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SIZE +CYDEV_TMR0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG0 +CYDEV_TMR0_CFG0 EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG1 +CYDEV_TMR0_CFG1 EQU 0x40004f01 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG2 +CYDEV_TMR0_CFG2 EQU 0x40004f02 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SR0 +CYDEV_TMR0_SR0 EQU 0x40004f03 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_PER0 +CYDEV_TMR0_PER0 EQU 0x40004f04 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_PER1 +CYDEV_TMR0_PER1 EQU 0x40004f05 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CNT_CMP0 +CYDEV_TMR0_CNT_CMP0 EQU 0x40004f06 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CNT_CMP1 +CYDEV_TMR0_CNT_CMP1 EQU 0x40004f07 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CAP0 +CYDEV_TMR0_CAP0 EQU 0x40004f08 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CAP1 +CYDEV_TMR0_CAP1 EQU 0x40004f09 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_RT0 +CYDEV_TMR0_RT0 EQU 0x40004f0a + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_RT1 +CYDEV_TMR0_RT1 EQU 0x40004f0b + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_BASE +CYDEV_TMR1_BASE EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SIZE +CYDEV_TMR1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG0 +CYDEV_TMR1_CFG0 EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG1 +CYDEV_TMR1_CFG1 EQU 0x40004f0d + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG2 +CYDEV_TMR1_CFG2 EQU 0x40004f0e + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SR0 +CYDEV_TMR1_SR0 EQU 0x40004f0f + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_PER0 +CYDEV_TMR1_PER0 EQU 0x40004f10 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_PER1 +CYDEV_TMR1_PER1 EQU 0x40004f11 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CNT_CMP0 +CYDEV_TMR1_CNT_CMP0 EQU 0x40004f12 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CNT_CMP1 +CYDEV_TMR1_CNT_CMP1 EQU 0x40004f13 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CAP0 +CYDEV_TMR1_CAP0 EQU 0x40004f14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CAP1 +CYDEV_TMR1_CAP1 EQU 0x40004f15 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_RT0 +CYDEV_TMR1_RT0 EQU 0x40004f16 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_RT1 +CYDEV_TMR1_RT1 EQU 0x40004f17 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_BASE +CYDEV_TMR2_BASE EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SIZE +CYDEV_TMR2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG0 +CYDEV_TMR2_CFG0 EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG1 +CYDEV_TMR2_CFG1 EQU 0x40004f19 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG2 +CYDEV_TMR2_CFG2 EQU 0x40004f1a + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SR0 +CYDEV_TMR2_SR0 EQU 0x40004f1b + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_PER0 +CYDEV_TMR2_PER0 EQU 0x40004f1c + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_PER1 +CYDEV_TMR2_PER1 EQU 0x40004f1d + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CNT_CMP0 +CYDEV_TMR2_CNT_CMP0 EQU 0x40004f1e + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CNT_CMP1 +CYDEV_TMR2_CNT_CMP1 EQU 0x40004f1f + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CAP0 +CYDEV_TMR2_CAP0 EQU 0x40004f20 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CAP1 +CYDEV_TMR2_CAP1 EQU 0x40004f21 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_RT0 +CYDEV_TMR2_RT0 EQU 0x40004f22 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_RT1 +CYDEV_TMR2_RT1 EQU 0x40004f23 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_BASE +CYDEV_TMR3_BASE EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SIZE +CYDEV_TMR3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG0 +CYDEV_TMR3_CFG0 EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG1 +CYDEV_TMR3_CFG1 EQU 0x40004f25 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG2 +CYDEV_TMR3_CFG2 EQU 0x40004f26 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SR0 +CYDEV_TMR3_SR0 EQU 0x40004f27 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_PER0 +CYDEV_TMR3_PER0 EQU 0x40004f28 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_PER1 +CYDEV_TMR3_PER1 EQU 0x40004f29 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CNT_CMP0 +CYDEV_TMR3_CNT_CMP0 EQU 0x40004f2a + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CNT_CMP1 +CYDEV_TMR3_CNT_CMP1 EQU 0x40004f2b + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CAP0 +CYDEV_TMR3_CAP0 EQU 0x40004f2c + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CAP1 +CYDEV_TMR3_CAP1 EQU 0x40004f2d + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_RT0 +CYDEV_TMR3_RT0 EQU 0x40004f2e + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_RT1 +CYDEV_TMR3_RT1 EQU 0x40004f2f + ENDIF + IF :LNOT::DEF:CYDEV_IO_BASE +CYDEV_IO_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_SIZE +CYDEV_IO_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_BASE +CYDEV_IO_PC_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_SIZE +CYDEV_IO_PC_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_BASE +CYDEV_IO_PC_PRT0_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_SIZE +CYDEV_IO_PC_PRT0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC0 +CYDEV_IO_PC_PRT0_PC0 EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC1 +CYDEV_IO_PC_PRT0_PC1 EQU 0x40005001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC2 +CYDEV_IO_PC_PRT0_PC2 EQU 0x40005002 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC3 +CYDEV_IO_PC_PRT0_PC3 EQU 0x40005003 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC4 +CYDEV_IO_PC_PRT0_PC4 EQU 0x40005004 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC5 +CYDEV_IO_PC_PRT0_PC5 EQU 0x40005005 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC6 +CYDEV_IO_PC_PRT0_PC6 EQU 0x40005006 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC7 +CYDEV_IO_PC_PRT0_PC7 EQU 0x40005007 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_BASE +CYDEV_IO_PC_PRT1_BASE EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_SIZE +CYDEV_IO_PC_PRT1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC0 +CYDEV_IO_PC_PRT1_PC0 EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC1 +CYDEV_IO_PC_PRT1_PC1 EQU 0x40005009 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC2 +CYDEV_IO_PC_PRT1_PC2 EQU 0x4000500a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC3 +CYDEV_IO_PC_PRT1_PC3 EQU 0x4000500b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC4 +CYDEV_IO_PC_PRT1_PC4 EQU 0x4000500c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC5 +CYDEV_IO_PC_PRT1_PC5 EQU 0x4000500d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC6 +CYDEV_IO_PC_PRT1_PC6 EQU 0x4000500e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC7 +CYDEV_IO_PC_PRT1_PC7 EQU 0x4000500f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_BASE +CYDEV_IO_PC_PRT2_BASE EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_SIZE +CYDEV_IO_PC_PRT2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC0 +CYDEV_IO_PC_PRT2_PC0 EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC1 +CYDEV_IO_PC_PRT2_PC1 EQU 0x40005011 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC2 +CYDEV_IO_PC_PRT2_PC2 EQU 0x40005012 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC3 +CYDEV_IO_PC_PRT2_PC3 EQU 0x40005013 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC4 +CYDEV_IO_PC_PRT2_PC4 EQU 0x40005014 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC5 +CYDEV_IO_PC_PRT2_PC5 EQU 0x40005015 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC6 +CYDEV_IO_PC_PRT2_PC6 EQU 0x40005016 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC7 +CYDEV_IO_PC_PRT2_PC7 EQU 0x40005017 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_BASE +CYDEV_IO_PC_PRT3_BASE EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_SIZE +CYDEV_IO_PC_PRT3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC0 +CYDEV_IO_PC_PRT3_PC0 EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC1 +CYDEV_IO_PC_PRT3_PC1 EQU 0x40005019 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC2 +CYDEV_IO_PC_PRT3_PC2 EQU 0x4000501a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC3 +CYDEV_IO_PC_PRT3_PC3 EQU 0x4000501b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC4 +CYDEV_IO_PC_PRT3_PC4 EQU 0x4000501c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC5 +CYDEV_IO_PC_PRT3_PC5 EQU 0x4000501d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC6 +CYDEV_IO_PC_PRT3_PC6 EQU 0x4000501e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC7 +CYDEV_IO_PC_PRT3_PC7 EQU 0x4000501f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_BASE +CYDEV_IO_PC_PRT4_BASE EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_SIZE +CYDEV_IO_PC_PRT4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC0 +CYDEV_IO_PC_PRT4_PC0 EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC1 +CYDEV_IO_PC_PRT4_PC1 EQU 0x40005021 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC2 +CYDEV_IO_PC_PRT4_PC2 EQU 0x40005022 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC3 +CYDEV_IO_PC_PRT4_PC3 EQU 0x40005023 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC4 +CYDEV_IO_PC_PRT4_PC4 EQU 0x40005024 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC5 +CYDEV_IO_PC_PRT4_PC5 EQU 0x40005025 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC6 +CYDEV_IO_PC_PRT4_PC6 EQU 0x40005026 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC7 +CYDEV_IO_PC_PRT4_PC7 EQU 0x40005027 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_BASE +CYDEV_IO_PC_PRT5_BASE EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_SIZE +CYDEV_IO_PC_PRT5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC0 +CYDEV_IO_PC_PRT5_PC0 EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC1 +CYDEV_IO_PC_PRT5_PC1 EQU 0x40005029 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC2 +CYDEV_IO_PC_PRT5_PC2 EQU 0x4000502a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC3 +CYDEV_IO_PC_PRT5_PC3 EQU 0x4000502b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC4 +CYDEV_IO_PC_PRT5_PC4 EQU 0x4000502c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC5 +CYDEV_IO_PC_PRT5_PC5 EQU 0x4000502d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC6 +CYDEV_IO_PC_PRT5_PC6 EQU 0x4000502e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC7 +CYDEV_IO_PC_PRT5_PC7 EQU 0x4000502f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_BASE +CYDEV_IO_PC_PRT6_BASE EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_SIZE +CYDEV_IO_PC_PRT6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC0 +CYDEV_IO_PC_PRT6_PC0 EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC1 +CYDEV_IO_PC_PRT6_PC1 EQU 0x40005031 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC2 +CYDEV_IO_PC_PRT6_PC2 EQU 0x40005032 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC3 +CYDEV_IO_PC_PRT6_PC3 EQU 0x40005033 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC4 +CYDEV_IO_PC_PRT6_PC4 EQU 0x40005034 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC5 +CYDEV_IO_PC_PRT6_PC5 EQU 0x40005035 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC6 +CYDEV_IO_PC_PRT6_PC6 EQU 0x40005036 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC7 +CYDEV_IO_PC_PRT6_PC7 EQU 0x40005037 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_BASE +CYDEV_IO_PC_PRT12_BASE EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_SIZE +CYDEV_IO_PC_PRT12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC0 +CYDEV_IO_PC_PRT12_PC0 EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC1 +CYDEV_IO_PC_PRT12_PC1 EQU 0x40005061 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC2 +CYDEV_IO_PC_PRT12_PC2 EQU 0x40005062 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC3 +CYDEV_IO_PC_PRT12_PC3 EQU 0x40005063 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC4 +CYDEV_IO_PC_PRT12_PC4 EQU 0x40005064 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC5 +CYDEV_IO_PC_PRT12_PC5 EQU 0x40005065 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC6 +CYDEV_IO_PC_PRT12_PC6 EQU 0x40005066 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC7 +CYDEV_IO_PC_PRT12_PC7 EQU 0x40005067 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_BASE +CYDEV_IO_PC_PRT15_BASE EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_SIZE +CYDEV_IO_PC_PRT15_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC0 +CYDEV_IO_PC_PRT15_PC0 EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC1 +CYDEV_IO_PC_PRT15_PC1 EQU 0x40005079 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC2 +CYDEV_IO_PC_PRT15_PC2 EQU 0x4000507a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC3 +CYDEV_IO_PC_PRT15_PC3 EQU 0x4000507b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC4 +CYDEV_IO_PC_PRT15_PC4 EQU 0x4000507c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC5 +CYDEV_IO_PC_PRT15_PC5 EQU 0x4000507d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_BASE +CYDEV_IO_PC_PRT15_7_6_BASE EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_SIZE +CYDEV_IO_PC_PRT15_7_6_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_PC0 +CYDEV_IO_PC_PRT15_7_6_PC0 EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_PC1 +CYDEV_IO_PC_PRT15_7_6_PC1 EQU 0x4000507f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_BASE +CYDEV_IO_DR_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_SIZE +CYDEV_IO_DR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_BASE +CYDEV_IO_DR_PRT0_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_SIZE +CYDEV_IO_DR_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_DR_ALIAS +CYDEV_IO_DR_PRT0_DR_ALIAS EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_BASE +CYDEV_IO_DR_PRT1_BASE EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_SIZE +CYDEV_IO_DR_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_DR_ALIAS +CYDEV_IO_DR_PRT1_DR_ALIAS EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_BASE +CYDEV_IO_DR_PRT2_BASE EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_SIZE +CYDEV_IO_DR_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_DR_ALIAS +CYDEV_IO_DR_PRT2_DR_ALIAS EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_BASE +CYDEV_IO_DR_PRT3_BASE EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_SIZE +CYDEV_IO_DR_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_DR_ALIAS +CYDEV_IO_DR_PRT3_DR_ALIAS EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_BASE +CYDEV_IO_DR_PRT4_BASE EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_SIZE +CYDEV_IO_DR_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_DR_ALIAS +CYDEV_IO_DR_PRT4_DR_ALIAS EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_BASE +CYDEV_IO_DR_PRT5_BASE EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_SIZE +CYDEV_IO_DR_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_DR_ALIAS +CYDEV_IO_DR_PRT5_DR_ALIAS EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_BASE +CYDEV_IO_DR_PRT6_BASE EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_SIZE +CYDEV_IO_DR_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_DR_ALIAS +CYDEV_IO_DR_PRT6_DR_ALIAS EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_BASE +CYDEV_IO_DR_PRT12_BASE EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_SIZE +CYDEV_IO_DR_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_DR_ALIAS +CYDEV_IO_DR_PRT12_DR_ALIAS EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_BASE +CYDEV_IO_DR_PRT15_BASE EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_SIZE +CYDEV_IO_DR_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_DR_15_ALIAS +CYDEV_IO_DR_PRT15_DR_15_ALIAS EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_BASE +CYDEV_IO_PS_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_SIZE +CYDEV_IO_PS_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_BASE +CYDEV_IO_PS_PRT0_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_SIZE +CYDEV_IO_PS_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_PS_ALIAS +CYDEV_IO_PS_PRT0_PS_ALIAS EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_BASE +CYDEV_IO_PS_PRT1_BASE EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_SIZE +CYDEV_IO_PS_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_PS_ALIAS +CYDEV_IO_PS_PRT1_PS_ALIAS EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_BASE +CYDEV_IO_PS_PRT2_BASE EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_SIZE +CYDEV_IO_PS_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_PS_ALIAS +CYDEV_IO_PS_PRT2_PS_ALIAS EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_BASE +CYDEV_IO_PS_PRT3_BASE EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_SIZE +CYDEV_IO_PS_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_PS_ALIAS +CYDEV_IO_PS_PRT3_PS_ALIAS EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_BASE +CYDEV_IO_PS_PRT4_BASE EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_SIZE +CYDEV_IO_PS_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_PS_ALIAS +CYDEV_IO_PS_PRT4_PS_ALIAS EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_BASE +CYDEV_IO_PS_PRT5_BASE EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_SIZE +CYDEV_IO_PS_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_PS_ALIAS +CYDEV_IO_PS_PRT5_PS_ALIAS EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_BASE +CYDEV_IO_PS_PRT6_BASE EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_SIZE +CYDEV_IO_PS_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_PS_ALIAS +CYDEV_IO_PS_PRT6_PS_ALIAS EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_BASE +CYDEV_IO_PS_PRT12_BASE EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_SIZE +CYDEV_IO_PS_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_PS_ALIAS +CYDEV_IO_PS_PRT12_PS_ALIAS EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_BASE +CYDEV_IO_PS_PRT15_BASE EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_SIZE +CYDEV_IO_PS_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_PS15_ALIAS +CYDEV_IO_PS_PRT15_PS15_ALIAS EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_BASE +CYDEV_IO_PRT_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_SIZE +CYDEV_IO_PRT_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BASE +CYDEV_IO_PRT_PRT0_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SIZE +CYDEV_IO_PRT_PRT0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DR +CYDEV_IO_PRT_PRT0_DR EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_PS +CYDEV_IO_PRT_PRT0_PS EQU 0x40005101 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM0 +CYDEV_IO_PRT_PRT0_DM0 EQU 0x40005102 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM1 +CYDEV_IO_PRT_PRT0_DM1 EQU 0x40005103 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM2 +CYDEV_IO_PRT_PRT0_DM2 EQU 0x40005104 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SLW +CYDEV_IO_PRT_PRT0_SLW EQU 0x40005105 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BYP +CYDEV_IO_PRT_PRT0_BYP EQU 0x40005106 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BIE +CYDEV_IO_PRT_PRT0_BIE EQU 0x40005107 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_INP_DIS +CYDEV_IO_PRT_PRT0_INP_DIS EQU 0x40005108 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_CTL +CYDEV_IO_PRT_PRT0_CTL EQU 0x40005109 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_PRT +CYDEV_IO_PRT_PRT0_PRT EQU 0x4000510a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BIT_MASK +CYDEV_IO_PRT_PRT0_BIT_MASK EQU 0x4000510b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_AMUX +CYDEV_IO_PRT_PRT0_AMUX EQU 0x4000510c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_AG +CYDEV_IO_PRT_PRT0_AG EQU 0x4000510d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_LCD_COM_SEG +CYDEV_IO_PRT_PRT0_LCD_COM_SEG EQU 0x4000510e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_LCD_EN +CYDEV_IO_PRT_PRT0_LCD_EN EQU 0x4000510f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BASE +CYDEV_IO_PRT_PRT1_BASE EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SIZE +CYDEV_IO_PRT_PRT1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DR +CYDEV_IO_PRT_PRT1_DR EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_PS +CYDEV_IO_PRT_PRT1_PS EQU 0x40005111 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM0 +CYDEV_IO_PRT_PRT1_DM0 EQU 0x40005112 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM1 +CYDEV_IO_PRT_PRT1_DM1 EQU 0x40005113 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM2 +CYDEV_IO_PRT_PRT1_DM2 EQU 0x40005114 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SLW +CYDEV_IO_PRT_PRT1_SLW EQU 0x40005115 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BYP +CYDEV_IO_PRT_PRT1_BYP EQU 0x40005116 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BIE +CYDEV_IO_PRT_PRT1_BIE EQU 0x40005117 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_INP_DIS +CYDEV_IO_PRT_PRT1_INP_DIS EQU 0x40005118 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_CTL +CYDEV_IO_PRT_PRT1_CTL EQU 0x40005119 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_PRT +CYDEV_IO_PRT_PRT1_PRT EQU 0x4000511a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BIT_MASK +CYDEV_IO_PRT_PRT1_BIT_MASK EQU 0x4000511b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_AMUX +CYDEV_IO_PRT_PRT1_AMUX EQU 0x4000511c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_AG +CYDEV_IO_PRT_PRT1_AG EQU 0x4000511d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_LCD_COM_SEG +CYDEV_IO_PRT_PRT1_LCD_COM_SEG EQU 0x4000511e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_LCD_EN +CYDEV_IO_PRT_PRT1_LCD_EN EQU 0x4000511f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BASE +CYDEV_IO_PRT_PRT2_BASE EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SIZE +CYDEV_IO_PRT_PRT2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DR +CYDEV_IO_PRT_PRT2_DR EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_PS +CYDEV_IO_PRT_PRT2_PS EQU 0x40005121 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM0 +CYDEV_IO_PRT_PRT2_DM0 EQU 0x40005122 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM1 +CYDEV_IO_PRT_PRT2_DM1 EQU 0x40005123 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM2 +CYDEV_IO_PRT_PRT2_DM2 EQU 0x40005124 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SLW +CYDEV_IO_PRT_PRT2_SLW EQU 0x40005125 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BYP +CYDEV_IO_PRT_PRT2_BYP EQU 0x40005126 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BIE +CYDEV_IO_PRT_PRT2_BIE EQU 0x40005127 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_INP_DIS +CYDEV_IO_PRT_PRT2_INP_DIS EQU 0x40005128 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_CTL +CYDEV_IO_PRT_PRT2_CTL EQU 0x40005129 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_PRT +CYDEV_IO_PRT_PRT2_PRT EQU 0x4000512a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BIT_MASK +CYDEV_IO_PRT_PRT2_BIT_MASK EQU 0x4000512b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_AMUX +CYDEV_IO_PRT_PRT2_AMUX EQU 0x4000512c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_AG +CYDEV_IO_PRT_PRT2_AG EQU 0x4000512d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_LCD_COM_SEG +CYDEV_IO_PRT_PRT2_LCD_COM_SEG EQU 0x4000512e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_LCD_EN +CYDEV_IO_PRT_PRT2_LCD_EN EQU 0x4000512f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BASE +CYDEV_IO_PRT_PRT3_BASE EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SIZE +CYDEV_IO_PRT_PRT3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DR +CYDEV_IO_PRT_PRT3_DR EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_PS +CYDEV_IO_PRT_PRT3_PS EQU 0x40005131 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM0 +CYDEV_IO_PRT_PRT3_DM0 EQU 0x40005132 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM1 +CYDEV_IO_PRT_PRT3_DM1 EQU 0x40005133 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM2 +CYDEV_IO_PRT_PRT3_DM2 EQU 0x40005134 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SLW +CYDEV_IO_PRT_PRT3_SLW EQU 0x40005135 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BYP +CYDEV_IO_PRT_PRT3_BYP EQU 0x40005136 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BIE +CYDEV_IO_PRT_PRT3_BIE EQU 0x40005137 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_INP_DIS +CYDEV_IO_PRT_PRT3_INP_DIS EQU 0x40005138 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_CTL +CYDEV_IO_PRT_PRT3_CTL EQU 0x40005139 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_PRT +CYDEV_IO_PRT_PRT3_PRT EQU 0x4000513a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BIT_MASK +CYDEV_IO_PRT_PRT3_BIT_MASK EQU 0x4000513b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_AMUX +CYDEV_IO_PRT_PRT3_AMUX EQU 0x4000513c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_AG +CYDEV_IO_PRT_PRT3_AG EQU 0x4000513d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_LCD_COM_SEG +CYDEV_IO_PRT_PRT3_LCD_COM_SEG EQU 0x4000513e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_LCD_EN +CYDEV_IO_PRT_PRT3_LCD_EN EQU 0x4000513f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BASE +CYDEV_IO_PRT_PRT4_BASE EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SIZE +CYDEV_IO_PRT_PRT4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DR +CYDEV_IO_PRT_PRT4_DR EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_PS +CYDEV_IO_PRT_PRT4_PS EQU 0x40005141 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM0 +CYDEV_IO_PRT_PRT4_DM0 EQU 0x40005142 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM1 +CYDEV_IO_PRT_PRT4_DM1 EQU 0x40005143 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM2 +CYDEV_IO_PRT_PRT4_DM2 EQU 0x40005144 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SLW +CYDEV_IO_PRT_PRT4_SLW EQU 0x40005145 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BYP +CYDEV_IO_PRT_PRT4_BYP EQU 0x40005146 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BIE +CYDEV_IO_PRT_PRT4_BIE EQU 0x40005147 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_INP_DIS +CYDEV_IO_PRT_PRT4_INP_DIS EQU 0x40005148 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_CTL +CYDEV_IO_PRT_PRT4_CTL EQU 0x40005149 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_PRT +CYDEV_IO_PRT_PRT4_PRT EQU 0x4000514a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BIT_MASK +CYDEV_IO_PRT_PRT4_BIT_MASK EQU 0x4000514b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_AMUX +CYDEV_IO_PRT_PRT4_AMUX EQU 0x4000514c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_AG +CYDEV_IO_PRT_PRT4_AG EQU 0x4000514d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_LCD_COM_SEG +CYDEV_IO_PRT_PRT4_LCD_COM_SEG EQU 0x4000514e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_LCD_EN +CYDEV_IO_PRT_PRT4_LCD_EN EQU 0x4000514f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BASE +CYDEV_IO_PRT_PRT5_BASE EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SIZE +CYDEV_IO_PRT_PRT5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DR +CYDEV_IO_PRT_PRT5_DR EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_PS +CYDEV_IO_PRT_PRT5_PS EQU 0x40005151 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM0 +CYDEV_IO_PRT_PRT5_DM0 EQU 0x40005152 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM1 +CYDEV_IO_PRT_PRT5_DM1 EQU 0x40005153 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM2 +CYDEV_IO_PRT_PRT5_DM2 EQU 0x40005154 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SLW +CYDEV_IO_PRT_PRT5_SLW EQU 0x40005155 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BYP +CYDEV_IO_PRT_PRT5_BYP EQU 0x40005156 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BIE +CYDEV_IO_PRT_PRT5_BIE EQU 0x40005157 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_INP_DIS +CYDEV_IO_PRT_PRT5_INP_DIS EQU 0x40005158 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_CTL +CYDEV_IO_PRT_PRT5_CTL EQU 0x40005159 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_PRT +CYDEV_IO_PRT_PRT5_PRT EQU 0x4000515a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BIT_MASK +CYDEV_IO_PRT_PRT5_BIT_MASK EQU 0x4000515b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_AMUX +CYDEV_IO_PRT_PRT5_AMUX EQU 0x4000515c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_AG +CYDEV_IO_PRT_PRT5_AG EQU 0x4000515d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_LCD_COM_SEG +CYDEV_IO_PRT_PRT5_LCD_COM_SEG EQU 0x4000515e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_LCD_EN +CYDEV_IO_PRT_PRT5_LCD_EN EQU 0x4000515f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BASE +CYDEV_IO_PRT_PRT6_BASE EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SIZE +CYDEV_IO_PRT_PRT6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DR +CYDEV_IO_PRT_PRT6_DR EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_PS +CYDEV_IO_PRT_PRT6_PS EQU 0x40005161 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM0 +CYDEV_IO_PRT_PRT6_DM0 EQU 0x40005162 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM1 +CYDEV_IO_PRT_PRT6_DM1 EQU 0x40005163 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM2 +CYDEV_IO_PRT_PRT6_DM2 EQU 0x40005164 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SLW +CYDEV_IO_PRT_PRT6_SLW EQU 0x40005165 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BYP +CYDEV_IO_PRT_PRT6_BYP EQU 0x40005166 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BIE +CYDEV_IO_PRT_PRT6_BIE EQU 0x40005167 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_INP_DIS +CYDEV_IO_PRT_PRT6_INP_DIS EQU 0x40005168 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_CTL +CYDEV_IO_PRT_PRT6_CTL EQU 0x40005169 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_PRT +CYDEV_IO_PRT_PRT6_PRT EQU 0x4000516a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BIT_MASK +CYDEV_IO_PRT_PRT6_BIT_MASK EQU 0x4000516b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_AMUX +CYDEV_IO_PRT_PRT6_AMUX EQU 0x4000516c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_AG +CYDEV_IO_PRT_PRT6_AG EQU 0x4000516d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_LCD_COM_SEG +CYDEV_IO_PRT_PRT6_LCD_COM_SEG EQU 0x4000516e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_LCD_EN +CYDEV_IO_PRT_PRT6_LCD_EN EQU 0x4000516f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BASE +CYDEV_IO_PRT_PRT12_BASE EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIZE +CYDEV_IO_PRT_PRT12_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DR +CYDEV_IO_PRT_PRT12_DR EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_PS +CYDEV_IO_PRT_PRT12_PS EQU 0x400051c1 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM0 +CYDEV_IO_PRT_PRT12_DM0 EQU 0x400051c2 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM1 +CYDEV_IO_PRT_PRT12_DM1 EQU 0x400051c3 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM2 +CYDEV_IO_PRT_PRT12_DM2 EQU 0x400051c4 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SLW +CYDEV_IO_PRT_PRT12_SLW EQU 0x400051c5 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BYP +CYDEV_IO_PRT_PRT12_BYP EQU 0x400051c6 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BIE +CYDEV_IO_PRT_PRT12_BIE EQU 0x400051c7 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_INP_DIS +CYDEV_IO_PRT_PRT12_INP_DIS EQU 0x400051c8 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_HYST_EN +CYDEV_IO_PRT_PRT12_SIO_HYST_EN EQU 0x400051c9 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_PRT +CYDEV_IO_PRT_PRT12_PRT EQU 0x400051ca + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BIT_MASK +CYDEV_IO_PRT_PRT12_BIT_MASK EQU 0x400051cb + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ +CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ EQU 0x400051cc + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_AG +CYDEV_IO_PRT_PRT12_AG EQU 0x400051cd + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_CFG +CYDEV_IO_PRT_PRT12_SIO_CFG EQU 0x400051ce + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_DIFF +CYDEV_IO_PRT_PRT12_SIO_DIFF EQU 0x400051cf + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BASE +CYDEV_IO_PRT_PRT15_BASE EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SIZE +CYDEV_IO_PRT_PRT15_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DR +CYDEV_IO_PRT_PRT15_DR EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_PS +CYDEV_IO_PRT_PRT15_PS EQU 0x400051f1 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM0 +CYDEV_IO_PRT_PRT15_DM0 EQU 0x400051f2 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM1 +CYDEV_IO_PRT_PRT15_DM1 EQU 0x400051f3 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM2 +CYDEV_IO_PRT_PRT15_DM2 EQU 0x400051f4 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SLW +CYDEV_IO_PRT_PRT15_SLW EQU 0x400051f5 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BYP +CYDEV_IO_PRT_PRT15_BYP EQU 0x400051f6 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BIE +CYDEV_IO_PRT_PRT15_BIE EQU 0x400051f7 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_INP_DIS +CYDEV_IO_PRT_PRT15_INP_DIS EQU 0x400051f8 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_CTL +CYDEV_IO_PRT_PRT15_CTL EQU 0x400051f9 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_PRT +CYDEV_IO_PRT_PRT15_PRT EQU 0x400051fa + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BIT_MASK +CYDEV_IO_PRT_PRT15_BIT_MASK EQU 0x400051fb + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_AMUX +CYDEV_IO_PRT_PRT15_AMUX EQU 0x400051fc + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_AG +CYDEV_IO_PRT_PRT15_AG EQU 0x400051fd + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_LCD_COM_SEG +CYDEV_IO_PRT_PRT15_LCD_COM_SEG EQU 0x400051fe + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_LCD_EN +CYDEV_IO_PRT_PRT15_LCD_EN EQU 0x400051ff + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_BASE +CYDEV_PRTDSI_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_SIZE +CYDEV_PRTDSI_SIZE EQU 0x0000007f + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_BASE +CYDEV_PRTDSI_PRT0_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SIZE +CYDEV_PRTDSI_PRT0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OUT_SEL0 +CYDEV_PRTDSI_PRT0_OUT_SEL0 EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OUT_SEL1 +CYDEV_PRTDSI_PRT0_OUT_SEL1 EQU 0x40005201 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OE_SEL0 +CYDEV_PRTDSI_PRT0_OE_SEL0 EQU 0x40005202 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OE_SEL1 +CYDEV_PRTDSI_PRT0_OE_SEL1 EQU 0x40005203 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_DBL_SYNC_IN +CYDEV_PRTDSI_PRT0_DBL_SYNC_IN EQU 0x40005204 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SYNC_OUT +CYDEV_PRTDSI_PRT0_SYNC_OUT EQU 0x40005205 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_CAPS_SEL +CYDEV_PRTDSI_PRT0_CAPS_SEL EQU 0x40005206 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_BASE +CYDEV_PRTDSI_PRT1_BASE EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SIZE +CYDEV_PRTDSI_PRT1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OUT_SEL0 +CYDEV_PRTDSI_PRT1_OUT_SEL0 EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OUT_SEL1 +CYDEV_PRTDSI_PRT1_OUT_SEL1 EQU 0x40005209 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OE_SEL0 +CYDEV_PRTDSI_PRT1_OE_SEL0 EQU 0x4000520a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OE_SEL1 +CYDEV_PRTDSI_PRT1_OE_SEL1 EQU 0x4000520b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_DBL_SYNC_IN +CYDEV_PRTDSI_PRT1_DBL_SYNC_IN EQU 0x4000520c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SYNC_OUT +CYDEV_PRTDSI_PRT1_SYNC_OUT EQU 0x4000520d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_CAPS_SEL +CYDEV_PRTDSI_PRT1_CAPS_SEL EQU 0x4000520e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_BASE +CYDEV_PRTDSI_PRT2_BASE EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SIZE +CYDEV_PRTDSI_PRT2_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OUT_SEL0 +CYDEV_PRTDSI_PRT2_OUT_SEL0 EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OUT_SEL1 +CYDEV_PRTDSI_PRT2_OUT_SEL1 EQU 0x40005211 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OE_SEL0 +CYDEV_PRTDSI_PRT2_OE_SEL0 EQU 0x40005212 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OE_SEL1 +CYDEV_PRTDSI_PRT2_OE_SEL1 EQU 0x40005213 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_DBL_SYNC_IN +CYDEV_PRTDSI_PRT2_DBL_SYNC_IN EQU 0x40005214 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SYNC_OUT +CYDEV_PRTDSI_PRT2_SYNC_OUT EQU 0x40005215 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_CAPS_SEL +CYDEV_PRTDSI_PRT2_CAPS_SEL EQU 0x40005216 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_BASE +CYDEV_PRTDSI_PRT3_BASE EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SIZE +CYDEV_PRTDSI_PRT3_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OUT_SEL0 +CYDEV_PRTDSI_PRT3_OUT_SEL0 EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OUT_SEL1 +CYDEV_PRTDSI_PRT3_OUT_SEL1 EQU 0x40005219 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OE_SEL0 +CYDEV_PRTDSI_PRT3_OE_SEL0 EQU 0x4000521a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OE_SEL1 +CYDEV_PRTDSI_PRT3_OE_SEL1 EQU 0x4000521b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_DBL_SYNC_IN +CYDEV_PRTDSI_PRT3_DBL_SYNC_IN EQU 0x4000521c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SYNC_OUT +CYDEV_PRTDSI_PRT3_SYNC_OUT EQU 0x4000521d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_CAPS_SEL +CYDEV_PRTDSI_PRT3_CAPS_SEL EQU 0x4000521e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_BASE +CYDEV_PRTDSI_PRT4_BASE EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SIZE +CYDEV_PRTDSI_PRT4_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OUT_SEL0 +CYDEV_PRTDSI_PRT4_OUT_SEL0 EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OUT_SEL1 +CYDEV_PRTDSI_PRT4_OUT_SEL1 EQU 0x40005221 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OE_SEL0 +CYDEV_PRTDSI_PRT4_OE_SEL0 EQU 0x40005222 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OE_SEL1 +CYDEV_PRTDSI_PRT4_OE_SEL1 EQU 0x40005223 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_DBL_SYNC_IN +CYDEV_PRTDSI_PRT4_DBL_SYNC_IN EQU 0x40005224 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SYNC_OUT +CYDEV_PRTDSI_PRT4_SYNC_OUT EQU 0x40005225 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_CAPS_SEL +CYDEV_PRTDSI_PRT4_CAPS_SEL EQU 0x40005226 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_BASE +CYDEV_PRTDSI_PRT5_BASE EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SIZE +CYDEV_PRTDSI_PRT5_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OUT_SEL0 +CYDEV_PRTDSI_PRT5_OUT_SEL0 EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OUT_SEL1 +CYDEV_PRTDSI_PRT5_OUT_SEL1 EQU 0x40005229 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OE_SEL0 +CYDEV_PRTDSI_PRT5_OE_SEL0 EQU 0x4000522a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OE_SEL1 +CYDEV_PRTDSI_PRT5_OE_SEL1 EQU 0x4000522b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_DBL_SYNC_IN +CYDEV_PRTDSI_PRT5_DBL_SYNC_IN EQU 0x4000522c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SYNC_OUT +CYDEV_PRTDSI_PRT5_SYNC_OUT EQU 0x4000522d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_CAPS_SEL +CYDEV_PRTDSI_PRT5_CAPS_SEL EQU 0x4000522e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_BASE +CYDEV_PRTDSI_PRT6_BASE EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SIZE +CYDEV_PRTDSI_PRT6_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OUT_SEL0 +CYDEV_PRTDSI_PRT6_OUT_SEL0 EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OUT_SEL1 +CYDEV_PRTDSI_PRT6_OUT_SEL1 EQU 0x40005231 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OE_SEL0 +CYDEV_PRTDSI_PRT6_OE_SEL0 EQU 0x40005232 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OE_SEL1 +CYDEV_PRTDSI_PRT6_OE_SEL1 EQU 0x40005233 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_DBL_SYNC_IN +CYDEV_PRTDSI_PRT6_DBL_SYNC_IN EQU 0x40005234 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SYNC_OUT +CYDEV_PRTDSI_PRT6_SYNC_OUT EQU 0x40005235 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_CAPS_SEL +CYDEV_PRTDSI_PRT6_CAPS_SEL EQU 0x40005236 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_BASE +CYDEV_PRTDSI_PRT12_BASE EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SIZE +CYDEV_PRTDSI_PRT12_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OUT_SEL0 +CYDEV_PRTDSI_PRT12_OUT_SEL0 EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OUT_SEL1 +CYDEV_PRTDSI_PRT12_OUT_SEL1 EQU 0x40005261 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OE_SEL0 +CYDEV_PRTDSI_PRT12_OE_SEL0 EQU 0x40005262 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OE_SEL1 +CYDEV_PRTDSI_PRT12_OE_SEL1 EQU 0x40005263 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_DBL_SYNC_IN +CYDEV_PRTDSI_PRT12_DBL_SYNC_IN EQU 0x40005264 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SYNC_OUT +CYDEV_PRTDSI_PRT12_SYNC_OUT EQU 0x40005265 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_BASE +CYDEV_PRTDSI_PRT15_BASE EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SIZE +CYDEV_PRTDSI_PRT15_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OUT_SEL0 +CYDEV_PRTDSI_PRT15_OUT_SEL0 EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OUT_SEL1 +CYDEV_PRTDSI_PRT15_OUT_SEL1 EQU 0x40005279 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OE_SEL0 +CYDEV_PRTDSI_PRT15_OE_SEL0 EQU 0x4000527a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OE_SEL1 +CYDEV_PRTDSI_PRT15_OE_SEL1 EQU 0x4000527b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_DBL_SYNC_IN +CYDEV_PRTDSI_PRT15_DBL_SYNC_IN EQU 0x4000527c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SYNC_OUT +CYDEV_PRTDSI_PRT15_SYNC_OUT EQU 0x4000527d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_CAPS_SEL +CYDEV_PRTDSI_PRT15_CAPS_SEL EQU 0x4000527e + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_BASE +CYDEV_EMIF_BASE EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_SIZE +CYDEV_EMIF_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_NO_UDB +CYDEV_EMIF_NO_UDB EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_RP_WAIT_STATES +CYDEV_EMIF_RP_WAIT_STATES EQU 0x40005401 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_MEM_DWN +CYDEV_EMIF_MEM_DWN EQU 0x40005402 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_MEMCLK_DIV +CYDEV_EMIF_MEMCLK_DIV EQU 0x40005403 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_CLOCK_EN +CYDEV_EMIF_CLOCK_EN EQU 0x40005404 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_EM_TYPE +CYDEV_EMIF_EM_TYPE EQU 0x40005405 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_WP_WAIT_STATES +CYDEV_EMIF_WP_WAIT_STATES EQU 0x40005406 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_BASE +CYDEV_ANAIF_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_SIZE +CYDEV_ANAIF_SIZE EQU 0x000003a9 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BASE +CYDEV_ANAIF_CFG_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SIZE +CYDEV_ANAIF_CFG_SIZE EQU 0x0000010f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_BASE +CYDEV_ANAIF_CFG_SC0_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_SIZE +CYDEV_ANAIF_CFG_SC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR0 +CYDEV_ANAIF_CFG_SC0_CR0 EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR1 +CYDEV_ANAIF_CFG_SC0_CR1 EQU 0x40005801 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR2 +CYDEV_ANAIF_CFG_SC0_CR2 EQU 0x40005802 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_BASE +CYDEV_ANAIF_CFG_SC1_BASE EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_SIZE +CYDEV_ANAIF_CFG_SC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR0 +CYDEV_ANAIF_CFG_SC1_CR0 EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR1 +CYDEV_ANAIF_CFG_SC1_CR1 EQU 0x40005805 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR2 +CYDEV_ANAIF_CFG_SC1_CR2 EQU 0x40005806 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_BASE +CYDEV_ANAIF_CFG_SC2_BASE EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_SIZE +CYDEV_ANAIF_CFG_SC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR0 +CYDEV_ANAIF_CFG_SC2_CR0 EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR1 +CYDEV_ANAIF_CFG_SC2_CR1 EQU 0x40005809 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR2 +CYDEV_ANAIF_CFG_SC2_CR2 EQU 0x4000580a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_BASE +CYDEV_ANAIF_CFG_SC3_BASE EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_SIZE +CYDEV_ANAIF_CFG_SC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR0 +CYDEV_ANAIF_CFG_SC3_CR0 EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR1 +CYDEV_ANAIF_CFG_SC3_CR1 EQU 0x4000580d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR2 +CYDEV_ANAIF_CFG_SC3_CR2 EQU 0x4000580e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_BASE +CYDEV_ANAIF_CFG_DAC0_BASE EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_SIZE +CYDEV_ANAIF_CFG_DAC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_CR0 +CYDEV_ANAIF_CFG_DAC0_CR0 EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_CR1 +CYDEV_ANAIF_CFG_DAC0_CR1 EQU 0x40005821 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_TST +CYDEV_ANAIF_CFG_DAC0_TST EQU 0x40005822 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_BASE +CYDEV_ANAIF_CFG_DAC1_BASE EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_SIZE +CYDEV_ANAIF_CFG_DAC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_CR0 +CYDEV_ANAIF_CFG_DAC1_CR0 EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_CR1 +CYDEV_ANAIF_CFG_DAC1_CR1 EQU 0x40005825 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_TST +CYDEV_ANAIF_CFG_DAC1_TST EQU 0x40005826 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_BASE +CYDEV_ANAIF_CFG_DAC2_BASE EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_SIZE +CYDEV_ANAIF_CFG_DAC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_CR0 +CYDEV_ANAIF_CFG_DAC2_CR0 EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_CR1 +CYDEV_ANAIF_CFG_DAC2_CR1 EQU 0x40005829 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_TST +CYDEV_ANAIF_CFG_DAC2_TST EQU 0x4000582a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_BASE +CYDEV_ANAIF_CFG_DAC3_BASE EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_SIZE +CYDEV_ANAIF_CFG_DAC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_CR0 +CYDEV_ANAIF_CFG_DAC3_CR0 EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_CR1 +CYDEV_ANAIF_CFG_DAC3_CR1 EQU 0x4000582d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_TST +CYDEV_ANAIF_CFG_DAC3_TST EQU 0x4000582e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_BASE +CYDEV_ANAIF_CFG_CMP0_BASE EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_SIZE +CYDEV_ANAIF_CFG_CMP0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_CR +CYDEV_ANAIF_CFG_CMP0_CR EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_BASE +CYDEV_ANAIF_CFG_CMP1_BASE EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_SIZE +CYDEV_ANAIF_CFG_CMP1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_CR +CYDEV_ANAIF_CFG_CMP1_CR EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_BASE +CYDEV_ANAIF_CFG_CMP2_BASE EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_SIZE +CYDEV_ANAIF_CFG_CMP2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_CR +CYDEV_ANAIF_CFG_CMP2_CR EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_BASE +CYDEV_ANAIF_CFG_CMP3_BASE EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_SIZE +CYDEV_ANAIF_CFG_CMP3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_CR +CYDEV_ANAIF_CFG_CMP3_CR EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_BASE +CYDEV_ANAIF_CFG_LUT0_BASE EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_SIZE +CYDEV_ANAIF_CFG_LUT0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_CR +CYDEV_ANAIF_CFG_LUT0_CR EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_MX +CYDEV_ANAIF_CFG_LUT0_MX EQU 0x40005849 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_BASE +CYDEV_ANAIF_CFG_LUT1_BASE EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_SIZE +CYDEV_ANAIF_CFG_LUT1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_CR +CYDEV_ANAIF_CFG_LUT1_CR EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_MX +CYDEV_ANAIF_CFG_LUT1_MX EQU 0x4000584b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_BASE +CYDEV_ANAIF_CFG_LUT2_BASE EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_SIZE +CYDEV_ANAIF_CFG_LUT2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_CR +CYDEV_ANAIF_CFG_LUT2_CR EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_MX +CYDEV_ANAIF_CFG_LUT2_MX EQU 0x4000584d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_BASE +CYDEV_ANAIF_CFG_LUT3_BASE EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_SIZE +CYDEV_ANAIF_CFG_LUT3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_CR +CYDEV_ANAIF_CFG_LUT3_CR EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_MX +CYDEV_ANAIF_CFG_LUT3_MX EQU 0x4000584f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_BASE +CYDEV_ANAIF_CFG_OPAMP0_BASE EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_SIZE +CYDEV_ANAIF_CFG_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_CR +CYDEV_ANAIF_CFG_OPAMP0_CR EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_RSVD +CYDEV_ANAIF_CFG_OPAMP0_RSVD EQU 0x40005859 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_BASE +CYDEV_ANAIF_CFG_OPAMP1_BASE EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_SIZE +CYDEV_ANAIF_CFG_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_CR +CYDEV_ANAIF_CFG_OPAMP1_CR EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_RSVD +CYDEV_ANAIF_CFG_OPAMP1_RSVD EQU 0x4000585b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_BASE +CYDEV_ANAIF_CFG_OPAMP2_BASE EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_SIZE +CYDEV_ANAIF_CFG_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_CR +CYDEV_ANAIF_CFG_OPAMP2_CR EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_RSVD +CYDEV_ANAIF_CFG_OPAMP2_RSVD EQU 0x4000585d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_BASE +CYDEV_ANAIF_CFG_OPAMP3_BASE EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_SIZE +CYDEV_ANAIF_CFG_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_CR +CYDEV_ANAIF_CFG_OPAMP3_CR EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_RSVD +CYDEV_ANAIF_CFG_OPAMP3_RSVD EQU 0x4000585f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_BASE +CYDEV_ANAIF_CFG_LCDDAC_BASE EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_SIZE +CYDEV_ANAIF_CFG_LCDDAC_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_CR0 +CYDEV_ANAIF_CFG_LCDDAC_CR0 EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_CR1 +CYDEV_ANAIF_CFG_LCDDAC_CR1 EQU 0x40005869 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_BASE +CYDEV_ANAIF_CFG_LCDDRV_BASE EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_SIZE +CYDEV_ANAIF_CFG_LCDDRV_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_CR +CYDEV_ANAIF_CFG_LCDDRV_CR EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_BASE +CYDEV_ANAIF_CFG_LCDTMR_BASE EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_SIZE +CYDEV_ANAIF_CFG_LCDTMR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_CFG +CYDEV_ANAIF_CFG_LCDTMR_CFG EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_BASE +CYDEV_ANAIF_CFG_BG_BASE EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_SIZE +CYDEV_ANAIF_CFG_BG_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_CR0 +CYDEV_ANAIF_CFG_BG_CR0 EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_RSVD +CYDEV_ANAIF_CFG_BG_RSVD EQU 0x4000586d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_DFT0 +CYDEV_ANAIF_CFG_BG_DFT0 EQU 0x4000586e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_DFT1 +CYDEV_ANAIF_CFG_BG_DFT1 EQU 0x4000586f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_BASE +CYDEV_ANAIF_CFG_CAPSL_BASE EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_SIZE +CYDEV_ANAIF_CFG_CAPSL_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_CFG0 +CYDEV_ANAIF_CFG_CAPSL_CFG0 EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_CFG1 +CYDEV_ANAIF_CFG_CAPSL_CFG1 EQU 0x40005871 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_BASE +CYDEV_ANAIF_CFG_CAPSR_BASE EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_SIZE +CYDEV_ANAIF_CFG_CAPSR_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_CFG0 +CYDEV_ANAIF_CFG_CAPSR_CFG0 EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_CFG1 +CYDEV_ANAIF_CFG_CAPSR_CFG1 EQU 0x40005873 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_BASE +CYDEV_ANAIF_CFG_PUMP_BASE EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_SIZE +CYDEV_ANAIF_CFG_PUMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_CR0 +CYDEV_ANAIF_CFG_PUMP_CR0 EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_CR1 +CYDEV_ANAIF_CFG_PUMP_CR1 EQU 0x40005877 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_BASE +CYDEV_ANAIF_CFG_LPF0_BASE EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_SIZE +CYDEV_ANAIF_CFG_LPF0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_CR0 +CYDEV_ANAIF_CFG_LPF0_CR0 EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_RSVD +CYDEV_ANAIF_CFG_LPF0_RSVD EQU 0x40005879 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_BASE +CYDEV_ANAIF_CFG_LPF1_BASE EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_SIZE +CYDEV_ANAIF_CFG_LPF1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_CR0 +CYDEV_ANAIF_CFG_LPF1_CR0 EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_RSVD +CYDEV_ANAIF_CFG_LPF1_RSVD EQU 0x4000587b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_BASE +CYDEV_ANAIF_CFG_MISC_BASE EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_SIZE +CYDEV_ANAIF_CFG_MISC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_CR0 +CYDEV_ANAIF_CFG_MISC_CR0 EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BASE +CYDEV_ANAIF_CFG_DSM0_BASE EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_SIZE +CYDEV_ANAIF_CFG_DSM0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR0 +CYDEV_ANAIF_CFG_DSM0_CR0 EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR1 +CYDEV_ANAIF_CFG_DSM0_CR1 EQU 0x40005881 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR2 +CYDEV_ANAIF_CFG_DSM0_CR2 EQU 0x40005882 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR3 +CYDEV_ANAIF_CFG_DSM0_CR3 EQU 0x40005883 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR4 +CYDEV_ANAIF_CFG_DSM0_CR4 EQU 0x40005884 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR5 +CYDEV_ANAIF_CFG_DSM0_CR5 EQU 0x40005885 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR6 +CYDEV_ANAIF_CFG_DSM0_CR6 EQU 0x40005886 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR7 +CYDEV_ANAIF_CFG_DSM0_CR7 EQU 0x40005887 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR8 +CYDEV_ANAIF_CFG_DSM0_CR8 EQU 0x40005888 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR9 +CYDEV_ANAIF_CFG_DSM0_CR9 EQU 0x40005889 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR10 +CYDEV_ANAIF_CFG_DSM0_CR10 EQU 0x4000588a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR11 +CYDEV_ANAIF_CFG_DSM0_CR11 EQU 0x4000588b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR12 +CYDEV_ANAIF_CFG_DSM0_CR12 EQU 0x4000588c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR13 +CYDEV_ANAIF_CFG_DSM0_CR13 EQU 0x4000588d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR14 +CYDEV_ANAIF_CFG_DSM0_CR14 EQU 0x4000588e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR15 +CYDEV_ANAIF_CFG_DSM0_CR15 EQU 0x4000588f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR16 +CYDEV_ANAIF_CFG_DSM0_CR16 EQU 0x40005890 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR17 +CYDEV_ANAIF_CFG_DSM0_CR17 EQU 0x40005891 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF0 +CYDEV_ANAIF_CFG_DSM0_REF0 EQU 0x40005892 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF1 +CYDEV_ANAIF_CFG_DSM0_REF1 EQU 0x40005893 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF2 +CYDEV_ANAIF_CFG_DSM0_REF2 EQU 0x40005894 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF3 +CYDEV_ANAIF_CFG_DSM0_REF3 EQU 0x40005895 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_DEM0 +CYDEV_ANAIF_CFG_DSM0_DEM0 EQU 0x40005896 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_DEM1 +CYDEV_ANAIF_CFG_DSM0_DEM1 EQU 0x40005897 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_TST0 +CYDEV_ANAIF_CFG_DSM0_TST0 EQU 0x40005898 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_TST1 +CYDEV_ANAIF_CFG_DSM0_TST1 EQU 0x40005899 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF0 +CYDEV_ANAIF_CFG_DSM0_BUF0 EQU 0x4000589a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF1 +CYDEV_ANAIF_CFG_DSM0_BUF1 EQU 0x4000589b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF2 +CYDEV_ANAIF_CFG_DSM0_BUF2 EQU 0x4000589c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF3 +CYDEV_ANAIF_CFG_DSM0_BUF3 EQU 0x4000589d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_MISC +CYDEV_ANAIF_CFG_DSM0_MISC EQU 0x4000589e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_RSVD1 +CYDEV_ANAIF_CFG_DSM0_RSVD1 EQU 0x4000589f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_BASE +CYDEV_ANAIF_CFG_SAR0_BASE EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_SIZE +CYDEV_ANAIF_CFG_SAR0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR0 +CYDEV_ANAIF_CFG_SAR0_CSR0 EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR1 +CYDEV_ANAIF_CFG_SAR0_CSR1 EQU 0x40005901 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR2 +CYDEV_ANAIF_CFG_SAR0_CSR2 EQU 0x40005902 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR3 +CYDEV_ANAIF_CFG_SAR0_CSR3 EQU 0x40005903 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR4 +CYDEV_ANAIF_CFG_SAR0_CSR4 EQU 0x40005904 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR5 +CYDEV_ANAIF_CFG_SAR0_CSR5 EQU 0x40005905 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR6 +CYDEV_ANAIF_CFG_SAR0_CSR6 EQU 0x40005906 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_BASE +CYDEV_ANAIF_CFG_SAR1_BASE EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_SIZE +CYDEV_ANAIF_CFG_SAR1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR0 +CYDEV_ANAIF_CFG_SAR1_CSR0 EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR1 +CYDEV_ANAIF_CFG_SAR1_CSR1 EQU 0x40005909 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR2 +CYDEV_ANAIF_CFG_SAR1_CSR2 EQU 0x4000590a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR3 +CYDEV_ANAIF_CFG_SAR1_CSR3 EQU 0x4000590b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR4 +CYDEV_ANAIF_CFG_SAR1_CSR4 EQU 0x4000590c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR5 +CYDEV_ANAIF_CFG_SAR1_CSR5 EQU 0x4000590d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR6 +CYDEV_ANAIF_CFG_SAR1_CSR6 EQU 0x4000590e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BASE +CYDEV_ANAIF_RT_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SIZE +CYDEV_ANAIF_RT_SIZE EQU 0x00000162 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BASE +CYDEV_ANAIF_RT_SC0_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SIZE +CYDEV_ANAIF_RT_SC0_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW0 +CYDEV_ANAIF_RT_SC0_SW0 EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW2 +CYDEV_ANAIF_RT_SC0_SW2 EQU 0x40005a02 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW3 +CYDEV_ANAIF_RT_SC0_SW3 EQU 0x40005a03 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW4 +CYDEV_ANAIF_RT_SC0_SW4 EQU 0x40005a04 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW6 +CYDEV_ANAIF_RT_SC0_SW6 EQU 0x40005a06 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW7 +CYDEV_ANAIF_RT_SC0_SW7 EQU 0x40005a07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW8 +CYDEV_ANAIF_RT_SC0_SW8 EQU 0x40005a08 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW10 +CYDEV_ANAIF_RT_SC0_SW10 EQU 0x40005a0a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_CLK +CYDEV_ANAIF_RT_SC0_CLK EQU 0x40005a0b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BST +CYDEV_ANAIF_RT_SC0_BST EQU 0x40005a0c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BASE +CYDEV_ANAIF_RT_SC1_BASE EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SIZE +CYDEV_ANAIF_RT_SC1_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW0 +CYDEV_ANAIF_RT_SC1_SW0 EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW2 +CYDEV_ANAIF_RT_SC1_SW2 EQU 0x40005a12 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW3 +CYDEV_ANAIF_RT_SC1_SW3 EQU 0x40005a13 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW4 +CYDEV_ANAIF_RT_SC1_SW4 EQU 0x40005a14 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW6 +CYDEV_ANAIF_RT_SC1_SW6 EQU 0x40005a16 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW7 +CYDEV_ANAIF_RT_SC1_SW7 EQU 0x40005a17 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW8 +CYDEV_ANAIF_RT_SC1_SW8 EQU 0x40005a18 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW10 +CYDEV_ANAIF_RT_SC1_SW10 EQU 0x40005a1a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_CLK +CYDEV_ANAIF_RT_SC1_CLK EQU 0x40005a1b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BST +CYDEV_ANAIF_RT_SC1_BST EQU 0x40005a1c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BASE +CYDEV_ANAIF_RT_SC2_BASE EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SIZE +CYDEV_ANAIF_RT_SC2_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW0 +CYDEV_ANAIF_RT_SC2_SW0 EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW2 +CYDEV_ANAIF_RT_SC2_SW2 EQU 0x40005a22 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW3 +CYDEV_ANAIF_RT_SC2_SW3 EQU 0x40005a23 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW4 +CYDEV_ANAIF_RT_SC2_SW4 EQU 0x40005a24 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW6 +CYDEV_ANAIF_RT_SC2_SW6 EQU 0x40005a26 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW7 +CYDEV_ANAIF_RT_SC2_SW7 EQU 0x40005a27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW8 +CYDEV_ANAIF_RT_SC2_SW8 EQU 0x40005a28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW10 +CYDEV_ANAIF_RT_SC2_SW10 EQU 0x40005a2a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_CLK +CYDEV_ANAIF_RT_SC2_CLK EQU 0x40005a2b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BST +CYDEV_ANAIF_RT_SC2_BST EQU 0x40005a2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BASE +CYDEV_ANAIF_RT_SC3_BASE EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SIZE +CYDEV_ANAIF_RT_SC3_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW0 +CYDEV_ANAIF_RT_SC3_SW0 EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW2 +CYDEV_ANAIF_RT_SC3_SW2 EQU 0x40005a32 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW3 +CYDEV_ANAIF_RT_SC3_SW3 EQU 0x40005a33 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW4 +CYDEV_ANAIF_RT_SC3_SW4 EQU 0x40005a34 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW6 +CYDEV_ANAIF_RT_SC3_SW6 EQU 0x40005a36 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW7 +CYDEV_ANAIF_RT_SC3_SW7 EQU 0x40005a37 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW8 +CYDEV_ANAIF_RT_SC3_SW8 EQU 0x40005a38 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW10 +CYDEV_ANAIF_RT_SC3_SW10 EQU 0x40005a3a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_CLK +CYDEV_ANAIF_RT_SC3_CLK EQU 0x40005a3b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BST +CYDEV_ANAIF_RT_SC3_BST EQU 0x40005a3c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_BASE +CYDEV_ANAIF_RT_DAC0_BASE EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SIZE +CYDEV_ANAIF_RT_DAC0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW0 +CYDEV_ANAIF_RT_DAC0_SW0 EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW2 +CYDEV_ANAIF_RT_DAC0_SW2 EQU 0x40005a82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW3 +CYDEV_ANAIF_RT_DAC0_SW3 EQU 0x40005a83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW4 +CYDEV_ANAIF_RT_DAC0_SW4 EQU 0x40005a84 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_STROBE +CYDEV_ANAIF_RT_DAC0_STROBE EQU 0x40005a87 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_BASE +CYDEV_ANAIF_RT_DAC1_BASE EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SIZE +CYDEV_ANAIF_RT_DAC1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW0 +CYDEV_ANAIF_RT_DAC1_SW0 EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW2 +CYDEV_ANAIF_RT_DAC1_SW2 EQU 0x40005a8a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW3 +CYDEV_ANAIF_RT_DAC1_SW3 EQU 0x40005a8b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW4 +CYDEV_ANAIF_RT_DAC1_SW4 EQU 0x40005a8c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_STROBE +CYDEV_ANAIF_RT_DAC1_STROBE EQU 0x40005a8f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_BASE +CYDEV_ANAIF_RT_DAC2_BASE EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SIZE +CYDEV_ANAIF_RT_DAC2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW0 +CYDEV_ANAIF_RT_DAC2_SW0 EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW2 +CYDEV_ANAIF_RT_DAC2_SW2 EQU 0x40005a92 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW3 +CYDEV_ANAIF_RT_DAC2_SW3 EQU 0x40005a93 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW4 +CYDEV_ANAIF_RT_DAC2_SW4 EQU 0x40005a94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_STROBE +CYDEV_ANAIF_RT_DAC2_STROBE EQU 0x40005a97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_BASE +CYDEV_ANAIF_RT_DAC3_BASE EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SIZE +CYDEV_ANAIF_RT_DAC3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW0 +CYDEV_ANAIF_RT_DAC3_SW0 EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW2 +CYDEV_ANAIF_RT_DAC3_SW2 EQU 0x40005a9a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW3 +CYDEV_ANAIF_RT_DAC3_SW3 EQU 0x40005a9b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW4 +CYDEV_ANAIF_RT_DAC3_SW4 EQU 0x40005a9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_STROBE +CYDEV_ANAIF_RT_DAC3_STROBE EQU 0x40005a9f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_BASE +CYDEV_ANAIF_RT_CMP0_BASE EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SIZE +CYDEV_ANAIF_RT_CMP0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW0 +CYDEV_ANAIF_RT_CMP0_SW0 EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW2 +CYDEV_ANAIF_RT_CMP0_SW2 EQU 0x40005ac2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW3 +CYDEV_ANAIF_RT_CMP0_SW3 EQU 0x40005ac3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW4 +CYDEV_ANAIF_RT_CMP0_SW4 EQU 0x40005ac4 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW6 +CYDEV_ANAIF_RT_CMP0_SW6 EQU 0x40005ac6 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_CLK +CYDEV_ANAIF_RT_CMP0_CLK EQU 0x40005ac7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_BASE +CYDEV_ANAIF_RT_CMP1_BASE EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SIZE +CYDEV_ANAIF_RT_CMP1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW0 +CYDEV_ANAIF_RT_CMP1_SW0 EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW2 +CYDEV_ANAIF_RT_CMP1_SW2 EQU 0x40005aca + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW3 +CYDEV_ANAIF_RT_CMP1_SW3 EQU 0x40005acb + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW4 +CYDEV_ANAIF_RT_CMP1_SW4 EQU 0x40005acc + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW6 +CYDEV_ANAIF_RT_CMP1_SW6 EQU 0x40005ace + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_CLK +CYDEV_ANAIF_RT_CMP1_CLK EQU 0x40005acf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_BASE +CYDEV_ANAIF_RT_CMP2_BASE EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SIZE +CYDEV_ANAIF_RT_CMP2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW0 +CYDEV_ANAIF_RT_CMP2_SW0 EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW2 +CYDEV_ANAIF_RT_CMP2_SW2 EQU 0x40005ad2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW3 +CYDEV_ANAIF_RT_CMP2_SW3 EQU 0x40005ad3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW4 +CYDEV_ANAIF_RT_CMP2_SW4 EQU 0x40005ad4 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW6 +CYDEV_ANAIF_RT_CMP2_SW6 EQU 0x40005ad6 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_CLK +CYDEV_ANAIF_RT_CMP2_CLK EQU 0x40005ad7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_BASE +CYDEV_ANAIF_RT_CMP3_BASE EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SIZE +CYDEV_ANAIF_RT_CMP3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW0 +CYDEV_ANAIF_RT_CMP3_SW0 EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW2 +CYDEV_ANAIF_RT_CMP3_SW2 EQU 0x40005ada + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW3 +CYDEV_ANAIF_RT_CMP3_SW3 EQU 0x40005adb + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW4 +CYDEV_ANAIF_RT_CMP3_SW4 EQU 0x40005adc + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW6 +CYDEV_ANAIF_RT_CMP3_SW6 EQU 0x40005ade + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_CLK +CYDEV_ANAIF_RT_CMP3_CLK EQU 0x40005adf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_BASE +CYDEV_ANAIF_RT_DSM0_BASE EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SIZE +CYDEV_ANAIF_RT_DSM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW0 +CYDEV_ANAIF_RT_DSM0_SW0 EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW2 +CYDEV_ANAIF_RT_DSM0_SW2 EQU 0x40005b02 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW3 +CYDEV_ANAIF_RT_DSM0_SW3 EQU 0x40005b03 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW4 +CYDEV_ANAIF_RT_DSM0_SW4 EQU 0x40005b04 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW6 +CYDEV_ANAIF_RT_DSM0_SW6 EQU 0x40005b06 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_CLK +CYDEV_ANAIF_RT_DSM0_CLK EQU 0x40005b07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_BASE +CYDEV_ANAIF_RT_SAR0_BASE EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SIZE +CYDEV_ANAIF_RT_SAR0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW0 +CYDEV_ANAIF_RT_SAR0_SW0 EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW2 +CYDEV_ANAIF_RT_SAR0_SW2 EQU 0x40005b22 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW3 +CYDEV_ANAIF_RT_SAR0_SW3 EQU 0x40005b23 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW4 +CYDEV_ANAIF_RT_SAR0_SW4 EQU 0x40005b24 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW6 +CYDEV_ANAIF_RT_SAR0_SW6 EQU 0x40005b26 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_CLK +CYDEV_ANAIF_RT_SAR0_CLK EQU 0x40005b27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_BASE +CYDEV_ANAIF_RT_SAR1_BASE EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SIZE +CYDEV_ANAIF_RT_SAR1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW0 +CYDEV_ANAIF_RT_SAR1_SW0 EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW2 +CYDEV_ANAIF_RT_SAR1_SW2 EQU 0x40005b2a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW3 +CYDEV_ANAIF_RT_SAR1_SW3 EQU 0x40005b2b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW4 +CYDEV_ANAIF_RT_SAR1_SW4 EQU 0x40005b2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW6 +CYDEV_ANAIF_RT_SAR1_SW6 EQU 0x40005b2e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_CLK +CYDEV_ANAIF_RT_SAR1_CLK EQU 0x40005b2f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_BASE +CYDEV_ANAIF_RT_OPAMP0_BASE EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SIZE +CYDEV_ANAIF_RT_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_MX +CYDEV_ANAIF_RT_OPAMP0_MX EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SW +CYDEV_ANAIF_RT_OPAMP0_SW EQU 0x40005b41 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_BASE +CYDEV_ANAIF_RT_OPAMP1_BASE EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SIZE +CYDEV_ANAIF_RT_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_MX +CYDEV_ANAIF_RT_OPAMP1_MX EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SW +CYDEV_ANAIF_RT_OPAMP1_SW EQU 0x40005b43 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_BASE +CYDEV_ANAIF_RT_OPAMP2_BASE EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SIZE +CYDEV_ANAIF_RT_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_MX +CYDEV_ANAIF_RT_OPAMP2_MX EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SW +CYDEV_ANAIF_RT_OPAMP2_SW EQU 0x40005b45 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_BASE +CYDEV_ANAIF_RT_OPAMP3_BASE EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SIZE +CYDEV_ANAIF_RT_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_MX +CYDEV_ANAIF_RT_OPAMP3_MX EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SW +CYDEV_ANAIF_RT_OPAMP3_SW EQU 0x40005b47 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_BASE +CYDEV_ANAIF_RT_LCDDAC_BASE EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SIZE +CYDEV_ANAIF_RT_LCDDAC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW0 +CYDEV_ANAIF_RT_LCDDAC_SW0 EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW1 +CYDEV_ANAIF_RT_LCDDAC_SW1 EQU 0x40005b51 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW2 +CYDEV_ANAIF_RT_LCDDAC_SW2 EQU 0x40005b52 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW3 +CYDEV_ANAIF_RT_LCDDAC_SW3 EQU 0x40005b53 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW4 +CYDEV_ANAIF_RT_LCDDAC_SW4 EQU 0x40005b54 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_BASE +CYDEV_ANAIF_RT_SC_BASE EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_SIZE +CYDEV_ANAIF_RT_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_MISC +CYDEV_ANAIF_RT_SC_MISC EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_BASE +CYDEV_ANAIF_RT_BUS_BASE EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SIZE +CYDEV_ANAIF_RT_BUS_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW0 +CYDEV_ANAIF_RT_BUS_SW0 EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW2 +CYDEV_ANAIF_RT_BUS_SW2 EQU 0x40005b5a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW3 +CYDEV_ANAIF_RT_BUS_SW3 EQU 0x40005b5b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_BASE +CYDEV_ANAIF_RT_DFT_BASE EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_SIZE +CYDEV_ANAIF_RT_DFT_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR0 +CYDEV_ANAIF_RT_DFT_CR0 EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR1 +CYDEV_ANAIF_RT_DFT_CR1 EQU 0x40005b5d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR2 +CYDEV_ANAIF_RT_DFT_CR2 EQU 0x40005b5e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR3 +CYDEV_ANAIF_RT_DFT_CR3 EQU 0x40005b5f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR4 +CYDEV_ANAIF_RT_DFT_CR4 EQU 0x40005b60 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR5 +CYDEV_ANAIF_RT_DFT_CR5 EQU 0x40005b61 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_BASE +CYDEV_ANAIF_WRK_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SIZE +CYDEV_ANAIF_WRK_SIZE EQU 0x00000029 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_BASE +CYDEV_ANAIF_WRK_DAC0_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_SIZE +CYDEV_ANAIF_WRK_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_D +CYDEV_ANAIF_WRK_DAC0_D EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_BASE +CYDEV_ANAIF_WRK_DAC1_BASE EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_SIZE +CYDEV_ANAIF_WRK_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_D +CYDEV_ANAIF_WRK_DAC1_D EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_BASE +CYDEV_ANAIF_WRK_DAC2_BASE EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_SIZE +CYDEV_ANAIF_WRK_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_D +CYDEV_ANAIF_WRK_DAC2_D EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_BASE +CYDEV_ANAIF_WRK_DAC3_BASE EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_SIZE +CYDEV_ANAIF_WRK_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_D +CYDEV_ANAIF_WRK_DAC3_D EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_BASE +CYDEV_ANAIF_WRK_DSM0_BASE EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_SIZE +CYDEV_ANAIF_WRK_DSM0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_OUT0 +CYDEV_ANAIF_WRK_DSM0_OUT0 EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_OUT1 +CYDEV_ANAIF_WRK_DSM0_OUT1 EQU 0x40005b89 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_BASE +CYDEV_ANAIF_WRK_LUT_BASE EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SIZE +CYDEV_ANAIF_WRK_LUT_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SR +CYDEV_ANAIF_WRK_LUT_SR EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_WRK1 +CYDEV_ANAIF_WRK_LUT_WRK1 EQU 0x40005b91 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_MSK +CYDEV_ANAIF_WRK_LUT_MSK EQU 0x40005b92 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_CLK +CYDEV_ANAIF_WRK_LUT_CLK EQU 0x40005b93 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_CPTR +CYDEV_ANAIF_WRK_LUT_CPTR EQU 0x40005b94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_BASE +CYDEV_ANAIF_WRK_CMP_BASE EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_SIZE +CYDEV_ANAIF_WRK_CMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_WRK +CYDEV_ANAIF_WRK_CMP_WRK EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_TST +CYDEV_ANAIF_WRK_CMP_TST EQU 0x40005b97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_BASE +CYDEV_ANAIF_WRK_SC_BASE EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SIZE +CYDEV_ANAIF_WRK_SC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SR +CYDEV_ANAIF_WRK_SC_SR EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_WRK1 +CYDEV_ANAIF_WRK_SC_WRK1 EQU 0x40005b99 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_MSK +CYDEV_ANAIF_WRK_SC_MSK EQU 0x40005b9a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_CMPINV +CYDEV_ANAIF_WRK_SC_CMPINV EQU 0x40005b9b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_CPTR +CYDEV_ANAIF_WRK_SC_CPTR EQU 0x40005b9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_BASE +CYDEV_ANAIF_WRK_SAR0_BASE EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_SIZE +CYDEV_ANAIF_WRK_SAR0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_WRK0 +CYDEV_ANAIF_WRK_SAR0_WRK0 EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_WRK1 +CYDEV_ANAIF_WRK_SAR0_WRK1 EQU 0x40005ba1 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_BASE +CYDEV_ANAIF_WRK_SAR1_BASE EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_SIZE +CYDEV_ANAIF_WRK_SAR1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_WRK0 +CYDEV_ANAIF_WRK_SAR1_WRK0 EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_WRK1 +CYDEV_ANAIF_WRK_SAR1_WRK1 EQU 0x40005ba3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_BASE +CYDEV_ANAIF_WRK_SARS_BASE EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SIZE +CYDEV_ANAIF_WRK_SARS_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SOF +CYDEV_ANAIF_WRK_SARS_SOF EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BASE +CYDEV_USB_BASE EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIZE +CYDEV_USB_SIZE EQU 0x00000300 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR0 +CYDEV_USB_EP0_DR0 EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR1 +CYDEV_USB_EP0_DR1 EQU 0x40006001 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR2 +CYDEV_USB_EP0_DR2 EQU 0x40006002 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR3 +CYDEV_USB_EP0_DR3 EQU 0x40006003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR4 +CYDEV_USB_EP0_DR4 EQU 0x40006004 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR5 +CYDEV_USB_EP0_DR5 EQU 0x40006005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR6 +CYDEV_USB_EP0_DR6 EQU 0x40006006 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR7 +CYDEV_USB_EP0_DR7 EQU 0x40006007 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CR0 +CYDEV_USB_CR0 EQU 0x40006008 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CR1 +CYDEV_USB_CR1 EQU 0x40006009 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP_INT_EN +CYDEV_USB_SIE_EP_INT_EN EQU 0x4000600a + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP_INT_SR +CYDEV_USB_SIE_EP_INT_SR EQU 0x4000600b + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_BASE +CYDEV_USB_SIE_EP1_BASE EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_SIZE +CYDEV_USB_SIE_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CNT0 +CYDEV_USB_SIE_EP1_CNT0 EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CNT1 +CYDEV_USB_SIE_EP1_CNT1 EQU 0x4000600d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CR0 +CYDEV_USB_SIE_EP1_CR0 EQU 0x4000600e + ENDIF + IF :LNOT::DEF:CYDEV_USB_USBIO_CR0 +CYDEV_USB_USBIO_CR0 EQU 0x40006010 + ENDIF + IF :LNOT::DEF:CYDEV_USB_USBIO_CR1 +CYDEV_USB_USBIO_CR1 EQU 0x40006012 + ENDIF + IF :LNOT::DEF:CYDEV_USB_DYN_RECONFIG +CYDEV_USB_DYN_RECONFIG EQU 0x40006014 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SOF0 +CYDEV_USB_SOF0 EQU 0x40006018 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SOF1 +CYDEV_USB_SOF1 EQU 0x40006019 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_BASE +CYDEV_USB_SIE_EP2_BASE EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_SIZE +CYDEV_USB_SIE_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CNT0 +CYDEV_USB_SIE_EP2_CNT0 EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CNT1 +CYDEV_USB_SIE_EP2_CNT1 EQU 0x4000601d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CR0 +CYDEV_USB_SIE_EP2_CR0 EQU 0x4000601e + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_CR +CYDEV_USB_EP0_CR EQU 0x40006028 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_CNT +CYDEV_USB_EP0_CNT EQU 0x40006029 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_BASE +CYDEV_USB_SIE_EP3_BASE EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_SIZE +CYDEV_USB_SIE_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CNT0 +CYDEV_USB_SIE_EP3_CNT0 EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CNT1 +CYDEV_USB_SIE_EP3_CNT1 EQU 0x4000602d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CR0 +CYDEV_USB_SIE_EP3_CR0 EQU 0x4000602e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_BASE +CYDEV_USB_SIE_EP4_BASE EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_SIZE +CYDEV_USB_SIE_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CNT0 +CYDEV_USB_SIE_EP4_CNT0 EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CNT1 +CYDEV_USB_SIE_EP4_CNT1 EQU 0x4000603d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CR0 +CYDEV_USB_SIE_EP4_CR0 EQU 0x4000603e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_BASE +CYDEV_USB_SIE_EP5_BASE EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_SIZE +CYDEV_USB_SIE_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CNT0 +CYDEV_USB_SIE_EP5_CNT0 EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CNT1 +CYDEV_USB_SIE_EP5_CNT1 EQU 0x4000604d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CR0 +CYDEV_USB_SIE_EP5_CR0 EQU 0x4000604e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_BASE +CYDEV_USB_SIE_EP6_BASE EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_SIZE +CYDEV_USB_SIE_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CNT0 +CYDEV_USB_SIE_EP6_CNT0 EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CNT1 +CYDEV_USB_SIE_EP6_CNT1 EQU 0x4000605d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CR0 +CYDEV_USB_SIE_EP6_CR0 EQU 0x4000605e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_BASE +CYDEV_USB_SIE_EP7_BASE EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_SIZE +CYDEV_USB_SIE_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CNT0 +CYDEV_USB_SIE_EP7_CNT0 EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CNT1 +CYDEV_USB_SIE_EP7_CNT1 EQU 0x4000606d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CR0 +CYDEV_USB_SIE_EP7_CR0 EQU 0x4000606e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_BASE +CYDEV_USB_SIE_EP8_BASE EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_SIZE +CYDEV_USB_SIE_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CNT0 +CYDEV_USB_SIE_EP8_CNT0 EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CNT1 +CYDEV_USB_SIE_EP8_CNT1 EQU 0x4000607d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CR0 +CYDEV_USB_SIE_EP8_CR0 EQU 0x4000607e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_BASE +CYDEV_USB_ARB_EP1_BASE EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SIZE +CYDEV_USB_ARB_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_CFG +CYDEV_USB_ARB_EP1_CFG EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_INT_EN +CYDEV_USB_ARB_EP1_INT_EN EQU 0x40006081 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SR +CYDEV_USB_ARB_EP1_SR EQU 0x40006082 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_BASE +CYDEV_USB_ARB_RW1_BASE EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_SIZE +CYDEV_USB_ARB_RW1_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_WA +CYDEV_USB_ARB_RW1_WA EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_WA_MSB +CYDEV_USB_ARB_RW1_WA_MSB EQU 0x40006085 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_RA +CYDEV_USB_ARB_RW1_RA EQU 0x40006086 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_RA_MSB +CYDEV_USB_ARB_RW1_RA_MSB EQU 0x40006087 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_DR +CYDEV_USB_ARB_RW1_DR EQU 0x40006088 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BUF_SIZE +CYDEV_USB_BUF_SIZE EQU 0x4000608c + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP_ACTIVE +CYDEV_USB_EP_ACTIVE EQU 0x4000608e + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP_TYPE +CYDEV_USB_EP_TYPE EQU 0x4000608f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_BASE +CYDEV_USB_ARB_EP2_BASE EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SIZE +CYDEV_USB_ARB_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_CFG +CYDEV_USB_ARB_EP2_CFG EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_INT_EN +CYDEV_USB_ARB_EP2_INT_EN EQU 0x40006091 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SR +CYDEV_USB_ARB_EP2_SR EQU 0x40006092 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_BASE +CYDEV_USB_ARB_RW2_BASE EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_SIZE +CYDEV_USB_ARB_RW2_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_WA +CYDEV_USB_ARB_RW2_WA EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_WA_MSB +CYDEV_USB_ARB_RW2_WA_MSB EQU 0x40006095 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_RA +CYDEV_USB_ARB_RW2_RA EQU 0x40006096 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_RA_MSB +CYDEV_USB_ARB_RW2_RA_MSB EQU 0x40006097 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_DR +CYDEV_USB_ARB_RW2_DR EQU 0x40006098 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_CFG +CYDEV_USB_ARB_CFG EQU 0x4000609c + ENDIF + IF :LNOT::DEF:CYDEV_USB_USB_CLK_EN +CYDEV_USB_USB_CLK_EN EQU 0x4000609d + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_INT_EN +CYDEV_USB_ARB_INT_EN EQU 0x4000609e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_INT_SR +CYDEV_USB_ARB_INT_SR EQU 0x4000609f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_BASE +CYDEV_USB_ARB_EP3_BASE EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SIZE +CYDEV_USB_ARB_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_CFG +CYDEV_USB_ARB_EP3_CFG EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_INT_EN +CYDEV_USB_ARB_EP3_INT_EN EQU 0x400060a1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SR +CYDEV_USB_ARB_EP3_SR EQU 0x400060a2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_BASE +CYDEV_USB_ARB_RW3_BASE EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_SIZE +CYDEV_USB_ARB_RW3_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_WA +CYDEV_USB_ARB_RW3_WA EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_WA_MSB +CYDEV_USB_ARB_RW3_WA_MSB EQU 0x400060a5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_RA +CYDEV_USB_ARB_RW3_RA EQU 0x400060a6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_RA_MSB +CYDEV_USB_ARB_RW3_RA_MSB EQU 0x400060a7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_DR +CYDEV_USB_ARB_RW3_DR EQU 0x400060a8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CWA +CYDEV_USB_CWA EQU 0x400060ac + ENDIF + IF :LNOT::DEF:CYDEV_USB_CWA_MSB +CYDEV_USB_CWA_MSB EQU 0x400060ad + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_BASE +CYDEV_USB_ARB_EP4_BASE EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SIZE +CYDEV_USB_ARB_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_CFG +CYDEV_USB_ARB_EP4_CFG EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_INT_EN +CYDEV_USB_ARB_EP4_INT_EN EQU 0x400060b1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SR +CYDEV_USB_ARB_EP4_SR EQU 0x400060b2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_BASE +CYDEV_USB_ARB_RW4_BASE EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_SIZE +CYDEV_USB_ARB_RW4_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_WA +CYDEV_USB_ARB_RW4_WA EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_WA_MSB +CYDEV_USB_ARB_RW4_WA_MSB EQU 0x400060b5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_RA +CYDEV_USB_ARB_RW4_RA EQU 0x400060b6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_RA_MSB +CYDEV_USB_ARB_RW4_RA_MSB EQU 0x400060b7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_DR +CYDEV_USB_ARB_RW4_DR EQU 0x400060b8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_DMA_THRES +CYDEV_USB_DMA_THRES EQU 0x400060bc + ENDIF + IF :LNOT::DEF:CYDEV_USB_DMA_THRES_MSB +CYDEV_USB_DMA_THRES_MSB EQU 0x400060bd + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_BASE +CYDEV_USB_ARB_EP5_BASE EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SIZE +CYDEV_USB_ARB_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_CFG +CYDEV_USB_ARB_EP5_CFG EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_INT_EN +CYDEV_USB_ARB_EP5_INT_EN EQU 0x400060c1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SR +CYDEV_USB_ARB_EP5_SR EQU 0x400060c2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_BASE +CYDEV_USB_ARB_RW5_BASE EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_SIZE +CYDEV_USB_ARB_RW5_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_WA +CYDEV_USB_ARB_RW5_WA EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_WA_MSB +CYDEV_USB_ARB_RW5_WA_MSB EQU 0x400060c5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_RA +CYDEV_USB_ARB_RW5_RA EQU 0x400060c6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_RA_MSB +CYDEV_USB_ARB_RW5_RA_MSB EQU 0x400060c7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_DR +CYDEV_USB_ARB_RW5_DR EQU 0x400060c8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BUS_RST_CNT +CYDEV_USB_BUS_RST_CNT EQU 0x400060cc + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_BASE +CYDEV_USB_ARB_EP6_BASE EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SIZE +CYDEV_USB_ARB_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_CFG +CYDEV_USB_ARB_EP6_CFG EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_INT_EN +CYDEV_USB_ARB_EP6_INT_EN EQU 0x400060d1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SR +CYDEV_USB_ARB_EP6_SR EQU 0x400060d2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_BASE +CYDEV_USB_ARB_RW6_BASE EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_SIZE +CYDEV_USB_ARB_RW6_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_WA +CYDEV_USB_ARB_RW6_WA EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_WA_MSB +CYDEV_USB_ARB_RW6_WA_MSB EQU 0x400060d5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_RA +CYDEV_USB_ARB_RW6_RA EQU 0x400060d6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_RA_MSB +CYDEV_USB_ARB_RW6_RA_MSB EQU 0x400060d7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_DR +CYDEV_USB_ARB_RW6_DR EQU 0x400060d8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_BASE +CYDEV_USB_ARB_EP7_BASE EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SIZE +CYDEV_USB_ARB_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_CFG +CYDEV_USB_ARB_EP7_CFG EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_INT_EN +CYDEV_USB_ARB_EP7_INT_EN EQU 0x400060e1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SR +CYDEV_USB_ARB_EP7_SR EQU 0x400060e2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_BASE +CYDEV_USB_ARB_RW7_BASE EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_SIZE +CYDEV_USB_ARB_RW7_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_WA +CYDEV_USB_ARB_RW7_WA EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_WA_MSB +CYDEV_USB_ARB_RW7_WA_MSB EQU 0x400060e5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_RA +CYDEV_USB_ARB_RW7_RA EQU 0x400060e6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_RA_MSB +CYDEV_USB_ARB_RW7_RA_MSB EQU 0x400060e7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_DR +CYDEV_USB_ARB_RW7_DR EQU 0x400060e8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_BASE +CYDEV_USB_ARB_EP8_BASE EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SIZE +CYDEV_USB_ARB_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_CFG +CYDEV_USB_ARB_EP8_CFG EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_INT_EN +CYDEV_USB_ARB_EP8_INT_EN EQU 0x400060f1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SR +CYDEV_USB_ARB_EP8_SR EQU 0x400060f2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_BASE +CYDEV_USB_ARB_RW8_BASE EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_SIZE +CYDEV_USB_ARB_RW8_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_WA +CYDEV_USB_ARB_RW8_WA EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_WA_MSB +CYDEV_USB_ARB_RW8_WA_MSB EQU 0x400060f5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_RA +CYDEV_USB_ARB_RW8_RA EQU 0x400060f6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_RA_MSB +CYDEV_USB_ARB_RW8_RA_MSB EQU 0x400060f7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_DR +CYDEV_USB_ARB_RW8_DR EQU 0x400060f8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_BASE +CYDEV_USB_MEM_BASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_SIZE +CYDEV_USB_MEM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_DATA_MBASE +CYDEV_USB_MEM_DATA_MBASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_DATA_MSIZE +CYDEV_USB_MEM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_BASE +CYDEV_UWRK_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_SIZE +CYDEV_UWRK_SIZE EQU 0x00000b60 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_BASE +CYDEV_UWRK_UWRK8_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_SIZE +CYDEV_UWRK_UWRK8_SIZE EQU 0x000003b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_BASE +CYDEV_UWRK_UWRK8_B0_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_SIZE +CYDEV_UWRK_UWRK8_B0_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_A0 +CYDEV_UWRK_UWRK8_B0_UDB00_A0 EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_A0 +CYDEV_UWRK_UWRK8_B0_UDB01_A0 EQU 0x40006401 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_A0 +CYDEV_UWRK_UWRK8_B0_UDB02_A0 EQU 0x40006402 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_A0 +CYDEV_UWRK_UWRK8_B0_UDB03_A0 EQU 0x40006403 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_A0 +CYDEV_UWRK_UWRK8_B0_UDB04_A0 EQU 0x40006404 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_A0 +CYDEV_UWRK_UWRK8_B0_UDB05_A0 EQU 0x40006405 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_A0 +CYDEV_UWRK_UWRK8_B0_UDB06_A0 EQU 0x40006406 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_A0 +CYDEV_UWRK_UWRK8_B0_UDB07_A0 EQU 0x40006407 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_A0 +CYDEV_UWRK_UWRK8_B0_UDB08_A0 EQU 0x40006408 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_A0 +CYDEV_UWRK_UWRK8_B0_UDB09_A0 EQU 0x40006409 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_A0 +CYDEV_UWRK_UWRK8_B0_UDB10_A0 EQU 0x4000640a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_A0 +CYDEV_UWRK_UWRK8_B0_UDB11_A0 EQU 0x4000640b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_A0 +CYDEV_UWRK_UWRK8_B0_UDB12_A0 EQU 0x4000640c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_A0 +CYDEV_UWRK_UWRK8_B0_UDB13_A0 EQU 0x4000640d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_A0 +CYDEV_UWRK_UWRK8_B0_UDB14_A0 EQU 0x4000640e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_A0 +CYDEV_UWRK_UWRK8_B0_UDB15_A0 EQU 0x4000640f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_A1 +CYDEV_UWRK_UWRK8_B0_UDB00_A1 EQU 0x40006410 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_A1 +CYDEV_UWRK_UWRK8_B0_UDB01_A1 EQU 0x40006411 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_A1 +CYDEV_UWRK_UWRK8_B0_UDB02_A1 EQU 0x40006412 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_A1 +CYDEV_UWRK_UWRK8_B0_UDB03_A1 EQU 0x40006413 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_A1 +CYDEV_UWRK_UWRK8_B0_UDB04_A1 EQU 0x40006414 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_A1 +CYDEV_UWRK_UWRK8_B0_UDB05_A1 EQU 0x40006415 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_A1 +CYDEV_UWRK_UWRK8_B0_UDB06_A1 EQU 0x40006416 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_A1 +CYDEV_UWRK_UWRK8_B0_UDB07_A1 EQU 0x40006417 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_A1 +CYDEV_UWRK_UWRK8_B0_UDB08_A1 EQU 0x40006418 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_A1 +CYDEV_UWRK_UWRK8_B0_UDB09_A1 EQU 0x40006419 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_A1 +CYDEV_UWRK_UWRK8_B0_UDB10_A1 EQU 0x4000641a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_A1 +CYDEV_UWRK_UWRK8_B0_UDB11_A1 EQU 0x4000641b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_A1 +CYDEV_UWRK_UWRK8_B0_UDB12_A1 EQU 0x4000641c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_A1 +CYDEV_UWRK_UWRK8_B0_UDB13_A1 EQU 0x4000641d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_A1 +CYDEV_UWRK_UWRK8_B0_UDB14_A1 EQU 0x4000641e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_A1 +CYDEV_UWRK_UWRK8_B0_UDB15_A1 EQU 0x4000641f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_D0 +CYDEV_UWRK_UWRK8_B0_UDB00_D0 EQU 0x40006420 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_D0 +CYDEV_UWRK_UWRK8_B0_UDB01_D0 EQU 0x40006421 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_D0 +CYDEV_UWRK_UWRK8_B0_UDB02_D0 EQU 0x40006422 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_D0 +CYDEV_UWRK_UWRK8_B0_UDB03_D0 EQU 0x40006423 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_D0 +CYDEV_UWRK_UWRK8_B0_UDB04_D0 EQU 0x40006424 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_D0 +CYDEV_UWRK_UWRK8_B0_UDB05_D0 EQU 0x40006425 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_D0 +CYDEV_UWRK_UWRK8_B0_UDB06_D0 EQU 0x40006426 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_D0 +CYDEV_UWRK_UWRK8_B0_UDB07_D0 EQU 0x40006427 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_D0 +CYDEV_UWRK_UWRK8_B0_UDB08_D0 EQU 0x40006428 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_D0 +CYDEV_UWRK_UWRK8_B0_UDB09_D0 EQU 0x40006429 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_D0 +CYDEV_UWRK_UWRK8_B0_UDB10_D0 EQU 0x4000642a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_D0 +CYDEV_UWRK_UWRK8_B0_UDB11_D0 EQU 0x4000642b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_D0 +CYDEV_UWRK_UWRK8_B0_UDB12_D0 EQU 0x4000642c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_D0 +CYDEV_UWRK_UWRK8_B0_UDB13_D0 EQU 0x4000642d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_D0 +CYDEV_UWRK_UWRK8_B0_UDB14_D0 EQU 0x4000642e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_D0 +CYDEV_UWRK_UWRK8_B0_UDB15_D0 EQU 0x4000642f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_D1 +CYDEV_UWRK_UWRK8_B0_UDB00_D1 EQU 0x40006430 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_D1 +CYDEV_UWRK_UWRK8_B0_UDB01_D1 EQU 0x40006431 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_D1 +CYDEV_UWRK_UWRK8_B0_UDB02_D1 EQU 0x40006432 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_D1 +CYDEV_UWRK_UWRK8_B0_UDB03_D1 EQU 0x40006433 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_D1 +CYDEV_UWRK_UWRK8_B0_UDB04_D1 EQU 0x40006434 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_D1 +CYDEV_UWRK_UWRK8_B0_UDB05_D1 EQU 0x40006435 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_D1 +CYDEV_UWRK_UWRK8_B0_UDB06_D1 EQU 0x40006436 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_D1 +CYDEV_UWRK_UWRK8_B0_UDB07_D1 EQU 0x40006437 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_D1 +CYDEV_UWRK_UWRK8_B0_UDB08_D1 EQU 0x40006438 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_D1 +CYDEV_UWRK_UWRK8_B0_UDB09_D1 EQU 0x40006439 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_D1 +CYDEV_UWRK_UWRK8_B0_UDB10_D1 EQU 0x4000643a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_D1 +CYDEV_UWRK_UWRK8_B0_UDB11_D1 EQU 0x4000643b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_D1 +CYDEV_UWRK_UWRK8_B0_UDB12_D1 EQU 0x4000643c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_D1 +CYDEV_UWRK_UWRK8_B0_UDB13_D1 EQU 0x4000643d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_D1 +CYDEV_UWRK_UWRK8_B0_UDB14_D1 EQU 0x4000643e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_D1 +CYDEV_UWRK_UWRK8_B0_UDB15_D1 EQU 0x4000643f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_F0 +CYDEV_UWRK_UWRK8_B0_UDB00_F0 EQU 0x40006440 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_F0 +CYDEV_UWRK_UWRK8_B0_UDB01_F0 EQU 0x40006441 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_F0 +CYDEV_UWRK_UWRK8_B0_UDB02_F0 EQU 0x40006442 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_F0 +CYDEV_UWRK_UWRK8_B0_UDB03_F0 EQU 0x40006443 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_F0 +CYDEV_UWRK_UWRK8_B0_UDB04_F0 EQU 0x40006444 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_F0 +CYDEV_UWRK_UWRK8_B0_UDB05_F0 EQU 0x40006445 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_F0 +CYDEV_UWRK_UWRK8_B0_UDB06_F0 EQU 0x40006446 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_F0 +CYDEV_UWRK_UWRK8_B0_UDB07_F0 EQU 0x40006447 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_F0 +CYDEV_UWRK_UWRK8_B0_UDB08_F0 EQU 0x40006448 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_F0 +CYDEV_UWRK_UWRK8_B0_UDB09_F0 EQU 0x40006449 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_F0 +CYDEV_UWRK_UWRK8_B0_UDB10_F0 EQU 0x4000644a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_F0 +CYDEV_UWRK_UWRK8_B0_UDB11_F0 EQU 0x4000644b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_F0 +CYDEV_UWRK_UWRK8_B0_UDB12_F0 EQU 0x4000644c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_F0 +CYDEV_UWRK_UWRK8_B0_UDB13_F0 EQU 0x4000644d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_F0 +CYDEV_UWRK_UWRK8_B0_UDB14_F0 EQU 0x4000644e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_F0 +CYDEV_UWRK_UWRK8_B0_UDB15_F0 EQU 0x4000644f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_F1 +CYDEV_UWRK_UWRK8_B0_UDB00_F1 EQU 0x40006450 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_F1 +CYDEV_UWRK_UWRK8_B0_UDB01_F1 EQU 0x40006451 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_F1 +CYDEV_UWRK_UWRK8_B0_UDB02_F1 EQU 0x40006452 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_F1 +CYDEV_UWRK_UWRK8_B0_UDB03_F1 EQU 0x40006453 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_F1 +CYDEV_UWRK_UWRK8_B0_UDB04_F1 EQU 0x40006454 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_F1 +CYDEV_UWRK_UWRK8_B0_UDB05_F1 EQU 0x40006455 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_F1 +CYDEV_UWRK_UWRK8_B0_UDB06_F1 EQU 0x40006456 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_F1 +CYDEV_UWRK_UWRK8_B0_UDB07_F1 EQU 0x40006457 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_F1 +CYDEV_UWRK_UWRK8_B0_UDB08_F1 EQU 0x40006458 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_F1 +CYDEV_UWRK_UWRK8_B0_UDB09_F1 EQU 0x40006459 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_F1 +CYDEV_UWRK_UWRK8_B0_UDB10_F1 EQU 0x4000645a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_F1 +CYDEV_UWRK_UWRK8_B0_UDB11_F1 EQU 0x4000645b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_F1 +CYDEV_UWRK_UWRK8_B0_UDB12_F1 EQU 0x4000645c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_F1 +CYDEV_UWRK_UWRK8_B0_UDB13_F1 EQU 0x4000645d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_F1 +CYDEV_UWRK_UWRK8_B0_UDB14_F1 EQU 0x4000645e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_F1 +CYDEV_UWRK_UWRK8_B0_UDB15_F1 EQU 0x4000645f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_ST +CYDEV_UWRK_UWRK8_B0_UDB00_ST EQU 0x40006460 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_ST +CYDEV_UWRK_UWRK8_B0_UDB01_ST EQU 0x40006461 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_ST +CYDEV_UWRK_UWRK8_B0_UDB02_ST EQU 0x40006462 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_ST +CYDEV_UWRK_UWRK8_B0_UDB03_ST EQU 0x40006463 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_ST +CYDEV_UWRK_UWRK8_B0_UDB04_ST EQU 0x40006464 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_ST +CYDEV_UWRK_UWRK8_B0_UDB05_ST EQU 0x40006465 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_ST +CYDEV_UWRK_UWRK8_B0_UDB06_ST EQU 0x40006466 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_ST +CYDEV_UWRK_UWRK8_B0_UDB07_ST EQU 0x40006467 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_ST +CYDEV_UWRK_UWRK8_B0_UDB08_ST EQU 0x40006468 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_ST +CYDEV_UWRK_UWRK8_B0_UDB09_ST EQU 0x40006469 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_ST +CYDEV_UWRK_UWRK8_B0_UDB10_ST EQU 0x4000646a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_ST +CYDEV_UWRK_UWRK8_B0_UDB11_ST EQU 0x4000646b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_ST +CYDEV_UWRK_UWRK8_B0_UDB12_ST EQU 0x4000646c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_ST +CYDEV_UWRK_UWRK8_B0_UDB13_ST EQU 0x4000646d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_ST +CYDEV_UWRK_UWRK8_B0_UDB14_ST EQU 0x4000646e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_ST +CYDEV_UWRK_UWRK8_B0_UDB15_ST EQU 0x4000646f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_CTL +CYDEV_UWRK_UWRK8_B0_UDB00_CTL EQU 0x40006470 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_CTL +CYDEV_UWRK_UWRK8_B0_UDB01_CTL EQU 0x40006471 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_CTL +CYDEV_UWRK_UWRK8_B0_UDB02_CTL EQU 0x40006472 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_CTL +CYDEV_UWRK_UWRK8_B0_UDB03_CTL EQU 0x40006473 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_CTL +CYDEV_UWRK_UWRK8_B0_UDB04_CTL EQU 0x40006474 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_CTL +CYDEV_UWRK_UWRK8_B0_UDB05_CTL EQU 0x40006475 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_CTL +CYDEV_UWRK_UWRK8_B0_UDB06_CTL EQU 0x40006476 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_CTL +CYDEV_UWRK_UWRK8_B0_UDB07_CTL EQU 0x40006477 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_CTL +CYDEV_UWRK_UWRK8_B0_UDB08_CTL EQU 0x40006478 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_CTL +CYDEV_UWRK_UWRK8_B0_UDB09_CTL EQU 0x40006479 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_CTL +CYDEV_UWRK_UWRK8_B0_UDB10_CTL EQU 0x4000647a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_CTL +CYDEV_UWRK_UWRK8_B0_UDB11_CTL EQU 0x4000647b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_CTL +CYDEV_UWRK_UWRK8_B0_UDB12_CTL EQU 0x4000647c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_CTL +CYDEV_UWRK_UWRK8_B0_UDB13_CTL EQU 0x4000647d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_CTL +CYDEV_UWRK_UWRK8_B0_UDB14_CTL EQU 0x4000647e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_CTL +CYDEV_UWRK_UWRK8_B0_UDB15_CTL EQU 0x4000647f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_MSK +CYDEV_UWRK_UWRK8_B0_UDB00_MSK EQU 0x40006480 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_MSK +CYDEV_UWRK_UWRK8_B0_UDB01_MSK EQU 0x40006481 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_MSK +CYDEV_UWRK_UWRK8_B0_UDB02_MSK EQU 0x40006482 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_MSK +CYDEV_UWRK_UWRK8_B0_UDB03_MSK EQU 0x40006483 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_MSK +CYDEV_UWRK_UWRK8_B0_UDB04_MSK EQU 0x40006484 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_MSK +CYDEV_UWRK_UWRK8_B0_UDB05_MSK EQU 0x40006485 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_MSK +CYDEV_UWRK_UWRK8_B0_UDB06_MSK EQU 0x40006486 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_MSK +CYDEV_UWRK_UWRK8_B0_UDB07_MSK EQU 0x40006487 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_MSK +CYDEV_UWRK_UWRK8_B0_UDB08_MSK EQU 0x40006488 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_MSK +CYDEV_UWRK_UWRK8_B0_UDB09_MSK EQU 0x40006489 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_MSK +CYDEV_UWRK_UWRK8_B0_UDB10_MSK EQU 0x4000648a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_MSK +CYDEV_UWRK_UWRK8_B0_UDB11_MSK EQU 0x4000648b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_MSK +CYDEV_UWRK_UWRK8_B0_UDB12_MSK EQU 0x4000648c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_MSK +CYDEV_UWRK_UWRK8_B0_UDB13_MSK EQU 0x4000648d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_MSK +CYDEV_UWRK_UWRK8_B0_UDB14_MSK EQU 0x4000648e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_MSK +CYDEV_UWRK_UWRK8_B0_UDB15_MSK EQU 0x4000648f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_ACTL +CYDEV_UWRK_UWRK8_B0_UDB00_ACTL EQU 0x40006490 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_ACTL +CYDEV_UWRK_UWRK8_B0_UDB01_ACTL EQU 0x40006491 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_ACTL +CYDEV_UWRK_UWRK8_B0_UDB02_ACTL EQU 0x40006492 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_ACTL +CYDEV_UWRK_UWRK8_B0_UDB03_ACTL EQU 0x40006493 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_ACTL +CYDEV_UWRK_UWRK8_B0_UDB04_ACTL EQU 0x40006494 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_ACTL +CYDEV_UWRK_UWRK8_B0_UDB05_ACTL EQU 0x40006495 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_ACTL +CYDEV_UWRK_UWRK8_B0_UDB06_ACTL EQU 0x40006496 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_ACTL +CYDEV_UWRK_UWRK8_B0_UDB07_ACTL EQU 0x40006497 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_ACTL +CYDEV_UWRK_UWRK8_B0_UDB08_ACTL EQU 0x40006498 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_ACTL +CYDEV_UWRK_UWRK8_B0_UDB09_ACTL EQU 0x40006499 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_ACTL +CYDEV_UWRK_UWRK8_B0_UDB10_ACTL EQU 0x4000649a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_ACTL +CYDEV_UWRK_UWRK8_B0_UDB11_ACTL EQU 0x4000649b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_ACTL +CYDEV_UWRK_UWRK8_B0_UDB12_ACTL EQU 0x4000649c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_ACTL +CYDEV_UWRK_UWRK8_B0_UDB13_ACTL EQU 0x4000649d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_ACTL +CYDEV_UWRK_UWRK8_B0_UDB14_ACTL EQU 0x4000649e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_ACTL +CYDEV_UWRK_UWRK8_B0_UDB15_ACTL EQU 0x4000649f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_MC +CYDEV_UWRK_UWRK8_B0_UDB00_MC EQU 0x400064a0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_MC +CYDEV_UWRK_UWRK8_B0_UDB01_MC EQU 0x400064a1 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_MC +CYDEV_UWRK_UWRK8_B0_UDB02_MC EQU 0x400064a2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_MC +CYDEV_UWRK_UWRK8_B0_UDB03_MC EQU 0x400064a3 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_MC +CYDEV_UWRK_UWRK8_B0_UDB04_MC EQU 0x400064a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_MC +CYDEV_UWRK_UWRK8_B0_UDB05_MC EQU 0x400064a5 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_MC +CYDEV_UWRK_UWRK8_B0_UDB06_MC EQU 0x400064a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_MC +CYDEV_UWRK_UWRK8_B0_UDB07_MC EQU 0x400064a7 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_MC +CYDEV_UWRK_UWRK8_B0_UDB08_MC EQU 0x400064a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_MC +CYDEV_UWRK_UWRK8_B0_UDB09_MC EQU 0x400064a9 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_MC +CYDEV_UWRK_UWRK8_B0_UDB10_MC EQU 0x400064aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_MC +CYDEV_UWRK_UWRK8_B0_UDB11_MC EQU 0x400064ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_MC +CYDEV_UWRK_UWRK8_B0_UDB12_MC EQU 0x400064ac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_MC +CYDEV_UWRK_UWRK8_B0_UDB13_MC EQU 0x400064ad + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_MC +CYDEV_UWRK_UWRK8_B0_UDB14_MC EQU 0x400064ae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_MC +CYDEV_UWRK_UWRK8_B0_UDB15_MC EQU 0x400064af + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_BASE +CYDEV_UWRK_UWRK8_B1_BASE EQU 0x40006500 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_SIZE +CYDEV_UWRK_UWRK8_B1_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_A0 +CYDEV_UWRK_UWRK8_B1_UDB04_A0 EQU 0x40006504 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_A0 +CYDEV_UWRK_UWRK8_B1_UDB05_A0 EQU 0x40006505 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_A0 +CYDEV_UWRK_UWRK8_B1_UDB06_A0 EQU 0x40006506 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_A0 +CYDEV_UWRK_UWRK8_B1_UDB07_A0 EQU 0x40006507 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_A0 +CYDEV_UWRK_UWRK8_B1_UDB08_A0 EQU 0x40006508 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_A0 +CYDEV_UWRK_UWRK8_B1_UDB09_A0 EQU 0x40006509 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_A0 +CYDEV_UWRK_UWRK8_B1_UDB10_A0 EQU 0x4000650a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_A0 +CYDEV_UWRK_UWRK8_B1_UDB11_A0 EQU 0x4000650b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_A1 +CYDEV_UWRK_UWRK8_B1_UDB04_A1 EQU 0x40006514 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_A1 +CYDEV_UWRK_UWRK8_B1_UDB05_A1 EQU 0x40006515 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_A1 +CYDEV_UWRK_UWRK8_B1_UDB06_A1 EQU 0x40006516 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_A1 +CYDEV_UWRK_UWRK8_B1_UDB07_A1 EQU 0x40006517 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_A1 +CYDEV_UWRK_UWRK8_B1_UDB08_A1 EQU 0x40006518 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_A1 +CYDEV_UWRK_UWRK8_B1_UDB09_A1 EQU 0x40006519 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_A1 +CYDEV_UWRK_UWRK8_B1_UDB10_A1 EQU 0x4000651a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_A1 +CYDEV_UWRK_UWRK8_B1_UDB11_A1 EQU 0x4000651b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_D0 +CYDEV_UWRK_UWRK8_B1_UDB04_D0 EQU 0x40006524 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_D0 +CYDEV_UWRK_UWRK8_B1_UDB05_D0 EQU 0x40006525 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_D0 +CYDEV_UWRK_UWRK8_B1_UDB06_D0 EQU 0x40006526 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_D0 +CYDEV_UWRK_UWRK8_B1_UDB07_D0 EQU 0x40006527 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_D0 +CYDEV_UWRK_UWRK8_B1_UDB08_D0 EQU 0x40006528 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_D0 +CYDEV_UWRK_UWRK8_B1_UDB09_D0 EQU 0x40006529 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_D0 +CYDEV_UWRK_UWRK8_B1_UDB10_D0 EQU 0x4000652a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_D0 +CYDEV_UWRK_UWRK8_B1_UDB11_D0 EQU 0x4000652b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_D1 +CYDEV_UWRK_UWRK8_B1_UDB04_D1 EQU 0x40006534 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_D1 +CYDEV_UWRK_UWRK8_B1_UDB05_D1 EQU 0x40006535 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_D1 +CYDEV_UWRK_UWRK8_B1_UDB06_D1 EQU 0x40006536 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_D1 +CYDEV_UWRK_UWRK8_B1_UDB07_D1 EQU 0x40006537 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_D1 +CYDEV_UWRK_UWRK8_B1_UDB08_D1 EQU 0x40006538 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_D1 +CYDEV_UWRK_UWRK8_B1_UDB09_D1 EQU 0x40006539 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_D1 +CYDEV_UWRK_UWRK8_B1_UDB10_D1 EQU 0x4000653a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_D1 +CYDEV_UWRK_UWRK8_B1_UDB11_D1 EQU 0x4000653b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_F0 +CYDEV_UWRK_UWRK8_B1_UDB04_F0 EQU 0x40006544 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_F0 +CYDEV_UWRK_UWRK8_B1_UDB05_F0 EQU 0x40006545 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_F0 +CYDEV_UWRK_UWRK8_B1_UDB06_F0 EQU 0x40006546 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_F0 +CYDEV_UWRK_UWRK8_B1_UDB07_F0 EQU 0x40006547 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_F0 +CYDEV_UWRK_UWRK8_B1_UDB08_F0 EQU 0x40006548 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_F0 +CYDEV_UWRK_UWRK8_B1_UDB09_F0 EQU 0x40006549 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_F0 +CYDEV_UWRK_UWRK8_B1_UDB10_F0 EQU 0x4000654a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_F0 +CYDEV_UWRK_UWRK8_B1_UDB11_F0 EQU 0x4000654b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_F1 +CYDEV_UWRK_UWRK8_B1_UDB04_F1 EQU 0x40006554 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_F1 +CYDEV_UWRK_UWRK8_B1_UDB05_F1 EQU 0x40006555 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_F1 +CYDEV_UWRK_UWRK8_B1_UDB06_F1 EQU 0x40006556 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_F1 +CYDEV_UWRK_UWRK8_B1_UDB07_F1 EQU 0x40006557 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_F1 +CYDEV_UWRK_UWRK8_B1_UDB08_F1 EQU 0x40006558 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_F1 +CYDEV_UWRK_UWRK8_B1_UDB09_F1 EQU 0x40006559 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_F1 +CYDEV_UWRK_UWRK8_B1_UDB10_F1 EQU 0x4000655a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_F1 +CYDEV_UWRK_UWRK8_B1_UDB11_F1 EQU 0x4000655b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_ST +CYDEV_UWRK_UWRK8_B1_UDB04_ST EQU 0x40006564 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_ST +CYDEV_UWRK_UWRK8_B1_UDB05_ST EQU 0x40006565 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_ST +CYDEV_UWRK_UWRK8_B1_UDB06_ST EQU 0x40006566 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_ST +CYDEV_UWRK_UWRK8_B1_UDB07_ST EQU 0x40006567 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_ST +CYDEV_UWRK_UWRK8_B1_UDB08_ST EQU 0x40006568 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_ST +CYDEV_UWRK_UWRK8_B1_UDB09_ST EQU 0x40006569 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_ST +CYDEV_UWRK_UWRK8_B1_UDB10_ST EQU 0x4000656a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_ST +CYDEV_UWRK_UWRK8_B1_UDB11_ST EQU 0x4000656b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_CTL +CYDEV_UWRK_UWRK8_B1_UDB04_CTL EQU 0x40006574 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_CTL +CYDEV_UWRK_UWRK8_B1_UDB05_CTL EQU 0x40006575 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_CTL +CYDEV_UWRK_UWRK8_B1_UDB06_CTL EQU 0x40006576 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_CTL +CYDEV_UWRK_UWRK8_B1_UDB07_CTL EQU 0x40006577 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_CTL +CYDEV_UWRK_UWRK8_B1_UDB08_CTL EQU 0x40006578 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_CTL +CYDEV_UWRK_UWRK8_B1_UDB09_CTL EQU 0x40006579 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_CTL +CYDEV_UWRK_UWRK8_B1_UDB10_CTL EQU 0x4000657a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_CTL +CYDEV_UWRK_UWRK8_B1_UDB11_CTL EQU 0x4000657b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_MSK +CYDEV_UWRK_UWRK8_B1_UDB04_MSK EQU 0x40006584 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_MSK +CYDEV_UWRK_UWRK8_B1_UDB05_MSK EQU 0x40006585 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_MSK +CYDEV_UWRK_UWRK8_B1_UDB06_MSK EQU 0x40006586 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_MSK +CYDEV_UWRK_UWRK8_B1_UDB07_MSK EQU 0x40006587 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_MSK +CYDEV_UWRK_UWRK8_B1_UDB08_MSK EQU 0x40006588 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_MSK +CYDEV_UWRK_UWRK8_B1_UDB09_MSK EQU 0x40006589 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_MSK +CYDEV_UWRK_UWRK8_B1_UDB10_MSK EQU 0x4000658a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_MSK +CYDEV_UWRK_UWRK8_B1_UDB11_MSK EQU 0x4000658b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_ACTL +CYDEV_UWRK_UWRK8_B1_UDB04_ACTL EQU 0x40006594 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_ACTL +CYDEV_UWRK_UWRK8_B1_UDB05_ACTL EQU 0x40006595 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_ACTL +CYDEV_UWRK_UWRK8_B1_UDB06_ACTL EQU 0x40006596 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_ACTL +CYDEV_UWRK_UWRK8_B1_UDB07_ACTL EQU 0x40006597 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_ACTL +CYDEV_UWRK_UWRK8_B1_UDB08_ACTL EQU 0x40006598 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_ACTL +CYDEV_UWRK_UWRK8_B1_UDB09_ACTL EQU 0x40006599 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_ACTL +CYDEV_UWRK_UWRK8_B1_UDB10_ACTL EQU 0x4000659a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_ACTL +CYDEV_UWRK_UWRK8_B1_UDB11_ACTL EQU 0x4000659b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_MC +CYDEV_UWRK_UWRK8_B1_UDB04_MC EQU 0x400065a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_MC +CYDEV_UWRK_UWRK8_B1_UDB05_MC EQU 0x400065a5 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_MC +CYDEV_UWRK_UWRK8_B1_UDB06_MC EQU 0x400065a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_MC +CYDEV_UWRK_UWRK8_B1_UDB07_MC EQU 0x400065a7 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_MC +CYDEV_UWRK_UWRK8_B1_UDB08_MC EQU 0x400065a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_MC +CYDEV_UWRK_UWRK8_B1_UDB09_MC EQU 0x400065a9 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_MC +CYDEV_UWRK_UWRK8_B1_UDB10_MC EQU 0x400065aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_MC +CYDEV_UWRK_UWRK8_B1_UDB11_MC EQU 0x400065ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_BASE +CYDEV_UWRK_UWRK16_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_SIZE +CYDEV_UWRK_UWRK16_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_BASE +CYDEV_UWRK_UWRK16_CAT_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_SIZE +CYDEV_UWRK_UWRK16_CAT_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_BASE +CYDEV_UWRK_UWRK16_CAT_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_SIZE +CYDEV_UWRK_UWRK16_CAT_B0_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 EQU 0x4000681e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 EQU 0x4000685e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 EQU 0x4000689e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL EQU 0x400068de + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL EQU 0x4000691e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 EQU 0x4000695e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_BASE +CYDEV_UWRK_UWRK16_CAT_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_SIZE +CYDEV_UWRK_UWRK16_CAT_B1_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_BASE +CYDEV_UWRK_UWRK16_DEF_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_SIZE +CYDEV_UWRK_UWRK16_DEF_SIZE EQU 0x0000075e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_BASE +CYDEV_UWRK_UWRK16_DEF_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_SIZE +CYDEV_UWRK_UWRK16_DEF_B0_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 EQU 0x40006820 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 EQU 0x40006822 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 EQU 0x40006824 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 EQU 0x40006826 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 EQU 0x40006828 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 EQU 0x4000682a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 EQU 0x4000682c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 EQU 0x4000682e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 EQU 0x40006830 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 EQU 0x40006832 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 EQU 0x40006834 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 EQU 0x40006836 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 EQU 0x40006838 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 EQU 0x4000683a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 EQU 0x4000683c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 EQU 0x40006860 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 EQU 0x40006862 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 EQU 0x40006864 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 EQU 0x40006866 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 EQU 0x40006868 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 EQU 0x4000686a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 EQU 0x4000686c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 EQU 0x4000686e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 EQU 0x40006870 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 EQU 0x40006872 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 EQU 0x40006874 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 EQU 0x40006876 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 EQU 0x40006878 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 EQU 0x4000687a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 EQU 0x4000687c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 EQU 0x400068a0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 EQU 0x400068a2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 EQU 0x400068a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 EQU 0x400068a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 EQU 0x400068a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 EQU 0x400068aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 EQU 0x400068ac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 EQU 0x400068ae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 EQU 0x400068b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 EQU 0x400068b2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 EQU 0x400068b4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 EQU 0x400068b6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 EQU 0x400068b8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 EQU 0x400068ba + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 EQU 0x400068bc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL EQU 0x400068e0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL EQU 0x400068e2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL EQU 0x400068e4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL EQU 0x400068e6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL EQU 0x400068e8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL EQU 0x400068ea + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL EQU 0x400068ec + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL EQU 0x400068ee + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL EQU 0x400068f0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL EQU 0x400068f2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL EQU 0x400068f4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL EQU 0x400068f6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL EQU 0x400068f8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL EQU 0x400068fa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL EQU 0x400068fc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL EQU 0x40006920 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL EQU 0x40006922 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL EQU 0x40006924 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL EQU 0x40006926 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL EQU 0x40006928 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL EQU 0x4000692a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL EQU 0x4000692c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL EQU 0x4000692e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL EQU 0x40006930 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL EQU 0x40006932 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL EQU 0x40006934 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL EQU 0x40006936 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL EQU 0x40006938 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL EQU 0x4000693a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL EQU 0x4000693c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_BASE +CYDEV_UWRK_UWRK16_DEF_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_SIZE +CYDEV_UWRK_UWRK16_DEF_B1_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 EQU 0x40006a28 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 EQU 0x40006a2a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 EQU 0x40006a2c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 EQU 0x40006a2e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 EQU 0x40006a30 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 EQU 0x40006a32 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 EQU 0x40006a34 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 EQU 0x40006a36 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 EQU 0x40006a68 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 EQU 0x40006a6a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 EQU 0x40006a6c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 EQU 0x40006a6e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 EQU 0x40006a70 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 EQU 0x40006a72 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 EQU 0x40006a74 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 EQU 0x40006a76 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 EQU 0x40006aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 EQU 0x40006aaa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 EQU 0x40006aac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 EQU 0x40006aae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 EQU 0x40006ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 EQU 0x40006ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 EQU 0x40006ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 EQU 0x40006ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL EQU 0x40006ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL EQU 0x40006aea + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL EQU 0x40006aec + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL EQU 0x40006aee + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL EQU 0x40006af0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL EQU 0x40006af2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL EQU 0x40006af4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL EQU 0x40006af6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL EQU 0x40006b28 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL EQU 0x40006b2a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL EQU 0x40006b2c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL EQU 0x40006b2e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL EQU 0x40006b30 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL EQU 0x40006b32 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL EQU 0x40006b34 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL EQU 0x40006b36 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_BASE +CYDEV_PHUB_BASE EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_SIZE +CYDEV_PHUB_SIZE EQU 0x00000c00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFG +CYDEV_PHUB_CFG EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_ERR +CYDEV_PHUB_ERR EQU 0x40007004 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_ERR_ADR +CYDEV_PHUB_ERR_ADR EQU 0x40007008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASE +CYDEV_PHUB_CH0_BASE EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_SIZE +CYDEV_PHUB_CH0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASIC_CFG +CYDEV_PHUB_CH0_BASIC_CFG EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_ACTION +CYDEV_PHUB_CH0_ACTION EQU 0x40007014 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASIC_STATUS +CYDEV_PHUB_CH0_BASIC_STATUS EQU 0x40007018 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASE +CYDEV_PHUB_CH1_BASE EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_SIZE +CYDEV_PHUB_CH1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASIC_CFG +CYDEV_PHUB_CH1_BASIC_CFG EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_ACTION +CYDEV_PHUB_CH1_ACTION EQU 0x40007024 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASIC_STATUS +CYDEV_PHUB_CH1_BASIC_STATUS EQU 0x40007028 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASE +CYDEV_PHUB_CH2_BASE EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_SIZE +CYDEV_PHUB_CH2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASIC_CFG +CYDEV_PHUB_CH2_BASIC_CFG EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_ACTION +CYDEV_PHUB_CH2_ACTION EQU 0x40007034 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASIC_STATUS +CYDEV_PHUB_CH2_BASIC_STATUS EQU 0x40007038 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASE +CYDEV_PHUB_CH3_BASE EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_SIZE +CYDEV_PHUB_CH3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASIC_CFG +CYDEV_PHUB_CH3_BASIC_CFG EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_ACTION +CYDEV_PHUB_CH3_ACTION EQU 0x40007044 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASIC_STATUS +CYDEV_PHUB_CH3_BASIC_STATUS EQU 0x40007048 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASE +CYDEV_PHUB_CH4_BASE EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_SIZE +CYDEV_PHUB_CH4_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASIC_CFG +CYDEV_PHUB_CH4_BASIC_CFG EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_ACTION +CYDEV_PHUB_CH4_ACTION EQU 0x40007054 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASIC_STATUS +CYDEV_PHUB_CH4_BASIC_STATUS EQU 0x40007058 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASE +CYDEV_PHUB_CH5_BASE EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_SIZE +CYDEV_PHUB_CH5_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASIC_CFG +CYDEV_PHUB_CH5_BASIC_CFG EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_ACTION +CYDEV_PHUB_CH5_ACTION EQU 0x40007064 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASIC_STATUS +CYDEV_PHUB_CH5_BASIC_STATUS EQU 0x40007068 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASE +CYDEV_PHUB_CH6_BASE EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_SIZE +CYDEV_PHUB_CH6_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASIC_CFG +CYDEV_PHUB_CH6_BASIC_CFG EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_ACTION +CYDEV_PHUB_CH6_ACTION EQU 0x40007074 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASIC_STATUS +CYDEV_PHUB_CH6_BASIC_STATUS EQU 0x40007078 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASE +CYDEV_PHUB_CH7_BASE EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_SIZE +CYDEV_PHUB_CH7_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASIC_CFG +CYDEV_PHUB_CH7_BASIC_CFG EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_ACTION +CYDEV_PHUB_CH7_ACTION EQU 0x40007084 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASIC_STATUS +CYDEV_PHUB_CH7_BASIC_STATUS EQU 0x40007088 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASE +CYDEV_PHUB_CH8_BASE EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_SIZE +CYDEV_PHUB_CH8_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASIC_CFG +CYDEV_PHUB_CH8_BASIC_CFG EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_ACTION +CYDEV_PHUB_CH8_ACTION EQU 0x40007094 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASIC_STATUS +CYDEV_PHUB_CH8_BASIC_STATUS EQU 0x40007098 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASE +CYDEV_PHUB_CH9_BASE EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_SIZE +CYDEV_PHUB_CH9_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASIC_CFG +CYDEV_PHUB_CH9_BASIC_CFG EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_ACTION +CYDEV_PHUB_CH9_ACTION EQU 0x400070a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASIC_STATUS +CYDEV_PHUB_CH9_BASIC_STATUS EQU 0x400070a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASE +CYDEV_PHUB_CH10_BASE EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_SIZE +CYDEV_PHUB_CH10_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASIC_CFG +CYDEV_PHUB_CH10_BASIC_CFG EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_ACTION +CYDEV_PHUB_CH10_ACTION EQU 0x400070b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASIC_STATUS +CYDEV_PHUB_CH10_BASIC_STATUS EQU 0x400070b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASE +CYDEV_PHUB_CH11_BASE EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_SIZE +CYDEV_PHUB_CH11_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASIC_CFG +CYDEV_PHUB_CH11_BASIC_CFG EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_ACTION +CYDEV_PHUB_CH11_ACTION EQU 0x400070c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASIC_STATUS +CYDEV_PHUB_CH11_BASIC_STATUS EQU 0x400070c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASE +CYDEV_PHUB_CH12_BASE EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_SIZE +CYDEV_PHUB_CH12_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASIC_CFG +CYDEV_PHUB_CH12_BASIC_CFG EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_ACTION +CYDEV_PHUB_CH12_ACTION EQU 0x400070d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASIC_STATUS +CYDEV_PHUB_CH12_BASIC_STATUS EQU 0x400070d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASE +CYDEV_PHUB_CH13_BASE EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_SIZE +CYDEV_PHUB_CH13_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASIC_CFG +CYDEV_PHUB_CH13_BASIC_CFG EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_ACTION +CYDEV_PHUB_CH13_ACTION EQU 0x400070e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASIC_STATUS +CYDEV_PHUB_CH13_BASIC_STATUS EQU 0x400070e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASE +CYDEV_PHUB_CH14_BASE EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_SIZE +CYDEV_PHUB_CH14_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASIC_CFG +CYDEV_PHUB_CH14_BASIC_CFG EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_ACTION +CYDEV_PHUB_CH14_ACTION EQU 0x400070f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASIC_STATUS +CYDEV_PHUB_CH14_BASIC_STATUS EQU 0x400070f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASE +CYDEV_PHUB_CH15_BASE EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_SIZE +CYDEV_PHUB_CH15_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASIC_CFG +CYDEV_PHUB_CH15_BASIC_CFG EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_ACTION +CYDEV_PHUB_CH15_ACTION EQU 0x40007104 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASIC_STATUS +CYDEV_PHUB_CH15_BASIC_STATUS EQU 0x40007108 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASE +CYDEV_PHUB_CH16_BASE EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_SIZE +CYDEV_PHUB_CH16_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASIC_CFG +CYDEV_PHUB_CH16_BASIC_CFG EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_ACTION +CYDEV_PHUB_CH16_ACTION EQU 0x40007114 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASIC_STATUS +CYDEV_PHUB_CH16_BASIC_STATUS EQU 0x40007118 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASE +CYDEV_PHUB_CH17_BASE EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_SIZE +CYDEV_PHUB_CH17_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASIC_CFG +CYDEV_PHUB_CH17_BASIC_CFG EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_ACTION +CYDEV_PHUB_CH17_ACTION EQU 0x40007124 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASIC_STATUS +CYDEV_PHUB_CH17_BASIC_STATUS EQU 0x40007128 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASE +CYDEV_PHUB_CH18_BASE EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_SIZE +CYDEV_PHUB_CH18_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASIC_CFG +CYDEV_PHUB_CH18_BASIC_CFG EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_ACTION +CYDEV_PHUB_CH18_ACTION EQU 0x40007134 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASIC_STATUS +CYDEV_PHUB_CH18_BASIC_STATUS EQU 0x40007138 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASE +CYDEV_PHUB_CH19_BASE EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_SIZE +CYDEV_PHUB_CH19_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASIC_CFG +CYDEV_PHUB_CH19_BASIC_CFG EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_ACTION +CYDEV_PHUB_CH19_ACTION EQU 0x40007144 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASIC_STATUS +CYDEV_PHUB_CH19_BASIC_STATUS EQU 0x40007148 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASE +CYDEV_PHUB_CH20_BASE EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_SIZE +CYDEV_PHUB_CH20_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASIC_CFG +CYDEV_PHUB_CH20_BASIC_CFG EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_ACTION +CYDEV_PHUB_CH20_ACTION EQU 0x40007154 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASIC_STATUS +CYDEV_PHUB_CH20_BASIC_STATUS EQU 0x40007158 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASE +CYDEV_PHUB_CH21_BASE EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_SIZE +CYDEV_PHUB_CH21_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASIC_CFG +CYDEV_PHUB_CH21_BASIC_CFG EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_ACTION +CYDEV_PHUB_CH21_ACTION EQU 0x40007164 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASIC_STATUS +CYDEV_PHUB_CH21_BASIC_STATUS EQU 0x40007168 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASE +CYDEV_PHUB_CH22_BASE EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_SIZE +CYDEV_PHUB_CH22_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASIC_CFG +CYDEV_PHUB_CH22_BASIC_CFG EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_ACTION +CYDEV_PHUB_CH22_ACTION EQU 0x40007174 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASIC_STATUS +CYDEV_PHUB_CH22_BASIC_STATUS EQU 0x40007178 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASE +CYDEV_PHUB_CH23_BASE EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_SIZE +CYDEV_PHUB_CH23_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASIC_CFG +CYDEV_PHUB_CH23_BASIC_CFG EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_ACTION +CYDEV_PHUB_CH23_ACTION EQU 0x40007184 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASIC_STATUS +CYDEV_PHUB_CH23_BASIC_STATUS EQU 0x40007188 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_BASE +CYDEV_PHUB_CFGMEM0_BASE EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_SIZE +CYDEV_PHUB_CFGMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_CFG0 +CYDEV_PHUB_CFGMEM0_CFG0 EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_CFG1 +CYDEV_PHUB_CFGMEM0_CFG1 EQU 0x40007604 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_BASE +CYDEV_PHUB_CFGMEM1_BASE EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_SIZE +CYDEV_PHUB_CFGMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_CFG0 +CYDEV_PHUB_CFGMEM1_CFG0 EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_CFG1 +CYDEV_PHUB_CFGMEM1_CFG1 EQU 0x4000760c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_BASE +CYDEV_PHUB_CFGMEM2_BASE EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_SIZE +CYDEV_PHUB_CFGMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_CFG0 +CYDEV_PHUB_CFGMEM2_CFG0 EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_CFG1 +CYDEV_PHUB_CFGMEM2_CFG1 EQU 0x40007614 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_BASE +CYDEV_PHUB_CFGMEM3_BASE EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_SIZE +CYDEV_PHUB_CFGMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_CFG0 +CYDEV_PHUB_CFGMEM3_CFG0 EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_CFG1 +CYDEV_PHUB_CFGMEM3_CFG1 EQU 0x4000761c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_BASE +CYDEV_PHUB_CFGMEM4_BASE EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_SIZE +CYDEV_PHUB_CFGMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_CFG0 +CYDEV_PHUB_CFGMEM4_CFG0 EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_CFG1 +CYDEV_PHUB_CFGMEM4_CFG1 EQU 0x40007624 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_BASE +CYDEV_PHUB_CFGMEM5_BASE EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_SIZE +CYDEV_PHUB_CFGMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_CFG0 +CYDEV_PHUB_CFGMEM5_CFG0 EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_CFG1 +CYDEV_PHUB_CFGMEM5_CFG1 EQU 0x4000762c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_BASE +CYDEV_PHUB_CFGMEM6_BASE EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_SIZE +CYDEV_PHUB_CFGMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_CFG0 +CYDEV_PHUB_CFGMEM6_CFG0 EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_CFG1 +CYDEV_PHUB_CFGMEM6_CFG1 EQU 0x40007634 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_BASE +CYDEV_PHUB_CFGMEM7_BASE EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_SIZE +CYDEV_PHUB_CFGMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_CFG0 +CYDEV_PHUB_CFGMEM7_CFG0 EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_CFG1 +CYDEV_PHUB_CFGMEM7_CFG1 EQU 0x4000763c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_BASE +CYDEV_PHUB_CFGMEM8_BASE EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_SIZE +CYDEV_PHUB_CFGMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_CFG0 +CYDEV_PHUB_CFGMEM8_CFG0 EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_CFG1 +CYDEV_PHUB_CFGMEM8_CFG1 EQU 0x40007644 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_BASE +CYDEV_PHUB_CFGMEM9_BASE EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_SIZE +CYDEV_PHUB_CFGMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_CFG0 +CYDEV_PHUB_CFGMEM9_CFG0 EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_CFG1 +CYDEV_PHUB_CFGMEM9_CFG1 EQU 0x4000764c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_BASE +CYDEV_PHUB_CFGMEM10_BASE EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_SIZE +CYDEV_PHUB_CFGMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_CFG0 +CYDEV_PHUB_CFGMEM10_CFG0 EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_CFG1 +CYDEV_PHUB_CFGMEM10_CFG1 EQU 0x40007654 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_BASE +CYDEV_PHUB_CFGMEM11_BASE EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_SIZE +CYDEV_PHUB_CFGMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_CFG0 +CYDEV_PHUB_CFGMEM11_CFG0 EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_CFG1 +CYDEV_PHUB_CFGMEM11_CFG1 EQU 0x4000765c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_BASE +CYDEV_PHUB_CFGMEM12_BASE EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_SIZE +CYDEV_PHUB_CFGMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_CFG0 +CYDEV_PHUB_CFGMEM12_CFG0 EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_CFG1 +CYDEV_PHUB_CFGMEM12_CFG1 EQU 0x40007664 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_BASE +CYDEV_PHUB_CFGMEM13_BASE EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_SIZE +CYDEV_PHUB_CFGMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_CFG0 +CYDEV_PHUB_CFGMEM13_CFG0 EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_CFG1 +CYDEV_PHUB_CFGMEM13_CFG1 EQU 0x4000766c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_BASE +CYDEV_PHUB_CFGMEM14_BASE EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_SIZE +CYDEV_PHUB_CFGMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_CFG0 +CYDEV_PHUB_CFGMEM14_CFG0 EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_CFG1 +CYDEV_PHUB_CFGMEM14_CFG1 EQU 0x40007674 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_BASE +CYDEV_PHUB_CFGMEM15_BASE EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_SIZE +CYDEV_PHUB_CFGMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_CFG0 +CYDEV_PHUB_CFGMEM15_CFG0 EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_CFG1 +CYDEV_PHUB_CFGMEM15_CFG1 EQU 0x4000767c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_BASE +CYDEV_PHUB_CFGMEM16_BASE EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_SIZE +CYDEV_PHUB_CFGMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_CFG0 +CYDEV_PHUB_CFGMEM16_CFG0 EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_CFG1 +CYDEV_PHUB_CFGMEM16_CFG1 EQU 0x40007684 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_BASE +CYDEV_PHUB_CFGMEM17_BASE EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_SIZE +CYDEV_PHUB_CFGMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_CFG0 +CYDEV_PHUB_CFGMEM17_CFG0 EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_CFG1 +CYDEV_PHUB_CFGMEM17_CFG1 EQU 0x4000768c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_BASE +CYDEV_PHUB_CFGMEM18_BASE EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_SIZE +CYDEV_PHUB_CFGMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_CFG0 +CYDEV_PHUB_CFGMEM18_CFG0 EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_CFG1 +CYDEV_PHUB_CFGMEM18_CFG1 EQU 0x40007694 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_BASE +CYDEV_PHUB_CFGMEM19_BASE EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_SIZE +CYDEV_PHUB_CFGMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_CFG0 +CYDEV_PHUB_CFGMEM19_CFG0 EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_CFG1 +CYDEV_PHUB_CFGMEM19_CFG1 EQU 0x4000769c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_BASE +CYDEV_PHUB_CFGMEM20_BASE EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_SIZE +CYDEV_PHUB_CFGMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_CFG0 +CYDEV_PHUB_CFGMEM20_CFG0 EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_CFG1 +CYDEV_PHUB_CFGMEM20_CFG1 EQU 0x400076a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_BASE +CYDEV_PHUB_CFGMEM21_BASE EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_SIZE +CYDEV_PHUB_CFGMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_CFG0 +CYDEV_PHUB_CFGMEM21_CFG0 EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_CFG1 +CYDEV_PHUB_CFGMEM21_CFG1 EQU 0x400076ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_BASE +CYDEV_PHUB_CFGMEM22_BASE EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_SIZE +CYDEV_PHUB_CFGMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_CFG0 +CYDEV_PHUB_CFGMEM22_CFG0 EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_CFG1 +CYDEV_PHUB_CFGMEM22_CFG1 EQU 0x400076b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_BASE +CYDEV_PHUB_CFGMEM23_BASE EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_SIZE +CYDEV_PHUB_CFGMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_CFG0 +CYDEV_PHUB_CFGMEM23_CFG0 EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_CFG1 +CYDEV_PHUB_CFGMEM23_CFG1 EQU 0x400076bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_BASE +CYDEV_PHUB_TDMEM0_BASE EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_SIZE +CYDEV_PHUB_TDMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_ORIG_TD0 +CYDEV_PHUB_TDMEM0_ORIG_TD0 EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_ORIG_TD1 +CYDEV_PHUB_TDMEM0_ORIG_TD1 EQU 0x40007804 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_BASE +CYDEV_PHUB_TDMEM1_BASE EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_SIZE +CYDEV_PHUB_TDMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_ORIG_TD0 +CYDEV_PHUB_TDMEM1_ORIG_TD0 EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_ORIG_TD1 +CYDEV_PHUB_TDMEM1_ORIG_TD1 EQU 0x4000780c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_BASE +CYDEV_PHUB_TDMEM2_BASE EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_SIZE +CYDEV_PHUB_TDMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_ORIG_TD0 +CYDEV_PHUB_TDMEM2_ORIG_TD0 EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_ORIG_TD1 +CYDEV_PHUB_TDMEM2_ORIG_TD1 EQU 0x40007814 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_BASE +CYDEV_PHUB_TDMEM3_BASE EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_SIZE +CYDEV_PHUB_TDMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_ORIG_TD0 +CYDEV_PHUB_TDMEM3_ORIG_TD0 EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_ORIG_TD1 +CYDEV_PHUB_TDMEM3_ORIG_TD1 EQU 0x4000781c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_BASE +CYDEV_PHUB_TDMEM4_BASE EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_SIZE +CYDEV_PHUB_TDMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_ORIG_TD0 +CYDEV_PHUB_TDMEM4_ORIG_TD0 EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_ORIG_TD1 +CYDEV_PHUB_TDMEM4_ORIG_TD1 EQU 0x40007824 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_BASE +CYDEV_PHUB_TDMEM5_BASE EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_SIZE +CYDEV_PHUB_TDMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_ORIG_TD0 +CYDEV_PHUB_TDMEM5_ORIG_TD0 EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_ORIG_TD1 +CYDEV_PHUB_TDMEM5_ORIG_TD1 EQU 0x4000782c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_BASE +CYDEV_PHUB_TDMEM6_BASE EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_SIZE +CYDEV_PHUB_TDMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_ORIG_TD0 +CYDEV_PHUB_TDMEM6_ORIG_TD0 EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_ORIG_TD1 +CYDEV_PHUB_TDMEM6_ORIG_TD1 EQU 0x40007834 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_BASE +CYDEV_PHUB_TDMEM7_BASE EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_SIZE +CYDEV_PHUB_TDMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_ORIG_TD0 +CYDEV_PHUB_TDMEM7_ORIG_TD0 EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_ORIG_TD1 +CYDEV_PHUB_TDMEM7_ORIG_TD1 EQU 0x4000783c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_BASE +CYDEV_PHUB_TDMEM8_BASE EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_SIZE +CYDEV_PHUB_TDMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_ORIG_TD0 +CYDEV_PHUB_TDMEM8_ORIG_TD0 EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_ORIG_TD1 +CYDEV_PHUB_TDMEM8_ORIG_TD1 EQU 0x40007844 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_BASE +CYDEV_PHUB_TDMEM9_BASE EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_SIZE +CYDEV_PHUB_TDMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_ORIG_TD0 +CYDEV_PHUB_TDMEM9_ORIG_TD0 EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_ORIG_TD1 +CYDEV_PHUB_TDMEM9_ORIG_TD1 EQU 0x4000784c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_BASE +CYDEV_PHUB_TDMEM10_BASE EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_SIZE +CYDEV_PHUB_TDMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_ORIG_TD0 +CYDEV_PHUB_TDMEM10_ORIG_TD0 EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_ORIG_TD1 +CYDEV_PHUB_TDMEM10_ORIG_TD1 EQU 0x40007854 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_BASE +CYDEV_PHUB_TDMEM11_BASE EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_SIZE +CYDEV_PHUB_TDMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_ORIG_TD0 +CYDEV_PHUB_TDMEM11_ORIG_TD0 EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_ORIG_TD1 +CYDEV_PHUB_TDMEM11_ORIG_TD1 EQU 0x4000785c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_BASE +CYDEV_PHUB_TDMEM12_BASE EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_SIZE +CYDEV_PHUB_TDMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_ORIG_TD0 +CYDEV_PHUB_TDMEM12_ORIG_TD0 EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_ORIG_TD1 +CYDEV_PHUB_TDMEM12_ORIG_TD1 EQU 0x40007864 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_BASE +CYDEV_PHUB_TDMEM13_BASE EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_SIZE +CYDEV_PHUB_TDMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_ORIG_TD0 +CYDEV_PHUB_TDMEM13_ORIG_TD0 EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_ORIG_TD1 +CYDEV_PHUB_TDMEM13_ORIG_TD1 EQU 0x4000786c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_BASE +CYDEV_PHUB_TDMEM14_BASE EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_SIZE +CYDEV_PHUB_TDMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_ORIG_TD0 +CYDEV_PHUB_TDMEM14_ORIG_TD0 EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_ORIG_TD1 +CYDEV_PHUB_TDMEM14_ORIG_TD1 EQU 0x40007874 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_BASE +CYDEV_PHUB_TDMEM15_BASE EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_SIZE +CYDEV_PHUB_TDMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_ORIG_TD0 +CYDEV_PHUB_TDMEM15_ORIG_TD0 EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_ORIG_TD1 +CYDEV_PHUB_TDMEM15_ORIG_TD1 EQU 0x4000787c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_BASE +CYDEV_PHUB_TDMEM16_BASE EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_SIZE +CYDEV_PHUB_TDMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_ORIG_TD0 +CYDEV_PHUB_TDMEM16_ORIG_TD0 EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_ORIG_TD1 +CYDEV_PHUB_TDMEM16_ORIG_TD1 EQU 0x40007884 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_BASE +CYDEV_PHUB_TDMEM17_BASE EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_SIZE +CYDEV_PHUB_TDMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_ORIG_TD0 +CYDEV_PHUB_TDMEM17_ORIG_TD0 EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_ORIG_TD1 +CYDEV_PHUB_TDMEM17_ORIG_TD1 EQU 0x4000788c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_BASE +CYDEV_PHUB_TDMEM18_BASE EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_SIZE +CYDEV_PHUB_TDMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_ORIG_TD0 +CYDEV_PHUB_TDMEM18_ORIG_TD0 EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_ORIG_TD1 +CYDEV_PHUB_TDMEM18_ORIG_TD1 EQU 0x40007894 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_BASE +CYDEV_PHUB_TDMEM19_BASE EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_SIZE +CYDEV_PHUB_TDMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_ORIG_TD0 +CYDEV_PHUB_TDMEM19_ORIG_TD0 EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_ORIG_TD1 +CYDEV_PHUB_TDMEM19_ORIG_TD1 EQU 0x4000789c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_BASE +CYDEV_PHUB_TDMEM20_BASE EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_SIZE +CYDEV_PHUB_TDMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_ORIG_TD0 +CYDEV_PHUB_TDMEM20_ORIG_TD0 EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_ORIG_TD1 +CYDEV_PHUB_TDMEM20_ORIG_TD1 EQU 0x400078a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_BASE +CYDEV_PHUB_TDMEM21_BASE EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_SIZE +CYDEV_PHUB_TDMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_ORIG_TD0 +CYDEV_PHUB_TDMEM21_ORIG_TD0 EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_ORIG_TD1 +CYDEV_PHUB_TDMEM21_ORIG_TD1 EQU 0x400078ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_BASE +CYDEV_PHUB_TDMEM22_BASE EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_SIZE +CYDEV_PHUB_TDMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_ORIG_TD0 +CYDEV_PHUB_TDMEM22_ORIG_TD0 EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_ORIG_TD1 +CYDEV_PHUB_TDMEM22_ORIG_TD1 EQU 0x400078b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_BASE +CYDEV_PHUB_TDMEM23_BASE EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_SIZE +CYDEV_PHUB_TDMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_ORIG_TD0 +CYDEV_PHUB_TDMEM23_ORIG_TD0 EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_ORIG_TD1 +CYDEV_PHUB_TDMEM23_ORIG_TD1 EQU 0x400078bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_BASE +CYDEV_PHUB_TDMEM24_BASE EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_SIZE +CYDEV_PHUB_TDMEM24_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_ORIG_TD0 +CYDEV_PHUB_TDMEM24_ORIG_TD0 EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_ORIG_TD1 +CYDEV_PHUB_TDMEM24_ORIG_TD1 EQU 0x400078c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_BASE +CYDEV_PHUB_TDMEM25_BASE EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_SIZE +CYDEV_PHUB_TDMEM25_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_ORIG_TD0 +CYDEV_PHUB_TDMEM25_ORIG_TD0 EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_ORIG_TD1 +CYDEV_PHUB_TDMEM25_ORIG_TD1 EQU 0x400078cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_BASE +CYDEV_PHUB_TDMEM26_BASE EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_SIZE +CYDEV_PHUB_TDMEM26_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_ORIG_TD0 +CYDEV_PHUB_TDMEM26_ORIG_TD0 EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_ORIG_TD1 +CYDEV_PHUB_TDMEM26_ORIG_TD1 EQU 0x400078d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_BASE +CYDEV_PHUB_TDMEM27_BASE EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_SIZE +CYDEV_PHUB_TDMEM27_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_ORIG_TD0 +CYDEV_PHUB_TDMEM27_ORIG_TD0 EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_ORIG_TD1 +CYDEV_PHUB_TDMEM27_ORIG_TD1 EQU 0x400078dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_BASE +CYDEV_PHUB_TDMEM28_BASE EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_SIZE +CYDEV_PHUB_TDMEM28_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_ORIG_TD0 +CYDEV_PHUB_TDMEM28_ORIG_TD0 EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_ORIG_TD1 +CYDEV_PHUB_TDMEM28_ORIG_TD1 EQU 0x400078e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_BASE +CYDEV_PHUB_TDMEM29_BASE EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_SIZE +CYDEV_PHUB_TDMEM29_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_ORIG_TD0 +CYDEV_PHUB_TDMEM29_ORIG_TD0 EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_ORIG_TD1 +CYDEV_PHUB_TDMEM29_ORIG_TD1 EQU 0x400078ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_BASE +CYDEV_PHUB_TDMEM30_BASE EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_SIZE +CYDEV_PHUB_TDMEM30_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_ORIG_TD0 +CYDEV_PHUB_TDMEM30_ORIG_TD0 EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_ORIG_TD1 +CYDEV_PHUB_TDMEM30_ORIG_TD1 EQU 0x400078f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_BASE +CYDEV_PHUB_TDMEM31_BASE EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_SIZE +CYDEV_PHUB_TDMEM31_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_ORIG_TD0 +CYDEV_PHUB_TDMEM31_ORIG_TD0 EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_ORIG_TD1 +CYDEV_PHUB_TDMEM31_ORIG_TD1 EQU 0x400078fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_BASE +CYDEV_PHUB_TDMEM32_BASE EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_SIZE +CYDEV_PHUB_TDMEM32_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_ORIG_TD0 +CYDEV_PHUB_TDMEM32_ORIG_TD0 EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_ORIG_TD1 +CYDEV_PHUB_TDMEM32_ORIG_TD1 EQU 0x40007904 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_BASE +CYDEV_PHUB_TDMEM33_BASE EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_SIZE +CYDEV_PHUB_TDMEM33_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_ORIG_TD0 +CYDEV_PHUB_TDMEM33_ORIG_TD0 EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_ORIG_TD1 +CYDEV_PHUB_TDMEM33_ORIG_TD1 EQU 0x4000790c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_BASE +CYDEV_PHUB_TDMEM34_BASE EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_SIZE +CYDEV_PHUB_TDMEM34_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_ORIG_TD0 +CYDEV_PHUB_TDMEM34_ORIG_TD0 EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_ORIG_TD1 +CYDEV_PHUB_TDMEM34_ORIG_TD1 EQU 0x40007914 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_BASE +CYDEV_PHUB_TDMEM35_BASE EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_SIZE +CYDEV_PHUB_TDMEM35_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_ORIG_TD0 +CYDEV_PHUB_TDMEM35_ORIG_TD0 EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_ORIG_TD1 +CYDEV_PHUB_TDMEM35_ORIG_TD1 EQU 0x4000791c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_BASE +CYDEV_PHUB_TDMEM36_BASE EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_SIZE +CYDEV_PHUB_TDMEM36_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_ORIG_TD0 +CYDEV_PHUB_TDMEM36_ORIG_TD0 EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_ORIG_TD1 +CYDEV_PHUB_TDMEM36_ORIG_TD1 EQU 0x40007924 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_BASE +CYDEV_PHUB_TDMEM37_BASE EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_SIZE +CYDEV_PHUB_TDMEM37_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_ORIG_TD0 +CYDEV_PHUB_TDMEM37_ORIG_TD0 EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_ORIG_TD1 +CYDEV_PHUB_TDMEM37_ORIG_TD1 EQU 0x4000792c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_BASE +CYDEV_PHUB_TDMEM38_BASE EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_SIZE +CYDEV_PHUB_TDMEM38_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_ORIG_TD0 +CYDEV_PHUB_TDMEM38_ORIG_TD0 EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_ORIG_TD1 +CYDEV_PHUB_TDMEM38_ORIG_TD1 EQU 0x40007934 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_BASE +CYDEV_PHUB_TDMEM39_BASE EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_SIZE +CYDEV_PHUB_TDMEM39_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_ORIG_TD0 +CYDEV_PHUB_TDMEM39_ORIG_TD0 EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_ORIG_TD1 +CYDEV_PHUB_TDMEM39_ORIG_TD1 EQU 0x4000793c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_BASE +CYDEV_PHUB_TDMEM40_BASE EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_SIZE +CYDEV_PHUB_TDMEM40_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_ORIG_TD0 +CYDEV_PHUB_TDMEM40_ORIG_TD0 EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_ORIG_TD1 +CYDEV_PHUB_TDMEM40_ORIG_TD1 EQU 0x40007944 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_BASE +CYDEV_PHUB_TDMEM41_BASE EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_SIZE +CYDEV_PHUB_TDMEM41_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_ORIG_TD0 +CYDEV_PHUB_TDMEM41_ORIG_TD0 EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_ORIG_TD1 +CYDEV_PHUB_TDMEM41_ORIG_TD1 EQU 0x4000794c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_BASE +CYDEV_PHUB_TDMEM42_BASE EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_SIZE +CYDEV_PHUB_TDMEM42_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_ORIG_TD0 +CYDEV_PHUB_TDMEM42_ORIG_TD0 EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_ORIG_TD1 +CYDEV_PHUB_TDMEM42_ORIG_TD1 EQU 0x40007954 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_BASE +CYDEV_PHUB_TDMEM43_BASE EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_SIZE +CYDEV_PHUB_TDMEM43_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_ORIG_TD0 +CYDEV_PHUB_TDMEM43_ORIG_TD0 EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_ORIG_TD1 +CYDEV_PHUB_TDMEM43_ORIG_TD1 EQU 0x4000795c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_BASE +CYDEV_PHUB_TDMEM44_BASE EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_SIZE +CYDEV_PHUB_TDMEM44_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_ORIG_TD0 +CYDEV_PHUB_TDMEM44_ORIG_TD0 EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_ORIG_TD1 +CYDEV_PHUB_TDMEM44_ORIG_TD1 EQU 0x40007964 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_BASE +CYDEV_PHUB_TDMEM45_BASE EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_SIZE +CYDEV_PHUB_TDMEM45_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_ORIG_TD0 +CYDEV_PHUB_TDMEM45_ORIG_TD0 EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_ORIG_TD1 +CYDEV_PHUB_TDMEM45_ORIG_TD1 EQU 0x4000796c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_BASE +CYDEV_PHUB_TDMEM46_BASE EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_SIZE +CYDEV_PHUB_TDMEM46_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_ORIG_TD0 +CYDEV_PHUB_TDMEM46_ORIG_TD0 EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_ORIG_TD1 +CYDEV_PHUB_TDMEM46_ORIG_TD1 EQU 0x40007974 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_BASE +CYDEV_PHUB_TDMEM47_BASE EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_SIZE +CYDEV_PHUB_TDMEM47_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_ORIG_TD0 +CYDEV_PHUB_TDMEM47_ORIG_TD0 EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_ORIG_TD1 +CYDEV_PHUB_TDMEM47_ORIG_TD1 EQU 0x4000797c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_BASE +CYDEV_PHUB_TDMEM48_BASE EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_SIZE +CYDEV_PHUB_TDMEM48_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_ORIG_TD0 +CYDEV_PHUB_TDMEM48_ORIG_TD0 EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_ORIG_TD1 +CYDEV_PHUB_TDMEM48_ORIG_TD1 EQU 0x40007984 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_BASE +CYDEV_PHUB_TDMEM49_BASE EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_SIZE +CYDEV_PHUB_TDMEM49_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_ORIG_TD0 +CYDEV_PHUB_TDMEM49_ORIG_TD0 EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_ORIG_TD1 +CYDEV_PHUB_TDMEM49_ORIG_TD1 EQU 0x4000798c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_BASE +CYDEV_PHUB_TDMEM50_BASE EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_SIZE +CYDEV_PHUB_TDMEM50_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_ORIG_TD0 +CYDEV_PHUB_TDMEM50_ORIG_TD0 EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_ORIG_TD1 +CYDEV_PHUB_TDMEM50_ORIG_TD1 EQU 0x40007994 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_BASE +CYDEV_PHUB_TDMEM51_BASE EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_SIZE +CYDEV_PHUB_TDMEM51_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_ORIG_TD0 +CYDEV_PHUB_TDMEM51_ORIG_TD0 EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_ORIG_TD1 +CYDEV_PHUB_TDMEM51_ORIG_TD1 EQU 0x4000799c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_BASE +CYDEV_PHUB_TDMEM52_BASE EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_SIZE +CYDEV_PHUB_TDMEM52_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_ORIG_TD0 +CYDEV_PHUB_TDMEM52_ORIG_TD0 EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_ORIG_TD1 +CYDEV_PHUB_TDMEM52_ORIG_TD1 EQU 0x400079a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_BASE +CYDEV_PHUB_TDMEM53_BASE EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_SIZE +CYDEV_PHUB_TDMEM53_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_ORIG_TD0 +CYDEV_PHUB_TDMEM53_ORIG_TD0 EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_ORIG_TD1 +CYDEV_PHUB_TDMEM53_ORIG_TD1 EQU 0x400079ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_BASE +CYDEV_PHUB_TDMEM54_BASE EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_SIZE +CYDEV_PHUB_TDMEM54_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_ORIG_TD0 +CYDEV_PHUB_TDMEM54_ORIG_TD0 EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_ORIG_TD1 +CYDEV_PHUB_TDMEM54_ORIG_TD1 EQU 0x400079b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_BASE +CYDEV_PHUB_TDMEM55_BASE EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_SIZE +CYDEV_PHUB_TDMEM55_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_ORIG_TD0 +CYDEV_PHUB_TDMEM55_ORIG_TD0 EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_ORIG_TD1 +CYDEV_PHUB_TDMEM55_ORIG_TD1 EQU 0x400079bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_BASE +CYDEV_PHUB_TDMEM56_BASE EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_SIZE +CYDEV_PHUB_TDMEM56_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_ORIG_TD0 +CYDEV_PHUB_TDMEM56_ORIG_TD0 EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_ORIG_TD1 +CYDEV_PHUB_TDMEM56_ORIG_TD1 EQU 0x400079c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_BASE +CYDEV_PHUB_TDMEM57_BASE EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_SIZE +CYDEV_PHUB_TDMEM57_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_ORIG_TD0 +CYDEV_PHUB_TDMEM57_ORIG_TD0 EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_ORIG_TD1 +CYDEV_PHUB_TDMEM57_ORIG_TD1 EQU 0x400079cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_BASE +CYDEV_PHUB_TDMEM58_BASE EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_SIZE +CYDEV_PHUB_TDMEM58_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_ORIG_TD0 +CYDEV_PHUB_TDMEM58_ORIG_TD0 EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_ORIG_TD1 +CYDEV_PHUB_TDMEM58_ORIG_TD1 EQU 0x400079d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_BASE +CYDEV_PHUB_TDMEM59_BASE EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_SIZE +CYDEV_PHUB_TDMEM59_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_ORIG_TD0 +CYDEV_PHUB_TDMEM59_ORIG_TD0 EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_ORIG_TD1 +CYDEV_PHUB_TDMEM59_ORIG_TD1 EQU 0x400079dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_BASE +CYDEV_PHUB_TDMEM60_BASE EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_SIZE +CYDEV_PHUB_TDMEM60_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_ORIG_TD0 +CYDEV_PHUB_TDMEM60_ORIG_TD0 EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_ORIG_TD1 +CYDEV_PHUB_TDMEM60_ORIG_TD1 EQU 0x400079e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_BASE +CYDEV_PHUB_TDMEM61_BASE EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_SIZE +CYDEV_PHUB_TDMEM61_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_ORIG_TD0 +CYDEV_PHUB_TDMEM61_ORIG_TD0 EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_ORIG_TD1 +CYDEV_PHUB_TDMEM61_ORIG_TD1 EQU 0x400079ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_BASE +CYDEV_PHUB_TDMEM62_BASE EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_SIZE +CYDEV_PHUB_TDMEM62_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_ORIG_TD0 +CYDEV_PHUB_TDMEM62_ORIG_TD0 EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_ORIG_TD1 +CYDEV_PHUB_TDMEM62_ORIG_TD1 EQU 0x400079f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_BASE +CYDEV_PHUB_TDMEM63_BASE EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_SIZE +CYDEV_PHUB_TDMEM63_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_ORIG_TD0 +CYDEV_PHUB_TDMEM63_ORIG_TD0 EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_ORIG_TD1 +CYDEV_PHUB_TDMEM63_ORIG_TD1 EQU 0x400079fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_BASE +CYDEV_PHUB_TDMEM64_BASE EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_SIZE +CYDEV_PHUB_TDMEM64_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_ORIG_TD0 +CYDEV_PHUB_TDMEM64_ORIG_TD0 EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_ORIG_TD1 +CYDEV_PHUB_TDMEM64_ORIG_TD1 EQU 0x40007a04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_BASE +CYDEV_PHUB_TDMEM65_BASE EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_SIZE +CYDEV_PHUB_TDMEM65_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_ORIG_TD0 +CYDEV_PHUB_TDMEM65_ORIG_TD0 EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_ORIG_TD1 +CYDEV_PHUB_TDMEM65_ORIG_TD1 EQU 0x40007a0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_BASE +CYDEV_PHUB_TDMEM66_BASE EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_SIZE +CYDEV_PHUB_TDMEM66_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_ORIG_TD0 +CYDEV_PHUB_TDMEM66_ORIG_TD0 EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_ORIG_TD1 +CYDEV_PHUB_TDMEM66_ORIG_TD1 EQU 0x40007a14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_BASE +CYDEV_PHUB_TDMEM67_BASE EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_SIZE +CYDEV_PHUB_TDMEM67_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_ORIG_TD0 +CYDEV_PHUB_TDMEM67_ORIG_TD0 EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_ORIG_TD1 +CYDEV_PHUB_TDMEM67_ORIG_TD1 EQU 0x40007a1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_BASE +CYDEV_PHUB_TDMEM68_BASE EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_SIZE +CYDEV_PHUB_TDMEM68_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_ORIG_TD0 +CYDEV_PHUB_TDMEM68_ORIG_TD0 EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_ORIG_TD1 +CYDEV_PHUB_TDMEM68_ORIG_TD1 EQU 0x40007a24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_BASE +CYDEV_PHUB_TDMEM69_BASE EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_SIZE +CYDEV_PHUB_TDMEM69_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_ORIG_TD0 +CYDEV_PHUB_TDMEM69_ORIG_TD0 EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_ORIG_TD1 +CYDEV_PHUB_TDMEM69_ORIG_TD1 EQU 0x40007a2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_BASE +CYDEV_PHUB_TDMEM70_BASE EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_SIZE +CYDEV_PHUB_TDMEM70_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_ORIG_TD0 +CYDEV_PHUB_TDMEM70_ORIG_TD0 EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_ORIG_TD1 +CYDEV_PHUB_TDMEM70_ORIG_TD1 EQU 0x40007a34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_BASE +CYDEV_PHUB_TDMEM71_BASE EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_SIZE +CYDEV_PHUB_TDMEM71_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_ORIG_TD0 +CYDEV_PHUB_TDMEM71_ORIG_TD0 EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_ORIG_TD1 +CYDEV_PHUB_TDMEM71_ORIG_TD1 EQU 0x40007a3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_BASE +CYDEV_PHUB_TDMEM72_BASE EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_SIZE +CYDEV_PHUB_TDMEM72_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_ORIG_TD0 +CYDEV_PHUB_TDMEM72_ORIG_TD0 EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_ORIG_TD1 +CYDEV_PHUB_TDMEM72_ORIG_TD1 EQU 0x40007a44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_BASE +CYDEV_PHUB_TDMEM73_BASE EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_SIZE +CYDEV_PHUB_TDMEM73_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_ORIG_TD0 +CYDEV_PHUB_TDMEM73_ORIG_TD0 EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_ORIG_TD1 +CYDEV_PHUB_TDMEM73_ORIG_TD1 EQU 0x40007a4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_BASE +CYDEV_PHUB_TDMEM74_BASE EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_SIZE +CYDEV_PHUB_TDMEM74_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_ORIG_TD0 +CYDEV_PHUB_TDMEM74_ORIG_TD0 EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_ORIG_TD1 +CYDEV_PHUB_TDMEM74_ORIG_TD1 EQU 0x40007a54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_BASE +CYDEV_PHUB_TDMEM75_BASE EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_SIZE +CYDEV_PHUB_TDMEM75_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_ORIG_TD0 +CYDEV_PHUB_TDMEM75_ORIG_TD0 EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_ORIG_TD1 +CYDEV_PHUB_TDMEM75_ORIG_TD1 EQU 0x40007a5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_BASE +CYDEV_PHUB_TDMEM76_BASE EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_SIZE +CYDEV_PHUB_TDMEM76_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_ORIG_TD0 +CYDEV_PHUB_TDMEM76_ORIG_TD0 EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_ORIG_TD1 +CYDEV_PHUB_TDMEM76_ORIG_TD1 EQU 0x40007a64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_BASE +CYDEV_PHUB_TDMEM77_BASE EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_SIZE +CYDEV_PHUB_TDMEM77_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_ORIG_TD0 +CYDEV_PHUB_TDMEM77_ORIG_TD0 EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_ORIG_TD1 +CYDEV_PHUB_TDMEM77_ORIG_TD1 EQU 0x40007a6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_BASE +CYDEV_PHUB_TDMEM78_BASE EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_SIZE +CYDEV_PHUB_TDMEM78_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_ORIG_TD0 +CYDEV_PHUB_TDMEM78_ORIG_TD0 EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_ORIG_TD1 +CYDEV_PHUB_TDMEM78_ORIG_TD1 EQU 0x40007a74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_BASE +CYDEV_PHUB_TDMEM79_BASE EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_SIZE +CYDEV_PHUB_TDMEM79_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_ORIG_TD0 +CYDEV_PHUB_TDMEM79_ORIG_TD0 EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_ORIG_TD1 +CYDEV_PHUB_TDMEM79_ORIG_TD1 EQU 0x40007a7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_BASE +CYDEV_PHUB_TDMEM80_BASE EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_SIZE +CYDEV_PHUB_TDMEM80_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_ORIG_TD0 +CYDEV_PHUB_TDMEM80_ORIG_TD0 EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_ORIG_TD1 +CYDEV_PHUB_TDMEM80_ORIG_TD1 EQU 0x40007a84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_BASE +CYDEV_PHUB_TDMEM81_BASE EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_SIZE +CYDEV_PHUB_TDMEM81_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_ORIG_TD0 +CYDEV_PHUB_TDMEM81_ORIG_TD0 EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_ORIG_TD1 +CYDEV_PHUB_TDMEM81_ORIG_TD1 EQU 0x40007a8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_BASE +CYDEV_PHUB_TDMEM82_BASE EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_SIZE +CYDEV_PHUB_TDMEM82_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_ORIG_TD0 +CYDEV_PHUB_TDMEM82_ORIG_TD0 EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_ORIG_TD1 +CYDEV_PHUB_TDMEM82_ORIG_TD1 EQU 0x40007a94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_BASE +CYDEV_PHUB_TDMEM83_BASE EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_SIZE +CYDEV_PHUB_TDMEM83_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_ORIG_TD0 +CYDEV_PHUB_TDMEM83_ORIG_TD0 EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_ORIG_TD1 +CYDEV_PHUB_TDMEM83_ORIG_TD1 EQU 0x40007a9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_BASE +CYDEV_PHUB_TDMEM84_BASE EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_SIZE +CYDEV_PHUB_TDMEM84_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_ORIG_TD0 +CYDEV_PHUB_TDMEM84_ORIG_TD0 EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_ORIG_TD1 +CYDEV_PHUB_TDMEM84_ORIG_TD1 EQU 0x40007aa4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_BASE +CYDEV_PHUB_TDMEM85_BASE EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_SIZE +CYDEV_PHUB_TDMEM85_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_ORIG_TD0 +CYDEV_PHUB_TDMEM85_ORIG_TD0 EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_ORIG_TD1 +CYDEV_PHUB_TDMEM85_ORIG_TD1 EQU 0x40007aac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_BASE +CYDEV_PHUB_TDMEM86_BASE EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_SIZE +CYDEV_PHUB_TDMEM86_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_ORIG_TD0 +CYDEV_PHUB_TDMEM86_ORIG_TD0 EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_ORIG_TD1 +CYDEV_PHUB_TDMEM86_ORIG_TD1 EQU 0x40007ab4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_BASE +CYDEV_PHUB_TDMEM87_BASE EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_SIZE +CYDEV_PHUB_TDMEM87_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_ORIG_TD0 +CYDEV_PHUB_TDMEM87_ORIG_TD0 EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_ORIG_TD1 +CYDEV_PHUB_TDMEM87_ORIG_TD1 EQU 0x40007abc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_BASE +CYDEV_PHUB_TDMEM88_BASE EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_SIZE +CYDEV_PHUB_TDMEM88_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_ORIG_TD0 +CYDEV_PHUB_TDMEM88_ORIG_TD0 EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_ORIG_TD1 +CYDEV_PHUB_TDMEM88_ORIG_TD1 EQU 0x40007ac4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_BASE +CYDEV_PHUB_TDMEM89_BASE EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_SIZE +CYDEV_PHUB_TDMEM89_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_ORIG_TD0 +CYDEV_PHUB_TDMEM89_ORIG_TD0 EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_ORIG_TD1 +CYDEV_PHUB_TDMEM89_ORIG_TD1 EQU 0x40007acc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_BASE +CYDEV_PHUB_TDMEM90_BASE EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_SIZE +CYDEV_PHUB_TDMEM90_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_ORIG_TD0 +CYDEV_PHUB_TDMEM90_ORIG_TD0 EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_ORIG_TD1 +CYDEV_PHUB_TDMEM90_ORIG_TD1 EQU 0x40007ad4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_BASE +CYDEV_PHUB_TDMEM91_BASE EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_SIZE +CYDEV_PHUB_TDMEM91_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_ORIG_TD0 +CYDEV_PHUB_TDMEM91_ORIG_TD0 EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_ORIG_TD1 +CYDEV_PHUB_TDMEM91_ORIG_TD1 EQU 0x40007adc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_BASE +CYDEV_PHUB_TDMEM92_BASE EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_SIZE +CYDEV_PHUB_TDMEM92_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_ORIG_TD0 +CYDEV_PHUB_TDMEM92_ORIG_TD0 EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_ORIG_TD1 +CYDEV_PHUB_TDMEM92_ORIG_TD1 EQU 0x40007ae4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_BASE +CYDEV_PHUB_TDMEM93_BASE EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_SIZE +CYDEV_PHUB_TDMEM93_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_ORIG_TD0 +CYDEV_PHUB_TDMEM93_ORIG_TD0 EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_ORIG_TD1 +CYDEV_PHUB_TDMEM93_ORIG_TD1 EQU 0x40007aec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_BASE +CYDEV_PHUB_TDMEM94_BASE EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_SIZE +CYDEV_PHUB_TDMEM94_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_ORIG_TD0 +CYDEV_PHUB_TDMEM94_ORIG_TD0 EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_ORIG_TD1 +CYDEV_PHUB_TDMEM94_ORIG_TD1 EQU 0x40007af4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_BASE +CYDEV_PHUB_TDMEM95_BASE EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_SIZE +CYDEV_PHUB_TDMEM95_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_ORIG_TD0 +CYDEV_PHUB_TDMEM95_ORIG_TD0 EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_ORIG_TD1 +CYDEV_PHUB_TDMEM95_ORIG_TD1 EQU 0x40007afc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_BASE +CYDEV_PHUB_TDMEM96_BASE EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_SIZE +CYDEV_PHUB_TDMEM96_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_ORIG_TD0 +CYDEV_PHUB_TDMEM96_ORIG_TD0 EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_ORIG_TD1 +CYDEV_PHUB_TDMEM96_ORIG_TD1 EQU 0x40007b04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_BASE +CYDEV_PHUB_TDMEM97_BASE EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_SIZE +CYDEV_PHUB_TDMEM97_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_ORIG_TD0 +CYDEV_PHUB_TDMEM97_ORIG_TD0 EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_ORIG_TD1 +CYDEV_PHUB_TDMEM97_ORIG_TD1 EQU 0x40007b0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_BASE +CYDEV_PHUB_TDMEM98_BASE EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_SIZE +CYDEV_PHUB_TDMEM98_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_ORIG_TD0 +CYDEV_PHUB_TDMEM98_ORIG_TD0 EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_ORIG_TD1 +CYDEV_PHUB_TDMEM98_ORIG_TD1 EQU 0x40007b14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_BASE +CYDEV_PHUB_TDMEM99_BASE EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_SIZE +CYDEV_PHUB_TDMEM99_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_ORIG_TD0 +CYDEV_PHUB_TDMEM99_ORIG_TD0 EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_ORIG_TD1 +CYDEV_PHUB_TDMEM99_ORIG_TD1 EQU 0x40007b1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_BASE +CYDEV_PHUB_TDMEM100_BASE EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_SIZE +CYDEV_PHUB_TDMEM100_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_ORIG_TD0 +CYDEV_PHUB_TDMEM100_ORIG_TD0 EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_ORIG_TD1 +CYDEV_PHUB_TDMEM100_ORIG_TD1 EQU 0x40007b24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_BASE +CYDEV_PHUB_TDMEM101_BASE EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_SIZE +CYDEV_PHUB_TDMEM101_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_ORIG_TD0 +CYDEV_PHUB_TDMEM101_ORIG_TD0 EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_ORIG_TD1 +CYDEV_PHUB_TDMEM101_ORIG_TD1 EQU 0x40007b2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_BASE +CYDEV_PHUB_TDMEM102_BASE EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_SIZE +CYDEV_PHUB_TDMEM102_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_ORIG_TD0 +CYDEV_PHUB_TDMEM102_ORIG_TD0 EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_ORIG_TD1 +CYDEV_PHUB_TDMEM102_ORIG_TD1 EQU 0x40007b34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_BASE +CYDEV_PHUB_TDMEM103_BASE EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_SIZE +CYDEV_PHUB_TDMEM103_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_ORIG_TD0 +CYDEV_PHUB_TDMEM103_ORIG_TD0 EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_ORIG_TD1 +CYDEV_PHUB_TDMEM103_ORIG_TD1 EQU 0x40007b3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_BASE +CYDEV_PHUB_TDMEM104_BASE EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_SIZE +CYDEV_PHUB_TDMEM104_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_ORIG_TD0 +CYDEV_PHUB_TDMEM104_ORIG_TD0 EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_ORIG_TD1 +CYDEV_PHUB_TDMEM104_ORIG_TD1 EQU 0x40007b44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_BASE +CYDEV_PHUB_TDMEM105_BASE EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_SIZE +CYDEV_PHUB_TDMEM105_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_ORIG_TD0 +CYDEV_PHUB_TDMEM105_ORIG_TD0 EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_ORIG_TD1 +CYDEV_PHUB_TDMEM105_ORIG_TD1 EQU 0x40007b4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_BASE +CYDEV_PHUB_TDMEM106_BASE EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_SIZE +CYDEV_PHUB_TDMEM106_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_ORIG_TD0 +CYDEV_PHUB_TDMEM106_ORIG_TD0 EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_ORIG_TD1 +CYDEV_PHUB_TDMEM106_ORIG_TD1 EQU 0x40007b54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_BASE +CYDEV_PHUB_TDMEM107_BASE EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_SIZE +CYDEV_PHUB_TDMEM107_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_ORIG_TD0 +CYDEV_PHUB_TDMEM107_ORIG_TD0 EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_ORIG_TD1 +CYDEV_PHUB_TDMEM107_ORIG_TD1 EQU 0x40007b5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_BASE +CYDEV_PHUB_TDMEM108_BASE EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_SIZE +CYDEV_PHUB_TDMEM108_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_ORIG_TD0 +CYDEV_PHUB_TDMEM108_ORIG_TD0 EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_ORIG_TD1 +CYDEV_PHUB_TDMEM108_ORIG_TD1 EQU 0x40007b64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_BASE +CYDEV_PHUB_TDMEM109_BASE EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_SIZE +CYDEV_PHUB_TDMEM109_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_ORIG_TD0 +CYDEV_PHUB_TDMEM109_ORIG_TD0 EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_ORIG_TD1 +CYDEV_PHUB_TDMEM109_ORIG_TD1 EQU 0x40007b6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_BASE +CYDEV_PHUB_TDMEM110_BASE EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_SIZE +CYDEV_PHUB_TDMEM110_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_ORIG_TD0 +CYDEV_PHUB_TDMEM110_ORIG_TD0 EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_ORIG_TD1 +CYDEV_PHUB_TDMEM110_ORIG_TD1 EQU 0x40007b74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_BASE +CYDEV_PHUB_TDMEM111_BASE EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_SIZE +CYDEV_PHUB_TDMEM111_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_ORIG_TD0 +CYDEV_PHUB_TDMEM111_ORIG_TD0 EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_ORIG_TD1 +CYDEV_PHUB_TDMEM111_ORIG_TD1 EQU 0x40007b7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_BASE +CYDEV_PHUB_TDMEM112_BASE EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_SIZE +CYDEV_PHUB_TDMEM112_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_ORIG_TD0 +CYDEV_PHUB_TDMEM112_ORIG_TD0 EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_ORIG_TD1 +CYDEV_PHUB_TDMEM112_ORIG_TD1 EQU 0x40007b84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_BASE +CYDEV_PHUB_TDMEM113_BASE EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_SIZE +CYDEV_PHUB_TDMEM113_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_ORIG_TD0 +CYDEV_PHUB_TDMEM113_ORIG_TD0 EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_ORIG_TD1 +CYDEV_PHUB_TDMEM113_ORIG_TD1 EQU 0x40007b8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_BASE +CYDEV_PHUB_TDMEM114_BASE EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_SIZE +CYDEV_PHUB_TDMEM114_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_ORIG_TD0 +CYDEV_PHUB_TDMEM114_ORIG_TD0 EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_ORIG_TD1 +CYDEV_PHUB_TDMEM114_ORIG_TD1 EQU 0x40007b94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_BASE +CYDEV_PHUB_TDMEM115_BASE EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_SIZE +CYDEV_PHUB_TDMEM115_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_ORIG_TD0 +CYDEV_PHUB_TDMEM115_ORIG_TD0 EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_ORIG_TD1 +CYDEV_PHUB_TDMEM115_ORIG_TD1 EQU 0x40007b9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_BASE +CYDEV_PHUB_TDMEM116_BASE EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_SIZE +CYDEV_PHUB_TDMEM116_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_ORIG_TD0 +CYDEV_PHUB_TDMEM116_ORIG_TD0 EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_ORIG_TD1 +CYDEV_PHUB_TDMEM116_ORIG_TD1 EQU 0x40007ba4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_BASE +CYDEV_PHUB_TDMEM117_BASE EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_SIZE +CYDEV_PHUB_TDMEM117_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_ORIG_TD0 +CYDEV_PHUB_TDMEM117_ORIG_TD0 EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_ORIG_TD1 +CYDEV_PHUB_TDMEM117_ORIG_TD1 EQU 0x40007bac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_BASE +CYDEV_PHUB_TDMEM118_BASE EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_SIZE +CYDEV_PHUB_TDMEM118_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_ORIG_TD0 +CYDEV_PHUB_TDMEM118_ORIG_TD0 EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_ORIG_TD1 +CYDEV_PHUB_TDMEM118_ORIG_TD1 EQU 0x40007bb4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_BASE +CYDEV_PHUB_TDMEM119_BASE EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_SIZE +CYDEV_PHUB_TDMEM119_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_ORIG_TD0 +CYDEV_PHUB_TDMEM119_ORIG_TD0 EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_ORIG_TD1 +CYDEV_PHUB_TDMEM119_ORIG_TD1 EQU 0x40007bbc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_BASE +CYDEV_PHUB_TDMEM120_BASE EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_SIZE +CYDEV_PHUB_TDMEM120_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_ORIG_TD0 +CYDEV_PHUB_TDMEM120_ORIG_TD0 EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_ORIG_TD1 +CYDEV_PHUB_TDMEM120_ORIG_TD1 EQU 0x40007bc4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_BASE +CYDEV_PHUB_TDMEM121_BASE EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_SIZE +CYDEV_PHUB_TDMEM121_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_ORIG_TD0 +CYDEV_PHUB_TDMEM121_ORIG_TD0 EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_ORIG_TD1 +CYDEV_PHUB_TDMEM121_ORIG_TD1 EQU 0x40007bcc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_BASE +CYDEV_PHUB_TDMEM122_BASE EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_SIZE +CYDEV_PHUB_TDMEM122_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_ORIG_TD0 +CYDEV_PHUB_TDMEM122_ORIG_TD0 EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_ORIG_TD1 +CYDEV_PHUB_TDMEM122_ORIG_TD1 EQU 0x40007bd4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_BASE +CYDEV_PHUB_TDMEM123_BASE EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_SIZE +CYDEV_PHUB_TDMEM123_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_ORIG_TD0 +CYDEV_PHUB_TDMEM123_ORIG_TD0 EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_ORIG_TD1 +CYDEV_PHUB_TDMEM123_ORIG_TD1 EQU 0x40007bdc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_BASE +CYDEV_PHUB_TDMEM124_BASE EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_SIZE +CYDEV_PHUB_TDMEM124_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_ORIG_TD0 +CYDEV_PHUB_TDMEM124_ORIG_TD0 EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_ORIG_TD1 +CYDEV_PHUB_TDMEM124_ORIG_TD1 EQU 0x40007be4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_BASE +CYDEV_PHUB_TDMEM125_BASE EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_SIZE +CYDEV_PHUB_TDMEM125_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_ORIG_TD0 +CYDEV_PHUB_TDMEM125_ORIG_TD0 EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_ORIG_TD1 +CYDEV_PHUB_TDMEM125_ORIG_TD1 EQU 0x40007bec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_BASE +CYDEV_PHUB_TDMEM126_BASE EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_SIZE +CYDEV_PHUB_TDMEM126_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_ORIG_TD0 +CYDEV_PHUB_TDMEM126_ORIG_TD0 EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_ORIG_TD1 +CYDEV_PHUB_TDMEM126_ORIG_TD1 EQU 0x40007bf4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_BASE +CYDEV_PHUB_TDMEM127_BASE EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_SIZE +CYDEV_PHUB_TDMEM127_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_ORIG_TD0 +CYDEV_PHUB_TDMEM127_ORIG_TD0 EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_ORIG_TD1 +CYDEV_PHUB_TDMEM127_ORIG_TD1 EQU 0x40007bfc + ENDIF + IF :LNOT::DEF:CYDEV_EE_BASE +CYDEV_EE_BASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_SIZE +CYDEV_EE_SIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_EE_DATA_MBASE +CYDEV_EE_DATA_MBASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_DATA_MSIZE +CYDEV_EE_DATA_MSIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_BASE +CYDEV_CAN0_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_SIZE +CYDEV_CAN0_SIZE EQU 0x000002a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BASE +CYDEV_CAN0_CSR_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_SIZE +CYDEV_CAN0_CSR_SIZE EQU 0x00000018 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_INT_SR +CYDEV_CAN0_CSR_INT_SR EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_INT_EN +CYDEV_CAN0_CSR_INT_EN EQU 0x4000a004 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BUF_SR +CYDEV_CAN0_CSR_BUF_SR EQU 0x4000a008 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_ERR_SR +CYDEV_CAN0_CSR_ERR_SR EQU 0x4000a00c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_CMD +CYDEV_CAN0_CSR_CMD EQU 0x4000a010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_CFG +CYDEV_CAN0_CSR_CFG EQU 0x4000a014 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_BASE +CYDEV_CAN0_TX0_BASE EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_SIZE +CYDEV_CAN0_TX0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_CMD +CYDEV_CAN0_TX0_CMD EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_ID +CYDEV_CAN0_TX0_ID EQU 0x4000a024 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_DH +CYDEV_CAN0_TX0_DH EQU 0x4000a028 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_DL +CYDEV_CAN0_TX0_DL EQU 0x4000a02c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_BASE +CYDEV_CAN0_TX1_BASE EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_SIZE +CYDEV_CAN0_TX1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_CMD +CYDEV_CAN0_TX1_CMD EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_ID +CYDEV_CAN0_TX1_ID EQU 0x4000a034 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_DH +CYDEV_CAN0_TX1_DH EQU 0x4000a038 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_DL +CYDEV_CAN0_TX1_DL EQU 0x4000a03c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_BASE +CYDEV_CAN0_TX2_BASE EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_SIZE +CYDEV_CAN0_TX2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_CMD +CYDEV_CAN0_TX2_CMD EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_ID +CYDEV_CAN0_TX2_ID EQU 0x4000a044 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_DH +CYDEV_CAN0_TX2_DH EQU 0x4000a048 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_DL +CYDEV_CAN0_TX2_DL EQU 0x4000a04c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_BASE +CYDEV_CAN0_TX3_BASE EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_SIZE +CYDEV_CAN0_TX3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_CMD +CYDEV_CAN0_TX3_CMD EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_ID +CYDEV_CAN0_TX3_ID EQU 0x4000a054 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_DH +CYDEV_CAN0_TX3_DH EQU 0x4000a058 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_DL +CYDEV_CAN0_TX3_DL EQU 0x4000a05c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_BASE +CYDEV_CAN0_TX4_BASE EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_SIZE +CYDEV_CAN0_TX4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_CMD +CYDEV_CAN0_TX4_CMD EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_ID +CYDEV_CAN0_TX4_ID EQU 0x4000a064 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_DH +CYDEV_CAN0_TX4_DH EQU 0x4000a068 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_DL +CYDEV_CAN0_TX4_DL EQU 0x4000a06c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_BASE +CYDEV_CAN0_TX5_BASE EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_SIZE +CYDEV_CAN0_TX5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_CMD +CYDEV_CAN0_TX5_CMD EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_ID +CYDEV_CAN0_TX5_ID EQU 0x4000a074 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_DH +CYDEV_CAN0_TX5_DH EQU 0x4000a078 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_DL +CYDEV_CAN0_TX5_DL EQU 0x4000a07c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_BASE +CYDEV_CAN0_TX6_BASE EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_SIZE +CYDEV_CAN0_TX6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_CMD +CYDEV_CAN0_TX6_CMD EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_ID +CYDEV_CAN0_TX6_ID EQU 0x4000a084 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_DH +CYDEV_CAN0_TX6_DH EQU 0x4000a088 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_DL +CYDEV_CAN0_TX6_DL EQU 0x4000a08c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_BASE +CYDEV_CAN0_TX7_BASE EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_SIZE +CYDEV_CAN0_TX7_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_CMD +CYDEV_CAN0_TX7_CMD EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_ID +CYDEV_CAN0_TX7_ID EQU 0x4000a094 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_DH +CYDEV_CAN0_TX7_DH EQU 0x4000a098 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_DL +CYDEV_CAN0_TX7_DL EQU 0x4000a09c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_BASE +CYDEV_CAN0_RX0_BASE EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_SIZE +CYDEV_CAN0_RX0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_CMD +CYDEV_CAN0_RX0_CMD EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ID +CYDEV_CAN0_RX0_ID EQU 0x4000a0a4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_DH +CYDEV_CAN0_RX0_DH EQU 0x4000a0a8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_DL +CYDEV_CAN0_RX0_DL EQU 0x4000a0ac + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_AMR +CYDEV_CAN0_RX0_AMR EQU 0x4000a0b0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ACR +CYDEV_CAN0_RX0_ACR EQU 0x4000a0b4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_AMRD +CYDEV_CAN0_RX0_AMRD EQU 0x4000a0b8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ACRD +CYDEV_CAN0_RX0_ACRD EQU 0x4000a0bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_BASE +CYDEV_CAN0_RX1_BASE EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_SIZE +CYDEV_CAN0_RX1_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_CMD +CYDEV_CAN0_RX1_CMD EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ID +CYDEV_CAN0_RX1_ID EQU 0x4000a0c4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_DH +CYDEV_CAN0_RX1_DH EQU 0x4000a0c8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_DL +CYDEV_CAN0_RX1_DL EQU 0x4000a0cc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_AMR +CYDEV_CAN0_RX1_AMR EQU 0x4000a0d0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ACR +CYDEV_CAN0_RX1_ACR EQU 0x4000a0d4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_AMRD +CYDEV_CAN0_RX1_AMRD EQU 0x4000a0d8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ACRD +CYDEV_CAN0_RX1_ACRD EQU 0x4000a0dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_BASE +CYDEV_CAN0_RX2_BASE EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_SIZE +CYDEV_CAN0_RX2_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_CMD +CYDEV_CAN0_RX2_CMD EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ID +CYDEV_CAN0_RX2_ID EQU 0x4000a0e4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_DH +CYDEV_CAN0_RX2_DH EQU 0x4000a0e8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_DL +CYDEV_CAN0_RX2_DL EQU 0x4000a0ec + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_AMR +CYDEV_CAN0_RX2_AMR EQU 0x4000a0f0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ACR +CYDEV_CAN0_RX2_ACR EQU 0x4000a0f4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_AMRD +CYDEV_CAN0_RX2_AMRD EQU 0x4000a0f8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ACRD +CYDEV_CAN0_RX2_ACRD EQU 0x4000a0fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_BASE +CYDEV_CAN0_RX3_BASE EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_SIZE +CYDEV_CAN0_RX3_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_CMD +CYDEV_CAN0_RX3_CMD EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ID +CYDEV_CAN0_RX3_ID EQU 0x4000a104 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_DH +CYDEV_CAN0_RX3_DH EQU 0x4000a108 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_DL +CYDEV_CAN0_RX3_DL EQU 0x4000a10c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_AMR +CYDEV_CAN0_RX3_AMR EQU 0x4000a110 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ACR +CYDEV_CAN0_RX3_ACR EQU 0x4000a114 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_AMRD +CYDEV_CAN0_RX3_AMRD EQU 0x4000a118 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ACRD +CYDEV_CAN0_RX3_ACRD EQU 0x4000a11c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_BASE +CYDEV_CAN0_RX4_BASE EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_SIZE +CYDEV_CAN0_RX4_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_CMD +CYDEV_CAN0_RX4_CMD EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ID +CYDEV_CAN0_RX4_ID EQU 0x4000a124 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_DH +CYDEV_CAN0_RX4_DH EQU 0x4000a128 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_DL +CYDEV_CAN0_RX4_DL EQU 0x4000a12c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_AMR +CYDEV_CAN0_RX4_AMR EQU 0x4000a130 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ACR +CYDEV_CAN0_RX4_ACR EQU 0x4000a134 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_AMRD +CYDEV_CAN0_RX4_AMRD EQU 0x4000a138 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ACRD +CYDEV_CAN0_RX4_ACRD EQU 0x4000a13c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_BASE +CYDEV_CAN0_RX5_BASE EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_SIZE +CYDEV_CAN0_RX5_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_CMD +CYDEV_CAN0_RX5_CMD EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ID +CYDEV_CAN0_RX5_ID EQU 0x4000a144 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_DH +CYDEV_CAN0_RX5_DH EQU 0x4000a148 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_DL +CYDEV_CAN0_RX5_DL EQU 0x4000a14c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_AMR +CYDEV_CAN0_RX5_AMR EQU 0x4000a150 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ACR +CYDEV_CAN0_RX5_ACR EQU 0x4000a154 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_AMRD +CYDEV_CAN0_RX5_AMRD EQU 0x4000a158 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ACRD +CYDEV_CAN0_RX5_ACRD EQU 0x4000a15c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_BASE +CYDEV_CAN0_RX6_BASE EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_SIZE +CYDEV_CAN0_RX6_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_CMD +CYDEV_CAN0_RX6_CMD EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ID +CYDEV_CAN0_RX6_ID EQU 0x4000a164 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_DH +CYDEV_CAN0_RX6_DH EQU 0x4000a168 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_DL +CYDEV_CAN0_RX6_DL EQU 0x4000a16c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_AMR +CYDEV_CAN0_RX6_AMR EQU 0x4000a170 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ACR +CYDEV_CAN0_RX6_ACR EQU 0x4000a174 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_AMRD +CYDEV_CAN0_RX6_AMRD EQU 0x4000a178 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ACRD +CYDEV_CAN0_RX6_ACRD EQU 0x4000a17c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_BASE +CYDEV_CAN0_RX7_BASE EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_SIZE +CYDEV_CAN0_RX7_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_CMD +CYDEV_CAN0_RX7_CMD EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ID +CYDEV_CAN0_RX7_ID EQU 0x4000a184 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_DH +CYDEV_CAN0_RX7_DH EQU 0x4000a188 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_DL +CYDEV_CAN0_RX7_DL EQU 0x4000a18c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_AMR +CYDEV_CAN0_RX7_AMR EQU 0x4000a190 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ACR +CYDEV_CAN0_RX7_ACR EQU 0x4000a194 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_AMRD +CYDEV_CAN0_RX7_AMRD EQU 0x4000a198 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ACRD +CYDEV_CAN0_RX7_ACRD EQU 0x4000a19c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_BASE +CYDEV_CAN0_RX8_BASE EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_SIZE +CYDEV_CAN0_RX8_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_CMD +CYDEV_CAN0_RX8_CMD EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ID +CYDEV_CAN0_RX8_ID EQU 0x4000a1a4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_DH +CYDEV_CAN0_RX8_DH EQU 0x4000a1a8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_DL +CYDEV_CAN0_RX8_DL EQU 0x4000a1ac + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_AMR +CYDEV_CAN0_RX8_AMR EQU 0x4000a1b0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ACR +CYDEV_CAN0_RX8_ACR EQU 0x4000a1b4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_AMRD +CYDEV_CAN0_RX8_AMRD EQU 0x4000a1b8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ACRD +CYDEV_CAN0_RX8_ACRD EQU 0x4000a1bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_BASE +CYDEV_CAN0_RX9_BASE EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_SIZE +CYDEV_CAN0_RX9_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_CMD +CYDEV_CAN0_RX9_CMD EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ID +CYDEV_CAN0_RX9_ID EQU 0x4000a1c4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_DH +CYDEV_CAN0_RX9_DH EQU 0x4000a1c8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_DL +CYDEV_CAN0_RX9_DL EQU 0x4000a1cc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_AMR +CYDEV_CAN0_RX9_AMR EQU 0x4000a1d0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ACR +CYDEV_CAN0_RX9_ACR EQU 0x4000a1d4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_AMRD +CYDEV_CAN0_RX9_AMRD EQU 0x4000a1d8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ACRD +CYDEV_CAN0_RX9_ACRD EQU 0x4000a1dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_BASE +CYDEV_CAN0_RX10_BASE EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_SIZE +CYDEV_CAN0_RX10_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_CMD +CYDEV_CAN0_RX10_CMD EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ID +CYDEV_CAN0_RX10_ID EQU 0x4000a1e4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_DH +CYDEV_CAN0_RX10_DH EQU 0x4000a1e8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_DL +CYDEV_CAN0_RX10_DL EQU 0x4000a1ec + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_AMR +CYDEV_CAN0_RX10_AMR EQU 0x4000a1f0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ACR +CYDEV_CAN0_RX10_ACR EQU 0x4000a1f4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_AMRD +CYDEV_CAN0_RX10_AMRD EQU 0x4000a1f8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ACRD +CYDEV_CAN0_RX10_ACRD EQU 0x4000a1fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_BASE +CYDEV_CAN0_RX11_BASE EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_SIZE +CYDEV_CAN0_RX11_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_CMD +CYDEV_CAN0_RX11_CMD EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ID +CYDEV_CAN0_RX11_ID EQU 0x4000a204 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_DH +CYDEV_CAN0_RX11_DH EQU 0x4000a208 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_DL +CYDEV_CAN0_RX11_DL EQU 0x4000a20c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_AMR +CYDEV_CAN0_RX11_AMR EQU 0x4000a210 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ACR +CYDEV_CAN0_RX11_ACR EQU 0x4000a214 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_AMRD +CYDEV_CAN0_RX11_AMRD EQU 0x4000a218 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ACRD +CYDEV_CAN0_RX11_ACRD EQU 0x4000a21c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_BASE +CYDEV_CAN0_RX12_BASE EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_SIZE +CYDEV_CAN0_RX12_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_CMD +CYDEV_CAN0_RX12_CMD EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ID +CYDEV_CAN0_RX12_ID EQU 0x4000a224 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_DH +CYDEV_CAN0_RX12_DH EQU 0x4000a228 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_DL +CYDEV_CAN0_RX12_DL EQU 0x4000a22c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_AMR +CYDEV_CAN0_RX12_AMR EQU 0x4000a230 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ACR +CYDEV_CAN0_RX12_ACR EQU 0x4000a234 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_AMRD +CYDEV_CAN0_RX12_AMRD EQU 0x4000a238 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ACRD +CYDEV_CAN0_RX12_ACRD EQU 0x4000a23c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_BASE +CYDEV_CAN0_RX13_BASE EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_SIZE +CYDEV_CAN0_RX13_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_CMD +CYDEV_CAN0_RX13_CMD EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ID +CYDEV_CAN0_RX13_ID EQU 0x4000a244 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_DH +CYDEV_CAN0_RX13_DH EQU 0x4000a248 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_DL +CYDEV_CAN0_RX13_DL EQU 0x4000a24c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_AMR +CYDEV_CAN0_RX13_AMR EQU 0x4000a250 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ACR +CYDEV_CAN0_RX13_ACR EQU 0x4000a254 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_AMRD +CYDEV_CAN0_RX13_AMRD EQU 0x4000a258 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ACRD +CYDEV_CAN0_RX13_ACRD EQU 0x4000a25c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_BASE +CYDEV_CAN0_RX14_BASE EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_SIZE +CYDEV_CAN0_RX14_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_CMD +CYDEV_CAN0_RX14_CMD EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ID +CYDEV_CAN0_RX14_ID EQU 0x4000a264 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_DH +CYDEV_CAN0_RX14_DH EQU 0x4000a268 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_DL +CYDEV_CAN0_RX14_DL EQU 0x4000a26c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_AMR +CYDEV_CAN0_RX14_AMR EQU 0x4000a270 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ACR +CYDEV_CAN0_RX14_ACR EQU 0x4000a274 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_AMRD +CYDEV_CAN0_RX14_AMRD EQU 0x4000a278 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ACRD +CYDEV_CAN0_RX14_ACRD EQU 0x4000a27c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_BASE +CYDEV_CAN0_RX15_BASE EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_SIZE +CYDEV_CAN0_RX15_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_CMD +CYDEV_CAN0_RX15_CMD EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ID +CYDEV_CAN0_RX15_ID EQU 0x4000a284 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_DH +CYDEV_CAN0_RX15_DH EQU 0x4000a288 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_DL +CYDEV_CAN0_RX15_DL EQU 0x4000a28c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_AMR +CYDEV_CAN0_RX15_AMR EQU 0x4000a290 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ACR +CYDEV_CAN0_RX15_ACR EQU 0x4000a294 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_AMRD +CYDEV_CAN0_RX15_AMRD EQU 0x4000a298 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ACRD +CYDEV_CAN0_RX15_ACRD EQU 0x4000a29c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_BASE +CYDEV_DFB0_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SIZE +CYDEV_DFB0_SIZE EQU 0x000007b5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_BASE +CYDEV_DFB0_DPA_SRAM_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_SIZE +CYDEV_DFB0_DPA_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_DATA_MBASE +CYDEV_DFB0_DPA_SRAM_DATA_MBASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_DATA_MSIZE +CYDEV_DFB0_DPA_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_BASE +CYDEV_DFB0_DPB_SRAM_BASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_SIZE +CYDEV_DFB0_DPB_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_DATA_MBASE +CYDEV_DFB0_DPB_SRAM_DATA_MBASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_DATA_MSIZE +CYDEV_DFB0_DPB_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_BASE +CYDEV_DFB0_CSA_SRAM_BASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_SIZE +CYDEV_DFB0_CSA_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_DATA_MBASE +CYDEV_DFB0_CSA_SRAM_DATA_MBASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_DATA_MSIZE +CYDEV_DFB0_CSA_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_BASE +CYDEV_DFB0_CSB_SRAM_BASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_SIZE +CYDEV_DFB0_CSB_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_DATA_MBASE +CYDEV_DFB0_CSB_SRAM_DATA_MBASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_DATA_MSIZE +CYDEV_DFB0_CSB_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_BASE +CYDEV_DFB0_FSM_SRAM_BASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_SIZE +CYDEV_DFB0_FSM_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_DATA_MBASE +CYDEV_DFB0_FSM_SRAM_DATA_MBASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_DATA_MSIZE +CYDEV_DFB0_FSM_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_BASE +CYDEV_DFB0_ACU_SRAM_BASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_SIZE +CYDEV_DFB0_ACU_SRAM_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_DATA_MBASE +CYDEV_DFB0_ACU_SRAM_DATA_MBASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_DATA_MSIZE +CYDEV_DFB0_ACU_SRAM_DATA_MSIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CR +CYDEV_DFB0_CR EQU 0x4000c780 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SR +CYDEV_DFB0_SR EQU 0x4000c784 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_RAM_EN +CYDEV_DFB0_RAM_EN EQU 0x4000c788 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_RAM_DIR +CYDEV_DFB0_RAM_DIR EQU 0x4000c78c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SEMA +CYDEV_DFB0_SEMA EQU 0x4000c790 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DSI_CTRL +CYDEV_DFB0_DSI_CTRL EQU 0x4000c794 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_INT_CTRL +CYDEV_DFB0_INT_CTRL EQU 0x4000c798 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DMA_CTRL +CYDEV_DFB0_DMA_CTRL EQU 0x4000c79c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEA +CYDEV_DFB0_STAGEA EQU 0x4000c7a0 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEAM +CYDEV_DFB0_STAGEAM EQU 0x4000c7a1 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEAH +CYDEV_DFB0_STAGEAH EQU 0x4000c7a2 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEB +CYDEV_DFB0_STAGEB EQU 0x4000c7a4 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEBM +CYDEV_DFB0_STAGEBM EQU 0x4000c7a5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEBH +CYDEV_DFB0_STAGEBH EQU 0x4000c7a6 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDA +CYDEV_DFB0_HOLDA EQU 0x4000c7a8 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAM +CYDEV_DFB0_HOLDAM EQU 0x4000c7a9 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAH +CYDEV_DFB0_HOLDAH EQU 0x4000c7aa + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAS +CYDEV_DFB0_HOLDAS EQU 0x4000c7ab + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDB +CYDEV_DFB0_HOLDB EQU 0x4000c7ac + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBM +CYDEV_DFB0_HOLDBM EQU 0x4000c7ad + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBH +CYDEV_DFB0_HOLDBH EQU 0x4000c7ae + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBS +CYDEV_DFB0_HOLDBS EQU 0x4000c7af + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_COHER +CYDEV_DFB0_COHER EQU 0x4000c7b0 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DALIGN +CYDEV_DFB0_DALIGN EQU 0x4000c7b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BASE +CYDEV_UCFG_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_SIZE +CYDEV_UCFG_SIZE EQU 0x00005040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_BASE +CYDEV_UCFG_B0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_SIZE +CYDEV_UCFG_B0_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_BASE +CYDEV_UCFG_B0_P0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_SIZE +CYDEV_UCFG_B0_P0_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_BASE +CYDEV_UCFG_B0_P0_U0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_SIZE +CYDEV_UCFG_B0_P0_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT0 +CYDEV_UCFG_B0_P0_U0_PLD_IT0 EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT1 +CYDEV_UCFG_B0_P0_U0_PLD_IT1 EQU 0x40010004 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT2 +CYDEV_UCFG_B0_P0_U0_PLD_IT2 EQU 0x40010008 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT3 +CYDEV_UCFG_B0_P0_U0_PLD_IT3 EQU 0x4001000c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT4 +CYDEV_UCFG_B0_P0_U0_PLD_IT4 EQU 0x40010010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT5 +CYDEV_UCFG_B0_P0_U0_PLD_IT5 EQU 0x40010014 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT6 +CYDEV_UCFG_B0_P0_U0_PLD_IT6 EQU 0x40010018 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT7 +CYDEV_UCFG_B0_P0_U0_PLD_IT7 EQU 0x4001001c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT8 +CYDEV_UCFG_B0_P0_U0_PLD_IT8 EQU 0x40010020 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT9 +CYDEV_UCFG_B0_P0_U0_PLD_IT9 EQU 0x40010024 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT10 +CYDEV_UCFG_B0_P0_U0_PLD_IT10 EQU 0x40010028 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT11 +CYDEV_UCFG_B0_P0_U0_PLD_IT11 EQU 0x4001002c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT0 +CYDEV_UCFG_B0_P0_U0_PLD_ORT0 EQU 0x40010030 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT1 +CYDEV_UCFG_B0_P0_U0_PLD_ORT1 EQU 0x40010032 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT2 +CYDEV_UCFG_B0_P0_U0_PLD_ORT2 EQU 0x40010034 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT3 +CYDEV_UCFG_B0_P0_U0_PLD_ORT3 EQU 0x40010036 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST EQU 0x40010038 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB EQU 0x4001003a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET EQU 0x4001003c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS EQU 0x4001003e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG0 +CYDEV_UCFG_B0_P0_U0_CFG0 EQU 0x40010040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG1 +CYDEV_UCFG_B0_P0_U0_CFG1 EQU 0x40010041 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG2 +CYDEV_UCFG_B0_P0_U0_CFG2 EQU 0x40010042 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG3 +CYDEV_UCFG_B0_P0_U0_CFG3 EQU 0x40010043 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG4 +CYDEV_UCFG_B0_P0_U0_CFG4 EQU 0x40010044 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG5 +CYDEV_UCFG_B0_P0_U0_CFG5 EQU 0x40010045 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG6 +CYDEV_UCFG_B0_P0_U0_CFG6 EQU 0x40010046 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG7 +CYDEV_UCFG_B0_P0_U0_CFG7 EQU 0x40010047 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG8 +CYDEV_UCFG_B0_P0_U0_CFG8 EQU 0x40010048 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG9 +CYDEV_UCFG_B0_P0_U0_CFG9 EQU 0x40010049 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG10 +CYDEV_UCFG_B0_P0_U0_CFG10 EQU 0x4001004a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG11 +CYDEV_UCFG_B0_P0_U0_CFG11 EQU 0x4001004b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG12 +CYDEV_UCFG_B0_P0_U0_CFG12 EQU 0x4001004c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG13 +CYDEV_UCFG_B0_P0_U0_CFG13 EQU 0x4001004d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG14 +CYDEV_UCFG_B0_P0_U0_CFG14 EQU 0x4001004e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG15 +CYDEV_UCFG_B0_P0_U0_CFG15 EQU 0x4001004f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG16 +CYDEV_UCFG_B0_P0_U0_CFG16 EQU 0x40010050 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG17 +CYDEV_UCFG_B0_P0_U0_CFG17 EQU 0x40010051 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG18 +CYDEV_UCFG_B0_P0_U0_CFG18 EQU 0x40010052 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG19 +CYDEV_UCFG_B0_P0_U0_CFG19 EQU 0x40010053 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG20 +CYDEV_UCFG_B0_P0_U0_CFG20 EQU 0x40010054 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG21 +CYDEV_UCFG_B0_P0_U0_CFG21 EQU 0x40010055 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG22 +CYDEV_UCFG_B0_P0_U0_CFG22 EQU 0x40010056 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG23 +CYDEV_UCFG_B0_P0_U0_CFG23 EQU 0x40010057 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG24 +CYDEV_UCFG_B0_P0_U0_CFG24 EQU 0x40010058 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG25 +CYDEV_UCFG_B0_P0_U0_CFG25 EQU 0x40010059 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG26 +CYDEV_UCFG_B0_P0_U0_CFG26 EQU 0x4001005a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG27 +CYDEV_UCFG_B0_P0_U0_CFG27 EQU 0x4001005b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG28 +CYDEV_UCFG_B0_P0_U0_CFG28 EQU 0x4001005c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG29 +CYDEV_UCFG_B0_P0_U0_CFG29 EQU 0x4001005d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG30 +CYDEV_UCFG_B0_P0_U0_CFG30 EQU 0x4001005e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG31 +CYDEV_UCFG_B0_P0_U0_CFG31 EQU 0x4001005f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG0 +CYDEV_UCFG_B0_P0_U0_DCFG0 EQU 0x40010060 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG1 +CYDEV_UCFG_B0_P0_U0_DCFG1 EQU 0x40010062 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG2 +CYDEV_UCFG_B0_P0_U0_DCFG2 EQU 0x40010064 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG3 +CYDEV_UCFG_B0_P0_U0_DCFG3 EQU 0x40010066 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG4 +CYDEV_UCFG_B0_P0_U0_DCFG4 EQU 0x40010068 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG5 +CYDEV_UCFG_B0_P0_U0_DCFG5 EQU 0x4001006a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG6 +CYDEV_UCFG_B0_P0_U0_DCFG6 EQU 0x4001006c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG7 +CYDEV_UCFG_B0_P0_U0_DCFG7 EQU 0x4001006e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_BASE +CYDEV_UCFG_B0_P0_U1_BASE EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_SIZE +CYDEV_UCFG_B0_P0_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT0 +CYDEV_UCFG_B0_P0_U1_PLD_IT0 EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT1 +CYDEV_UCFG_B0_P0_U1_PLD_IT1 EQU 0x40010084 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT2 +CYDEV_UCFG_B0_P0_U1_PLD_IT2 EQU 0x40010088 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT3 +CYDEV_UCFG_B0_P0_U1_PLD_IT3 EQU 0x4001008c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT4 +CYDEV_UCFG_B0_P0_U1_PLD_IT4 EQU 0x40010090 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT5 +CYDEV_UCFG_B0_P0_U1_PLD_IT5 EQU 0x40010094 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT6 +CYDEV_UCFG_B0_P0_U1_PLD_IT6 EQU 0x40010098 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT7 +CYDEV_UCFG_B0_P0_U1_PLD_IT7 EQU 0x4001009c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT8 +CYDEV_UCFG_B0_P0_U1_PLD_IT8 EQU 0x400100a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT9 +CYDEV_UCFG_B0_P0_U1_PLD_IT9 EQU 0x400100a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT10 +CYDEV_UCFG_B0_P0_U1_PLD_IT10 EQU 0x400100a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT11 +CYDEV_UCFG_B0_P0_U1_PLD_IT11 EQU 0x400100ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT0 +CYDEV_UCFG_B0_P0_U1_PLD_ORT0 EQU 0x400100b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT1 +CYDEV_UCFG_B0_P0_U1_PLD_ORT1 EQU 0x400100b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT2 +CYDEV_UCFG_B0_P0_U1_PLD_ORT2 EQU 0x400100b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT3 +CYDEV_UCFG_B0_P0_U1_PLD_ORT3 EQU 0x400100b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST EQU 0x400100b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB EQU 0x400100ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET EQU 0x400100bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS EQU 0x400100be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG0 +CYDEV_UCFG_B0_P0_U1_CFG0 EQU 0x400100c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG1 +CYDEV_UCFG_B0_P0_U1_CFG1 EQU 0x400100c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG2 +CYDEV_UCFG_B0_P0_U1_CFG2 EQU 0x400100c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG3 +CYDEV_UCFG_B0_P0_U1_CFG3 EQU 0x400100c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG4 +CYDEV_UCFG_B0_P0_U1_CFG4 EQU 0x400100c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG5 +CYDEV_UCFG_B0_P0_U1_CFG5 EQU 0x400100c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG6 +CYDEV_UCFG_B0_P0_U1_CFG6 EQU 0x400100c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG7 +CYDEV_UCFG_B0_P0_U1_CFG7 EQU 0x400100c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG8 +CYDEV_UCFG_B0_P0_U1_CFG8 EQU 0x400100c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG9 +CYDEV_UCFG_B0_P0_U1_CFG9 EQU 0x400100c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG10 +CYDEV_UCFG_B0_P0_U1_CFG10 EQU 0x400100ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG11 +CYDEV_UCFG_B0_P0_U1_CFG11 EQU 0x400100cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG12 +CYDEV_UCFG_B0_P0_U1_CFG12 EQU 0x400100cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG13 +CYDEV_UCFG_B0_P0_U1_CFG13 EQU 0x400100cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG14 +CYDEV_UCFG_B0_P0_U1_CFG14 EQU 0x400100ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG15 +CYDEV_UCFG_B0_P0_U1_CFG15 EQU 0x400100cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG16 +CYDEV_UCFG_B0_P0_U1_CFG16 EQU 0x400100d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG17 +CYDEV_UCFG_B0_P0_U1_CFG17 EQU 0x400100d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG18 +CYDEV_UCFG_B0_P0_U1_CFG18 EQU 0x400100d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG19 +CYDEV_UCFG_B0_P0_U1_CFG19 EQU 0x400100d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG20 +CYDEV_UCFG_B0_P0_U1_CFG20 EQU 0x400100d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG21 +CYDEV_UCFG_B0_P0_U1_CFG21 EQU 0x400100d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG22 +CYDEV_UCFG_B0_P0_U1_CFG22 EQU 0x400100d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG23 +CYDEV_UCFG_B0_P0_U1_CFG23 EQU 0x400100d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG24 +CYDEV_UCFG_B0_P0_U1_CFG24 EQU 0x400100d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG25 +CYDEV_UCFG_B0_P0_U1_CFG25 EQU 0x400100d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG26 +CYDEV_UCFG_B0_P0_U1_CFG26 EQU 0x400100da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG27 +CYDEV_UCFG_B0_P0_U1_CFG27 EQU 0x400100db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG28 +CYDEV_UCFG_B0_P0_U1_CFG28 EQU 0x400100dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG29 +CYDEV_UCFG_B0_P0_U1_CFG29 EQU 0x400100dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG30 +CYDEV_UCFG_B0_P0_U1_CFG30 EQU 0x400100de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG31 +CYDEV_UCFG_B0_P0_U1_CFG31 EQU 0x400100df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG0 +CYDEV_UCFG_B0_P0_U1_DCFG0 EQU 0x400100e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG1 +CYDEV_UCFG_B0_P0_U1_DCFG1 EQU 0x400100e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG2 +CYDEV_UCFG_B0_P0_U1_DCFG2 EQU 0x400100e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG3 +CYDEV_UCFG_B0_P0_U1_DCFG3 EQU 0x400100e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG4 +CYDEV_UCFG_B0_P0_U1_DCFG4 EQU 0x400100e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG5 +CYDEV_UCFG_B0_P0_U1_DCFG5 EQU 0x400100ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG6 +CYDEV_UCFG_B0_P0_U1_DCFG6 EQU 0x400100ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG7 +CYDEV_UCFG_B0_P0_U1_DCFG7 EQU 0x400100ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_BASE +CYDEV_UCFG_B0_P0_ROUTE_BASE EQU 0x40010100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_SIZE +CYDEV_UCFG_B0_P0_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_BASE +CYDEV_UCFG_B0_P1_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_SIZE +CYDEV_UCFG_B0_P1_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_BASE +CYDEV_UCFG_B0_P1_U0_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_SIZE +CYDEV_UCFG_B0_P1_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT0 +CYDEV_UCFG_B0_P1_U0_PLD_IT0 EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT1 +CYDEV_UCFG_B0_P1_U0_PLD_IT1 EQU 0x40010204 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT2 +CYDEV_UCFG_B0_P1_U0_PLD_IT2 EQU 0x40010208 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT3 +CYDEV_UCFG_B0_P1_U0_PLD_IT3 EQU 0x4001020c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT4 +CYDEV_UCFG_B0_P1_U0_PLD_IT4 EQU 0x40010210 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT5 +CYDEV_UCFG_B0_P1_U0_PLD_IT5 EQU 0x40010214 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT6 +CYDEV_UCFG_B0_P1_U0_PLD_IT6 EQU 0x40010218 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT7 +CYDEV_UCFG_B0_P1_U0_PLD_IT7 EQU 0x4001021c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT8 +CYDEV_UCFG_B0_P1_U0_PLD_IT8 EQU 0x40010220 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT9 +CYDEV_UCFG_B0_P1_U0_PLD_IT9 EQU 0x40010224 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT10 +CYDEV_UCFG_B0_P1_U0_PLD_IT10 EQU 0x40010228 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT11 +CYDEV_UCFG_B0_P1_U0_PLD_IT11 EQU 0x4001022c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT0 +CYDEV_UCFG_B0_P1_U0_PLD_ORT0 EQU 0x40010230 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT1 +CYDEV_UCFG_B0_P1_U0_PLD_ORT1 EQU 0x40010232 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT2 +CYDEV_UCFG_B0_P1_U0_PLD_ORT2 EQU 0x40010234 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT3 +CYDEV_UCFG_B0_P1_U0_PLD_ORT3 EQU 0x40010236 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST EQU 0x40010238 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB EQU 0x4001023a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET EQU 0x4001023c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS EQU 0x4001023e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG0 +CYDEV_UCFG_B0_P1_U0_CFG0 EQU 0x40010240 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG1 +CYDEV_UCFG_B0_P1_U0_CFG1 EQU 0x40010241 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG2 +CYDEV_UCFG_B0_P1_U0_CFG2 EQU 0x40010242 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG3 +CYDEV_UCFG_B0_P1_U0_CFG3 EQU 0x40010243 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG4 +CYDEV_UCFG_B0_P1_U0_CFG4 EQU 0x40010244 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG5 +CYDEV_UCFG_B0_P1_U0_CFG5 EQU 0x40010245 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG6 +CYDEV_UCFG_B0_P1_U0_CFG6 EQU 0x40010246 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG7 +CYDEV_UCFG_B0_P1_U0_CFG7 EQU 0x40010247 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG8 +CYDEV_UCFG_B0_P1_U0_CFG8 EQU 0x40010248 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG9 +CYDEV_UCFG_B0_P1_U0_CFG9 EQU 0x40010249 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG10 +CYDEV_UCFG_B0_P1_U0_CFG10 EQU 0x4001024a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG11 +CYDEV_UCFG_B0_P1_U0_CFG11 EQU 0x4001024b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG12 +CYDEV_UCFG_B0_P1_U0_CFG12 EQU 0x4001024c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG13 +CYDEV_UCFG_B0_P1_U0_CFG13 EQU 0x4001024d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG14 +CYDEV_UCFG_B0_P1_U0_CFG14 EQU 0x4001024e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG15 +CYDEV_UCFG_B0_P1_U0_CFG15 EQU 0x4001024f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG16 +CYDEV_UCFG_B0_P1_U0_CFG16 EQU 0x40010250 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG17 +CYDEV_UCFG_B0_P1_U0_CFG17 EQU 0x40010251 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG18 +CYDEV_UCFG_B0_P1_U0_CFG18 EQU 0x40010252 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG19 +CYDEV_UCFG_B0_P1_U0_CFG19 EQU 0x40010253 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG20 +CYDEV_UCFG_B0_P1_U0_CFG20 EQU 0x40010254 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG21 +CYDEV_UCFG_B0_P1_U0_CFG21 EQU 0x40010255 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG22 +CYDEV_UCFG_B0_P1_U0_CFG22 EQU 0x40010256 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG23 +CYDEV_UCFG_B0_P1_U0_CFG23 EQU 0x40010257 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG24 +CYDEV_UCFG_B0_P1_U0_CFG24 EQU 0x40010258 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG25 +CYDEV_UCFG_B0_P1_U0_CFG25 EQU 0x40010259 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG26 +CYDEV_UCFG_B0_P1_U0_CFG26 EQU 0x4001025a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG27 +CYDEV_UCFG_B0_P1_U0_CFG27 EQU 0x4001025b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG28 +CYDEV_UCFG_B0_P1_U0_CFG28 EQU 0x4001025c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG29 +CYDEV_UCFG_B0_P1_U0_CFG29 EQU 0x4001025d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG30 +CYDEV_UCFG_B0_P1_U0_CFG30 EQU 0x4001025e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG31 +CYDEV_UCFG_B0_P1_U0_CFG31 EQU 0x4001025f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG0 +CYDEV_UCFG_B0_P1_U0_DCFG0 EQU 0x40010260 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG1 +CYDEV_UCFG_B0_P1_U0_DCFG1 EQU 0x40010262 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG2 +CYDEV_UCFG_B0_P1_U0_DCFG2 EQU 0x40010264 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG3 +CYDEV_UCFG_B0_P1_U0_DCFG3 EQU 0x40010266 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG4 +CYDEV_UCFG_B0_P1_U0_DCFG4 EQU 0x40010268 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG5 +CYDEV_UCFG_B0_P1_U0_DCFG5 EQU 0x4001026a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG6 +CYDEV_UCFG_B0_P1_U0_DCFG6 EQU 0x4001026c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG7 +CYDEV_UCFG_B0_P1_U0_DCFG7 EQU 0x4001026e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_BASE +CYDEV_UCFG_B0_P1_U1_BASE EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_SIZE +CYDEV_UCFG_B0_P1_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT0 +CYDEV_UCFG_B0_P1_U1_PLD_IT0 EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT1 +CYDEV_UCFG_B0_P1_U1_PLD_IT1 EQU 0x40010284 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT2 +CYDEV_UCFG_B0_P1_U1_PLD_IT2 EQU 0x40010288 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT3 +CYDEV_UCFG_B0_P1_U1_PLD_IT3 EQU 0x4001028c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT4 +CYDEV_UCFG_B0_P1_U1_PLD_IT4 EQU 0x40010290 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT5 +CYDEV_UCFG_B0_P1_U1_PLD_IT5 EQU 0x40010294 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT6 +CYDEV_UCFG_B0_P1_U1_PLD_IT6 EQU 0x40010298 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT7 +CYDEV_UCFG_B0_P1_U1_PLD_IT7 EQU 0x4001029c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT8 +CYDEV_UCFG_B0_P1_U1_PLD_IT8 EQU 0x400102a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT9 +CYDEV_UCFG_B0_P1_U1_PLD_IT9 EQU 0x400102a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT10 +CYDEV_UCFG_B0_P1_U1_PLD_IT10 EQU 0x400102a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT11 +CYDEV_UCFG_B0_P1_U1_PLD_IT11 EQU 0x400102ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT0 +CYDEV_UCFG_B0_P1_U1_PLD_ORT0 EQU 0x400102b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT1 +CYDEV_UCFG_B0_P1_U1_PLD_ORT1 EQU 0x400102b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT2 +CYDEV_UCFG_B0_P1_U1_PLD_ORT2 EQU 0x400102b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT3 +CYDEV_UCFG_B0_P1_U1_PLD_ORT3 EQU 0x400102b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST EQU 0x400102b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB EQU 0x400102ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET EQU 0x400102bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS EQU 0x400102be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG0 +CYDEV_UCFG_B0_P1_U1_CFG0 EQU 0x400102c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG1 +CYDEV_UCFG_B0_P1_U1_CFG1 EQU 0x400102c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG2 +CYDEV_UCFG_B0_P1_U1_CFG2 EQU 0x400102c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG3 +CYDEV_UCFG_B0_P1_U1_CFG3 EQU 0x400102c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG4 +CYDEV_UCFG_B0_P1_U1_CFG4 EQU 0x400102c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG5 +CYDEV_UCFG_B0_P1_U1_CFG5 EQU 0x400102c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG6 +CYDEV_UCFG_B0_P1_U1_CFG6 EQU 0x400102c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG7 +CYDEV_UCFG_B0_P1_U1_CFG7 EQU 0x400102c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG8 +CYDEV_UCFG_B0_P1_U1_CFG8 EQU 0x400102c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG9 +CYDEV_UCFG_B0_P1_U1_CFG9 EQU 0x400102c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG10 +CYDEV_UCFG_B0_P1_U1_CFG10 EQU 0x400102ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG11 +CYDEV_UCFG_B0_P1_U1_CFG11 EQU 0x400102cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG12 +CYDEV_UCFG_B0_P1_U1_CFG12 EQU 0x400102cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG13 +CYDEV_UCFG_B0_P1_U1_CFG13 EQU 0x400102cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG14 +CYDEV_UCFG_B0_P1_U1_CFG14 EQU 0x400102ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG15 +CYDEV_UCFG_B0_P1_U1_CFG15 EQU 0x400102cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG16 +CYDEV_UCFG_B0_P1_U1_CFG16 EQU 0x400102d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG17 +CYDEV_UCFG_B0_P1_U1_CFG17 EQU 0x400102d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG18 +CYDEV_UCFG_B0_P1_U1_CFG18 EQU 0x400102d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG19 +CYDEV_UCFG_B0_P1_U1_CFG19 EQU 0x400102d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG20 +CYDEV_UCFG_B0_P1_U1_CFG20 EQU 0x400102d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG21 +CYDEV_UCFG_B0_P1_U1_CFG21 EQU 0x400102d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG22 +CYDEV_UCFG_B0_P1_U1_CFG22 EQU 0x400102d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG23 +CYDEV_UCFG_B0_P1_U1_CFG23 EQU 0x400102d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG24 +CYDEV_UCFG_B0_P1_U1_CFG24 EQU 0x400102d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG25 +CYDEV_UCFG_B0_P1_U1_CFG25 EQU 0x400102d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG26 +CYDEV_UCFG_B0_P1_U1_CFG26 EQU 0x400102da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG27 +CYDEV_UCFG_B0_P1_U1_CFG27 EQU 0x400102db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG28 +CYDEV_UCFG_B0_P1_U1_CFG28 EQU 0x400102dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG29 +CYDEV_UCFG_B0_P1_U1_CFG29 EQU 0x400102dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG30 +CYDEV_UCFG_B0_P1_U1_CFG30 EQU 0x400102de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG31 +CYDEV_UCFG_B0_P1_U1_CFG31 EQU 0x400102df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG0 +CYDEV_UCFG_B0_P1_U1_DCFG0 EQU 0x400102e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG1 +CYDEV_UCFG_B0_P1_U1_DCFG1 EQU 0x400102e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG2 +CYDEV_UCFG_B0_P1_U1_DCFG2 EQU 0x400102e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG3 +CYDEV_UCFG_B0_P1_U1_DCFG3 EQU 0x400102e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG4 +CYDEV_UCFG_B0_P1_U1_DCFG4 EQU 0x400102e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG5 +CYDEV_UCFG_B0_P1_U1_DCFG5 EQU 0x400102ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG6 +CYDEV_UCFG_B0_P1_U1_DCFG6 EQU 0x400102ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG7 +CYDEV_UCFG_B0_P1_U1_DCFG7 EQU 0x400102ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_BASE +CYDEV_UCFG_B0_P1_ROUTE_BASE EQU 0x40010300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_SIZE +CYDEV_UCFG_B0_P1_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_BASE +CYDEV_UCFG_B0_P2_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_SIZE +CYDEV_UCFG_B0_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_BASE +CYDEV_UCFG_B0_P2_U0_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_SIZE +CYDEV_UCFG_B0_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT0 +CYDEV_UCFG_B0_P2_U0_PLD_IT0 EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT1 +CYDEV_UCFG_B0_P2_U0_PLD_IT1 EQU 0x40010404 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT2 +CYDEV_UCFG_B0_P2_U0_PLD_IT2 EQU 0x40010408 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT3 +CYDEV_UCFG_B0_P2_U0_PLD_IT3 EQU 0x4001040c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT4 +CYDEV_UCFG_B0_P2_U0_PLD_IT4 EQU 0x40010410 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT5 +CYDEV_UCFG_B0_P2_U0_PLD_IT5 EQU 0x40010414 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT6 +CYDEV_UCFG_B0_P2_U0_PLD_IT6 EQU 0x40010418 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT7 +CYDEV_UCFG_B0_P2_U0_PLD_IT7 EQU 0x4001041c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT8 +CYDEV_UCFG_B0_P2_U0_PLD_IT8 EQU 0x40010420 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT9 +CYDEV_UCFG_B0_P2_U0_PLD_IT9 EQU 0x40010424 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT10 +CYDEV_UCFG_B0_P2_U0_PLD_IT10 EQU 0x40010428 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT11 +CYDEV_UCFG_B0_P2_U0_PLD_IT11 EQU 0x4001042c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT0 +CYDEV_UCFG_B0_P2_U0_PLD_ORT0 EQU 0x40010430 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT1 +CYDEV_UCFG_B0_P2_U0_PLD_ORT1 EQU 0x40010432 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT2 +CYDEV_UCFG_B0_P2_U0_PLD_ORT2 EQU 0x40010434 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT3 +CYDEV_UCFG_B0_P2_U0_PLD_ORT3 EQU 0x40010436 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST EQU 0x40010438 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB EQU 0x4001043a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET EQU 0x4001043c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS EQU 0x4001043e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG0 +CYDEV_UCFG_B0_P2_U0_CFG0 EQU 0x40010440 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG1 +CYDEV_UCFG_B0_P2_U0_CFG1 EQU 0x40010441 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG2 +CYDEV_UCFG_B0_P2_U0_CFG2 EQU 0x40010442 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG3 +CYDEV_UCFG_B0_P2_U0_CFG3 EQU 0x40010443 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG4 +CYDEV_UCFG_B0_P2_U0_CFG4 EQU 0x40010444 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG5 +CYDEV_UCFG_B0_P2_U0_CFG5 EQU 0x40010445 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG6 +CYDEV_UCFG_B0_P2_U0_CFG6 EQU 0x40010446 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG7 +CYDEV_UCFG_B0_P2_U0_CFG7 EQU 0x40010447 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG8 +CYDEV_UCFG_B0_P2_U0_CFG8 EQU 0x40010448 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG9 +CYDEV_UCFG_B0_P2_U0_CFG9 EQU 0x40010449 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG10 +CYDEV_UCFG_B0_P2_U0_CFG10 EQU 0x4001044a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG11 +CYDEV_UCFG_B0_P2_U0_CFG11 EQU 0x4001044b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG12 +CYDEV_UCFG_B0_P2_U0_CFG12 EQU 0x4001044c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG13 +CYDEV_UCFG_B0_P2_U0_CFG13 EQU 0x4001044d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG14 +CYDEV_UCFG_B0_P2_U0_CFG14 EQU 0x4001044e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG15 +CYDEV_UCFG_B0_P2_U0_CFG15 EQU 0x4001044f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG16 +CYDEV_UCFG_B0_P2_U0_CFG16 EQU 0x40010450 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG17 +CYDEV_UCFG_B0_P2_U0_CFG17 EQU 0x40010451 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG18 +CYDEV_UCFG_B0_P2_U0_CFG18 EQU 0x40010452 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG19 +CYDEV_UCFG_B0_P2_U0_CFG19 EQU 0x40010453 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG20 +CYDEV_UCFG_B0_P2_U0_CFG20 EQU 0x40010454 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG21 +CYDEV_UCFG_B0_P2_U0_CFG21 EQU 0x40010455 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG22 +CYDEV_UCFG_B0_P2_U0_CFG22 EQU 0x40010456 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG23 +CYDEV_UCFG_B0_P2_U0_CFG23 EQU 0x40010457 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG24 +CYDEV_UCFG_B0_P2_U0_CFG24 EQU 0x40010458 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG25 +CYDEV_UCFG_B0_P2_U0_CFG25 EQU 0x40010459 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG26 +CYDEV_UCFG_B0_P2_U0_CFG26 EQU 0x4001045a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG27 +CYDEV_UCFG_B0_P2_U0_CFG27 EQU 0x4001045b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG28 +CYDEV_UCFG_B0_P2_U0_CFG28 EQU 0x4001045c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG29 +CYDEV_UCFG_B0_P2_U0_CFG29 EQU 0x4001045d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG30 +CYDEV_UCFG_B0_P2_U0_CFG30 EQU 0x4001045e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG31 +CYDEV_UCFG_B0_P2_U0_CFG31 EQU 0x4001045f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG0 +CYDEV_UCFG_B0_P2_U0_DCFG0 EQU 0x40010460 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG1 +CYDEV_UCFG_B0_P2_U0_DCFG1 EQU 0x40010462 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG2 +CYDEV_UCFG_B0_P2_U0_DCFG2 EQU 0x40010464 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG3 +CYDEV_UCFG_B0_P2_U0_DCFG3 EQU 0x40010466 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG4 +CYDEV_UCFG_B0_P2_U0_DCFG4 EQU 0x40010468 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG5 +CYDEV_UCFG_B0_P2_U0_DCFG5 EQU 0x4001046a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG6 +CYDEV_UCFG_B0_P2_U0_DCFG6 EQU 0x4001046c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG7 +CYDEV_UCFG_B0_P2_U0_DCFG7 EQU 0x4001046e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_BASE +CYDEV_UCFG_B0_P2_U1_BASE EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_SIZE +CYDEV_UCFG_B0_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT0 +CYDEV_UCFG_B0_P2_U1_PLD_IT0 EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT1 +CYDEV_UCFG_B0_P2_U1_PLD_IT1 EQU 0x40010484 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT2 +CYDEV_UCFG_B0_P2_U1_PLD_IT2 EQU 0x40010488 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT3 +CYDEV_UCFG_B0_P2_U1_PLD_IT3 EQU 0x4001048c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT4 +CYDEV_UCFG_B0_P2_U1_PLD_IT4 EQU 0x40010490 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT5 +CYDEV_UCFG_B0_P2_U1_PLD_IT5 EQU 0x40010494 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT6 +CYDEV_UCFG_B0_P2_U1_PLD_IT6 EQU 0x40010498 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT7 +CYDEV_UCFG_B0_P2_U1_PLD_IT7 EQU 0x4001049c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT8 +CYDEV_UCFG_B0_P2_U1_PLD_IT8 EQU 0x400104a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT9 +CYDEV_UCFG_B0_P2_U1_PLD_IT9 EQU 0x400104a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT10 +CYDEV_UCFG_B0_P2_U1_PLD_IT10 EQU 0x400104a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT11 +CYDEV_UCFG_B0_P2_U1_PLD_IT11 EQU 0x400104ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT0 +CYDEV_UCFG_B0_P2_U1_PLD_ORT0 EQU 0x400104b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT1 +CYDEV_UCFG_B0_P2_U1_PLD_ORT1 EQU 0x400104b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT2 +CYDEV_UCFG_B0_P2_U1_PLD_ORT2 EQU 0x400104b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT3 +CYDEV_UCFG_B0_P2_U1_PLD_ORT3 EQU 0x400104b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST EQU 0x400104b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB EQU 0x400104ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET EQU 0x400104bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS EQU 0x400104be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG0 +CYDEV_UCFG_B0_P2_U1_CFG0 EQU 0x400104c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG1 +CYDEV_UCFG_B0_P2_U1_CFG1 EQU 0x400104c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG2 +CYDEV_UCFG_B0_P2_U1_CFG2 EQU 0x400104c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG3 +CYDEV_UCFG_B0_P2_U1_CFG3 EQU 0x400104c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG4 +CYDEV_UCFG_B0_P2_U1_CFG4 EQU 0x400104c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG5 +CYDEV_UCFG_B0_P2_U1_CFG5 EQU 0x400104c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG6 +CYDEV_UCFG_B0_P2_U1_CFG6 EQU 0x400104c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG7 +CYDEV_UCFG_B0_P2_U1_CFG7 EQU 0x400104c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG8 +CYDEV_UCFG_B0_P2_U1_CFG8 EQU 0x400104c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG9 +CYDEV_UCFG_B0_P2_U1_CFG9 EQU 0x400104c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG10 +CYDEV_UCFG_B0_P2_U1_CFG10 EQU 0x400104ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG11 +CYDEV_UCFG_B0_P2_U1_CFG11 EQU 0x400104cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG12 +CYDEV_UCFG_B0_P2_U1_CFG12 EQU 0x400104cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG13 +CYDEV_UCFG_B0_P2_U1_CFG13 EQU 0x400104cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG14 +CYDEV_UCFG_B0_P2_U1_CFG14 EQU 0x400104ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG15 +CYDEV_UCFG_B0_P2_U1_CFG15 EQU 0x400104cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG16 +CYDEV_UCFG_B0_P2_U1_CFG16 EQU 0x400104d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG17 +CYDEV_UCFG_B0_P2_U1_CFG17 EQU 0x400104d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG18 +CYDEV_UCFG_B0_P2_U1_CFG18 EQU 0x400104d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG19 +CYDEV_UCFG_B0_P2_U1_CFG19 EQU 0x400104d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG20 +CYDEV_UCFG_B0_P2_U1_CFG20 EQU 0x400104d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG21 +CYDEV_UCFG_B0_P2_U1_CFG21 EQU 0x400104d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG22 +CYDEV_UCFG_B0_P2_U1_CFG22 EQU 0x400104d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG23 +CYDEV_UCFG_B0_P2_U1_CFG23 EQU 0x400104d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG24 +CYDEV_UCFG_B0_P2_U1_CFG24 EQU 0x400104d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG25 +CYDEV_UCFG_B0_P2_U1_CFG25 EQU 0x400104d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG26 +CYDEV_UCFG_B0_P2_U1_CFG26 EQU 0x400104da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG27 +CYDEV_UCFG_B0_P2_U1_CFG27 EQU 0x400104db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG28 +CYDEV_UCFG_B0_P2_U1_CFG28 EQU 0x400104dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG29 +CYDEV_UCFG_B0_P2_U1_CFG29 EQU 0x400104dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG30 +CYDEV_UCFG_B0_P2_U1_CFG30 EQU 0x400104de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG31 +CYDEV_UCFG_B0_P2_U1_CFG31 EQU 0x400104df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG0 +CYDEV_UCFG_B0_P2_U1_DCFG0 EQU 0x400104e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG1 +CYDEV_UCFG_B0_P2_U1_DCFG1 EQU 0x400104e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG2 +CYDEV_UCFG_B0_P2_U1_DCFG2 EQU 0x400104e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG3 +CYDEV_UCFG_B0_P2_U1_DCFG3 EQU 0x400104e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG4 +CYDEV_UCFG_B0_P2_U1_DCFG4 EQU 0x400104e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG5 +CYDEV_UCFG_B0_P2_U1_DCFG5 EQU 0x400104ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG6 +CYDEV_UCFG_B0_P2_U1_DCFG6 EQU 0x400104ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG7 +CYDEV_UCFG_B0_P2_U1_DCFG7 EQU 0x400104ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_BASE +CYDEV_UCFG_B0_P2_ROUTE_BASE EQU 0x40010500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_SIZE +CYDEV_UCFG_B0_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_BASE +CYDEV_UCFG_B0_P3_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_SIZE +CYDEV_UCFG_B0_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_BASE +CYDEV_UCFG_B0_P3_U0_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_SIZE +CYDEV_UCFG_B0_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT0 +CYDEV_UCFG_B0_P3_U0_PLD_IT0 EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT1 +CYDEV_UCFG_B0_P3_U0_PLD_IT1 EQU 0x40010604 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT2 +CYDEV_UCFG_B0_P3_U0_PLD_IT2 EQU 0x40010608 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT3 +CYDEV_UCFG_B0_P3_U0_PLD_IT3 EQU 0x4001060c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT4 +CYDEV_UCFG_B0_P3_U0_PLD_IT4 EQU 0x40010610 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT5 +CYDEV_UCFG_B0_P3_U0_PLD_IT5 EQU 0x40010614 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT6 +CYDEV_UCFG_B0_P3_U0_PLD_IT6 EQU 0x40010618 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT7 +CYDEV_UCFG_B0_P3_U0_PLD_IT7 EQU 0x4001061c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT8 +CYDEV_UCFG_B0_P3_U0_PLD_IT8 EQU 0x40010620 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT9 +CYDEV_UCFG_B0_P3_U0_PLD_IT9 EQU 0x40010624 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT10 +CYDEV_UCFG_B0_P3_U0_PLD_IT10 EQU 0x40010628 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT11 +CYDEV_UCFG_B0_P3_U0_PLD_IT11 EQU 0x4001062c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT0 +CYDEV_UCFG_B0_P3_U0_PLD_ORT0 EQU 0x40010630 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT1 +CYDEV_UCFG_B0_P3_U0_PLD_ORT1 EQU 0x40010632 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT2 +CYDEV_UCFG_B0_P3_U0_PLD_ORT2 EQU 0x40010634 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT3 +CYDEV_UCFG_B0_P3_U0_PLD_ORT3 EQU 0x40010636 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST EQU 0x40010638 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB EQU 0x4001063a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET EQU 0x4001063c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS EQU 0x4001063e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG0 +CYDEV_UCFG_B0_P3_U0_CFG0 EQU 0x40010640 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG1 +CYDEV_UCFG_B0_P3_U0_CFG1 EQU 0x40010641 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG2 +CYDEV_UCFG_B0_P3_U0_CFG2 EQU 0x40010642 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG3 +CYDEV_UCFG_B0_P3_U0_CFG3 EQU 0x40010643 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG4 +CYDEV_UCFG_B0_P3_U0_CFG4 EQU 0x40010644 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG5 +CYDEV_UCFG_B0_P3_U0_CFG5 EQU 0x40010645 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG6 +CYDEV_UCFG_B0_P3_U0_CFG6 EQU 0x40010646 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG7 +CYDEV_UCFG_B0_P3_U0_CFG7 EQU 0x40010647 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG8 +CYDEV_UCFG_B0_P3_U0_CFG8 EQU 0x40010648 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG9 +CYDEV_UCFG_B0_P3_U0_CFG9 EQU 0x40010649 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG10 +CYDEV_UCFG_B0_P3_U0_CFG10 EQU 0x4001064a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG11 +CYDEV_UCFG_B0_P3_U0_CFG11 EQU 0x4001064b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG12 +CYDEV_UCFG_B0_P3_U0_CFG12 EQU 0x4001064c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG13 +CYDEV_UCFG_B0_P3_U0_CFG13 EQU 0x4001064d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG14 +CYDEV_UCFG_B0_P3_U0_CFG14 EQU 0x4001064e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG15 +CYDEV_UCFG_B0_P3_U0_CFG15 EQU 0x4001064f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG16 +CYDEV_UCFG_B0_P3_U0_CFG16 EQU 0x40010650 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG17 +CYDEV_UCFG_B0_P3_U0_CFG17 EQU 0x40010651 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG18 +CYDEV_UCFG_B0_P3_U0_CFG18 EQU 0x40010652 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG19 +CYDEV_UCFG_B0_P3_U0_CFG19 EQU 0x40010653 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG20 +CYDEV_UCFG_B0_P3_U0_CFG20 EQU 0x40010654 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG21 +CYDEV_UCFG_B0_P3_U0_CFG21 EQU 0x40010655 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG22 +CYDEV_UCFG_B0_P3_U0_CFG22 EQU 0x40010656 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG23 +CYDEV_UCFG_B0_P3_U0_CFG23 EQU 0x40010657 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG24 +CYDEV_UCFG_B0_P3_U0_CFG24 EQU 0x40010658 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG25 +CYDEV_UCFG_B0_P3_U0_CFG25 EQU 0x40010659 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG26 +CYDEV_UCFG_B0_P3_U0_CFG26 EQU 0x4001065a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG27 +CYDEV_UCFG_B0_P3_U0_CFG27 EQU 0x4001065b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG28 +CYDEV_UCFG_B0_P3_U0_CFG28 EQU 0x4001065c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG29 +CYDEV_UCFG_B0_P3_U0_CFG29 EQU 0x4001065d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG30 +CYDEV_UCFG_B0_P3_U0_CFG30 EQU 0x4001065e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG31 +CYDEV_UCFG_B0_P3_U0_CFG31 EQU 0x4001065f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG0 +CYDEV_UCFG_B0_P3_U0_DCFG0 EQU 0x40010660 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG1 +CYDEV_UCFG_B0_P3_U0_DCFG1 EQU 0x40010662 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG2 +CYDEV_UCFG_B0_P3_U0_DCFG2 EQU 0x40010664 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG3 +CYDEV_UCFG_B0_P3_U0_DCFG3 EQU 0x40010666 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG4 +CYDEV_UCFG_B0_P3_U0_DCFG4 EQU 0x40010668 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG5 +CYDEV_UCFG_B0_P3_U0_DCFG5 EQU 0x4001066a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG6 +CYDEV_UCFG_B0_P3_U0_DCFG6 EQU 0x4001066c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG7 +CYDEV_UCFG_B0_P3_U0_DCFG7 EQU 0x4001066e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_BASE +CYDEV_UCFG_B0_P3_U1_BASE EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_SIZE +CYDEV_UCFG_B0_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT0 +CYDEV_UCFG_B0_P3_U1_PLD_IT0 EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT1 +CYDEV_UCFG_B0_P3_U1_PLD_IT1 EQU 0x40010684 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT2 +CYDEV_UCFG_B0_P3_U1_PLD_IT2 EQU 0x40010688 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT3 +CYDEV_UCFG_B0_P3_U1_PLD_IT3 EQU 0x4001068c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT4 +CYDEV_UCFG_B0_P3_U1_PLD_IT4 EQU 0x40010690 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT5 +CYDEV_UCFG_B0_P3_U1_PLD_IT5 EQU 0x40010694 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT6 +CYDEV_UCFG_B0_P3_U1_PLD_IT6 EQU 0x40010698 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT7 +CYDEV_UCFG_B0_P3_U1_PLD_IT7 EQU 0x4001069c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT8 +CYDEV_UCFG_B0_P3_U1_PLD_IT8 EQU 0x400106a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT9 +CYDEV_UCFG_B0_P3_U1_PLD_IT9 EQU 0x400106a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT10 +CYDEV_UCFG_B0_P3_U1_PLD_IT10 EQU 0x400106a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT11 +CYDEV_UCFG_B0_P3_U1_PLD_IT11 EQU 0x400106ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT0 +CYDEV_UCFG_B0_P3_U1_PLD_ORT0 EQU 0x400106b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT1 +CYDEV_UCFG_B0_P3_U1_PLD_ORT1 EQU 0x400106b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT2 +CYDEV_UCFG_B0_P3_U1_PLD_ORT2 EQU 0x400106b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT3 +CYDEV_UCFG_B0_P3_U1_PLD_ORT3 EQU 0x400106b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST EQU 0x400106b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB EQU 0x400106ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET EQU 0x400106bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS EQU 0x400106be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG0 +CYDEV_UCFG_B0_P3_U1_CFG0 EQU 0x400106c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG1 +CYDEV_UCFG_B0_P3_U1_CFG1 EQU 0x400106c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG2 +CYDEV_UCFG_B0_P3_U1_CFG2 EQU 0x400106c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG3 +CYDEV_UCFG_B0_P3_U1_CFG3 EQU 0x400106c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG4 +CYDEV_UCFG_B0_P3_U1_CFG4 EQU 0x400106c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG5 +CYDEV_UCFG_B0_P3_U1_CFG5 EQU 0x400106c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG6 +CYDEV_UCFG_B0_P3_U1_CFG6 EQU 0x400106c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG7 +CYDEV_UCFG_B0_P3_U1_CFG7 EQU 0x400106c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG8 +CYDEV_UCFG_B0_P3_U1_CFG8 EQU 0x400106c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG9 +CYDEV_UCFG_B0_P3_U1_CFG9 EQU 0x400106c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG10 +CYDEV_UCFG_B0_P3_U1_CFG10 EQU 0x400106ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG11 +CYDEV_UCFG_B0_P3_U1_CFG11 EQU 0x400106cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG12 +CYDEV_UCFG_B0_P3_U1_CFG12 EQU 0x400106cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG13 +CYDEV_UCFG_B0_P3_U1_CFG13 EQU 0x400106cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG14 +CYDEV_UCFG_B0_P3_U1_CFG14 EQU 0x400106ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG15 +CYDEV_UCFG_B0_P3_U1_CFG15 EQU 0x400106cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG16 +CYDEV_UCFG_B0_P3_U1_CFG16 EQU 0x400106d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG17 +CYDEV_UCFG_B0_P3_U1_CFG17 EQU 0x400106d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG18 +CYDEV_UCFG_B0_P3_U1_CFG18 EQU 0x400106d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG19 +CYDEV_UCFG_B0_P3_U1_CFG19 EQU 0x400106d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG20 +CYDEV_UCFG_B0_P3_U1_CFG20 EQU 0x400106d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG21 +CYDEV_UCFG_B0_P3_U1_CFG21 EQU 0x400106d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG22 +CYDEV_UCFG_B0_P3_U1_CFG22 EQU 0x400106d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG23 +CYDEV_UCFG_B0_P3_U1_CFG23 EQU 0x400106d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG24 +CYDEV_UCFG_B0_P3_U1_CFG24 EQU 0x400106d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG25 +CYDEV_UCFG_B0_P3_U1_CFG25 EQU 0x400106d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG26 +CYDEV_UCFG_B0_P3_U1_CFG26 EQU 0x400106da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG27 +CYDEV_UCFG_B0_P3_U1_CFG27 EQU 0x400106db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG28 +CYDEV_UCFG_B0_P3_U1_CFG28 EQU 0x400106dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG29 +CYDEV_UCFG_B0_P3_U1_CFG29 EQU 0x400106dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG30 +CYDEV_UCFG_B0_P3_U1_CFG30 EQU 0x400106de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG31 +CYDEV_UCFG_B0_P3_U1_CFG31 EQU 0x400106df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG0 +CYDEV_UCFG_B0_P3_U1_DCFG0 EQU 0x400106e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG1 +CYDEV_UCFG_B0_P3_U1_DCFG1 EQU 0x400106e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG2 +CYDEV_UCFG_B0_P3_U1_DCFG2 EQU 0x400106e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG3 +CYDEV_UCFG_B0_P3_U1_DCFG3 EQU 0x400106e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG4 +CYDEV_UCFG_B0_P3_U1_DCFG4 EQU 0x400106e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG5 +CYDEV_UCFG_B0_P3_U1_DCFG5 EQU 0x400106ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG6 +CYDEV_UCFG_B0_P3_U1_DCFG6 EQU 0x400106ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG7 +CYDEV_UCFG_B0_P3_U1_DCFG7 EQU 0x400106ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_BASE +CYDEV_UCFG_B0_P3_ROUTE_BASE EQU 0x40010700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_SIZE +CYDEV_UCFG_B0_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_BASE +CYDEV_UCFG_B0_P4_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_SIZE +CYDEV_UCFG_B0_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_BASE +CYDEV_UCFG_B0_P4_U0_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_SIZE +CYDEV_UCFG_B0_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT0 +CYDEV_UCFG_B0_P4_U0_PLD_IT0 EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT1 +CYDEV_UCFG_B0_P4_U0_PLD_IT1 EQU 0x40010804 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT2 +CYDEV_UCFG_B0_P4_U0_PLD_IT2 EQU 0x40010808 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT3 +CYDEV_UCFG_B0_P4_U0_PLD_IT3 EQU 0x4001080c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT4 +CYDEV_UCFG_B0_P4_U0_PLD_IT4 EQU 0x40010810 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT5 +CYDEV_UCFG_B0_P4_U0_PLD_IT5 EQU 0x40010814 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT6 +CYDEV_UCFG_B0_P4_U0_PLD_IT6 EQU 0x40010818 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT7 +CYDEV_UCFG_B0_P4_U0_PLD_IT7 EQU 0x4001081c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT8 +CYDEV_UCFG_B0_P4_U0_PLD_IT8 EQU 0x40010820 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT9 +CYDEV_UCFG_B0_P4_U0_PLD_IT9 EQU 0x40010824 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT10 +CYDEV_UCFG_B0_P4_U0_PLD_IT10 EQU 0x40010828 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT11 +CYDEV_UCFG_B0_P4_U0_PLD_IT11 EQU 0x4001082c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT0 +CYDEV_UCFG_B0_P4_U0_PLD_ORT0 EQU 0x40010830 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT1 +CYDEV_UCFG_B0_P4_U0_PLD_ORT1 EQU 0x40010832 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT2 +CYDEV_UCFG_B0_P4_U0_PLD_ORT2 EQU 0x40010834 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT3 +CYDEV_UCFG_B0_P4_U0_PLD_ORT3 EQU 0x40010836 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST EQU 0x40010838 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB EQU 0x4001083a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET EQU 0x4001083c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS EQU 0x4001083e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG0 +CYDEV_UCFG_B0_P4_U0_CFG0 EQU 0x40010840 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG1 +CYDEV_UCFG_B0_P4_U0_CFG1 EQU 0x40010841 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG2 +CYDEV_UCFG_B0_P4_U0_CFG2 EQU 0x40010842 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG3 +CYDEV_UCFG_B0_P4_U0_CFG3 EQU 0x40010843 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG4 +CYDEV_UCFG_B0_P4_U0_CFG4 EQU 0x40010844 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG5 +CYDEV_UCFG_B0_P4_U0_CFG5 EQU 0x40010845 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG6 +CYDEV_UCFG_B0_P4_U0_CFG6 EQU 0x40010846 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG7 +CYDEV_UCFG_B0_P4_U0_CFG7 EQU 0x40010847 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG8 +CYDEV_UCFG_B0_P4_U0_CFG8 EQU 0x40010848 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG9 +CYDEV_UCFG_B0_P4_U0_CFG9 EQU 0x40010849 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG10 +CYDEV_UCFG_B0_P4_U0_CFG10 EQU 0x4001084a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG11 +CYDEV_UCFG_B0_P4_U0_CFG11 EQU 0x4001084b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG12 +CYDEV_UCFG_B0_P4_U0_CFG12 EQU 0x4001084c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG13 +CYDEV_UCFG_B0_P4_U0_CFG13 EQU 0x4001084d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG14 +CYDEV_UCFG_B0_P4_U0_CFG14 EQU 0x4001084e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG15 +CYDEV_UCFG_B0_P4_U0_CFG15 EQU 0x4001084f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG16 +CYDEV_UCFG_B0_P4_U0_CFG16 EQU 0x40010850 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG17 +CYDEV_UCFG_B0_P4_U0_CFG17 EQU 0x40010851 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG18 +CYDEV_UCFG_B0_P4_U0_CFG18 EQU 0x40010852 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG19 +CYDEV_UCFG_B0_P4_U0_CFG19 EQU 0x40010853 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG20 +CYDEV_UCFG_B0_P4_U0_CFG20 EQU 0x40010854 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG21 +CYDEV_UCFG_B0_P4_U0_CFG21 EQU 0x40010855 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG22 +CYDEV_UCFG_B0_P4_U0_CFG22 EQU 0x40010856 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG23 +CYDEV_UCFG_B0_P4_U0_CFG23 EQU 0x40010857 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG24 +CYDEV_UCFG_B0_P4_U0_CFG24 EQU 0x40010858 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG25 +CYDEV_UCFG_B0_P4_U0_CFG25 EQU 0x40010859 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG26 +CYDEV_UCFG_B0_P4_U0_CFG26 EQU 0x4001085a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG27 +CYDEV_UCFG_B0_P4_U0_CFG27 EQU 0x4001085b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG28 +CYDEV_UCFG_B0_P4_U0_CFG28 EQU 0x4001085c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG29 +CYDEV_UCFG_B0_P4_U0_CFG29 EQU 0x4001085d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG30 +CYDEV_UCFG_B0_P4_U0_CFG30 EQU 0x4001085e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG31 +CYDEV_UCFG_B0_P4_U0_CFG31 EQU 0x4001085f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG0 +CYDEV_UCFG_B0_P4_U0_DCFG0 EQU 0x40010860 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG1 +CYDEV_UCFG_B0_P4_U0_DCFG1 EQU 0x40010862 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG2 +CYDEV_UCFG_B0_P4_U0_DCFG2 EQU 0x40010864 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG3 +CYDEV_UCFG_B0_P4_U0_DCFG3 EQU 0x40010866 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG4 +CYDEV_UCFG_B0_P4_U0_DCFG4 EQU 0x40010868 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG5 +CYDEV_UCFG_B0_P4_U0_DCFG5 EQU 0x4001086a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG6 +CYDEV_UCFG_B0_P4_U0_DCFG6 EQU 0x4001086c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG7 +CYDEV_UCFG_B0_P4_U0_DCFG7 EQU 0x4001086e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_BASE +CYDEV_UCFG_B0_P4_U1_BASE EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_SIZE +CYDEV_UCFG_B0_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT0 +CYDEV_UCFG_B0_P4_U1_PLD_IT0 EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT1 +CYDEV_UCFG_B0_P4_U1_PLD_IT1 EQU 0x40010884 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT2 +CYDEV_UCFG_B0_P4_U1_PLD_IT2 EQU 0x40010888 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT3 +CYDEV_UCFG_B0_P4_U1_PLD_IT3 EQU 0x4001088c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT4 +CYDEV_UCFG_B0_P4_U1_PLD_IT4 EQU 0x40010890 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT5 +CYDEV_UCFG_B0_P4_U1_PLD_IT5 EQU 0x40010894 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT6 +CYDEV_UCFG_B0_P4_U1_PLD_IT6 EQU 0x40010898 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT7 +CYDEV_UCFG_B0_P4_U1_PLD_IT7 EQU 0x4001089c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT8 +CYDEV_UCFG_B0_P4_U1_PLD_IT8 EQU 0x400108a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT9 +CYDEV_UCFG_B0_P4_U1_PLD_IT9 EQU 0x400108a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT10 +CYDEV_UCFG_B0_P4_U1_PLD_IT10 EQU 0x400108a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT11 +CYDEV_UCFG_B0_P4_U1_PLD_IT11 EQU 0x400108ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT0 +CYDEV_UCFG_B0_P4_U1_PLD_ORT0 EQU 0x400108b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT1 +CYDEV_UCFG_B0_P4_U1_PLD_ORT1 EQU 0x400108b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT2 +CYDEV_UCFG_B0_P4_U1_PLD_ORT2 EQU 0x400108b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT3 +CYDEV_UCFG_B0_P4_U1_PLD_ORT3 EQU 0x400108b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST EQU 0x400108b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB EQU 0x400108ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET EQU 0x400108bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS EQU 0x400108be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG0 +CYDEV_UCFG_B0_P4_U1_CFG0 EQU 0x400108c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG1 +CYDEV_UCFG_B0_P4_U1_CFG1 EQU 0x400108c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG2 +CYDEV_UCFG_B0_P4_U1_CFG2 EQU 0x400108c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG3 +CYDEV_UCFG_B0_P4_U1_CFG3 EQU 0x400108c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG4 +CYDEV_UCFG_B0_P4_U1_CFG4 EQU 0x400108c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG5 +CYDEV_UCFG_B0_P4_U1_CFG5 EQU 0x400108c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG6 +CYDEV_UCFG_B0_P4_U1_CFG6 EQU 0x400108c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG7 +CYDEV_UCFG_B0_P4_U1_CFG7 EQU 0x400108c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG8 +CYDEV_UCFG_B0_P4_U1_CFG8 EQU 0x400108c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG9 +CYDEV_UCFG_B0_P4_U1_CFG9 EQU 0x400108c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG10 +CYDEV_UCFG_B0_P4_U1_CFG10 EQU 0x400108ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG11 +CYDEV_UCFG_B0_P4_U1_CFG11 EQU 0x400108cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG12 +CYDEV_UCFG_B0_P4_U1_CFG12 EQU 0x400108cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG13 +CYDEV_UCFG_B0_P4_U1_CFG13 EQU 0x400108cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG14 +CYDEV_UCFG_B0_P4_U1_CFG14 EQU 0x400108ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG15 +CYDEV_UCFG_B0_P4_U1_CFG15 EQU 0x400108cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG16 +CYDEV_UCFG_B0_P4_U1_CFG16 EQU 0x400108d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG17 +CYDEV_UCFG_B0_P4_U1_CFG17 EQU 0x400108d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG18 +CYDEV_UCFG_B0_P4_U1_CFG18 EQU 0x400108d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG19 +CYDEV_UCFG_B0_P4_U1_CFG19 EQU 0x400108d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG20 +CYDEV_UCFG_B0_P4_U1_CFG20 EQU 0x400108d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG21 +CYDEV_UCFG_B0_P4_U1_CFG21 EQU 0x400108d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG22 +CYDEV_UCFG_B0_P4_U1_CFG22 EQU 0x400108d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG23 +CYDEV_UCFG_B0_P4_U1_CFG23 EQU 0x400108d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG24 +CYDEV_UCFG_B0_P4_U1_CFG24 EQU 0x400108d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG25 +CYDEV_UCFG_B0_P4_U1_CFG25 EQU 0x400108d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG26 +CYDEV_UCFG_B0_P4_U1_CFG26 EQU 0x400108da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG27 +CYDEV_UCFG_B0_P4_U1_CFG27 EQU 0x400108db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG28 +CYDEV_UCFG_B0_P4_U1_CFG28 EQU 0x400108dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG29 +CYDEV_UCFG_B0_P4_U1_CFG29 EQU 0x400108dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG30 +CYDEV_UCFG_B0_P4_U1_CFG30 EQU 0x400108de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG31 +CYDEV_UCFG_B0_P4_U1_CFG31 EQU 0x400108df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG0 +CYDEV_UCFG_B0_P4_U1_DCFG0 EQU 0x400108e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG1 +CYDEV_UCFG_B0_P4_U1_DCFG1 EQU 0x400108e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG2 +CYDEV_UCFG_B0_P4_U1_DCFG2 EQU 0x400108e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG3 +CYDEV_UCFG_B0_P4_U1_DCFG3 EQU 0x400108e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG4 +CYDEV_UCFG_B0_P4_U1_DCFG4 EQU 0x400108e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG5 +CYDEV_UCFG_B0_P4_U1_DCFG5 EQU 0x400108ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG6 +CYDEV_UCFG_B0_P4_U1_DCFG6 EQU 0x400108ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG7 +CYDEV_UCFG_B0_P4_U1_DCFG7 EQU 0x400108ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_BASE +CYDEV_UCFG_B0_P4_ROUTE_BASE EQU 0x40010900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_SIZE +CYDEV_UCFG_B0_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_BASE +CYDEV_UCFG_B0_P5_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_SIZE +CYDEV_UCFG_B0_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_BASE +CYDEV_UCFG_B0_P5_U0_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_SIZE +CYDEV_UCFG_B0_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT0 +CYDEV_UCFG_B0_P5_U0_PLD_IT0 EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT1 +CYDEV_UCFG_B0_P5_U0_PLD_IT1 EQU 0x40010a04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT2 +CYDEV_UCFG_B0_P5_U0_PLD_IT2 EQU 0x40010a08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT3 +CYDEV_UCFG_B0_P5_U0_PLD_IT3 EQU 0x40010a0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT4 +CYDEV_UCFG_B0_P5_U0_PLD_IT4 EQU 0x40010a10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT5 +CYDEV_UCFG_B0_P5_U0_PLD_IT5 EQU 0x40010a14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT6 +CYDEV_UCFG_B0_P5_U0_PLD_IT6 EQU 0x40010a18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT7 +CYDEV_UCFG_B0_P5_U0_PLD_IT7 EQU 0x40010a1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT8 +CYDEV_UCFG_B0_P5_U0_PLD_IT8 EQU 0x40010a20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT9 +CYDEV_UCFG_B0_P5_U0_PLD_IT9 EQU 0x40010a24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT10 +CYDEV_UCFG_B0_P5_U0_PLD_IT10 EQU 0x40010a28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT11 +CYDEV_UCFG_B0_P5_U0_PLD_IT11 EQU 0x40010a2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT0 +CYDEV_UCFG_B0_P5_U0_PLD_ORT0 EQU 0x40010a30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT1 +CYDEV_UCFG_B0_P5_U0_PLD_ORT1 EQU 0x40010a32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT2 +CYDEV_UCFG_B0_P5_U0_PLD_ORT2 EQU 0x40010a34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT3 +CYDEV_UCFG_B0_P5_U0_PLD_ORT3 EQU 0x40010a36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST EQU 0x40010a38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB EQU 0x40010a3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET EQU 0x40010a3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS EQU 0x40010a3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG0 +CYDEV_UCFG_B0_P5_U0_CFG0 EQU 0x40010a40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG1 +CYDEV_UCFG_B0_P5_U0_CFG1 EQU 0x40010a41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG2 +CYDEV_UCFG_B0_P5_U0_CFG2 EQU 0x40010a42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG3 +CYDEV_UCFG_B0_P5_U0_CFG3 EQU 0x40010a43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG4 +CYDEV_UCFG_B0_P5_U0_CFG4 EQU 0x40010a44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG5 +CYDEV_UCFG_B0_P5_U0_CFG5 EQU 0x40010a45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG6 +CYDEV_UCFG_B0_P5_U0_CFG6 EQU 0x40010a46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG7 +CYDEV_UCFG_B0_P5_U0_CFG7 EQU 0x40010a47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG8 +CYDEV_UCFG_B0_P5_U0_CFG8 EQU 0x40010a48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG9 +CYDEV_UCFG_B0_P5_U0_CFG9 EQU 0x40010a49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG10 +CYDEV_UCFG_B0_P5_U0_CFG10 EQU 0x40010a4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG11 +CYDEV_UCFG_B0_P5_U0_CFG11 EQU 0x40010a4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG12 +CYDEV_UCFG_B0_P5_U0_CFG12 EQU 0x40010a4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG13 +CYDEV_UCFG_B0_P5_U0_CFG13 EQU 0x40010a4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG14 +CYDEV_UCFG_B0_P5_U0_CFG14 EQU 0x40010a4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG15 +CYDEV_UCFG_B0_P5_U0_CFG15 EQU 0x40010a4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG16 +CYDEV_UCFG_B0_P5_U0_CFG16 EQU 0x40010a50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG17 +CYDEV_UCFG_B0_P5_U0_CFG17 EQU 0x40010a51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG18 +CYDEV_UCFG_B0_P5_U0_CFG18 EQU 0x40010a52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG19 +CYDEV_UCFG_B0_P5_U0_CFG19 EQU 0x40010a53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG20 +CYDEV_UCFG_B0_P5_U0_CFG20 EQU 0x40010a54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG21 +CYDEV_UCFG_B0_P5_U0_CFG21 EQU 0x40010a55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG22 +CYDEV_UCFG_B0_P5_U0_CFG22 EQU 0x40010a56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG23 +CYDEV_UCFG_B0_P5_U0_CFG23 EQU 0x40010a57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG24 +CYDEV_UCFG_B0_P5_U0_CFG24 EQU 0x40010a58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG25 +CYDEV_UCFG_B0_P5_U0_CFG25 EQU 0x40010a59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG26 +CYDEV_UCFG_B0_P5_U0_CFG26 EQU 0x40010a5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG27 +CYDEV_UCFG_B0_P5_U0_CFG27 EQU 0x40010a5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG28 +CYDEV_UCFG_B0_P5_U0_CFG28 EQU 0x40010a5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG29 +CYDEV_UCFG_B0_P5_U0_CFG29 EQU 0x40010a5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG30 +CYDEV_UCFG_B0_P5_U0_CFG30 EQU 0x40010a5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG31 +CYDEV_UCFG_B0_P5_U0_CFG31 EQU 0x40010a5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG0 +CYDEV_UCFG_B0_P5_U0_DCFG0 EQU 0x40010a60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG1 +CYDEV_UCFG_B0_P5_U0_DCFG1 EQU 0x40010a62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG2 +CYDEV_UCFG_B0_P5_U0_DCFG2 EQU 0x40010a64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG3 +CYDEV_UCFG_B0_P5_U0_DCFG3 EQU 0x40010a66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG4 +CYDEV_UCFG_B0_P5_U0_DCFG4 EQU 0x40010a68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG5 +CYDEV_UCFG_B0_P5_U0_DCFG5 EQU 0x40010a6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG6 +CYDEV_UCFG_B0_P5_U0_DCFG6 EQU 0x40010a6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG7 +CYDEV_UCFG_B0_P5_U0_DCFG7 EQU 0x40010a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_BASE +CYDEV_UCFG_B0_P5_U1_BASE EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_SIZE +CYDEV_UCFG_B0_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT0 +CYDEV_UCFG_B0_P5_U1_PLD_IT0 EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT1 +CYDEV_UCFG_B0_P5_U1_PLD_IT1 EQU 0x40010a84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT2 +CYDEV_UCFG_B0_P5_U1_PLD_IT2 EQU 0x40010a88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT3 +CYDEV_UCFG_B0_P5_U1_PLD_IT3 EQU 0x40010a8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT4 +CYDEV_UCFG_B0_P5_U1_PLD_IT4 EQU 0x40010a90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT5 +CYDEV_UCFG_B0_P5_U1_PLD_IT5 EQU 0x40010a94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT6 +CYDEV_UCFG_B0_P5_U1_PLD_IT6 EQU 0x40010a98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT7 +CYDEV_UCFG_B0_P5_U1_PLD_IT7 EQU 0x40010a9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT8 +CYDEV_UCFG_B0_P5_U1_PLD_IT8 EQU 0x40010aa0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT9 +CYDEV_UCFG_B0_P5_U1_PLD_IT9 EQU 0x40010aa4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT10 +CYDEV_UCFG_B0_P5_U1_PLD_IT10 EQU 0x40010aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT11 +CYDEV_UCFG_B0_P5_U1_PLD_IT11 EQU 0x40010aac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT0 +CYDEV_UCFG_B0_P5_U1_PLD_ORT0 EQU 0x40010ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT1 +CYDEV_UCFG_B0_P5_U1_PLD_ORT1 EQU 0x40010ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT2 +CYDEV_UCFG_B0_P5_U1_PLD_ORT2 EQU 0x40010ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT3 +CYDEV_UCFG_B0_P5_U1_PLD_ORT3 EQU 0x40010ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST EQU 0x40010ab8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB EQU 0x40010aba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET EQU 0x40010abc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS EQU 0x40010abe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG0 +CYDEV_UCFG_B0_P5_U1_CFG0 EQU 0x40010ac0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG1 +CYDEV_UCFG_B0_P5_U1_CFG1 EQU 0x40010ac1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG2 +CYDEV_UCFG_B0_P5_U1_CFG2 EQU 0x40010ac2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG3 +CYDEV_UCFG_B0_P5_U1_CFG3 EQU 0x40010ac3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG4 +CYDEV_UCFG_B0_P5_U1_CFG4 EQU 0x40010ac4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG5 +CYDEV_UCFG_B0_P5_U1_CFG5 EQU 0x40010ac5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG6 +CYDEV_UCFG_B0_P5_U1_CFG6 EQU 0x40010ac6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG7 +CYDEV_UCFG_B0_P5_U1_CFG7 EQU 0x40010ac7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG8 +CYDEV_UCFG_B0_P5_U1_CFG8 EQU 0x40010ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG9 +CYDEV_UCFG_B0_P5_U1_CFG9 EQU 0x40010ac9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG10 +CYDEV_UCFG_B0_P5_U1_CFG10 EQU 0x40010aca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG11 +CYDEV_UCFG_B0_P5_U1_CFG11 EQU 0x40010acb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG12 +CYDEV_UCFG_B0_P5_U1_CFG12 EQU 0x40010acc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG13 +CYDEV_UCFG_B0_P5_U1_CFG13 EQU 0x40010acd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG14 +CYDEV_UCFG_B0_P5_U1_CFG14 EQU 0x40010ace + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG15 +CYDEV_UCFG_B0_P5_U1_CFG15 EQU 0x40010acf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG16 +CYDEV_UCFG_B0_P5_U1_CFG16 EQU 0x40010ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG17 +CYDEV_UCFG_B0_P5_U1_CFG17 EQU 0x40010ad1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG18 +CYDEV_UCFG_B0_P5_U1_CFG18 EQU 0x40010ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG19 +CYDEV_UCFG_B0_P5_U1_CFG19 EQU 0x40010ad3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG20 +CYDEV_UCFG_B0_P5_U1_CFG20 EQU 0x40010ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG21 +CYDEV_UCFG_B0_P5_U1_CFG21 EQU 0x40010ad5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG22 +CYDEV_UCFG_B0_P5_U1_CFG22 EQU 0x40010ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG23 +CYDEV_UCFG_B0_P5_U1_CFG23 EQU 0x40010ad7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG24 +CYDEV_UCFG_B0_P5_U1_CFG24 EQU 0x40010ad8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG25 +CYDEV_UCFG_B0_P5_U1_CFG25 EQU 0x40010ad9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG26 +CYDEV_UCFG_B0_P5_U1_CFG26 EQU 0x40010ada + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG27 +CYDEV_UCFG_B0_P5_U1_CFG27 EQU 0x40010adb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG28 +CYDEV_UCFG_B0_P5_U1_CFG28 EQU 0x40010adc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG29 +CYDEV_UCFG_B0_P5_U1_CFG29 EQU 0x40010add + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG30 +CYDEV_UCFG_B0_P5_U1_CFG30 EQU 0x40010ade + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG31 +CYDEV_UCFG_B0_P5_U1_CFG31 EQU 0x40010adf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG0 +CYDEV_UCFG_B0_P5_U1_DCFG0 EQU 0x40010ae0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG1 +CYDEV_UCFG_B0_P5_U1_DCFG1 EQU 0x40010ae2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG2 +CYDEV_UCFG_B0_P5_U1_DCFG2 EQU 0x40010ae4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG3 +CYDEV_UCFG_B0_P5_U1_DCFG3 EQU 0x40010ae6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG4 +CYDEV_UCFG_B0_P5_U1_DCFG4 EQU 0x40010ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG5 +CYDEV_UCFG_B0_P5_U1_DCFG5 EQU 0x40010aea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG6 +CYDEV_UCFG_B0_P5_U1_DCFG6 EQU 0x40010aec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG7 +CYDEV_UCFG_B0_P5_U1_DCFG7 EQU 0x40010aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_BASE +CYDEV_UCFG_B0_P5_ROUTE_BASE EQU 0x40010b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_SIZE +CYDEV_UCFG_B0_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_BASE +CYDEV_UCFG_B0_P6_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_SIZE +CYDEV_UCFG_B0_P6_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_BASE +CYDEV_UCFG_B0_P6_U0_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_SIZE +CYDEV_UCFG_B0_P6_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT0 +CYDEV_UCFG_B0_P6_U0_PLD_IT0 EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT1 +CYDEV_UCFG_B0_P6_U0_PLD_IT1 EQU 0x40010c04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT2 +CYDEV_UCFG_B0_P6_U0_PLD_IT2 EQU 0x40010c08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT3 +CYDEV_UCFG_B0_P6_U0_PLD_IT3 EQU 0x40010c0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT4 +CYDEV_UCFG_B0_P6_U0_PLD_IT4 EQU 0x40010c10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT5 +CYDEV_UCFG_B0_P6_U0_PLD_IT5 EQU 0x40010c14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT6 +CYDEV_UCFG_B0_P6_U0_PLD_IT6 EQU 0x40010c18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT7 +CYDEV_UCFG_B0_P6_U0_PLD_IT7 EQU 0x40010c1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT8 +CYDEV_UCFG_B0_P6_U0_PLD_IT8 EQU 0x40010c20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT9 +CYDEV_UCFG_B0_P6_U0_PLD_IT9 EQU 0x40010c24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT10 +CYDEV_UCFG_B0_P6_U0_PLD_IT10 EQU 0x40010c28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT11 +CYDEV_UCFG_B0_P6_U0_PLD_IT11 EQU 0x40010c2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT0 +CYDEV_UCFG_B0_P6_U0_PLD_ORT0 EQU 0x40010c30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT1 +CYDEV_UCFG_B0_P6_U0_PLD_ORT1 EQU 0x40010c32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT2 +CYDEV_UCFG_B0_P6_U0_PLD_ORT2 EQU 0x40010c34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT3 +CYDEV_UCFG_B0_P6_U0_PLD_ORT3 EQU 0x40010c36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST EQU 0x40010c38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB EQU 0x40010c3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET EQU 0x40010c3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS EQU 0x40010c3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG0 +CYDEV_UCFG_B0_P6_U0_CFG0 EQU 0x40010c40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG1 +CYDEV_UCFG_B0_P6_U0_CFG1 EQU 0x40010c41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG2 +CYDEV_UCFG_B0_P6_U0_CFG2 EQU 0x40010c42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG3 +CYDEV_UCFG_B0_P6_U0_CFG3 EQU 0x40010c43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG4 +CYDEV_UCFG_B0_P6_U0_CFG4 EQU 0x40010c44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG5 +CYDEV_UCFG_B0_P6_U0_CFG5 EQU 0x40010c45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG6 +CYDEV_UCFG_B0_P6_U0_CFG6 EQU 0x40010c46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG7 +CYDEV_UCFG_B0_P6_U0_CFG7 EQU 0x40010c47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG8 +CYDEV_UCFG_B0_P6_U0_CFG8 EQU 0x40010c48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG9 +CYDEV_UCFG_B0_P6_U0_CFG9 EQU 0x40010c49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG10 +CYDEV_UCFG_B0_P6_U0_CFG10 EQU 0x40010c4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG11 +CYDEV_UCFG_B0_P6_U0_CFG11 EQU 0x40010c4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG12 +CYDEV_UCFG_B0_P6_U0_CFG12 EQU 0x40010c4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG13 +CYDEV_UCFG_B0_P6_U0_CFG13 EQU 0x40010c4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG14 +CYDEV_UCFG_B0_P6_U0_CFG14 EQU 0x40010c4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG15 +CYDEV_UCFG_B0_P6_U0_CFG15 EQU 0x40010c4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG16 +CYDEV_UCFG_B0_P6_U0_CFG16 EQU 0x40010c50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG17 +CYDEV_UCFG_B0_P6_U0_CFG17 EQU 0x40010c51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG18 +CYDEV_UCFG_B0_P6_U0_CFG18 EQU 0x40010c52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG19 +CYDEV_UCFG_B0_P6_U0_CFG19 EQU 0x40010c53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG20 +CYDEV_UCFG_B0_P6_U0_CFG20 EQU 0x40010c54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG21 +CYDEV_UCFG_B0_P6_U0_CFG21 EQU 0x40010c55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG22 +CYDEV_UCFG_B0_P6_U0_CFG22 EQU 0x40010c56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG23 +CYDEV_UCFG_B0_P6_U0_CFG23 EQU 0x40010c57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG24 +CYDEV_UCFG_B0_P6_U0_CFG24 EQU 0x40010c58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG25 +CYDEV_UCFG_B0_P6_U0_CFG25 EQU 0x40010c59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG26 +CYDEV_UCFG_B0_P6_U0_CFG26 EQU 0x40010c5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG27 +CYDEV_UCFG_B0_P6_U0_CFG27 EQU 0x40010c5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG28 +CYDEV_UCFG_B0_P6_U0_CFG28 EQU 0x40010c5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG29 +CYDEV_UCFG_B0_P6_U0_CFG29 EQU 0x40010c5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG30 +CYDEV_UCFG_B0_P6_U0_CFG30 EQU 0x40010c5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG31 +CYDEV_UCFG_B0_P6_U0_CFG31 EQU 0x40010c5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG0 +CYDEV_UCFG_B0_P6_U0_DCFG0 EQU 0x40010c60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG1 +CYDEV_UCFG_B0_P6_U0_DCFG1 EQU 0x40010c62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG2 +CYDEV_UCFG_B0_P6_U0_DCFG2 EQU 0x40010c64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG3 +CYDEV_UCFG_B0_P6_U0_DCFG3 EQU 0x40010c66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG4 +CYDEV_UCFG_B0_P6_U0_DCFG4 EQU 0x40010c68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG5 +CYDEV_UCFG_B0_P6_U0_DCFG5 EQU 0x40010c6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG6 +CYDEV_UCFG_B0_P6_U0_DCFG6 EQU 0x40010c6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG7 +CYDEV_UCFG_B0_P6_U0_DCFG7 EQU 0x40010c6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_BASE +CYDEV_UCFG_B0_P6_U1_BASE EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_SIZE +CYDEV_UCFG_B0_P6_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT0 +CYDEV_UCFG_B0_P6_U1_PLD_IT0 EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT1 +CYDEV_UCFG_B0_P6_U1_PLD_IT1 EQU 0x40010c84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT2 +CYDEV_UCFG_B0_P6_U1_PLD_IT2 EQU 0x40010c88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT3 +CYDEV_UCFG_B0_P6_U1_PLD_IT3 EQU 0x40010c8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT4 +CYDEV_UCFG_B0_P6_U1_PLD_IT4 EQU 0x40010c90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT5 +CYDEV_UCFG_B0_P6_U1_PLD_IT5 EQU 0x40010c94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT6 +CYDEV_UCFG_B0_P6_U1_PLD_IT6 EQU 0x40010c98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT7 +CYDEV_UCFG_B0_P6_U1_PLD_IT7 EQU 0x40010c9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT8 +CYDEV_UCFG_B0_P6_U1_PLD_IT8 EQU 0x40010ca0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT9 +CYDEV_UCFG_B0_P6_U1_PLD_IT9 EQU 0x40010ca4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT10 +CYDEV_UCFG_B0_P6_U1_PLD_IT10 EQU 0x40010ca8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT11 +CYDEV_UCFG_B0_P6_U1_PLD_IT11 EQU 0x40010cac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT0 +CYDEV_UCFG_B0_P6_U1_PLD_ORT0 EQU 0x40010cb0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT1 +CYDEV_UCFG_B0_P6_U1_PLD_ORT1 EQU 0x40010cb2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT2 +CYDEV_UCFG_B0_P6_U1_PLD_ORT2 EQU 0x40010cb4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT3 +CYDEV_UCFG_B0_P6_U1_PLD_ORT3 EQU 0x40010cb6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST EQU 0x40010cb8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB EQU 0x40010cba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET EQU 0x40010cbc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS EQU 0x40010cbe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG0 +CYDEV_UCFG_B0_P6_U1_CFG0 EQU 0x40010cc0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG1 +CYDEV_UCFG_B0_P6_U1_CFG1 EQU 0x40010cc1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG2 +CYDEV_UCFG_B0_P6_U1_CFG2 EQU 0x40010cc2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG3 +CYDEV_UCFG_B0_P6_U1_CFG3 EQU 0x40010cc3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG4 +CYDEV_UCFG_B0_P6_U1_CFG4 EQU 0x40010cc4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG5 +CYDEV_UCFG_B0_P6_U1_CFG5 EQU 0x40010cc5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG6 +CYDEV_UCFG_B0_P6_U1_CFG6 EQU 0x40010cc6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG7 +CYDEV_UCFG_B0_P6_U1_CFG7 EQU 0x40010cc7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG8 +CYDEV_UCFG_B0_P6_U1_CFG8 EQU 0x40010cc8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG9 +CYDEV_UCFG_B0_P6_U1_CFG9 EQU 0x40010cc9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG10 +CYDEV_UCFG_B0_P6_U1_CFG10 EQU 0x40010cca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG11 +CYDEV_UCFG_B0_P6_U1_CFG11 EQU 0x40010ccb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG12 +CYDEV_UCFG_B0_P6_U1_CFG12 EQU 0x40010ccc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG13 +CYDEV_UCFG_B0_P6_U1_CFG13 EQU 0x40010ccd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG14 +CYDEV_UCFG_B0_P6_U1_CFG14 EQU 0x40010cce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG15 +CYDEV_UCFG_B0_P6_U1_CFG15 EQU 0x40010ccf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG16 +CYDEV_UCFG_B0_P6_U1_CFG16 EQU 0x40010cd0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG17 +CYDEV_UCFG_B0_P6_U1_CFG17 EQU 0x40010cd1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG18 +CYDEV_UCFG_B0_P6_U1_CFG18 EQU 0x40010cd2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG19 +CYDEV_UCFG_B0_P6_U1_CFG19 EQU 0x40010cd3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG20 +CYDEV_UCFG_B0_P6_U1_CFG20 EQU 0x40010cd4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG21 +CYDEV_UCFG_B0_P6_U1_CFG21 EQU 0x40010cd5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG22 +CYDEV_UCFG_B0_P6_U1_CFG22 EQU 0x40010cd6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG23 +CYDEV_UCFG_B0_P6_U1_CFG23 EQU 0x40010cd7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG24 +CYDEV_UCFG_B0_P6_U1_CFG24 EQU 0x40010cd8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG25 +CYDEV_UCFG_B0_P6_U1_CFG25 EQU 0x40010cd9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG26 +CYDEV_UCFG_B0_P6_U1_CFG26 EQU 0x40010cda + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG27 +CYDEV_UCFG_B0_P6_U1_CFG27 EQU 0x40010cdb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG28 +CYDEV_UCFG_B0_P6_U1_CFG28 EQU 0x40010cdc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG29 +CYDEV_UCFG_B0_P6_U1_CFG29 EQU 0x40010cdd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG30 +CYDEV_UCFG_B0_P6_U1_CFG30 EQU 0x40010cde + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG31 +CYDEV_UCFG_B0_P6_U1_CFG31 EQU 0x40010cdf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG0 +CYDEV_UCFG_B0_P6_U1_DCFG0 EQU 0x40010ce0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG1 +CYDEV_UCFG_B0_P6_U1_DCFG1 EQU 0x40010ce2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG2 +CYDEV_UCFG_B0_P6_U1_DCFG2 EQU 0x40010ce4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG3 +CYDEV_UCFG_B0_P6_U1_DCFG3 EQU 0x40010ce6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG4 +CYDEV_UCFG_B0_P6_U1_DCFG4 EQU 0x40010ce8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG5 +CYDEV_UCFG_B0_P6_U1_DCFG5 EQU 0x40010cea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG6 +CYDEV_UCFG_B0_P6_U1_DCFG6 EQU 0x40010cec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG7 +CYDEV_UCFG_B0_P6_U1_DCFG7 EQU 0x40010cee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_BASE +CYDEV_UCFG_B0_P6_ROUTE_BASE EQU 0x40010d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_SIZE +CYDEV_UCFG_B0_P6_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_BASE +CYDEV_UCFG_B0_P7_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_SIZE +CYDEV_UCFG_B0_P7_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_BASE +CYDEV_UCFG_B0_P7_U0_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_SIZE +CYDEV_UCFG_B0_P7_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT0 +CYDEV_UCFG_B0_P7_U0_PLD_IT0 EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT1 +CYDEV_UCFG_B0_P7_U0_PLD_IT1 EQU 0x40010e04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT2 +CYDEV_UCFG_B0_P7_U0_PLD_IT2 EQU 0x40010e08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT3 +CYDEV_UCFG_B0_P7_U0_PLD_IT3 EQU 0x40010e0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT4 +CYDEV_UCFG_B0_P7_U0_PLD_IT4 EQU 0x40010e10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT5 +CYDEV_UCFG_B0_P7_U0_PLD_IT5 EQU 0x40010e14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT6 +CYDEV_UCFG_B0_P7_U0_PLD_IT6 EQU 0x40010e18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT7 +CYDEV_UCFG_B0_P7_U0_PLD_IT7 EQU 0x40010e1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT8 +CYDEV_UCFG_B0_P7_U0_PLD_IT8 EQU 0x40010e20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT9 +CYDEV_UCFG_B0_P7_U0_PLD_IT9 EQU 0x40010e24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT10 +CYDEV_UCFG_B0_P7_U0_PLD_IT10 EQU 0x40010e28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT11 +CYDEV_UCFG_B0_P7_U0_PLD_IT11 EQU 0x40010e2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT0 +CYDEV_UCFG_B0_P7_U0_PLD_ORT0 EQU 0x40010e30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT1 +CYDEV_UCFG_B0_P7_U0_PLD_ORT1 EQU 0x40010e32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT2 +CYDEV_UCFG_B0_P7_U0_PLD_ORT2 EQU 0x40010e34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT3 +CYDEV_UCFG_B0_P7_U0_PLD_ORT3 EQU 0x40010e36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST EQU 0x40010e38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB EQU 0x40010e3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET EQU 0x40010e3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS EQU 0x40010e3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG0 +CYDEV_UCFG_B0_P7_U0_CFG0 EQU 0x40010e40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG1 +CYDEV_UCFG_B0_P7_U0_CFG1 EQU 0x40010e41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG2 +CYDEV_UCFG_B0_P7_U0_CFG2 EQU 0x40010e42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG3 +CYDEV_UCFG_B0_P7_U0_CFG3 EQU 0x40010e43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG4 +CYDEV_UCFG_B0_P7_U0_CFG4 EQU 0x40010e44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG5 +CYDEV_UCFG_B0_P7_U0_CFG5 EQU 0x40010e45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG6 +CYDEV_UCFG_B0_P7_U0_CFG6 EQU 0x40010e46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG7 +CYDEV_UCFG_B0_P7_U0_CFG7 EQU 0x40010e47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG8 +CYDEV_UCFG_B0_P7_U0_CFG8 EQU 0x40010e48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG9 +CYDEV_UCFG_B0_P7_U0_CFG9 EQU 0x40010e49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG10 +CYDEV_UCFG_B0_P7_U0_CFG10 EQU 0x40010e4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG11 +CYDEV_UCFG_B0_P7_U0_CFG11 EQU 0x40010e4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG12 +CYDEV_UCFG_B0_P7_U0_CFG12 EQU 0x40010e4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG13 +CYDEV_UCFG_B0_P7_U0_CFG13 EQU 0x40010e4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG14 +CYDEV_UCFG_B0_P7_U0_CFG14 EQU 0x40010e4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG15 +CYDEV_UCFG_B0_P7_U0_CFG15 EQU 0x40010e4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG16 +CYDEV_UCFG_B0_P7_U0_CFG16 EQU 0x40010e50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG17 +CYDEV_UCFG_B0_P7_U0_CFG17 EQU 0x40010e51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG18 +CYDEV_UCFG_B0_P7_U0_CFG18 EQU 0x40010e52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG19 +CYDEV_UCFG_B0_P7_U0_CFG19 EQU 0x40010e53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG20 +CYDEV_UCFG_B0_P7_U0_CFG20 EQU 0x40010e54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG21 +CYDEV_UCFG_B0_P7_U0_CFG21 EQU 0x40010e55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG22 +CYDEV_UCFG_B0_P7_U0_CFG22 EQU 0x40010e56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG23 +CYDEV_UCFG_B0_P7_U0_CFG23 EQU 0x40010e57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG24 +CYDEV_UCFG_B0_P7_U0_CFG24 EQU 0x40010e58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG25 +CYDEV_UCFG_B0_P7_U0_CFG25 EQU 0x40010e59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG26 +CYDEV_UCFG_B0_P7_U0_CFG26 EQU 0x40010e5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG27 +CYDEV_UCFG_B0_P7_U0_CFG27 EQU 0x40010e5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG28 +CYDEV_UCFG_B0_P7_U0_CFG28 EQU 0x40010e5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG29 +CYDEV_UCFG_B0_P7_U0_CFG29 EQU 0x40010e5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG30 +CYDEV_UCFG_B0_P7_U0_CFG30 EQU 0x40010e5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG31 +CYDEV_UCFG_B0_P7_U0_CFG31 EQU 0x40010e5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG0 +CYDEV_UCFG_B0_P7_U0_DCFG0 EQU 0x40010e60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG1 +CYDEV_UCFG_B0_P7_U0_DCFG1 EQU 0x40010e62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG2 +CYDEV_UCFG_B0_P7_U0_DCFG2 EQU 0x40010e64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG3 +CYDEV_UCFG_B0_P7_U0_DCFG3 EQU 0x40010e66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG4 +CYDEV_UCFG_B0_P7_U0_DCFG4 EQU 0x40010e68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG5 +CYDEV_UCFG_B0_P7_U0_DCFG5 EQU 0x40010e6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG6 +CYDEV_UCFG_B0_P7_U0_DCFG6 EQU 0x40010e6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG7 +CYDEV_UCFG_B0_P7_U0_DCFG7 EQU 0x40010e6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_BASE +CYDEV_UCFG_B0_P7_U1_BASE EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_SIZE +CYDEV_UCFG_B0_P7_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT0 +CYDEV_UCFG_B0_P7_U1_PLD_IT0 EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT1 +CYDEV_UCFG_B0_P7_U1_PLD_IT1 EQU 0x40010e84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT2 +CYDEV_UCFG_B0_P7_U1_PLD_IT2 EQU 0x40010e88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT3 +CYDEV_UCFG_B0_P7_U1_PLD_IT3 EQU 0x40010e8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT4 +CYDEV_UCFG_B0_P7_U1_PLD_IT4 EQU 0x40010e90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT5 +CYDEV_UCFG_B0_P7_U1_PLD_IT5 EQU 0x40010e94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT6 +CYDEV_UCFG_B0_P7_U1_PLD_IT6 EQU 0x40010e98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT7 +CYDEV_UCFG_B0_P7_U1_PLD_IT7 EQU 0x40010e9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT8 +CYDEV_UCFG_B0_P7_U1_PLD_IT8 EQU 0x40010ea0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT9 +CYDEV_UCFG_B0_P7_U1_PLD_IT9 EQU 0x40010ea4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT10 +CYDEV_UCFG_B0_P7_U1_PLD_IT10 EQU 0x40010ea8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT11 +CYDEV_UCFG_B0_P7_U1_PLD_IT11 EQU 0x40010eac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT0 +CYDEV_UCFG_B0_P7_U1_PLD_ORT0 EQU 0x40010eb0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT1 +CYDEV_UCFG_B0_P7_U1_PLD_ORT1 EQU 0x40010eb2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT2 +CYDEV_UCFG_B0_P7_U1_PLD_ORT2 EQU 0x40010eb4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT3 +CYDEV_UCFG_B0_P7_U1_PLD_ORT3 EQU 0x40010eb6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST EQU 0x40010eb8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB EQU 0x40010eba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET EQU 0x40010ebc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS EQU 0x40010ebe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG0 +CYDEV_UCFG_B0_P7_U1_CFG0 EQU 0x40010ec0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG1 +CYDEV_UCFG_B0_P7_U1_CFG1 EQU 0x40010ec1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG2 +CYDEV_UCFG_B0_P7_U1_CFG2 EQU 0x40010ec2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG3 +CYDEV_UCFG_B0_P7_U1_CFG3 EQU 0x40010ec3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG4 +CYDEV_UCFG_B0_P7_U1_CFG4 EQU 0x40010ec4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG5 +CYDEV_UCFG_B0_P7_U1_CFG5 EQU 0x40010ec5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG6 +CYDEV_UCFG_B0_P7_U1_CFG6 EQU 0x40010ec6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG7 +CYDEV_UCFG_B0_P7_U1_CFG7 EQU 0x40010ec7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG8 +CYDEV_UCFG_B0_P7_U1_CFG8 EQU 0x40010ec8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG9 +CYDEV_UCFG_B0_P7_U1_CFG9 EQU 0x40010ec9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG10 +CYDEV_UCFG_B0_P7_U1_CFG10 EQU 0x40010eca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG11 +CYDEV_UCFG_B0_P7_U1_CFG11 EQU 0x40010ecb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG12 +CYDEV_UCFG_B0_P7_U1_CFG12 EQU 0x40010ecc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG13 +CYDEV_UCFG_B0_P7_U1_CFG13 EQU 0x40010ecd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG14 +CYDEV_UCFG_B0_P7_U1_CFG14 EQU 0x40010ece + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG15 +CYDEV_UCFG_B0_P7_U1_CFG15 EQU 0x40010ecf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG16 +CYDEV_UCFG_B0_P7_U1_CFG16 EQU 0x40010ed0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG17 +CYDEV_UCFG_B0_P7_U1_CFG17 EQU 0x40010ed1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG18 +CYDEV_UCFG_B0_P7_U1_CFG18 EQU 0x40010ed2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG19 +CYDEV_UCFG_B0_P7_U1_CFG19 EQU 0x40010ed3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG20 +CYDEV_UCFG_B0_P7_U1_CFG20 EQU 0x40010ed4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG21 +CYDEV_UCFG_B0_P7_U1_CFG21 EQU 0x40010ed5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG22 +CYDEV_UCFG_B0_P7_U1_CFG22 EQU 0x40010ed6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG23 +CYDEV_UCFG_B0_P7_U1_CFG23 EQU 0x40010ed7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG24 +CYDEV_UCFG_B0_P7_U1_CFG24 EQU 0x40010ed8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG25 +CYDEV_UCFG_B0_P7_U1_CFG25 EQU 0x40010ed9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG26 +CYDEV_UCFG_B0_P7_U1_CFG26 EQU 0x40010eda + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG27 +CYDEV_UCFG_B0_P7_U1_CFG27 EQU 0x40010edb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG28 +CYDEV_UCFG_B0_P7_U1_CFG28 EQU 0x40010edc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG29 +CYDEV_UCFG_B0_P7_U1_CFG29 EQU 0x40010edd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG30 +CYDEV_UCFG_B0_P7_U1_CFG30 EQU 0x40010ede + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG31 +CYDEV_UCFG_B0_P7_U1_CFG31 EQU 0x40010edf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG0 +CYDEV_UCFG_B0_P7_U1_DCFG0 EQU 0x40010ee0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG1 +CYDEV_UCFG_B0_P7_U1_DCFG1 EQU 0x40010ee2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG2 +CYDEV_UCFG_B0_P7_U1_DCFG2 EQU 0x40010ee4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG3 +CYDEV_UCFG_B0_P7_U1_DCFG3 EQU 0x40010ee6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG4 +CYDEV_UCFG_B0_P7_U1_DCFG4 EQU 0x40010ee8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG5 +CYDEV_UCFG_B0_P7_U1_DCFG5 EQU 0x40010eea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG6 +CYDEV_UCFG_B0_P7_U1_DCFG6 EQU 0x40010eec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG7 +CYDEV_UCFG_B0_P7_U1_DCFG7 EQU 0x40010eee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_BASE +CYDEV_UCFG_B0_P7_ROUTE_BASE EQU 0x40010f00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_SIZE +CYDEV_UCFG_B0_P7_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_BASE +CYDEV_UCFG_B1_BASE EQU 0x40011000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_SIZE +CYDEV_UCFG_B1_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_BASE +CYDEV_UCFG_B1_P2_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_SIZE +CYDEV_UCFG_B1_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_BASE +CYDEV_UCFG_B1_P2_U0_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_SIZE +CYDEV_UCFG_B1_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT0 +CYDEV_UCFG_B1_P2_U0_PLD_IT0 EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT1 +CYDEV_UCFG_B1_P2_U0_PLD_IT1 EQU 0x40011404 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT2 +CYDEV_UCFG_B1_P2_U0_PLD_IT2 EQU 0x40011408 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT3 +CYDEV_UCFG_B1_P2_U0_PLD_IT3 EQU 0x4001140c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT4 +CYDEV_UCFG_B1_P2_U0_PLD_IT4 EQU 0x40011410 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT5 +CYDEV_UCFG_B1_P2_U0_PLD_IT5 EQU 0x40011414 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT6 +CYDEV_UCFG_B1_P2_U0_PLD_IT6 EQU 0x40011418 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT7 +CYDEV_UCFG_B1_P2_U0_PLD_IT7 EQU 0x4001141c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT8 +CYDEV_UCFG_B1_P2_U0_PLD_IT8 EQU 0x40011420 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT9 +CYDEV_UCFG_B1_P2_U0_PLD_IT9 EQU 0x40011424 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT10 +CYDEV_UCFG_B1_P2_U0_PLD_IT10 EQU 0x40011428 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT11 +CYDEV_UCFG_B1_P2_U0_PLD_IT11 EQU 0x4001142c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT0 +CYDEV_UCFG_B1_P2_U0_PLD_ORT0 EQU 0x40011430 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT1 +CYDEV_UCFG_B1_P2_U0_PLD_ORT1 EQU 0x40011432 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT2 +CYDEV_UCFG_B1_P2_U0_PLD_ORT2 EQU 0x40011434 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT3 +CYDEV_UCFG_B1_P2_U0_PLD_ORT3 EQU 0x40011436 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST EQU 0x40011438 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB EQU 0x4001143a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET EQU 0x4001143c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS EQU 0x4001143e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG0 +CYDEV_UCFG_B1_P2_U0_CFG0 EQU 0x40011440 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG1 +CYDEV_UCFG_B1_P2_U0_CFG1 EQU 0x40011441 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG2 +CYDEV_UCFG_B1_P2_U0_CFG2 EQU 0x40011442 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG3 +CYDEV_UCFG_B1_P2_U0_CFG3 EQU 0x40011443 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG4 +CYDEV_UCFG_B1_P2_U0_CFG4 EQU 0x40011444 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG5 +CYDEV_UCFG_B1_P2_U0_CFG5 EQU 0x40011445 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG6 +CYDEV_UCFG_B1_P2_U0_CFG6 EQU 0x40011446 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG7 +CYDEV_UCFG_B1_P2_U0_CFG7 EQU 0x40011447 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG8 +CYDEV_UCFG_B1_P2_U0_CFG8 EQU 0x40011448 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG9 +CYDEV_UCFG_B1_P2_U0_CFG9 EQU 0x40011449 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG10 +CYDEV_UCFG_B1_P2_U0_CFG10 EQU 0x4001144a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG11 +CYDEV_UCFG_B1_P2_U0_CFG11 EQU 0x4001144b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG12 +CYDEV_UCFG_B1_P2_U0_CFG12 EQU 0x4001144c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG13 +CYDEV_UCFG_B1_P2_U0_CFG13 EQU 0x4001144d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG14 +CYDEV_UCFG_B1_P2_U0_CFG14 EQU 0x4001144e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG15 +CYDEV_UCFG_B1_P2_U0_CFG15 EQU 0x4001144f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG16 +CYDEV_UCFG_B1_P2_U0_CFG16 EQU 0x40011450 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG17 +CYDEV_UCFG_B1_P2_U0_CFG17 EQU 0x40011451 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG18 +CYDEV_UCFG_B1_P2_U0_CFG18 EQU 0x40011452 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG19 +CYDEV_UCFG_B1_P2_U0_CFG19 EQU 0x40011453 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG20 +CYDEV_UCFG_B1_P2_U0_CFG20 EQU 0x40011454 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG21 +CYDEV_UCFG_B1_P2_U0_CFG21 EQU 0x40011455 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG22 +CYDEV_UCFG_B1_P2_U0_CFG22 EQU 0x40011456 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG23 +CYDEV_UCFG_B1_P2_U0_CFG23 EQU 0x40011457 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG24 +CYDEV_UCFG_B1_P2_U0_CFG24 EQU 0x40011458 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG25 +CYDEV_UCFG_B1_P2_U0_CFG25 EQU 0x40011459 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG26 +CYDEV_UCFG_B1_P2_U0_CFG26 EQU 0x4001145a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG27 +CYDEV_UCFG_B1_P2_U0_CFG27 EQU 0x4001145b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG28 +CYDEV_UCFG_B1_P2_U0_CFG28 EQU 0x4001145c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG29 +CYDEV_UCFG_B1_P2_U0_CFG29 EQU 0x4001145d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG30 +CYDEV_UCFG_B1_P2_U0_CFG30 EQU 0x4001145e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG31 +CYDEV_UCFG_B1_P2_U0_CFG31 EQU 0x4001145f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG0 +CYDEV_UCFG_B1_P2_U0_DCFG0 EQU 0x40011460 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG1 +CYDEV_UCFG_B1_P2_U0_DCFG1 EQU 0x40011462 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG2 +CYDEV_UCFG_B1_P2_U0_DCFG2 EQU 0x40011464 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG3 +CYDEV_UCFG_B1_P2_U0_DCFG3 EQU 0x40011466 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG4 +CYDEV_UCFG_B1_P2_U0_DCFG4 EQU 0x40011468 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG5 +CYDEV_UCFG_B1_P2_U0_DCFG5 EQU 0x4001146a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG6 +CYDEV_UCFG_B1_P2_U0_DCFG6 EQU 0x4001146c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG7 +CYDEV_UCFG_B1_P2_U0_DCFG7 EQU 0x4001146e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_BASE +CYDEV_UCFG_B1_P2_U1_BASE EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_SIZE +CYDEV_UCFG_B1_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT0 +CYDEV_UCFG_B1_P2_U1_PLD_IT0 EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT1 +CYDEV_UCFG_B1_P2_U1_PLD_IT1 EQU 0x40011484 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT2 +CYDEV_UCFG_B1_P2_U1_PLD_IT2 EQU 0x40011488 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT3 +CYDEV_UCFG_B1_P2_U1_PLD_IT3 EQU 0x4001148c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT4 +CYDEV_UCFG_B1_P2_U1_PLD_IT4 EQU 0x40011490 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT5 +CYDEV_UCFG_B1_P2_U1_PLD_IT5 EQU 0x40011494 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT6 +CYDEV_UCFG_B1_P2_U1_PLD_IT6 EQU 0x40011498 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT7 +CYDEV_UCFG_B1_P2_U1_PLD_IT7 EQU 0x4001149c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT8 +CYDEV_UCFG_B1_P2_U1_PLD_IT8 EQU 0x400114a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT9 +CYDEV_UCFG_B1_P2_U1_PLD_IT9 EQU 0x400114a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT10 +CYDEV_UCFG_B1_P2_U1_PLD_IT10 EQU 0x400114a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT11 +CYDEV_UCFG_B1_P2_U1_PLD_IT11 EQU 0x400114ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT0 +CYDEV_UCFG_B1_P2_U1_PLD_ORT0 EQU 0x400114b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT1 +CYDEV_UCFG_B1_P2_U1_PLD_ORT1 EQU 0x400114b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT2 +CYDEV_UCFG_B1_P2_U1_PLD_ORT2 EQU 0x400114b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT3 +CYDEV_UCFG_B1_P2_U1_PLD_ORT3 EQU 0x400114b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST EQU 0x400114b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB EQU 0x400114ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET EQU 0x400114bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS EQU 0x400114be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG0 +CYDEV_UCFG_B1_P2_U1_CFG0 EQU 0x400114c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG1 +CYDEV_UCFG_B1_P2_U1_CFG1 EQU 0x400114c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG2 +CYDEV_UCFG_B1_P2_U1_CFG2 EQU 0x400114c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG3 +CYDEV_UCFG_B1_P2_U1_CFG3 EQU 0x400114c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG4 +CYDEV_UCFG_B1_P2_U1_CFG4 EQU 0x400114c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG5 +CYDEV_UCFG_B1_P2_U1_CFG5 EQU 0x400114c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG6 +CYDEV_UCFG_B1_P2_U1_CFG6 EQU 0x400114c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG7 +CYDEV_UCFG_B1_P2_U1_CFG7 EQU 0x400114c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG8 +CYDEV_UCFG_B1_P2_U1_CFG8 EQU 0x400114c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG9 +CYDEV_UCFG_B1_P2_U1_CFG9 EQU 0x400114c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG10 +CYDEV_UCFG_B1_P2_U1_CFG10 EQU 0x400114ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG11 +CYDEV_UCFG_B1_P2_U1_CFG11 EQU 0x400114cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG12 +CYDEV_UCFG_B1_P2_U1_CFG12 EQU 0x400114cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG13 +CYDEV_UCFG_B1_P2_U1_CFG13 EQU 0x400114cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG14 +CYDEV_UCFG_B1_P2_U1_CFG14 EQU 0x400114ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG15 +CYDEV_UCFG_B1_P2_U1_CFG15 EQU 0x400114cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG16 +CYDEV_UCFG_B1_P2_U1_CFG16 EQU 0x400114d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG17 +CYDEV_UCFG_B1_P2_U1_CFG17 EQU 0x400114d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG18 +CYDEV_UCFG_B1_P2_U1_CFG18 EQU 0x400114d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG19 +CYDEV_UCFG_B1_P2_U1_CFG19 EQU 0x400114d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG20 +CYDEV_UCFG_B1_P2_U1_CFG20 EQU 0x400114d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG21 +CYDEV_UCFG_B1_P2_U1_CFG21 EQU 0x400114d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG22 +CYDEV_UCFG_B1_P2_U1_CFG22 EQU 0x400114d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG23 +CYDEV_UCFG_B1_P2_U1_CFG23 EQU 0x400114d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG24 +CYDEV_UCFG_B1_P2_U1_CFG24 EQU 0x400114d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG25 +CYDEV_UCFG_B1_P2_U1_CFG25 EQU 0x400114d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG26 +CYDEV_UCFG_B1_P2_U1_CFG26 EQU 0x400114da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG27 +CYDEV_UCFG_B1_P2_U1_CFG27 EQU 0x400114db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG28 +CYDEV_UCFG_B1_P2_U1_CFG28 EQU 0x400114dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG29 +CYDEV_UCFG_B1_P2_U1_CFG29 EQU 0x400114dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG30 +CYDEV_UCFG_B1_P2_U1_CFG30 EQU 0x400114de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG31 +CYDEV_UCFG_B1_P2_U1_CFG31 EQU 0x400114df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG0 +CYDEV_UCFG_B1_P2_U1_DCFG0 EQU 0x400114e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG1 +CYDEV_UCFG_B1_P2_U1_DCFG1 EQU 0x400114e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG2 +CYDEV_UCFG_B1_P2_U1_DCFG2 EQU 0x400114e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG3 +CYDEV_UCFG_B1_P2_U1_DCFG3 EQU 0x400114e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG4 +CYDEV_UCFG_B1_P2_U1_DCFG4 EQU 0x400114e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG5 +CYDEV_UCFG_B1_P2_U1_DCFG5 EQU 0x400114ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG6 +CYDEV_UCFG_B1_P2_U1_DCFG6 EQU 0x400114ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG7 +CYDEV_UCFG_B1_P2_U1_DCFG7 EQU 0x400114ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_BASE +CYDEV_UCFG_B1_P2_ROUTE_BASE EQU 0x40011500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_SIZE +CYDEV_UCFG_B1_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_BASE +CYDEV_UCFG_B1_P3_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_SIZE +CYDEV_UCFG_B1_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_BASE +CYDEV_UCFG_B1_P3_U0_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_SIZE +CYDEV_UCFG_B1_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT0 +CYDEV_UCFG_B1_P3_U0_PLD_IT0 EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT1 +CYDEV_UCFG_B1_P3_U0_PLD_IT1 EQU 0x40011604 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT2 +CYDEV_UCFG_B1_P3_U0_PLD_IT2 EQU 0x40011608 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT3 +CYDEV_UCFG_B1_P3_U0_PLD_IT3 EQU 0x4001160c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT4 +CYDEV_UCFG_B1_P3_U0_PLD_IT4 EQU 0x40011610 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT5 +CYDEV_UCFG_B1_P3_U0_PLD_IT5 EQU 0x40011614 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT6 +CYDEV_UCFG_B1_P3_U0_PLD_IT6 EQU 0x40011618 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT7 +CYDEV_UCFG_B1_P3_U0_PLD_IT7 EQU 0x4001161c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT8 +CYDEV_UCFG_B1_P3_U0_PLD_IT8 EQU 0x40011620 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT9 +CYDEV_UCFG_B1_P3_U0_PLD_IT9 EQU 0x40011624 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT10 +CYDEV_UCFG_B1_P3_U0_PLD_IT10 EQU 0x40011628 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT11 +CYDEV_UCFG_B1_P3_U0_PLD_IT11 EQU 0x4001162c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT0 +CYDEV_UCFG_B1_P3_U0_PLD_ORT0 EQU 0x40011630 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT1 +CYDEV_UCFG_B1_P3_U0_PLD_ORT1 EQU 0x40011632 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT2 +CYDEV_UCFG_B1_P3_U0_PLD_ORT2 EQU 0x40011634 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT3 +CYDEV_UCFG_B1_P3_U0_PLD_ORT3 EQU 0x40011636 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST EQU 0x40011638 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB EQU 0x4001163a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET EQU 0x4001163c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS EQU 0x4001163e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG0 +CYDEV_UCFG_B1_P3_U0_CFG0 EQU 0x40011640 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG1 +CYDEV_UCFG_B1_P3_U0_CFG1 EQU 0x40011641 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG2 +CYDEV_UCFG_B1_P3_U0_CFG2 EQU 0x40011642 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG3 +CYDEV_UCFG_B1_P3_U0_CFG3 EQU 0x40011643 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG4 +CYDEV_UCFG_B1_P3_U0_CFG4 EQU 0x40011644 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG5 +CYDEV_UCFG_B1_P3_U0_CFG5 EQU 0x40011645 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG6 +CYDEV_UCFG_B1_P3_U0_CFG6 EQU 0x40011646 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG7 +CYDEV_UCFG_B1_P3_U0_CFG7 EQU 0x40011647 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG8 +CYDEV_UCFG_B1_P3_U0_CFG8 EQU 0x40011648 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG9 +CYDEV_UCFG_B1_P3_U0_CFG9 EQU 0x40011649 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG10 +CYDEV_UCFG_B1_P3_U0_CFG10 EQU 0x4001164a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG11 +CYDEV_UCFG_B1_P3_U0_CFG11 EQU 0x4001164b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG12 +CYDEV_UCFG_B1_P3_U0_CFG12 EQU 0x4001164c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG13 +CYDEV_UCFG_B1_P3_U0_CFG13 EQU 0x4001164d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG14 +CYDEV_UCFG_B1_P3_U0_CFG14 EQU 0x4001164e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG15 +CYDEV_UCFG_B1_P3_U0_CFG15 EQU 0x4001164f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG16 +CYDEV_UCFG_B1_P3_U0_CFG16 EQU 0x40011650 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG17 +CYDEV_UCFG_B1_P3_U0_CFG17 EQU 0x40011651 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG18 +CYDEV_UCFG_B1_P3_U0_CFG18 EQU 0x40011652 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG19 +CYDEV_UCFG_B1_P3_U0_CFG19 EQU 0x40011653 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG20 +CYDEV_UCFG_B1_P3_U0_CFG20 EQU 0x40011654 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG21 +CYDEV_UCFG_B1_P3_U0_CFG21 EQU 0x40011655 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG22 +CYDEV_UCFG_B1_P3_U0_CFG22 EQU 0x40011656 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG23 +CYDEV_UCFG_B1_P3_U0_CFG23 EQU 0x40011657 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG24 +CYDEV_UCFG_B1_P3_U0_CFG24 EQU 0x40011658 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG25 +CYDEV_UCFG_B1_P3_U0_CFG25 EQU 0x40011659 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG26 +CYDEV_UCFG_B1_P3_U0_CFG26 EQU 0x4001165a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG27 +CYDEV_UCFG_B1_P3_U0_CFG27 EQU 0x4001165b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG28 +CYDEV_UCFG_B1_P3_U0_CFG28 EQU 0x4001165c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG29 +CYDEV_UCFG_B1_P3_U0_CFG29 EQU 0x4001165d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG30 +CYDEV_UCFG_B1_P3_U0_CFG30 EQU 0x4001165e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG31 +CYDEV_UCFG_B1_P3_U0_CFG31 EQU 0x4001165f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG0 +CYDEV_UCFG_B1_P3_U0_DCFG0 EQU 0x40011660 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG1 +CYDEV_UCFG_B1_P3_U0_DCFG1 EQU 0x40011662 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG2 +CYDEV_UCFG_B1_P3_U0_DCFG2 EQU 0x40011664 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG3 +CYDEV_UCFG_B1_P3_U0_DCFG3 EQU 0x40011666 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG4 +CYDEV_UCFG_B1_P3_U0_DCFG4 EQU 0x40011668 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG5 +CYDEV_UCFG_B1_P3_U0_DCFG5 EQU 0x4001166a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG6 +CYDEV_UCFG_B1_P3_U0_DCFG6 EQU 0x4001166c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG7 +CYDEV_UCFG_B1_P3_U0_DCFG7 EQU 0x4001166e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_BASE +CYDEV_UCFG_B1_P3_U1_BASE EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_SIZE +CYDEV_UCFG_B1_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT0 +CYDEV_UCFG_B1_P3_U1_PLD_IT0 EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT1 +CYDEV_UCFG_B1_P3_U1_PLD_IT1 EQU 0x40011684 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT2 +CYDEV_UCFG_B1_P3_U1_PLD_IT2 EQU 0x40011688 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT3 +CYDEV_UCFG_B1_P3_U1_PLD_IT3 EQU 0x4001168c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT4 +CYDEV_UCFG_B1_P3_U1_PLD_IT4 EQU 0x40011690 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT5 +CYDEV_UCFG_B1_P3_U1_PLD_IT5 EQU 0x40011694 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT6 +CYDEV_UCFG_B1_P3_U1_PLD_IT6 EQU 0x40011698 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT7 +CYDEV_UCFG_B1_P3_U1_PLD_IT7 EQU 0x4001169c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT8 +CYDEV_UCFG_B1_P3_U1_PLD_IT8 EQU 0x400116a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT9 +CYDEV_UCFG_B1_P3_U1_PLD_IT9 EQU 0x400116a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT10 +CYDEV_UCFG_B1_P3_U1_PLD_IT10 EQU 0x400116a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT11 +CYDEV_UCFG_B1_P3_U1_PLD_IT11 EQU 0x400116ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT0 +CYDEV_UCFG_B1_P3_U1_PLD_ORT0 EQU 0x400116b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT1 +CYDEV_UCFG_B1_P3_U1_PLD_ORT1 EQU 0x400116b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT2 +CYDEV_UCFG_B1_P3_U1_PLD_ORT2 EQU 0x400116b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT3 +CYDEV_UCFG_B1_P3_U1_PLD_ORT3 EQU 0x400116b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST EQU 0x400116b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB EQU 0x400116ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET EQU 0x400116bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS EQU 0x400116be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG0 +CYDEV_UCFG_B1_P3_U1_CFG0 EQU 0x400116c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG1 +CYDEV_UCFG_B1_P3_U1_CFG1 EQU 0x400116c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG2 +CYDEV_UCFG_B1_P3_U1_CFG2 EQU 0x400116c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG3 +CYDEV_UCFG_B1_P3_U1_CFG3 EQU 0x400116c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG4 +CYDEV_UCFG_B1_P3_U1_CFG4 EQU 0x400116c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG5 +CYDEV_UCFG_B1_P3_U1_CFG5 EQU 0x400116c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG6 +CYDEV_UCFG_B1_P3_U1_CFG6 EQU 0x400116c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG7 +CYDEV_UCFG_B1_P3_U1_CFG7 EQU 0x400116c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG8 +CYDEV_UCFG_B1_P3_U1_CFG8 EQU 0x400116c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG9 +CYDEV_UCFG_B1_P3_U1_CFG9 EQU 0x400116c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG10 +CYDEV_UCFG_B1_P3_U1_CFG10 EQU 0x400116ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG11 +CYDEV_UCFG_B1_P3_U1_CFG11 EQU 0x400116cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG12 +CYDEV_UCFG_B1_P3_U1_CFG12 EQU 0x400116cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG13 +CYDEV_UCFG_B1_P3_U1_CFG13 EQU 0x400116cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG14 +CYDEV_UCFG_B1_P3_U1_CFG14 EQU 0x400116ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG15 +CYDEV_UCFG_B1_P3_U1_CFG15 EQU 0x400116cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG16 +CYDEV_UCFG_B1_P3_U1_CFG16 EQU 0x400116d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG17 +CYDEV_UCFG_B1_P3_U1_CFG17 EQU 0x400116d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG18 +CYDEV_UCFG_B1_P3_U1_CFG18 EQU 0x400116d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG19 +CYDEV_UCFG_B1_P3_U1_CFG19 EQU 0x400116d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG20 +CYDEV_UCFG_B1_P3_U1_CFG20 EQU 0x400116d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG21 +CYDEV_UCFG_B1_P3_U1_CFG21 EQU 0x400116d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG22 +CYDEV_UCFG_B1_P3_U1_CFG22 EQU 0x400116d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG23 +CYDEV_UCFG_B1_P3_U1_CFG23 EQU 0x400116d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG24 +CYDEV_UCFG_B1_P3_U1_CFG24 EQU 0x400116d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG25 +CYDEV_UCFG_B1_P3_U1_CFG25 EQU 0x400116d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG26 +CYDEV_UCFG_B1_P3_U1_CFG26 EQU 0x400116da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG27 +CYDEV_UCFG_B1_P3_U1_CFG27 EQU 0x400116db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG28 +CYDEV_UCFG_B1_P3_U1_CFG28 EQU 0x400116dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG29 +CYDEV_UCFG_B1_P3_U1_CFG29 EQU 0x400116dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG30 +CYDEV_UCFG_B1_P3_U1_CFG30 EQU 0x400116de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG31 +CYDEV_UCFG_B1_P3_U1_CFG31 EQU 0x400116df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG0 +CYDEV_UCFG_B1_P3_U1_DCFG0 EQU 0x400116e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG1 +CYDEV_UCFG_B1_P3_U1_DCFG1 EQU 0x400116e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG2 +CYDEV_UCFG_B1_P3_U1_DCFG2 EQU 0x400116e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG3 +CYDEV_UCFG_B1_P3_U1_DCFG3 EQU 0x400116e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG4 +CYDEV_UCFG_B1_P3_U1_DCFG4 EQU 0x400116e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG5 +CYDEV_UCFG_B1_P3_U1_DCFG5 EQU 0x400116ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG6 +CYDEV_UCFG_B1_P3_U1_DCFG6 EQU 0x400116ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG7 +CYDEV_UCFG_B1_P3_U1_DCFG7 EQU 0x400116ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_BASE +CYDEV_UCFG_B1_P3_ROUTE_BASE EQU 0x40011700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_SIZE +CYDEV_UCFG_B1_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_BASE +CYDEV_UCFG_B1_P4_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_SIZE +CYDEV_UCFG_B1_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_BASE +CYDEV_UCFG_B1_P4_U0_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_SIZE +CYDEV_UCFG_B1_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT0 +CYDEV_UCFG_B1_P4_U0_PLD_IT0 EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT1 +CYDEV_UCFG_B1_P4_U0_PLD_IT1 EQU 0x40011804 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT2 +CYDEV_UCFG_B1_P4_U0_PLD_IT2 EQU 0x40011808 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT3 +CYDEV_UCFG_B1_P4_U0_PLD_IT3 EQU 0x4001180c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT4 +CYDEV_UCFG_B1_P4_U0_PLD_IT4 EQU 0x40011810 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT5 +CYDEV_UCFG_B1_P4_U0_PLD_IT5 EQU 0x40011814 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT6 +CYDEV_UCFG_B1_P4_U0_PLD_IT6 EQU 0x40011818 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT7 +CYDEV_UCFG_B1_P4_U0_PLD_IT7 EQU 0x4001181c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT8 +CYDEV_UCFG_B1_P4_U0_PLD_IT8 EQU 0x40011820 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT9 +CYDEV_UCFG_B1_P4_U0_PLD_IT9 EQU 0x40011824 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT10 +CYDEV_UCFG_B1_P4_U0_PLD_IT10 EQU 0x40011828 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT11 +CYDEV_UCFG_B1_P4_U0_PLD_IT11 EQU 0x4001182c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT0 +CYDEV_UCFG_B1_P4_U0_PLD_ORT0 EQU 0x40011830 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT1 +CYDEV_UCFG_B1_P4_U0_PLD_ORT1 EQU 0x40011832 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT2 +CYDEV_UCFG_B1_P4_U0_PLD_ORT2 EQU 0x40011834 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT3 +CYDEV_UCFG_B1_P4_U0_PLD_ORT3 EQU 0x40011836 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST EQU 0x40011838 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB EQU 0x4001183a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET EQU 0x4001183c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS EQU 0x4001183e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG0 +CYDEV_UCFG_B1_P4_U0_CFG0 EQU 0x40011840 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG1 +CYDEV_UCFG_B1_P4_U0_CFG1 EQU 0x40011841 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG2 +CYDEV_UCFG_B1_P4_U0_CFG2 EQU 0x40011842 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG3 +CYDEV_UCFG_B1_P4_U0_CFG3 EQU 0x40011843 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG4 +CYDEV_UCFG_B1_P4_U0_CFG4 EQU 0x40011844 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG5 +CYDEV_UCFG_B1_P4_U0_CFG5 EQU 0x40011845 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG6 +CYDEV_UCFG_B1_P4_U0_CFG6 EQU 0x40011846 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG7 +CYDEV_UCFG_B1_P4_U0_CFG7 EQU 0x40011847 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG8 +CYDEV_UCFG_B1_P4_U0_CFG8 EQU 0x40011848 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG9 +CYDEV_UCFG_B1_P4_U0_CFG9 EQU 0x40011849 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG10 +CYDEV_UCFG_B1_P4_U0_CFG10 EQU 0x4001184a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG11 +CYDEV_UCFG_B1_P4_U0_CFG11 EQU 0x4001184b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG12 +CYDEV_UCFG_B1_P4_U0_CFG12 EQU 0x4001184c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG13 +CYDEV_UCFG_B1_P4_U0_CFG13 EQU 0x4001184d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG14 +CYDEV_UCFG_B1_P4_U0_CFG14 EQU 0x4001184e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG15 +CYDEV_UCFG_B1_P4_U0_CFG15 EQU 0x4001184f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG16 +CYDEV_UCFG_B1_P4_U0_CFG16 EQU 0x40011850 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG17 +CYDEV_UCFG_B1_P4_U0_CFG17 EQU 0x40011851 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG18 +CYDEV_UCFG_B1_P4_U0_CFG18 EQU 0x40011852 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG19 +CYDEV_UCFG_B1_P4_U0_CFG19 EQU 0x40011853 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG20 +CYDEV_UCFG_B1_P4_U0_CFG20 EQU 0x40011854 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG21 +CYDEV_UCFG_B1_P4_U0_CFG21 EQU 0x40011855 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG22 +CYDEV_UCFG_B1_P4_U0_CFG22 EQU 0x40011856 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG23 +CYDEV_UCFG_B1_P4_U0_CFG23 EQU 0x40011857 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG24 +CYDEV_UCFG_B1_P4_U0_CFG24 EQU 0x40011858 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG25 +CYDEV_UCFG_B1_P4_U0_CFG25 EQU 0x40011859 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG26 +CYDEV_UCFG_B1_P4_U0_CFG26 EQU 0x4001185a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG27 +CYDEV_UCFG_B1_P4_U0_CFG27 EQU 0x4001185b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG28 +CYDEV_UCFG_B1_P4_U0_CFG28 EQU 0x4001185c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG29 +CYDEV_UCFG_B1_P4_U0_CFG29 EQU 0x4001185d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG30 +CYDEV_UCFG_B1_P4_U0_CFG30 EQU 0x4001185e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG31 +CYDEV_UCFG_B1_P4_U0_CFG31 EQU 0x4001185f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG0 +CYDEV_UCFG_B1_P4_U0_DCFG0 EQU 0x40011860 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG1 +CYDEV_UCFG_B1_P4_U0_DCFG1 EQU 0x40011862 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG2 +CYDEV_UCFG_B1_P4_U0_DCFG2 EQU 0x40011864 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG3 +CYDEV_UCFG_B1_P4_U0_DCFG3 EQU 0x40011866 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG4 +CYDEV_UCFG_B1_P4_U0_DCFG4 EQU 0x40011868 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG5 +CYDEV_UCFG_B1_P4_U0_DCFG5 EQU 0x4001186a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG6 +CYDEV_UCFG_B1_P4_U0_DCFG6 EQU 0x4001186c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG7 +CYDEV_UCFG_B1_P4_U0_DCFG7 EQU 0x4001186e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_BASE +CYDEV_UCFG_B1_P4_U1_BASE EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_SIZE +CYDEV_UCFG_B1_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT0 +CYDEV_UCFG_B1_P4_U1_PLD_IT0 EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT1 +CYDEV_UCFG_B1_P4_U1_PLD_IT1 EQU 0x40011884 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT2 +CYDEV_UCFG_B1_P4_U1_PLD_IT2 EQU 0x40011888 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT3 +CYDEV_UCFG_B1_P4_U1_PLD_IT3 EQU 0x4001188c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT4 +CYDEV_UCFG_B1_P4_U1_PLD_IT4 EQU 0x40011890 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT5 +CYDEV_UCFG_B1_P4_U1_PLD_IT5 EQU 0x40011894 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT6 +CYDEV_UCFG_B1_P4_U1_PLD_IT6 EQU 0x40011898 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT7 +CYDEV_UCFG_B1_P4_U1_PLD_IT7 EQU 0x4001189c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT8 +CYDEV_UCFG_B1_P4_U1_PLD_IT8 EQU 0x400118a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT9 +CYDEV_UCFG_B1_P4_U1_PLD_IT9 EQU 0x400118a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT10 +CYDEV_UCFG_B1_P4_U1_PLD_IT10 EQU 0x400118a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT11 +CYDEV_UCFG_B1_P4_U1_PLD_IT11 EQU 0x400118ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT0 +CYDEV_UCFG_B1_P4_U1_PLD_ORT0 EQU 0x400118b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT1 +CYDEV_UCFG_B1_P4_U1_PLD_ORT1 EQU 0x400118b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT2 +CYDEV_UCFG_B1_P4_U1_PLD_ORT2 EQU 0x400118b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT3 +CYDEV_UCFG_B1_P4_U1_PLD_ORT3 EQU 0x400118b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST EQU 0x400118b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB EQU 0x400118ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET EQU 0x400118bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS EQU 0x400118be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG0 +CYDEV_UCFG_B1_P4_U1_CFG0 EQU 0x400118c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG1 +CYDEV_UCFG_B1_P4_U1_CFG1 EQU 0x400118c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG2 +CYDEV_UCFG_B1_P4_U1_CFG2 EQU 0x400118c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG3 +CYDEV_UCFG_B1_P4_U1_CFG3 EQU 0x400118c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG4 +CYDEV_UCFG_B1_P4_U1_CFG4 EQU 0x400118c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG5 +CYDEV_UCFG_B1_P4_U1_CFG5 EQU 0x400118c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG6 +CYDEV_UCFG_B1_P4_U1_CFG6 EQU 0x400118c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG7 +CYDEV_UCFG_B1_P4_U1_CFG7 EQU 0x400118c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG8 +CYDEV_UCFG_B1_P4_U1_CFG8 EQU 0x400118c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG9 +CYDEV_UCFG_B1_P4_U1_CFG9 EQU 0x400118c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG10 +CYDEV_UCFG_B1_P4_U1_CFG10 EQU 0x400118ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG11 +CYDEV_UCFG_B1_P4_U1_CFG11 EQU 0x400118cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG12 +CYDEV_UCFG_B1_P4_U1_CFG12 EQU 0x400118cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG13 +CYDEV_UCFG_B1_P4_U1_CFG13 EQU 0x400118cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG14 +CYDEV_UCFG_B1_P4_U1_CFG14 EQU 0x400118ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG15 +CYDEV_UCFG_B1_P4_U1_CFG15 EQU 0x400118cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG16 +CYDEV_UCFG_B1_P4_U1_CFG16 EQU 0x400118d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG17 +CYDEV_UCFG_B1_P4_U1_CFG17 EQU 0x400118d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG18 +CYDEV_UCFG_B1_P4_U1_CFG18 EQU 0x400118d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG19 +CYDEV_UCFG_B1_P4_U1_CFG19 EQU 0x400118d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG20 +CYDEV_UCFG_B1_P4_U1_CFG20 EQU 0x400118d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG21 +CYDEV_UCFG_B1_P4_U1_CFG21 EQU 0x400118d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG22 +CYDEV_UCFG_B1_P4_U1_CFG22 EQU 0x400118d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG23 +CYDEV_UCFG_B1_P4_U1_CFG23 EQU 0x400118d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG24 +CYDEV_UCFG_B1_P4_U1_CFG24 EQU 0x400118d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG25 +CYDEV_UCFG_B1_P4_U1_CFG25 EQU 0x400118d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG26 +CYDEV_UCFG_B1_P4_U1_CFG26 EQU 0x400118da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG27 +CYDEV_UCFG_B1_P4_U1_CFG27 EQU 0x400118db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG28 +CYDEV_UCFG_B1_P4_U1_CFG28 EQU 0x400118dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG29 +CYDEV_UCFG_B1_P4_U1_CFG29 EQU 0x400118dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG30 +CYDEV_UCFG_B1_P4_U1_CFG30 EQU 0x400118de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG31 +CYDEV_UCFG_B1_P4_U1_CFG31 EQU 0x400118df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG0 +CYDEV_UCFG_B1_P4_U1_DCFG0 EQU 0x400118e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG1 +CYDEV_UCFG_B1_P4_U1_DCFG1 EQU 0x400118e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG2 +CYDEV_UCFG_B1_P4_U1_DCFG2 EQU 0x400118e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG3 +CYDEV_UCFG_B1_P4_U1_DCFG3 EQU 0x400118e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG4 +CYDEV_UCFG_B1_P4_U1_DCFG4 EQU 0x400118e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG5 +CYDEV_UCFG_B1_P4_U1_DCFG5 EQU 0x400118ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG6 +CYDEV_UCFG_B1_P4_U1_DCFG6 EQU 0x400118ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG7 +CYDEV_UCFG_B1_P4_U1_DCFG7 EQU 0x400118ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_BASE +CYDEV_UCFG_B1_P4_ROUTE_BASE EQU 0x40011900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_SIZE +CYDEV_UCFG_B1_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_BASE +CYDEV_UCFG_B1_P5_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_SIZE +CYDEV_UCFG_B1_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_BASE +CYDEV_UCFG_B1_P5_U0_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_SIZE +CYDEV_UCFG_B1_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT0 +CYDEV_UCFG_B1_P5_U0_PLD_IT0 EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT1 +CYDEV_UCFG_B1_P5_U0_PLD_IT1 EQU 0x40011a04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT2 +CYDEV_UCFG_B1_P5_U0_PLD_IT2 EQU 0x40011a08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT3 +CYDEV_UCFG_B1_P5_U0_PLD_IT3 EQU 0x40011a0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT4 +CYDEV_UCFG_B1_P5_U0_PLD_IT4 EQU 0x40011a10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT5 +CYDEV_UCFG_B1_P5_U0_PLD_IT5 EQU 0x40011a14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT6 +CYDEV_UCFG_B1_P5_U0_PLD_IT6 EQU 0x40011a18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT7 +CYDEV_UCFG_B1_P5_U0_PLD_IT7 EQU 0x40011a1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT8 +CYDEV_UCFG_B1_P5_U0_PLD_IT8 EQU 0x40011a20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT9 +CYDEV_UCFG_B1_P5_U0_PLD_IT9 EQU 0x40011a24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT10 +CYDEV_UCFG_B1_P5_U0_PLD_IT10 EQU 0x40011a28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT11 +CYDEV_UCFG_B1_P5_U0_PLD_IT11 EQU 0x40011a2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT0 +CYDEV_UCFG_B1_P5_U0_PLD_ORT0 EQU 0x40011a30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT1 +CYDEV_UCFG_B1_P5_U0_PLD_ORT1 EQU 0x40011a32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT2 +CYDEV_UCFG_B1_P5_U0_PLD_ORT2 EQU 0x40011a34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT3 +CYDEV_UCFG_B1_P5_U0_PLD_ORT3 EQU 0x40011a36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST EQU 0x40011a38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB EQU 0x40011a3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET EQU 0x40011a3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS EQU 0x40011a3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG0 +CYDEV_UCFG_B1_P5_U0_CFG0 EQU 0x40011a40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG1 +CYDEV_UCFG_B1_P5_U0_CFG1 EQU 0x40011a41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG2 +CYDEV_UCFG_B1_P5_U0_CFG2 EQU 0x40011a42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG3 +CYDEV_UCFG_B1_P5_U0_CFG3 EQU 0x40011a43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG4 +CYDEV_UCFG_B1_P5_U0_CFG4 EQU 0x40011a44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG5 +CYDEV_UCFG_B1_P5_U0_CFG5 EQU 0x40011a45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG6 +CYDEV_UCFG_B1_P5_U0_CFG6 EQU 0x40011a46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG7 +CYDEV_UCFG_B1_P5_U0_CFG7 EQU 0x40011a47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG8 +CYDEV_UCFG_B1_P5_U0_CFG8 EQU 0x40011a48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG9 +CYDEV_UCFG_B1_P5_U0_CFG9 EQU 0x40011a49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG10 +CYDEV_UCFG_B1_P5_U0_CFG10 EQU 0x40011a4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG11 +CYDEV_UCFG_B1_P5_U0_CFG11 EQU 0x40011a4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG12 +CYDEV_UCFG_B1_P5_U0_CFG12 EQU 0x40011a4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG13 +CYDEV_UCFG_B1_P5_U0_CFG13 EQU 0x40011a4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG14 +CYDEV_UCFG_B1_P5_U0_CFG14 EQU 0x40011a4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG15 +CYDEV_UCFG_B1_P5_U0_CFG15 EQU 0x40011a4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG16 +CYDEV_UCFG_B1_P5_U0_CFG16 EQU 0x40011a50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG17 +CYDEV_UCFG_B1_P5_U0_CFG17 EQU 0x40011a51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG18 +CYDEV_UCFG_B1_P5_U0_CFG18 EQU 0x40011a52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG19 +CYDEV_UCFG_B1_P5_U0_CFG19 EQU 0x40011a53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG20 +CYDEV_UCFG_B1_P5_U0_CFG20 EQU 0x40011a54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG21 +CYDEV_UCFG_B1_P5_U0_CFG21 EQU 0x40011a55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG22 +CYDEV_UCFG_B1_P5_U0_CFG22 EQU 0x40011a56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG23 +CYDEV_UCFG_B1_P5_U0_CFG23 EQU 0x40011a57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG24 +CYDEV_UCFG_B1_P5_U0_CFG24 EQU 0x40011a58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG25 +CYDEV_UCFG_B1_P5_U0_CFG25 EQU 0x40011a59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG26 +CYDEV_UCFG_B1_P5_U0_CFG26 EQU 0x40011a5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG27 +CYDEV_UCFG_B1_P5_U0_CFG27 EQU 0x40011a5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG28 +CYDEV_UCFG_B1_P5_U0_CFG28 EQU 0x40011a5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG29 +CYDEV_UCFG_B1_P5_U0_CFG29 EQU 0x40011a5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG30 +CYDEV_UCFG_B1_P5_U0_CFG30 EQU 0x40011a5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG31 +CYDEV_UCFG_B1_P5_U0_CFG31 EQU 0x40011a5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG0 +CYDEV_UCFG_B1_P5_U0_DCFG0 EQU 0x40011a60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG1 +CYDEV_UCFG_B1_P5_U0_DCFG1 EQU 0x40011a62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG2 +CYDEV_UCFG_B1_P5_U0_DCFG2 EQU 0x40011a64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG3 +CYDEV_UCFG_B1_P5_U0_DCFG3 EQU 0x40011a66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG4 +CYDEV_UCFG_B1_P5_U0_DCFG4 EQU 0x40011a68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG5 +CYDEV_UCFG_B1_P5_U0_DCFG5 EQU 0x40011a6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG6 +CYDEV_UCFG_B1_P5_U0_DCFG6 EQU 0x40011a6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG7 +CYDEV_UCFG_B1_P5_U0_DCFG7 EQU 0x40011a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_BASE +CYDEV_UCFG_B1_P5_U1_BASE EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_SIZE +CYDEV_UCFG_B1_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT0 +CYDEV_UCFG_B1_P5_U1_PLD_IT0 EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT1 +CYDEV_UCFG_B1_P5_U1_PLD_IT1 EQU 0x40011a84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT2 +CYDEV_UCFG_B1_P5_U1_PLD_IT2 EQU 0x40011a88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT3 +CYDEV_UCFG_B1_P5_U1_PLD_IT3 EQU 0x40011a8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT4 +CYDEV_UCFG_B1_P5_U1_PLD_IT4 EQU 0x40011a90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT5 +CYDEV_UCFG_B1_P5_U1_PLD_IT5 EQU 0x40011a94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT6 +CYDEV_UCFG_B1_P5_U1_PLD_IT6 EQU 0x40011a98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT7 +CYDEV_UCFG_B1_P5_U1_PLD_IT7 EQU 0x40011a9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT8 +CYDEV_UCFG_B1_P5_U1_PLD_IT8 EQU 0x40011aa0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT9 +CYDEV_UCFG_B1_P5_U1_PLD_IT9 EQU 0x40011aa4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT10 +CYDEV_UCFG_B1_P5_U1_PLD_IT10 EQU 0x40011aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT11 +CYDEV_UCFG_B1_P5_U1_PLD_IT11 EQU 0x40011aac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT0 +CYDEV_UCFG_B1_P5_U1_PLD_ORT0 EQU 0x40011ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT1 +CYDEV_UCFG_B1_P5_U1_PLD_ORT1 EQU 0x40011ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT2 +CYDEV_UCFG_B1_P5_U1_PLD_ORT2 EQU 0x40011ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT3 +CYDEV_UCFG_B1_P5_U1_PLD_ORT3 EQU 0x40011ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST EQU 0x40011ab8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB EQU 0x40011aba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET EQU 0x40011abc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS EQU 0x40011abe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG0 +CYDEV_UCFG_B1_P5_U1_CFG0 EQU 0x40011ac0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG1 +CYDEV_UCFG_B1_P5_U1_CFG1 EQU 0x40011ac1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG2 +CYDEV_UCFG_B1_P5_U1_CFG2 EQU 0x40011ac2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG3 +CYDEV_UCFG_B1_P5_U1_CFG3 EQU 0x40011ac3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG4 +CYDEV_UCFG_B1_P5_U1_CFG4 EQU 0x40011ac4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG5 +CYDEV_UCFG_B1_P5_U1_CFG5 EQU 0x40011ac5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG6 +CYDEV_UCFG_B1_P5_U1_CFG6 EQU 0x40011ac6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG7 +CYDEV_UCFG_B1_P5_U1_CFG7 EQU 0x40011ac7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG8 +CYDEV_UCFG_B1_P5_U1_CFG8 EQU 0x40011ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG9 +CYDEV_UCFG_B1_P5_U1_CFG9 EQU 0x40011ac9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG10 +CYDEV_UCFG_B1_P5_U1_CFG10 EQU 0x40011aca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG11 +CYDEV_UCFG_B1_P5_U1_CFG11 EQU 0x40011acb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG12 +CYDEV_UCFG_B1_P5_U1_CFG12 EQU 0x40011acc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG13 +CYDEV_UCFG_B1_P5_U1_CFG13 EQU 0x40011acd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG14 +CYDEV_UCFG_B1_P5_U1_CFG14 EQU 0x40011ace + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG15 +CYDEV_UCFG_B1_P5_U1_CFG15 EQU 0x40011acf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG16 +CYDEV_UCFG_B1_P5_U1_CFG16 EQU 0x40011ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG17 +CYDEV_UCFG_B1_P5_U1_CFG17 EQU 0x40011ad1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG18 +CYDEV_UCFG_B1_P5_U1_CFG18 EQU 0x40011ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG19 +CYDEV_UCFG_B1_P5_U1_CFG19 EQU 0x40011ad3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG20 +CYDEV_UCFG_B1_P5_U1_CFG20 EQU 0x40011ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG21 +CYDEV_UCFG_B1_P5_U1_CFG21 EQU 0x40011ad5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG22 +CYDEV_UCFG_B1_P5_U1_CFG22 EQU 0x40011ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG23 +CYDEV_UCFG_B1_P5_U1_CFG23 EQU 0x40011ad7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG24 +CYDEV_UCFG_B1_P5_U1_CFG24 EQU 0x40011ad8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG25 +CYDEV_UCFG_B1_P5_U1_CFG25 EQU 0x40011ad9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG26 +CYDEV_UCFG_B1_P5_U1_CFG26 EQU 0x40011ada + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG27 +CYDEV_UCFG_B1_P5_U1_CFG27 EQU 0x40011adb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG28 +CYDEV_UCFG_B1_P5_U1_CFG28 EQU 0x40011adc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG29 +CYDEV_UCFG_B1_P5_U1_CFG29 EQU 0x40011add + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG30 +CYDEV_UCFG_B1_P5_U1_CFG30 EQU 0x40011ade + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG31 +CYDEV_UCFG_B1_P5_U1_CFG31 EQU 0x40011adf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG0 +CYDEV_UCFG_B1_P5_U1_DCFG0 EQU 0x40011ae0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG1 +CYDEV_UCFG_B1_P5_U1_DCFG1 EQU 0x40011ae2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG2 +CYDEV_UCFG_B1_P5_U1_DCFG2 EQU 0x40011ae4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG3 +CYDEV_UCFG_B1_P5_U1_DCFG3 EQU 0x40011ae6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG4 +CYDEV_UCFG_B1_P5_U1_DCFG4 EQU 0x40011ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG5 +CYDEV_UCFG_B1_P5_U1_DCFG5 EQU 0x40011aea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG6 +CYDEV_UCFG_B1_P5_U1_DCFG6 EQU 0x40011aec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG7 +CYDEV_UCFG_B1_P5_U1_DCFG7 EQU 0x40011aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_BASE +CYDEV_UCFG_B1_P5_ROUTE_BASE EQU 0x40011b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_SIZE +CYDEV_UCFG_B1_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_BASE +CYDEV_UCFG_DSI0_BASE EQU 0x40014000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_SIZE +CYDEV_UCFG_DSI0_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_BASE +CYDEV_UCFG_DSI1_BASE EQU 0x40014100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_SIZE +CYDEV_UCFG_DSI1_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_BASE +CYDEV_UCFG_DSI2_BASE EQU 0x40014200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_SIZE +CYDEV_UCFG_DSI2_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_BASE +CYDEV_UCFG_DSI3_BASE EQU 0x40014300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_SIZE +CYDEV_UCFG_DSI3_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_BASE +CYDEV_UCFG_DSI4_BASE EQU 0x40014400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_SIZE +CYDEV_UCFG_DSI4_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_BASE +CYDEV_UCFG_DSI5_BASE EQU 0x40014500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_SIZE +CYDEV_UCFG_DSI5_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_BASE +CYDEV_UCFG_DSI6_BASE EQU 0x40014600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_SIZE +CYDEV_UCFG_DSI6_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_BASE +CYDEV_UCFG_DSI7_BASE EQU 0x40014700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_SIZE +CYDEV_UCFG_DSI7_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_BASE +CYDEV_UCFG_DSI8_BASE EQU 0x40014800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_SIZE +CYDEV_UCFG_DSI8_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_BASE +CYDEV_UCFG_DSI9_BASE EQU 0x40014900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_SIZE +CYDEV_UCFG_DSI9_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_BASE +CYDEV_UCFG_DSI12_BASE EQU 0x40014c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_SIZE +CYDEV_UCFG_DSI12_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_BASE +CYDEV_UCFG_DSI13_BASE EQU 0x40014d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_SIZE +CYDEV_UCFG_DSI13_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BASE +CYDEV_UCFG_BCTL0_BASE EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_SIZE +CYDEV_UCFG_BCTL0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_MDCLK_EN +CYDEV_UCFG_BCTL0_MDCLK_EN EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_MBCLK_EN +CYDEV_UCFG_BCTL0_MBCLK_EN EQU 0x40015001 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_WAIT_CFG +CYDEV_UCFG_BCTL0_WAIT_CFG EQU 0x40015002 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BANK_CTL +CYDEV_UCFG_BCTL0_BANK_CTL EQU 0x40015003 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_UDB_TEST_3 +CYDEV_UCFG_BCTL0_UDB_TEST_3 EQU 0x40015007 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN0 +CYDEV_UCFG_BCTL0_DCLK_EN0 EQU 0x40015008 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN0 +CYDEV_UCFG_BCTL0_BCLK_EN0 EQU 0x40015009 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN1 +CYDEV_UCFG_BCTL0_DCLK_EN1 EQU 0x4001500a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN1 +CYDEV_UCFG_BCTL0_BCLK_EN1 EQU 0x4001500b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN2 +CYDEV_UCFG_BCTL0_DCLK_EN2 EQU 0x4001500c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN2 +CYDEV_UCFG_BCTL0_BCLK_EN2 EQU 0x4001500d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN3 +CYDEV_UCFG_BCTL0_DCLK_EN3 EQU 0x4001500e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN3 +CYDEV_UCFG_BCTL0_BCLK_EN3 EQU 0x4001500f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BASE +CYDEV_UCFG_BCTL1_BASE EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_SIZE +CYDEV_UCFG_BCTL1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_MDCLK_EN +CYDEV_UCFG_BCTL1_MDCLK_EN EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_MBCLK_EN +CYDEV_UCFG_BCTL1_MBCLK_EN EQU 0x40015011 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_WAIT_CFG +CYDEV_UCFG_BCTL1_WAIT_CFG EQU 0x40015012 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BANK_CTL +CYDEV_UCFG_BCTL1_BANK_CTL EQU 0x40015013 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_UDB_TEST_3 +CYDEV_UCFG_BCTL1_UDB_TEST_3 EQU 0x40015017 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN0 +CYDEV_UCFG_BCTL1_DCLK_EN0 EQU 0x40015018 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN0 +CYDEV_UCFG_BCTL1_BCLK_EN0 EQU 0x40015019 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN1 +CYDEV_UCFG_BCTL1_DCLK_EN1 EQU 0x4001501a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN1 +CYDEV_UCFG_BCTL1_BCLK_EN1 EQU 0x4001501b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN2 +CYDEV_UCFG_BCTL1_DCLK_EN2 EQU 0x4001501c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN2 +CYDEV_UCFG_BCTL1_BCLK_EN2 EQU 0x4001501d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN3 +CYDEV_UCFG_BCTL1_DCLK_EN3 EQU 0x4001501e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN3 +CYDEV_UCFG_BCTL1_BCLK_EN3 EQU 0x4001501f + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_BASE +CYDEV_IDMUX_BASE EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_SIZE +CYDEV_IDMUX_SIZE EQU 0x00000016 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL0 +CYDEV_IDMUX_IRQ_CTL0 EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL1 +CYDEV_IDMUX_IRQ_CTL1 EQU 0x40015101 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL2 +CYDEV_IDMUX_IRQ_CTL2 EQU 0x40015102 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL3 +CYDEV_IDMUX_IRQ_CTL3 EQU 0x40015103 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL4 +CYDEV_IDMUX_IRQ_CTL4 EQU 0x40015104 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL5 +CYDEV_IDMUX_IRQ_CTL5 EQU 0x40015105 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL6 +CYDEV_IDMUX_IRQ_CTL6 EQU 0x40015106 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL7 +CYDEV_IDMUX_IRQ_CTL7 EQU 0x40015107 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL0 +CYDEV_IDMUX_DRQ_CTL0 EQU 0x40015110 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL1 +CYDEV_IDMUX_DRQ_CTL1 EQU 0x40015111 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL2 +CYDEV_IDMUX_DRQ_CTL2 EQU 0x40015112 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL3 +CYDEV_IDMUX_DRQ_CTL3 EQU 0x40015113 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL4 +CYDEV_IDMUX_DRQ_CTL4 EQU 0x40015114 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL5 +CYDEV_IDMUX_DRQ_CTL5 EQU 0x40015115 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_BASE +CYDEV_CACHERAM_BASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_SIZE +CYDEV_CACHERAM_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_DATA_MBASE +CYDEV_CACHERAM_DATA_MBASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_DATA_MSIZE +CYDEV_CACHERAM_DATA_MSIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_BASE +CYDEV_SFR_BASE EQU 0x40050100 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_SIZE +CYDEV_SFR_SIZE EQU 0x000000fb + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO0 +CYDEV_SFR_GPIO0 EQU 0x40050180 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD0 +CYDEV_SFR_GPIRD0 EQU 0x40050189 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO0_SEL +CYDEV_SFR_GPIO0_SEL EQU 0x4005018a + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO1 +CYDEV_SFR_GPIO1 EQU 0x40050190 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD1 +CYDEV_SFR_GPIRD1 EQU 0x40050191 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO2 +CYDEV_SFR_GPIO2 EQU 0x40050198 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD2 +CYDEV_SFR_GPIRD2 EQU 0x40050199 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO2_SEL +CYDEV_SFR_GPIO2_SEL EQU 0x4005019a + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO1_SEL +CYDEV_SFR_GPIO1_SEL EQU 0x400501a2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO3 +CYDEV_SFR_GPIO3 EQU 0x400501b0 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD3 +CYDEV_SFR_GPIRD3 EQU 0x400501b1 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO3_SEL +CYDEV_SFR_GPIO3_SEL EQU 0x400501b2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO4 +CYDEV_SFR_GPIO4 EQU 0x400501c0 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD4 +CYDEV_SFR_GPIRD4 EQU 0x400501c1 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO4_SEL +CYDEV_SFR_GPIO4_SEL EQU 0x400501c2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO5 +CYDEV_SFR_GPIO5 EQU 0x400501c8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD5 +CYDEV_SFR_GPIRD5 EQU 0x400501c9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO5_SEL +CYDEV_SFR_GPIO5_SEL EQU 0x400501ca + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO6 +CYDEV_SFR_GPIO6 EQU 0x400501d8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD6 +CYDEV_SFR_GPIRD6 EQU 0x400501d9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO6_SEL +CYDEV_SFR_GPIO6_SEL EQU 0x400501da + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO12 +CYDEV_SFR_GPIO12 EQU 0x400501e8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD12 +CYDEV_SFR_GPIRD12 EQU 0x400501e9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO12_SEL +CYDEV_SFR_GPIO12_SEL EQU 0x400501f2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO15 +CYDEV_SFR_GPIO15 EQU 0x400501f8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD15 +CYDEV_SFR_GPIRD15 EQU 0x400501f9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO15_SEL +CYDEV_SFR_GPIO15_SEL EQU 0x400501fa + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BASE +CYDEV_P3BA_BASE EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SIZE +CYDEV_P3BA_SIZE EQU 0x0000002b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_Y_START +CYDEV_P3BA_Y_START EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_YROLL +CYDEV_P3BA_YROLL EQU 0x40050301 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_YCFG +CYDEV_P3BA_YCFG EQU 0x40050302 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_START1 +CYDEV_P3BA_X_START1 EQU 0x40050303 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_START2 +CYDEV_P3BA_X_START2 EQU 0x40050304 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XROLL1 +CYDEV_P3BA_XROLL1 EQU 0x40050305 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XROLL2 +CYDEV_P3BA_XROLL2 EQU 0x40050306 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XINC +CYDEV_P3BA_XINC EQU 0x40050307 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XCFG +CYDEV_P3BA_XCFG EQU 0x40050308 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR1 +CYDEV_P3BA_OFFSETADDR1 EQU 0x40050309 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR2 +CYDEV_P3BA_OFFSETADDR2 EQU 0x4005030a + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR3 +CYDEV_P3BA_OFFSETADDR3 EQU 0x4005030b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR1 +CYDEV_P3BA_ABSADDR1 EQU 0x4005030c + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR2 +CYDEV_P3BA_ABSADDR2 EQU 0x4005030d + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR3 +CYDEV_P3BA_ABSADDR3 EQU 0x4005030e + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR4 +CYDEV_P3BA_ABSADDR4 EQU 0x4005030f + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATCFG1 +CYDEV_P3BA_DATCFG1 EQU 0x40050310 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATCFG2 +CYDEV_P3BA_DATCFG2 EQU 0x40050311 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT1 +CYDEV_P3BA_CMP_RSLT1 EQU 0x40050314 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT2 +CYDEV_P3BA_CMP_RSLT2 EQU 0x40050315 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT3 +CYDEV_P3BA_CMP_RSLT3 EQU 0x40050316 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT4 +CYDEV_P3BA_CMP_RSLT4 EQU 0x40050317 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG1 +CYDEV_P3BA_DATA_REG1 EQU 0x40050318 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG2 +CYDEV_P3BA_DATA_REG2 EQU 0x40050319 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG3 +CYDEV_P3BA_DATA_REG3 EQU 0x4005031a + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG4 +CYDEV_P3BA_DATA_REG4 EQU 0x4005031b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA1 +CYDEV_P3BA_EXP_DATA1 EQU 0x4005031c + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA2 +CYDEV_P3BA_EXP_DATA2 EQU 0x4005031d + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA3 +CYDEV_P3BA_EXP_DATA3 EQU 0x4005031e + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA4 +CYDEV_P3BA_EXP_DATA4 EQU 0x4005031f + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA1 +CYDEV_P3BA_MSTR_HRDATA1 EQU 0x40050320 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA2 +CYDEV_P3BA_MSTR_HRDATA2 EQU 0x40050321 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA3 +CYDEV_P3BA_MSTR_HRDATA3 EQU 0x40050322 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA4 +CYDEV_P3BA_MSTR_HRDATA4 EQU 0x40050323 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BIST_EN +CYDEV_P3BA_BIST_EN EQU 0x40050324 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_PHUB_MASTER_SSR +CYDEV_P3BA_PHUB_MASTER_SSR EQU 0x40050325 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SEQCFG1 +CYDEV_P3BA_SEQCFG1 EQU 0x40050326 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SEQCFG2 +CYDEV_P3BA_SEQCFG2 EQU 0x40050327 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_Y_CURR +CYDEV_P3BA_Y_CURR EQU 0x40050328 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_CURR1 +CYDEV_P3BA_X_CURR1 EQU 0x40050329 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_CURR2 +CYDEV_P3BA_X_CURR2 EQU 0x4005032a + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_BASE +CYDEV_PANTHER_BASE EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_SIZE +CYDEV_PANTHER_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_STCALIB_CFG +CYDEV_PANTHER_STCALIB_CFG EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_WAITPIPE +CYDEV_PANTHER_WAITPIPE EQU 0x40080004 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_TRACE_CFG +CYDEV_PANTHER_TRACE_CFG EQU 0x40080008 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_DBG_CFG +CYDEV_PANTHER_DBG_CFG EQU 0x4008000c + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_CM3_LCKRST_STAT +CYDEV_PANTHER_CM3_LCKRST_STAT EQU 0x40080018 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_DEVICE_ID +CYDEV_PANTHER_DEVICE_ID EQU 0x4008001c + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_BASE +CYDEV_FLSECC_BASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_SIZE +CYDEV_FLSECC_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_DATA_MBASE +CYDEV_FLSECC_DATA_MBASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_DATA_MSIZE +CYDEV_FLSECC_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_BASE +CYDEV_FLSHID_BASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_SIZE +CYDEV_FLSHID_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_RSVD_MBASE +CYDEV_FLSHID_RSVD_MBASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_RSVD_MSIZE +CYDEV_FLSHID_RSVD_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_MDATA_MBASE +CYDEV_FLSHID_CUST_MDATA_MBASE EQU 0x49000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_MDATA_MSIZE +CYDEV_FLSHID_CUST_MDATA_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_BASE +CYDEV_FLSHID_CUST_TABLES_BASE EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_SIZE +CYDEV_FLSHID_CUST_TABLES_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_Y_LOC +CYDEV_FLSHID_CUST_TABLES_Y_LOC EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_X_LOC +CYDEV_FLSHID_CUST_TABLES_X_LOC EQU 0x49000101 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_WAFER_NUM +CYDEV_FLSHID_CUST_TABLES_WAFER_NUM EQU 0x49000102 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_LOT_LSB +CYDEV_FLSHID_CUST_TABLES_LOT_LSB EQU 0x49000103 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_LOT_MSB +CYDEV_FLSHID_CUST_TABLES_LOT_MSB EQU 0x49000104 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_WRK_WK +CYDEV_FLSHID_CUST_TABLES_WRK_WK EQU 0x49000105 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_FAB_YR +CYDEV_FLSHID_CUST_TABLES_FAB_YR EQU 0x49000106 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_MINOR +CYDEV_FLSHID_CUST_TABLES_MINOR EQU 0x49000107 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ EQU 0x49000108 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ EQU 0x49000109 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ EQU 0x4900010a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ EQU 0x4900010b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ EQU 0x4900010c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ EQU 0x4900010d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ EQU 0x4900010e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_USB +CYDEV_FLSHID_CUST_TABLES_IMO_USB EQU 0x4900010f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS EQU 0x49000110 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS EQU 0x49000111 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS EQU 0x49000112 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS EQU 0x49000113 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS EQU 0x49000114 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS EQU 0x49000115 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS EQU 0x49000116 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS EQU 0x49000117 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M1 +CYDEV_FLSHID_CUST_TABLES_DEC_M1 EQU 0x49000118 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M2 +CYDEV_FLSHID_CUST_TABLES_DEC_M2 EQU 0x49000119 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M3 +CYDEV_FLSHID_CUST_TABLES_DEC_M3 EQU 0x4900011a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M4 +CYDEV_FLSHID_CUST_TABLES_DEC_M4 EQU 0x4900011b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M5 +CYDEV_FLSHID_CUST_TABLES_DEC_M5 EQU 0x4900011c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M6 +CYDEV_FLSHID_CUST_TABLES_DEC_M6 EQU 0x4900011d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M7 +CYDEV_FLSHID_CUST_TABLES_DEC_M7 EQU 0x4900011e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M8 +CYDEV_FLSHID_CUST_TABLES_DEC_M8 EQU 0x4900011f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M1 +CYDEV_FLSHID_CUST_TABLES_DAC0_M1 EQU 0x49000120 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M2 +CYDEV_FLSHID_CUST_TABLES_DAC0_M2 EQU 0x49000121 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M3 +CYDEV_FLSHID_CUST_TABLES_DAC0_M3 EQU 0x49000122 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M4 +CYDEV_FLSHID_CUST_TABLES_DAC0_M4 EQU 0x49000123 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M5 +CYDEV_FLSHID_CUST_TABLES_DAC0_M5 EQU 0x49000124 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M6 +CYDEV_FLSHID_CUST_TABLES_DAC0_M6 EQU 0x49000125 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M7 +CYDEV_FLSHID_CUST_TABLES_DAC0_M7 EQU 0x49000126 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M8 +CYDEV_FLSHID_CUST_TABLES_DAC0_M8 EQU 0x49000127 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M1 +CYDEV_FLSHID_CUST_TABLES_DAC2_M1 EQU 0x49000128 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M2 +CYDEV_FLSHID_CUST_TABLES_DAC2_M2 EQU 0x49000129 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M3 +CYDEV_FLSHID_CUST_TABLES_DAC2_M3 EQU 0x4900012a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M4 +CYDEV_FLSHID_CUST_TABLES_DAC2_M4 EQU 0x4900012b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M5 +CYDEV_FLSHID_CUST_TABLES_DAC2_M5 EQU 0x4900012c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M6 +CYDEV_FLSHID_CUST_TABLES_DAC2_M6 EQU 0x4900012d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M7 +CYDEV_FLSHID_CUST_TABLES_DAC2_M7 EQU 0x4900012e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M8 +CYDEV_FLSHID_CUST_TABLES_DAC2_M8 EQU 0x4900012f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M1 +CYDEV_FLSHID_CUST_TABLES_DAC1_M1 EQU 0x49000130 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M2 +CYDEV_FLSHID_CUST_TABLES_DAC1_M2 EQU 0x49000131 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M3 +CYDEV_FLSHID_CUST_TABLES_DAC1_M3 EQU 0x49000132 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M4 +CYDEV_FLSHID_CUST_TABLES_DAC1_M4 EQU 0x49000133 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M5 +CYDEV_FLSHID_CUST_TABLES_DAC1_M5 EQU 0x49000134 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M6 +CYDEV_FLSHID_CUST_TABLES_DAC1_M6 EQU 0x49000135 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M7 +CYDEV_FLSHID_CUST_TABLES_DAC1_M7 EQU 0x49000136 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M8 +CYDEV_FLSHID_CUST_TABLES_DAC1_M8 EQU 0x49000137 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M1 +CYDEV_FLSHID_CUST_TABLES_DAC3_M1 EQU 0x49000138 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M2 +CYDEV_FLSHID_CUST_TABLES_DAC3_M2 EQU 0x49000139 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M3 +CYDEV_FLSHID_CUST_TABLES_DAC3_M3 EQU 0x4900013a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M4 +CYDEV_FLSHID_CUST_TABLES_DAC3_M4 EQU 0x4900013b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M5 +CYDEV_FLSHID_CUST_TABLES_DAC3_M5 EQU 0x4900013c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M6 +CYDEV_FLSHID_CUST_TABLES_DAC3_M6 EQU 0x4900013d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M7 +CYDEV_FLSHID_CUST_TABLES_DAC3_M7 EQU 0x4900013e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M8 +CYDEV_FLSHID_CUST_TABLES_DAC3_M8 EQU 0x4900013f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BASE +CYDEV_FLSHID_MFG_CFG_BASE EQU 0x49000180 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_SIZE +CYDEV_FLSHID_MFG_CFG_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_IMO_TR1 +CYDEV_FLSHID_MFG_CFG_IMO_TR1 EQU 0x49000188 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP0_TR0 +CYDEV_FLSHID_MFG_CFG_CMP0_TR0 EQU 0x490001ac + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP1_TR0 +CYDEV_FLSHID_MFG_CFG_CMP1_TR0 EQU 0x490001ae + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP2_TR0 +CYDEV_FLSHID_MFG_CFG_CMP2_TR0 EQU 0x490001b0 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP3_TR0 +CYDEV_FLSHID_MFG_CFG_CMP3_TR0 EQU 0x490001b2 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP0_TR1 +CYDEV_FLSHID_MFG_CFG_CMP0_TR1 EQU 0x490001b4 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP1_TR1 +CYDEV_FLSHID_MFG_CFG_CMP1_TR1 EQU 0x490001b6 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP2_TR1 +CYDEV_FLSHID_MFG_CFG_CMP2_TR1 EQU 0x490001b8 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP3_TR1 +CYDEV_FLSHID_MFG_CFG_CMP3_TR1 EQU 0x490001ba + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM +CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM EQU 0x490001ce + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_BASE +CYDEV_EXTMEM_BASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_SIZE +CYDEV_EXTMEM_SIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_DATA_MBASE +CYDEV_EXTMEM_DATA_MBASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_DATA_MSIZE +CYDEV_EXTMEM_DATA_MSIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_BASE +CYDEV_ITM_BASE EQU 0xe0000000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_SIZE +CYDEV_ITM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_EN +CYDEV_ITM_TRACE_EN EQU 0xe0000e00 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_PRIVILEGE +CYDEV_ITM_TRACE_PRIVILEGE EQU 0xe0000e40 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_CTRL +CYDEV_ITM_TRACE_CTRL EQU 0xe0000e80 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_LOCK_ACCESS +CYDEV_ITM_LOCK_ACCESS EQU 0xe0000fb0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_LOCK_STATUS +CYDEV_ITM_LOCK_STATUS EQU 0xe0000fb4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID4 +CYDEV_ITM_PID4 EQU 0xe0000fd0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID5 +CYDEV_ITM_PID5 EQU 0xe0000fd4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID6 +CYDEV_ITM_PID6 EQU 0xe0000fd8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID7 +CYDEV_ITM_PID7 EQU 0xe0000fdc + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID0 +CYDEV_ITM_PID0 EQU 0xe0000fe0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID1 +CYDEV_ITM_PID1 EQU 0xe0000fe4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID2 +CYDEV_ITM_PID2 EQU 0xe0000fe8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID3 +CYDEV_ITM_PID3 EQU 0xe0000fec + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID0 +CYDEV_ITM_CID0 EQU 0xe0000ff0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID1 +CYDEV_ITM_CID1 EQU 0xe0000ff4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID2 +CYDEV_ITM_CID2 EQU 0xe0000ff8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID3 +CYDEV_ITM_CID3 EQU 0xe0000ffc + ENDIF + IF :LNOT::DEF:CYDEV_DWT_BASE +CYDEV_DWT_BASE EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SIZE +CYDEV_DWT_SIZE EQU 0x0000005c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CTRL +CYDEV_DWT_CTRL EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CYCLE_COUNT +CYDEV_DWT_CYCLE_COUNT EQU 0xe0001004 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CPI_COUNT +CYDEV_DWT_CPI_COUNT EQU 0xe0001008 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_EXC_OVHD_COUNT +CYDEV_DWT_EXC_OVHD_COUNT EQU 0xe000100c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SLEEP_COUNT +CYDEV_DWT_SLEEP_COUNT EQU 0xe0001010 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_LSU_COUNT +CYDEV_DWT_LSU_COUNT EQU 0xe0001014 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FOLD_COUNT +CYDEV_DWT_FOLD_COUNT EQU 0xe0001018 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_PC_SAMPLE +CYDEV_DWT_PC_SAMPLE EQU 0xe000101c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_0 +CYDEV_DWT_COMP_0 EQU 0xe0001020 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_0 +CYDEV_DWT_MASK_0 EQU 0xe0001024 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_0 +CYDEV_DWT_FUNCTION_0 EQU 0xe0001028 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_1 +CYDEV_DWT_COMP_1 EQU 0xe0001030 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_1 +CYDEV_DWT_MASK_1 EQU 0xe0001034 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_1 +CYDEV_DWT_FUNCTION_1 EQU 0xe0001038 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_2 +CYDEV_DWT_COMP_2 EQU 0xe0001040 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_2 +CYDEV_DWT_MASK_2 EQU 0xe0001044 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_2 +CYDEV_DWT_FUNCTION_2 EQU 0xe0001048 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_3 +CYDEV_DWT_COMP_3 EQU 0xe0001050 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_3 +CYDEV_DWT_MASK_3 EQU 0xe0001054 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_3 +CYDEV_DWT_FUNCTION_3 EQU 0xe0001058 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_BASE +CYDEV_FPB_BASE EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_SIZE +CYDEV_FPB_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CTRL +CYDEV_FPB_CTRL EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_REMAP +CYDEV_FPB_REMAP EQU 0xe0002004 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_0 +CYDEV_FPB_FP_COMP_0 EQU 0xe0002008 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_1 +CYDEV_FPB_FP_COMP_1 EQU 0xe000200c + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_2 +CYDEV_FPB_FP_COMP_2 EQU 0xe0002010 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_3 +CYDEV_FPB_FP_COMP_3 EQU 0xe0002014 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_4 +CYDEV_FPB_FP_COMP_4 EQU 0xe0002018 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_5 +CYDEV_FPB_FP_COMP_5 EQU 0xe000201c + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_6 +CYDEV_FPB_FP_COMP_6 EQU 0xe0002020 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_7 +CYDEV_FPB_FP_COMP_7 EQU 0xe0002024 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID4 +CYDEV_FPB_PID4 EQU 0xe0002fd0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID5 +CYDEV_FPB_PID5 EQU 0xe0002fd4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID6 +CYDEV_FPB_PID6 EQU 0xe0002fd8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID7 +CYDEV_FPB_PID7 EQU 0xe0002fdc + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID0 +CYDEV_FPB_PID0 EQU 0xe0002fe0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID1 +CYDEV_FPB_PID1 EQU 0xe0002fe4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID2 +CYDEV_FPB_PID2 EQU 0xe0002fe8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID3 +CYDEV_FPB_PID3 EQU 0xe0002fec + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID0 +CYDEV_FPB_CID0 EQU 0xe0002ff0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID1 +CYDEV_FPB_CID1 EQU 0xe0002ff4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID2 +CYDEV_FPB_CID2 EQU 0xe0002ff8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID3 +CYDEV_FPB_CID3 EQU 0xe0002ffc + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BASE +CYDEV_NVIC_BASE EQU 0xe000e000 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SIZE +CYDEV_NVIC_SIZE EQU 0x00000d3c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_INT_CTL_TYPE +CYDEV_NVIC_INT_CTL_TYPE EQU 0xe000e004 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CTL +CYDEV_NVIC_SYSTICK_CTL EQU 0xe000e010 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_RELOAD +CYDEV_NVIC_SYSTICK_RELOAD EQU 0xe000e014 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CURRENT +CYDEV_NVIC_SYSTICK_CURRENT EQU 0xe000e018 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CAL +CYDEV_NVIC_SYSTICK_CAL EQU 0xe000e01c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SETENA0 +CYDEV_NVIC_SETENA0 EQU 0xe000e100 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CLRENA0 +CYDEV_NVIC_CLRENA0 EQU 0xe000e180 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SETPEND0 +CYDEV_NVIC_SETPEND0 EQU 0xe000e200 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CLRPEND0 +CYDEV_NVIC_CLRPEND0 EQU 0xe000e280 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_ACTIVE0 +CYDEV_NVIC_ACTIVE0 EQU 0xe000e300 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_0 +CYDEV_NVIC_PRI_0 EQU 0xe000e400 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_1 +CYDEV_NVIC_PRI_1 EQU 0xe000e401 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_2 +CYDEV_NVIC_PRI_2 EQU 0xe000e402 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_3 +CYDEV_NVIC_PRI_3 EQU 0xe000e403 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_4 +CYDEV_NVIC_PRI_4 EQU 0xe000e404 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_5 +CYDEV_NVIC_PRI_5 EQU 0xe000e405 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_6 +CYDEV_NVIC_PRI_6 EQU 0xe000e406 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_7 +CYDEV_NVIC_PRI_7 EQU 0xe000e407 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_8 +CYDEV_NVIC_PRI_8 EQU 0xe000e408 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_9 +CYDEV_NVIC_PRI_9 EQU 0xe000e409 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_10 +CYDEV_NVIC_PRI_10 EQU 0xe000e40a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_11 +CYDEV_NVIC_PRI_11 EQU 0xe000e40b + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_12 +CYDEV_NVIC_PRI_12 EQU 0xe000e40c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_13 +CYDEV_NVIC_PRI_13 EQU 0xe000e40d + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_14 +CYDEV_NVIC_PRI_14 EQU 0xe000e40e + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_15 +CYDEV_NVIC_PRI_15 EQU 0xe000e40f + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_16 +CYDEV_NVIC_PRI_16 EQU 0xe000e410 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_17 +CYDEV_NVIC_PRI_17 EQU 0xe000e411 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_18 +CYDEV_NVIC_PRI_18 EQU 0xe000e412 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_19 +CYDEV_NVIC_PRI_19 EQU 0xe000e413 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_20 +CYDEV_NVIC_PRI_20 EQU 0xe000e414 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_21 +CYDEV_NVIC_PRI_21 EQU 0xe000e415 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_22 +CYDEV_NVIC_PRI_22 EQU 0xe000e416 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_23 +CYDEV_NVIC_PRI_23 EQU 0xe000e417 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_24 +CYDEV_NVIC_PRI_24 EQU 0xe000e418 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_25 +CYDEV_NVIC_PRI_25 EQU 0xe000e419 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_26 +CYDEV_NVIC_PRI_26 EQU 0xe000e41a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_27 +CYDEV_NVIC_PRI_27 EQU 0xe000e41b + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_28 +CYDEV_NVIC_PRI_28 EQU 0xe000e41c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_29 +CYDEV_NVIC_PRI_29 EQU 0xe000e41d + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_30 +CYDEV_NVIC_PRI_30 EQU 0xe000e41e + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_31 +CYDEV_NVIC_PRI_31 EQU 0xe000e41f + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CPUID_BASE +CYDEV_NVIC_CPUID_BASE EQU 0xe000ed00 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_INTR_CTRL_STATE +CYDEV_NVIC_INTR_CTRL_STATE EQU 0xe000ed04 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_VECT_OFFSET +CYDEV_NVIC_VECT_OFFSET EQU 0xe000ed08 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_APPLN_INTR +CYDEV_NVIC_APPLN_INTR EQU 0xe000ed0c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTEM_CONTROL +CYDEV_NVIC_SYSTEM_CONTROL EQU 0xe000ed10 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CFG_CONTROL +CYDEV_NVIC_CFG_CONTROL EQU 0xe000ed14 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 +CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 EQU 0xe000ed18 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 +CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 EQU 0xe000ed1c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 +CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 EQU 0xe000ed20 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_HANDLER_CSR +CYDEV_NVIC_SYS_HANDLER_CSR EQU 0xe000ed24 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_MEMMAN_FAULT_STATUS +CYDEV_NVIC_MEMMAN_FAULT_STATUS EQU 0xe000ed28 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BUS_FAULT_STATUS +CYDEV_NVIC_BUS_FAULT_STATUS EQU 0xe000ed29 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_USAGE_FAULT_STATUS +CYDEV_NVIC_USAGE_FAULT_STATUS EQU 0xe000ed2a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_HARD_FAULT_STATUS +CYDEV_NVIC_HARD_FAULT_STATUS EQU 0xe000ed2c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_DEBUG_FAULT_STATUS +CYDEV_NVIC_DEBUG_FAULT_STATUS EQU 0xe000ed30 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_MEMMAN_FAULT_ADD +CYDEV_NVIC_MEMMAN_FAULT_ADD EQU 0xe000ed34 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BUS_FAULT_ADD +CYDEV_NVIC_BUS_FAULT_ADD EQU 0xe000ed38 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_BASE +CYDEV_CORE_DBG_BASE EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_SIZE +CYDEV_CORE_DBG_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_HLT_CS +CYDEV_CORE_DBG_DBG_HLT_CS EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_REG_SEL +CYDEV_CORE_DBG_DBG_REG_SEL EQU 0xe000edf4 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_REG_DATA +CYDEV_CORE_DBG_DBG_REG_DATA EQU 0xe000edf8 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_EXC_MON_CTL +CYDEV_CORE_DBG_EXC_MON_CTL EQU 0xe000edfc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_BASE +CYDEV_TPIU_BASE EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SIZE +CYDEV_TPIU_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ +CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CURRENT_SYNC_PRT_SZ +CYDEV_TPIU_CURRENT_SYNC_PRT_SZ EQU 0xe0040004 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ASYNC_CLK_PRESCALER +CYDEV_TPIU_ASYNC_CLK_PRESCALER EQU 0xe0040010 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PROTOCOL +CYDEV_TPIU_PROTOCOL EQU 0xe00400f0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_FORM_FLUSH_STAT +CYDEV_TPIU_FORM_FLUSH_STAT EQU 0xe0040300 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_FORM_FLUSH_CTRL +CYDEV_TPIU_FORM_FLUSH_CTRL EQU 0xe0040304 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_TRIGGER +CYDEV_TPIU_TRIGGER EQU 0xe0040ee8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITETMDATA +CYDEV_TPIU_ITETMDATA EQU 0xe0040eec + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITATBCTR2 +CYDEV_TPIU_ITATBCTR2 EQU 0xe0040ef0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITATBCTR0 +CYDEV_TPIU_ITATBCTR0 EQU 0xe0040ef8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITITMDATA +CYDEV_TPIU_ITITMDATA EQU 0xe0040efc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITCTRL +CYDEV_TPIU_ITCTRL EQU 0xe0040f00 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_DEVID +CYDEV_TPIU_DEVID EQU 0xe0040fc8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_DEVTYPE +CYDEV_TPIU_DEVTYPE EQU 0xe0040fcc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID4 +CYDEV_TPIU_PID4 EQU 0xe0040fd0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID5 +CYDEV_TPIU_PID5 EQU 0xe0040fd4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID6 +CYDEV_TPIU_PID6 EQU 0xe0040fd8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID7 +CYDEV_TPIU_PID7 EQU 0xe0040fdc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID0 +CYDEV_TPIU_PID0 EQU 0xe0040fe0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID1 +CYDEV_TPIU_PID1 EQU 0xe0040fe4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID2 +CYDEV_TPIU_PID2 EQU 0xe0040fe8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID3 +CYDEV_TPIU_PID3 EQU 0xe0040fec + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID0 +CYDEV_TPIU_CID0 EQU 0xe0040ff0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID1 +CYDEV_TPIU_CID1 EQU 0xe0040ff4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID2 +CYDEV_TPIU_CID2 EQU 0xe0040ff8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID3 +CYDEV_TPIU_CID3 EQU 0xe0040ffc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_BASE +CYDEV_ETM_BASE EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SIZE +CYDEV_ETM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CTL +CYDEV_ETM_CTL EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CFG_CODE +CYDEV_ETM_CFG_CODE EQU 0xe0041004 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRIG_EVENT +CYDEV_ETM_TRIG_EVENT EQU 0xe0041008 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_STATUS +CYDEV_ETM_STATUS EQU 0xe0041010 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SYS_CFG +CYDEV_ETM_SYS_CFG EQU 0xe0041014 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRACE_ENB_EVENT +CYDEV_ETM_TRACE_ENB_EVENT EQU 0xe0041020 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRACE_EN_CTRL1 +CYDEV_ETM_TRACE_EN_CTRL1 EQU 0xe0041024 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_FIFOFULL_LEVEL +CYDEV_ETM_FIFOFULL_LEVEL EQU 0xe004102c + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SYNC_FREQ +CYDEV_ETM_SYNC_FREQ EQU 0xe00411e0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ETM_ID +CYDEV_ETM_ETM_ID EQU 0xe00411e4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CFG_CODE_EXT +CYDEV_ETM_CFG_CODE_EXT EQU 0xe00411e8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TR_SS_EMBICE_CTRL +CYDEV_ETM_TR_SS_EMBICE_CTRL EQU 0xe00411f0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CS_TRACE_ID +CYDEV_ETM_CS_TRACE_ID EQU 0xe0041200 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_OS_LOCK_ACCESS +CYDEV_ETM_OS_LOCK_ACCESS EQU 0xe0041300 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_OS_LOCK_STATUS +CYDEV_ETM_OS_LOCK_STATUS EQU 0xe0041304 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PDSR +CYDEV_ETM_PDSR EQU 0xe0041314 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITMISCIN +CYDEV_ETM_ITMISCIN EQU 0xe0041ee0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITTRIGOUT +CYDEV_ETM_ITTRIGOUT EQU 0xe0041ee8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITATBCTR2 +CYDEV_ETM_ITATBCTR2 EQU 0xe0041ef0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITATBCTR0 +CYDEV_ETM_ITATBCTR0 EQU 0xe0041ef8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_INT_MODE_CTRL +CYDEV_ETM_INT_MODE_CTRL EQU 0xe0041f00 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CLM_TAG_SET +CYDEV_ETM_CLM_TAG_SET EQU 0xe0041fa0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CLM_TAG_CLR +CYDEV_ETM_CLM_TAG_CLR EQU 0xe0041fa4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_LOCK_ACCESS +CYDEV_ETM_LOCK_ACCESS EQU 0xe0041fb0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_LOCK_STATUS +CYDEV_ETM_LOCK_STATUS EQU 0xe0041fb4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_AUTH_STATUS +CYDEV_ETM_AUTH_STATUS EQU 0xe0041fb8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_DEV_TYPE +CYDEV_ETM_DEV_TYPE EQU 0xe0041fcc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID4 +CYDEV_ETM_PID4 EQU 0xe0041fd0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID5 +CYDEV_ETM_PID5 EQU 0xe0041fd4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID6 +CYDEV_ETM_PID6 EQU 0xe0041fd8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID7 +CYDEV_ETM_PID7 EQU 0xe0041fdc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID0 +CYDEV_ETM_PID0 EQU 0xe0041fe0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID1 +CYDEV_ETM_PID1 EQU 0xe0041fe4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID2 +CYDEV_ETM_PID2 EQU 0xe0041fe8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID3 +CYDEV_ETM_PID3 EQU 0xe0041fec + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID0 +CYDEV_ETM_CID0 EQU 0xe0041ff0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID1 +CYDEV_ETM_CID1 EQU 0xe0041ff4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID2 +CYDEV_ETM_CID2 EQU 0xe0041ff8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID3 +CYDEV_ETM_CID3 EQU 0xe0041ffc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_BASE +CYDEV_ROM_TABLE_BASE EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_SIZE +CYDEV_ROM_TABLE_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_NVIC +CYDEV_ROM_TABLE_NVIC EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_DWT +CYDEV_ROM_TABLE_DWT EQU 0xe00ff004 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_FPB +CYDEV_ROM_TABLE_FPB EQU 0xe00ff008 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_ITM +CYDEV_ROM_TABLE_ITM EQU 0xe00ff00c + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_TPIU +CYDEV_ROM_TABLE_TPIU EQU 0xe00ff010 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_ETM +CYDEV_ROM_TABLE_ETM EQU 0xe00ff014 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_END +CYDEV_ROM_TABLE_END EQU 0xe00ff018 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_MEMTYPE +CYDEV_ROM_TABLE_MEMTYPE EQU 0xe00fffcc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID4 +CYDEV_ROM_TABLE_PID4 EQU 0xe00fffd0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID5 +CYDEV_ROM_TABLE_PID5 EQU 0xe00fffd4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID6 +CYDEV_ROM_TABLE_PID6 EQU 0xe00fffd8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID7 +CYDEV_ROM_TABLE_PID7 EQU 0xe00fffdc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID0 +CYDEV_ROM_TABLE_PID0 EQU 0xe00fffe0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID1 +CYDEV_ROM_TABLE_PID1 EQU 0xe00fffe4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID2 +CYDEV_ROM_TABLE_PID2 EQU 0xe00fffe8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID3 +CYDEV_ROM_TABLE_PID3 EQU 0xe00fffec + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID0 +CYDEV_ROM_TABLE_CID0 EQU 0xe00ffff0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID1 +CYDEV_ROM_TABLE_CID1 EQU 0xe00ffff4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID2 +CYDEV_ROM_TABLE_CID2 EQU 0xe00ffff8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID3 +CYDEV_ROM_TABLE_CID3 EQU 0xe00ffffc + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SIZE +CYDEV_FLS_SIZE EQU CYDEV_FLASH_SIZE + ENDIF + IF :LNOT::DEF:CYDEV_ECC_BASE +CYDEV_ECC_BASE EQU CYDEV_FLSECC_BASE + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SECTOR_SIZE +CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE +CYDEV_FLS_ROW_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE +CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_ROW_SIZE +CYDEV_ECC_ROW_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_SECTOR_SIZE +CYDEV_EEPROM_SECTOR_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_ROW_SIZE +CYDEV_EEPROM_ROW_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PERIPH_BASE +CYDEV_PERIPH_BASE EQU CYDEV_CLKDIST_BASE + ENDIF + IF :LNOT::DEF:CYCLK_LD_DISABLE +CYCLK_LD_DISABLE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYCLK_LD_SYNC_EN +CYCLK_LD_SYNC_EN EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYCLK_LD_LOAD +CYCLK_LD_LOAD EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYCLK_PIPE +CYCLK_PIPE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYCLK_SSS +CYCLK_SSS EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYCLK_EARLY +CYCLK_EARLY EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYCLK_DUTY +CYCLK_DUTY EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYCLK_SYNC +CYCLK_SYNC EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_D +CYCLK_SRC_SEL_CLK_SYNC_D EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_SYNC_DIG +CYCLK_SRC_SEL_SYNC_DIG EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_IMO +CYCLK_SRC_SEL_IMO EQU 1 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_MHZ +CYCLK_SRC_SEL_XTAL_MHZ EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALM +CYCLK_SRC_SEL_XTALM EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_ILO +CYCLK_SRC_SEL_ILO EQU 3 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_PLL +CYCLK_SRC_SEL_PLL EQU 4 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_KHZ +CYCLK_SRC_SEL_XTAL_KHZ EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALK +CYCLK_SRC_SEL_XTALK EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_G +CYCLK_SRC_SEL_DSI_G EQU 6 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_D +CYCLK_SRC_SEL_DSI_D EQU 7 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_A +CYCLK_SRC_SEL_CLK_SYNC_A EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_A +CYCLK_SRC_SEL_DSI_A EQU 7 + ENDIF + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc new file mode 100644 index 0000000..232c2fc --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc @@ -0,0 +1,16039 @@ +; +; FILENAME: cydevicerv_trm.inc +; +; PSoC Creator 3.0 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + IF :LNOT::DEF:CYDEV_FLASH_BASE +CYDEV_FLASH_BASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_SIZE +CYDEV_FLASH_SIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYREG_FLASH_DATA_MBASE +CYREG_FLASH_DATA_MBASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYREG_FLASH_DATA_MSIZE +CYREG_FLASH_DATA_MSIZE EQU 0x00020000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_BASE +CYDEV_SRAM_BASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_SIZE +CYDEV_SRAM_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE64K_MBASE +CYREG_SRAM_CODE64K_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE64K_MSIZE +CYREG_SRAM_CODE64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE32K_MBASE +CYREG_SRAM_CODE32K_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE32K_MSIZE +CYREG_SRAM_CODE32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE16K_MBASE +CYREG_SRAM_CODE16K_MBASE EQU 0x1fffe000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE16K_MSIZE +CYREG_SRAM_CODE16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE_MBASE +CYREG_SRAM_CODE_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE_MSIZE +CYREG_SRAM_CODE_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA_MBASE +CYREG_SRAM_DATA_MBASE EQU 0x20000000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA_MSIZE +CYREG_SRAM_DATA_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA16K_MBASE +CYREG_SRAM_DATA16K_MBASE EQU 0x20001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA16K_MSIZE +CYREG_SRAM_DATA16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA32K_MBASE +CYREG_SRAM_DATA32K_MBASE EQU 0x20002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA32K_MSIZE +CYREG_SRAM_DATA32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA64K_MBASE +CYREG_SRAM_DATA64K_MBASE EQU 0x20004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA64K_MSIZE +CYREG_SRAM_DATA64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_BASE +CYDEV_DMA_BASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SIZE +CYDEV_DMA_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM64K_MBASE +CYREG_DMA_SRAM64K_MBASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM64K_MSIZE +CYREG_DMA_SRAM64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM32K_MBASE +CYREG_DMA_SRAM32K_MBASE EQU 0x2000c000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM32K_MSIZE +CYREG_DMA_SRAM32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM16K_MBASE +CYREG_DMA_SRAM16K_MBASE EQU 0x2000e000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM16K_MSIZE +CYREG_DMA_SRAM16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM_MBASE +CYREG_DMA_SRAM_MBASE EQU 0x2000f000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM_MSIZE +CYREG_DMA_SRAM_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BASE +CYDEV_CLKDIST_BASE EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_SIZE +CYDEV_CLKDIST_SIZE EQU 0x00000110 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_CR +CYREG_CLKDIST_CR EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_LD +CYREG_CLKDIST_LD EQU 0x40004001 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_WRK0 +CYREG_CLKDIST_WRK0 EQU 0x40004002 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_WRK1 +CYREG_CLKDIST_WRK1 EQU 0x40004003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_MSTR0 +CYREG_CLKDIST_MSTR0 EQU 0x40004004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_MSTR1 +CYREG_CLKDIST_MSTR1 EQU 0x40004005 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG0 +CYREG_CLKDIST_BCFG0 EQU 0x40004006 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG1 +CYREG_CLKDIST_BCFG1 EQU 0x40004007 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG2 +CYREG_CLKDIST_BCFG2 EQU 0x40004008 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_UCFG +CYREG_CLKDIST_UCFG EQU 0x40004009 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DLY0 +CYREG_CLKDIST_DLY0 EQU 0x4000400a + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DLY1 +CYREG_CLKDIST_DLY1 EQU 0x4000400b + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DMASK +CYREG_CLKDIST_DMASK EQU 0x40004010 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_AMASK +CYREG_CLKDIST_AMASK EQU 0x40004014 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_BASE +CYDEV_CLKDIST_DCFG0_BASE EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_SIZE +CYDEV_CLKDIST_DCFG0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG0 +CYREG_CLKDIST_DCFG0_CFG0 EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG1 +CYREG_CLKDIST_DCFG0_CFG1 EQU 0x40004081 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG2 +CYREG_CLKDIST_DCFG0_CFG2 EQU 0x40004082 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_BASE +CYDEV_CLKDIST_DCFG1_BASE EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_SIZE +CYDEV_CLKDIST_DCFG1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG0 +CYREG_CLKDIST_DCFG1_CFG0 EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG1 +CYREG_CLKDIST_DCFG1_CFG1 EQU 0x40004085 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG2 +CYREG_CLKDIST_DCFG1_CFG2 EQU 0x40004086 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_BASE +CYDEV_CLKDIST_DCFG2_BASE EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_SIZE +CYDEV_CLKDIST_DCFG2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG0 +CYREG_CLKDIST_DCFG2_CFG0 EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG1 +CYREG_CLKDIST_DCFG2_CFG1 EQU 0x40004089 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG2 +CYREG_CLKDIST_DCFG2_CFG2 EQU 0x4000408a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_BASE +CYDEV_CLKDIST_DCFG3_BASE EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_SIZE +CYDEV_CLKDIST_DCFG3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG0 +CYREG_CLKDIST_DCFG3_CFG0 EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG1 +CYREG_CLKDIST_DCFG3_CFG1 EQU 0x4000408d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG2 +CYREG_CLKDIST_DCFG3_CFG2 EQU 0x4000408e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_BASE +CYDEV_CLKDIST_DCFG4_BASE EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_SIZE +CYDEV_CLKDIST_DCFG4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG0 +CYREG_CLKDIST_DCFG4_CFG0 EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG1 +CYREG_CLKDIST_DCFG4_CFG1 EQU 0x40004091 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG2 +CYREG_CLKDIST_DCFG4_CFG2 EQU 0x40004092 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_BASE +CYDEV_CLKDIST_DCFG5_BASE EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_SIZE +CYDEV_CLKDIST_DCFG5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG0 +CYREG_CLKDIST_DCFG5_CFG0 EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG1 +CYREG_CLKDIST_DCFG5_CFG1 EQU 0x40004095 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG2 +CYREG_CLKDIST_DCFG5_CFG2 EQU 0x40004096 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_BASE +CYDEV_CLKDIST_DCFG6_BASE EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_SIZE +CYDEV_CLKDIST_DCFG6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG0 +CYREG_CLKDIST_DCFG6_CFG0 EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG1 +CYREG_CLKDIST_DCFG6_CFG1 EQU 0x40004099 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG2 +CYREG_CLKDIST_DCFG6_CFG2 EQU 0x4000409a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_BASE +CYDEV_CLKDIST_DCFG7_BASE EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_SIZE +CYDEV_CLKDIST_DCFG7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG0 +CYREG_CLKDIST_DCFG7_CFG0 EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG1 +CYREG_CLKDIST_DCFG7_CFG1 EQU 0x4000409d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG2 +CYREG_CLKDIST_DCFG7_CFG2 EQU 0x4000409e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_BASE +CYDEV_CLKDIST_ACFG0_BASE EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_SIZE +CYDEV_CLKDIST_ACFG0_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG0 +CYREG_CLKDIST_ACFG0_CFG0 EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG1 +CYREG_CLKDIST_ACFG0_CFG1 EQU 0x40004101 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG2 +CYREG_CLKDIST_ACFG0_CFG2 EQU 0x40004102 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG3 +CYREG_CLKDIST_ACFG0_CFG3 EQU 0x40004103 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_BASE +CYDEV_CLKDIST_ACFG1_BASE EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_SIZE +CYDEV_CLKDIST_ACFG1_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG0 +CYREG_CLKDIST_ACFG1_CFG0 EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG1 +CYREG_CLKDIST_ACFG1_CFG1 EQU 0x40004105 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG2 +CYREG_CLKDIST_ACFG1_CFG2 EQU 0x40004106 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG3 +CYREG_CLKDIST_ACFG1_CFG3 EQU 0x40004107 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_BASE +CYDEV_CLKDIST_ACFG2_BASE EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_SIZE +CYDEV_CLKDIST_ACFG2_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG0 +CYREG_CLKDIST_ACFG2_CFG0 EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG1 +CYREG_CLKDIST_ACFG2_CFG1 EQU 0x40004109 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG2 +CYREG_CLKDIST_ACFG2_CFG2 EQU 0x4000410a + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG3 +CYREG_CLKDIST_ACFG2_CFG3 EQU 0x4000410b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_BASE +CYDEV_CLKDIST_ACFG3_BASE EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_SIZE +CYDEV_CLKDIST_ACFG3_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG0 +CYREG_CLKDIST_ACFG3_CFG0 EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG1 +CYREG_CLKDIST_ACFG3_CFG1 EQU 0x4000410d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG2 +CYREG_CLKDIST_ACFG3_CFG2 EQU 0x4000410e + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG3 +CYREG_CLKDIST_ACFG3_CFG3 EQU 0x4000410f + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_BASE +CYDEV_FASTCLK_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_SIZE +CYDEV_FASTCLK_SIZE EQU 0x00000026 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_BASE +CYDEV_FASTCLK_IMO_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_SIZE +CYDEV_FASTCLK_IMO_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_IMO_CR +CYREG_FASTCLK_IMO_CR EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_BASE +CYDEV_FASTCLK_XMHZ_BASE EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_SIZE +CYDEV_FASTCLK_XMHZ_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CSR +CYREG_FASTCLK_XMHZ_CSR EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CFG0 +CYREG_FASTCLK_XMHZ_CFG0 EQU 0x40004212 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CFG1 +CYREG_FASTCLK_XMHZ_CFG1 EQU 0x40004213 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_BASE +CYDEV_FASTCLK_PLL_BASE EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SIZE +CYDEV_FASTCLK_PLL_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_CFG0 +CYREG_FASTCLK_PLL_CFG0 EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_CFG1 +CYREG_FASTCLK_PLL_CFG1 EQU 0x40004221 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_P +CYREG_FASTCLK_PLL_P EQU 0x40004222 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_Q +CYREG_FASTCLK_PLL_Q EQU 0x40004223 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_SR +CYREG_FASTCLK_PLL_SR EQU 0x40004225 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_BASE +CYDEV_SLOWCLK_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_SIZE +CYDEV_SLOWCLK_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_BASE +CYDEV_SLOWCLK_ILO_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_SIZE +CYDEV_SLOWCLK_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_ILO_CR0 +CYREG_SLOWCLK_ILO_CR0 EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_ILO_CR1 +CYREG_SLOWCLK_ILO_CR1 EQU 0x40004301 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_BASE +CYDEV_SLOWCLK_X32_BASE EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_SIZE +CYDEV_SLOWCLK_X32_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_CR +CYREG_SLOWCLK_X32_CR EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_CFG +CYREG_SLOWCLK_X32_CFG EQU 0x40004309 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_TST +CYREG_SLOWCLK_X32_TST EQU 0x4000430a + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_BASE +CYDEV_BOOST_BASE EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SIZE +CYDEV_BOOST_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR0 +CYREG_BOOST_CR0 EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR1 +CYREG_BOOST_CR1 EQU 0x40004321 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR2 +CYREG_BOOST_CR2 EQU 0x40004322 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR3 +CYREG_BOOST_CR3 EQU 0x40004323 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_SR +CYREG_BOOST_SR EQU 0x40004324 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR4 +CYREG_BOOST_CR4 EQU 0x40004325 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_SR2 +CYREG_BOOST_SR2 EQU 0x40004326 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_BASE +CYDEV_PWRSYS_BASE EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_SIZE +CYDEV_PWRSYS_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_CR0 +CYREG_PWRSYS_CR0 EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_CR1 +CYREG_PWRSYS_CR1 EQU 0x40004331 + ENDIF + IF :LNOT::DEF:CYDEV_PM_BASE +CYDEV_PM_BASE EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_SIZE +CYDEV_PM_SIZE EQU 0x00000057 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG0 +CYREG_PM_TW_CFG0 EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG1 +CYREG_PM_TW_CFG1 EQU 0x40004381 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG2 +CYREG_PM_TW_CFG2 EQU 0x40004382 + ENDIF + IF :LNOT::DEF:CYREG_PM_WDT_CFG +CYREG_PM_WDT_CFG EQU 0x40004383 + ENDIF + IF :LNOT::DEF:CYREG_PM_WDT_CR +CYREG_PM_WDT_CR EQU 0x40004384 + ENDIF + IF :LNOT::DEF:CYREG_PM_INT_SR +CYREG_PM_INT_SR EQU 0x40004390 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CFG0 +CYREG_PM_MODE_CFG0 EQU 0x40004391 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CFG1 +CYREG_PM_MODE_CFG1 EQU 0x40004392 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CSR +CYREG_PM_MODE_CSR EQU 0x40004393 + ENDIF + IF :LNOT::DEF:CYREG_PM_USB_CR0 +CYREG_PM_USB_CR0 EQU 0x40004394 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG0 +CYREG_PM_WAKEUP_CFG0 EQU 0x40004398 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG1 +CYREG_PM_WAKEUP_CFG1 EQU 0x40004399 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG2 +CYREG_PM_WAKEUP_CFG2 EQU 0x4000439a + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_BASE +CYDEV_PM_ACT_BASE EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_SIZE +CYDEV_PM_ACT_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG0 +CYREG_PM_ACT_CFG0 EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG1 +CYREG_PM_ACT_CFG1 EQU 0x400043a1 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG2 +CYREG_PM_ACT_CFG2 EQU 0x400043a2 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG3 +CYREG_PM_ACT_CFG3 EQU 0x400043a3 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG4 +CYREG_PM_ACT_CFG4 EQU 0x400043a4 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG5 +CYREG_PM_ACT_CFG5 EQU 0x400043a5 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG6 +CYREG_PM_ACT_CFG6 EQU 0x400043a6 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG7 +CYREG_PM_ACT_CFG7 EQU 0x400043a7 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG8 +CYREG_PM_ACT_CFG8 EQU 0x400043a8 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG9 +CYREG_PM_ACT_CFG9 EQU 0x400043a9 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG10 +CYREG_PM_ACT_CFG10 EQU 0x400043aa + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG11 +CYREG_PM_ACT_CFG11 EQU 0x400043ab + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG12 +CYREG_PM_ACT_CFG12 EQU 0x400043ac + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG13 +CYREG_PM_ACT_CFG13 EQU 0x400043ad + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_BASE +CYDEV_PM_STBY_BASE EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_SIZE +CYDEV_PM_STBY_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG0 +CYREG_PM_STBY_CFG0 EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG1 +CYREG_PM_STBY_CFG1 EQU 0x400043b1 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG2 +CYREG_PM_STBY_CFG2 EQU 0x400043b2 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG3 +CYREG_PM_STBY_CFG3 EQU 0x400043b3 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG4 +CYREG_PM_STBY_CFG4 EQU 0x400043b4 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG5 +CYREG_PM_STBY_CFG5 EQU 0x400043b5 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG6 +CYREG_PM_STBY_CFG6 EQU 0x400043b6 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG7 +CYREG_PM_STBY_CFG7 EQU 0x400043b7 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG8 +CYREG_PM_STBY_CFG8 EQU 0x400043b8 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG9 +CYREG_PM_STBY_CFG9 EQU 0x400043b9 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG10 +CYREG_PM_STBY_CFG10 EQU 0x400043ba + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG11 +CYREG_PM_STBY_CFG11 EQU 0x400043bb + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG12 +CYREG_PM_STBY_CFG12 EQU 0x400043bc + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG13 +CYREG_PM_STBY_CFG13 EQU 0x400043bd + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_BASE +CYDEV_PM_AVAIL_BASE EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SIZE +CYDEV_PM_AVAIL_SIZE EQU 0x00000017 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR0 +CYREG_PM_AVAIL_CR0 EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR1 +CYREG_PM_AVAIL_CR1 EQU 0x400043c1 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR2 +CYREG_PM_AVAIL_CR2 EQU 0x400043c2 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR3 +CYREG_PM_AVAIL_CR3 EQU 0x400043c3 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR4 +CYREG_PM_AVAIL_CR4 EQU 0x400043c4 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR5 +CYREG_PM_AVAIL_CR5 EQU 0x400043c5 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR6 +CYREG_PM_AVAIL_CR6 EQU 0x400043c6 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR0 +CYREG_PM_AVAIL_SR0 EQU 0x400043d0 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR1 +CYREG_PM_AVAIL_SR1 EQU 0x400043d1 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR2 +CYREG_PM_AVAIL_SR2 EQU 0x400043d2 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR3 +CYREG_PM_AVAIL_SR3 EQU 0x400043d3 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR4 +CYREG_PM_AVAIL_SR4 EQU 0x400043d4 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR5 +CYREG_PM_AVAIL_SR5 EQU 0x400043d5 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR6 +CYREG_PM_AVAIL_SR6 EQU 0x400043d6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_BASE +CYDEV_PICU_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SIZE +CYDEV_PICU_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_BASE +CYDEV_PICU_INTTYPE_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_SIZE +CYDEV_PICU_INTTYPE_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_BASE +CYDEV_PICU_INTTYPE_PICU0_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_SIZE +CYDEV_PICU_INTTYPE_PICU0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE0 +CYREG_PICU0_INTTYPE0 EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE1 +CYREG_PICU0_INTTYPE1 EQU 0x40004501 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE2 +CYREG_PICU0_INTTYPE2 EQU 0x40004502 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE3 +CYREG_PICU0_INTTYPE3 EQU 0x40004503 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE4 +CYREG_PICU0_INTTYPE4 EQU 0x40004504 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE5 +CYREG_PICU0_INTTYPE5 EQU 0x40004505 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE6 +CYREG_PICU0_INTTYPE6 EQU 0x40004506 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE7 +CYREG_PICU0_INTTYPE7 EQU 0x40004507 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_BASE +CYDEV_PICU_INTTYPE_PICU1_BASE EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_SIZE +CYDEV_PICU_INTTYPE_PICU1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE0 +CYREG_PICU1_INTTYPE0 EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE1 +CYREG_PICU1_INTTYPE1 EQU 0x40004509 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE2 +CYREG_PICU1_INTTYPE2 EQU 0x4000450a + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE3 +CYREG_PICU1_INTTYPE3 EQU 0x4000450b + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE4 +CYREG_PICU1_INTTYPE4 EQU 0x4000450c + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE5 +CYREG_PICU1_INTTYPE5 EQU 0x4000450d + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE6 +CYREG_PICU1_INTTYPE6 EQU 0x4000450e + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE7 +CYREG_PICU1_INTTYPE7 EQU 0x4000450f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_BASE +CYDEV_PICU_INTTYPE_PICU2_BASE EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_SIZE +CYDEV_PICU_INTTYPE_PICU2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE0 +CYREG_PICU2_INTTYPE0 EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE1 +CYREG_PICU2_INTTYPE1 EQU 0x40004511 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE2 +CYREG_PICU2_INTTYPE2 EQU 0x40004512 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE3 +CYREG_PICU2_INTTYPE3 EQU 0x40004513 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE4 +CYREG_PICU2_INTTYPE4 EQU 0x40004514 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE5 +CYREG_PICU2_INTTYPE5 EQU 0x40004515 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE6 +CYREG_PICU2_INTTYPE6 EQU 0x40004516 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE7 +CYREG_PICU2_INTTYPE7 EQU 0x40004517 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_BASE +CYDEV_PICU_INTTYPE_PICU3_BASE EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_SIZE +CYDEV_PICU_INTTYPE_PICU3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE0 +CYREG_PICU3_INTTYPE0 EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE1 +CYREG_PICU3_INTTYPE1 EQU 0x40004519 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE2 +CYREG_PICU3_INTTYPE2 EQU 0x4000451a + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE3 +CYREG_PICU3_INTTYPE3 EQU 0x4000451b + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE4 +CYREG_PICU3_INTTYPE4 EQU 0x4000451c + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE5 +CYREG_PICU3_INTTYPE5 EQU 0x4000451d + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE6 +CYREG_PICU3_INTTYPE6 EQU 0x4000451e + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE7 +CYREG_PICU3_INTTYPE7 EQU 0x4000451f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_BASE +CYDEV_PICU_INTTYPE_PICU4_BASE EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_SIZE +CYDEV_PICU_INTTYPE_PICU4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE0 +CYREG_PICU4_INTTYPE0 EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE1 +CYREG_PICU4_INTTYPE1 EQU 0x40004521 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE2 +CYREG_PICU4_INTTYPE2 EQU 0x40004522 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE3 +CYREG_PICU4_INTTYPE3 EQU 0x40004523 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE4 +CYREG_PICU4_INTTYPE4 EQU 0x40004524 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE5 +CYREG_PICU4_INTTYPE5 EQU 0x40004525 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE6 +CYREG_PICU4_INTTYPE6 EQU 0x40004526 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE7 +CYREG_PICU4_INTTYPE7 EQU 0x40004527 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_BASE +CYDEV_PICU_INTTYPE_PICU5_BASE EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_SIZE +CYDEV_PICU_INTTYPE_PICU5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE0 +CYREG_PICU5_INTTYPE0 EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE1 +CYREG_PICU5_INTTYPE1 EQU 0x40004529 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE2 +CYREG_PICU5_INTTYPE2 EQU 0x4000452a + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE3 +CYREG_PICU5_INTTYPE3 EQU 0x4000452b + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE4 +CYREG_PICU5_INTTYPE4 EQU 0x4000452c + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE5 +CYREG_PICU5_INTTYPE5 EQU 0x4000452d + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE6 +CYREG_PICU5_INTTYPE6 EQU 0x4000452e + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE7 +CYREG_PICU5_INTTYPE7 EQU 0x4000452f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_BASE +CYDEV_PICU_INTTYPE_PICU6_BASE EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_SIZE +CYDEV_PICU_INTTYPE_PICU6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE0 +CYREG_PICU6_INTTYPE0 EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE1 +CYREG_PICU6_INTTYPE1 EQU 0x40004531 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE2 +CYREG_PICU6_INTTYPE2 EQU 0x40004532 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE3 +CYREG_PICU6_INTTYPE3 EQU 0x40004533 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE4 +CYREG_PICU6_INTTYPE4 EQU 0x40004534 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE5 +CYREG_PICU6_INTTYPE5 EQU 0x40004535 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE6 +CYREG_PICU6_INTTYPE6 EQU 0x40004536 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE7 +CYREG_PICU6_INTTYPE7 EQU 0x40004537 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_BASE +CYDEV_PICU_INTTYPE_PICU12_BASE EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_SIZE +CYDEV_PICU_INTTYPE_PICU12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE0 +CYREG_PICU12_INTTYPE0 EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE1 +CYREG_PICU12_INTTYPE1 EQU 0x40004561 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE2 +CYREG_PICU12_INTTYPE2 EQU 0x40004562 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE3 +CYREG_PICU12_INTTYPE3 EQU 0x40004563 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE4 +CYREG_PICU12_INTTYPE4 EQU 0x40004564 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE5 +CYREG_PICU12_INTTYPE5 EQU 0x40004565 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE6 +CYREG_PICU12_INTTYPE6 EQU 0x40004566 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE7 +CYREG_PICU12_INTTYPE7 EQU 0x40004567 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_BASE +CYDEV_PICU_INTTYPE_PICU15_BASE EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_SIZE +CYDEV_PICU_INTTYPE_PICU15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE0 +CYREG_PICU15_INTTYPE0 EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE1 +CYREG_PICU15_INTTYPE1 EQU 0x40004579 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE2 +CYREG_PICU15_INTTYPE2 EQU 0x4000457a + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE3 +CYREG_PICU15_INTTYPE3 EQU 0x4000457b + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE4 +CYREG_PICU15_INTTYPE4 EQU 0x4000457c + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE5 +CYREG_PICU15_INTTYPE5 EQU 0x4000457d + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE6 +CYREG_PICU15_INTTYPE6 EQU 0x4000457e + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE7 +CYREG_PICU15_INTTYPE7 EQU 0x4000457f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_BASE +CYDEV_PICU_STAT_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_SIZE +CYDEV_PICU_STAT_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_BASE +CYDEV_PICU_STAT_PICU0_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_SIZE +CYDEV_PICU_STAT_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTSTAT +CYREG_PICU0_INTSTAT EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_BASE +CYDEV_PICU_STAT_PICU1_BASE EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_SIZE +CYDEV_PICU_STAT_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTSTAT +CYREG_PICU1_INTSTAT EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_BASE +CYDEV_PICU_STAT_PICU2_BASE EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_SIZE +CYDEV_PICU_STAT_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTSTAT +CYREG_PICU2_INTSTAT EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_BASE +CYDEV_PICU_STAT_PICU3_BASE EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_SIZE +CYDEV_PICU_STAT_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTSTAT +CYREG_PICU3_INTSTAT EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_BASE +CYDEV_PICU_STAT_PICU4_BASE EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_SIZE +CYDEV_PICU_STAT_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTSTAT +CYREG_PICU4_INTSTAT EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_BASE +CYDEV_PICU_STAT_PICU5_BASE EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_SIZE +CYDEV_PICU_STAT_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTSTAT +CYREG_PICU5_INTSTAT EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_BASE +CYDEV_PICU_STAT_PICU6_BASE EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_SIZE +CYDEV_PICU_STAT_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTSTAT +CYREG_PICU6_INTSTAT EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_BASE +CYDEV_PICU_STAT_PICU12_BASE EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_SIZE +CYDEV_PICU_STAT_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTSTAT +CYREG_PICU12_INTSTAT EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_BASE +CYDEV_PICU_STAT_PICU15_BASE EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_SIZE +CYDEV_PICU_STAT_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTSTAT +CYREG_PICU15_INTSTAT EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_BASE +CYDEV_PICU_SNAP_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_SIZE +CYDEV_PICU_SNAP_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_BASE +CYDEV_PICU_SNAP_PICU0_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SIZE +CYDEV_PICU_SNAP_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_SNAP +CYREG_PICU0_SNAP EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_BASE +CYDEV_PICU_SNAP_PICU1_BASE EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SIZE +CYDEV_PICU_SNAP_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_SNAP +CYREG_PICU1_SNAP EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_BASE +CYDEV_PICU_SNAP_PICU2_BASE EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SIZE +CYDEV_PICU_SNAP_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_SNAP +CYREG_PICU2_SNAP EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_BASE +CYDEV_PICU_SNAP_PICU3_BASE EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SIZE +CYDEV_PICU_SNAP_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_SNAP +CYREG_PICU3_SNAP EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_BASE +CYDEV_PICU_SNAP_PICU4_BASE EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SIZE +CYDEV_PICU_SNAP_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_SNAP +CYREG_PICU4_SNAP EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_BASE +CYDEV_PICU_SNAP_PICU5_BASE EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SIZE +CYDEV_PICU_SNAP_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_SNAP +CYREG_PICU5_SNAP EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_BASE +CYDEV_PICU_SNAP_PICU6_BASE EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SIZE +CYDEV_PICU_SNAP_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_SNAP +CYREG_PICU6_SNAP EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_BASE +CYDEV_PICU_SNAP_PICU12_BASE EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SIZE +CYDEV_PICU_SNAP_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_SNAP +CYREG_PICU12_SNAP EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_BASE +CYDEV_PICU_SNAP_PICU_15_BASE EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SIZE +CYDEV_PICU_SNAP_PICU_15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU_15_SNAP_15 +CYREG_PICU_15_SNAP_15 EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_BASE +CYDEV_PICU_DISABLE_COR_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_SIZE +CYDEV_PICU_DISABLE_COR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_BASE +CYDEV_PICU_DISABLE_COR_PICU0_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_SIZE +CYDEV_PICU_DISABLE_COR_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_DISABLE_COR +CYREG_PICU0_DISABLE_COR EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_BASE +CYDEV_PICU_DISABLE_COR_PICU1_BASE EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_SIZE +CYDEV_PICU_DISABLE_COR_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_DISABLE_COR +CYREG_PICU1_DISABLE_COR EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_BASE +CYDEV_PICU_DISABLE_COR_PICU2_BASE EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_SIZE +CYDEV_PICU_DISABLE_COR_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_DISABLE_COR +CYREG_PICU2_DISABLE_COR EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_BASE +CYDEV_PICU_DISABLE_COR_PICU3_BASE EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_SIZE +CYDEV_PICU_DISABLE_COR_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_DISABLE_COR +CYREG_PICU3_DISABLE_COR EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_BASE +CYDEV_PICU_DISABLE_COR_PICU4_BASE EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_SIZE +CYDEV_PICU_DISABLE_COR_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_DISABLE_COR +CYREG_PICU4_DISABLE_COR EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_BASE +CYDEV_PICU_DISABLE_COR_PICU5_BASE EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_SIZE +CYDEV_PICU_DISABLE_COR_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_DISABLE_COR +CYREG_PICU5_DISABLE_COR EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_BASE +CYDEV_PICU_DISABLE_COR_PICU6_BASE EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_SIZE +CYDEV_PICU_DISABLE_COR_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_DISABLE_COR +CYREG_PICU6_DISABLE_COR EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_BASE +CYDEV_PICU_DISABLE_COR_PICU12_BASE EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_SIZE +CYDEV_PICU_DISABLE_COR_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_DISABLE_COR +CYREG_PICU12_DISABLE_COR EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_BASE +CYDEV_PICU_DISABLE_COR_PICU15_BASE EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_SIZE +CYDEV_PICU_DISABLE_COR_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_DISABLE_COR +CYREG_PICU15_DISABLE_COR EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_BASE +CYDEV_MFGCFG_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_SIZE +CYDEV_MFGCFG_SIZE EQU 0x000000ed + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_BASE +CYDEV_MFGCFG_ANAIF_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SIZE +CYDEV_MFGCFG_ANAIF_SIZE EQU 0x00000038 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_BASE +CYDEV_MFGCFG_ANAIF_DAC0_BASE EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_SIZE +CYDEV_MFGCFG_ANAIF_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_TR +CYREG_DAC0_TR EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_BASE +CYDEV_MFGCFG_ANAIF_DAC1_BASE EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_SIZE +CYDEV_MFGCFG_ANAIF_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_TR +CYREG_DAC1_TR EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_BASE +CYDEV_MFGCFG_ANAIF_DAC2_BASE EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_SIZE +CYDEV_MFGCFG_ANAIF_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_TR +CYREG_DAC2_TR EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_BASE +CYDEV_MFGCFG_ANAIF_DAC3_BASE EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_SIZE +CYDEV_MFGCFG_ANAIF_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_TR +CYREG_DAC3_TR EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_DSM_TR0 +CYREG_NPUMP_DSM_TR0 EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_SC_TR0 +CYREG_NPUMP_SC_TR0 EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_OPAMP_TR0 +CYREG_NPUMP_OPAMP_TR0 EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_BASE +CYDEV_MFGCFG_ANAIF_SAR0_BASE EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_SIZE +CYDEV_MFGCFG_ANAIF_SAR0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_TR0 +CYREG_SAR0_TR0 EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_BASE +CYDEV_MFGCFG_ANAIF_SAR1_BASE EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_SIZE +CYDEV_MFGCFG_ANAIF_SAR1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_TR0 +CYREG_SAR1_TR0 EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_BASE +CYDEV_MFGCFG_ANAIF_OPAMP0_BASE EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_TR0 +CYREG_OPAMP0_TR0 EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_TR1 +CYREG_OPAMP0_TR1 EQU 0x40004621 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_BASE +CYDEV_MFGCFG_ANAIF_OPAMP1_BASE EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_TR0 +CYREG_OPAMP1_TR0 EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_TR1 +CYREG_OPAMP1_TR1 EQU 0x40004623 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_BASE +CYDEV_MFGCFG_ANAIF_OPAMP2_BASE EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_TR0 +CYREG_OPAMP2_TR0 EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_TR1 +CYREG_OPAMP2_TR1 EQU 0x40004625 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_BASE +CYDEV_MFGCFG_ANAIF_OPAMP3_BASE EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_TR0 +CYREG_OPAMP3_TR0 EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_TR1 +CYREG_OPAMP3_TR1 EQU 0x40004627 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_BASE +CYDEV_MFGCFG_ANAIF_CMP0_BASE EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_SIZE +CYDEV_MFGCFG_ANAIF_CMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_TR0 +CYREG_CMP0_TR0 EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_TR1 +CYREG_CMP0_TR1 EQU 0x40004631 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_BASE +CYDEV_MFGCFG_ANAIF_CMP1_BASE EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_SIZE +CYDEV_MFGCFG_ANAIF_CMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_TR0 +CYREG_CMP1_TR0 EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_TR1 +CYREG_CMP1_TR1 EQU 0x40004633 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_BASE +CYDEV_MFGCFG_ANAIF_CMP2_BASE EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_SIZE +CYDEV_MFGCFG_ANAIF_CMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_TR0 +CYREG_CMP2_TR0 EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_TR1 +CYREG_CMP2_TR1 EQU 0x40004635 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_BASE +CYDEV_MFGCFG_ANAIF_CMP3_BASE EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_SIZE +CYDEV_MFGCFG_ANAIF_CMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_TR0 +CYREG_CMP3_TR0 EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_TR1 +CYREG_CMP3_TR1 EQU 0x40004637 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BASE +CYDEV_MFGCFG_PWRSYS_BASE EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SIZE +CYDEV_MFGCFG_PWRSYS_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_HIB_TR0 +CYREG_PWRSYS_HIB_TR0 EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_HIB_TR1 +CYREG_PWRSYS_HIB_TR1 EQU 0x40004681 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_I2C_TR +CYREG_PWRSYS_I2C_TR EQU 0x40004682 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_SLP_TR +CYREG_PWRSYS_SLP_TR EQU 0x40004683 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BUZZ_TR +CYREG_PWRSYS_BUZZ_TR EQU 0x40004684 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR0 +CYREG_PWRSYS_WAKE_TR0 EQU 0x40004685 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR1 +CYREG_PWRSYS_WAKE_TR1 EQU 0x40004686 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BREF_TR +CYREG_PWRSYS_BREF_TR EQU 0x40004687 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BG_TR +CYREG_PWRSYS_BG_TR EQU 0x40004688 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR2 +CYREG_PWRSYS_WAKE_TR2 EQU 0x40004689 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR3 +CYREG_PWRSYS_WAKE_TR3 EQU 0x4000468a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_BASE +CYDEV_MFGCFG_ILO_BASE EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_SIZE +CYDEV_MFGCFG_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_ILO_TR0 +CYREG_ILO_TR0 EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYREG_ILO_TR1 +CYREG_ILO_TR1 EQU 0x40004691 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_BASE +CYDEV_MFGCFG_X32_BASE EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_SIZE +CYDEV_MFGCFG_X32_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_X32_TR +CYREG_X32_TR EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_BASE +CYDEV_MFGCFG_IMO_BASE EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_SIZE +CYDEV_MFGCFG_IMO_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR0 +CYREG_IMO_TR0 EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR1 +CYREG_IMO_TR1 EQU 0x400046a1 + ENDIF + IF :LNOT::DEF:CYREG_IMO_GAIN +CYREG_IMO_GAIN EQU 0x400046a2 + ENDIF + IF :LNOT::DEF:CYREG_IMO_C36M +CYREG_IMO_C36M EQU 0x400046a3 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR2 +CYREG_IMO_TR2 EQU 0x400046a4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_BASE +CYDEV_MFGCFG_XMHZ_BASE EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_SIZE +CYDEV_MFGCFG_XMHZ_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_XMHZ_TR +CYREG_XMHZ_TR EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYREG_MFGCFG_DLY +CYREG_MFGCFG_DLY EQU 0x400046c0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_BASE +CYDEV_MFGCFG_MLOGIC_BASE EQU 0x400046e0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SIZE +CYDEV_MFGCFG_MLOGIC_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_DMPSTR +CYREG_MLOGIC_DMPSTR EQU 0x400046e2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_BASE +CYDEV_MFGCFG_MLOGIC_SEG_BASE EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_SIZE +CYDEV_MFGCFG_MLOGIC_SEG_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_SEG_CR +CYREG_MLOGIC_SEG_CR EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_SEG_CFG0 +CYREG_MLOGIC_SEG_CFG0 EQU 0x400046e5 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_DEBUG +CYREG_MLOGIC_DEBUG EQU 0x400046e8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_CPU_SCR_CPU_SCR +CYREG_MLOGIC_CPU_SCR_CPU_SCR EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_REV_ID +CYREG_MLOGIC_REV_ID EQU 0x400046ec + ENDIF + IF :LNOT::DEF:CYDEV_RESET_BASE +CYDEV_RESET_BASE EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SIZE +CYDEV_RESET_SIZE EQU 0x0000000f + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR0 +CYREG_RESET_IPOR_CR0 EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR1 +CYREG_RESET_IPOR_CR1 EQU 0x400046f1 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR2 +CYREG_RESET_IPOR_CR2 EQU 0x400046f2 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR3 +CYREG_RESET_IPOR_CR3 EQU 0x400046f3 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR0 +CYREG_RESET_CR0 EQU 0x400046f4 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR1 +CYREG_RESET_CR1 EQU 0x400046f5 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR2 +CYREG_RESET_CR2 EQU 0x400046f6 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR3 +CYREG_RESET_CR3 EQU 0x400046f7 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR4 +CYREG_RESET_CR4 EQU 0x400046f8 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR5 +CYREG_RESET_CR5 EQU 0x400046f9 + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR0 +CYREG_RESET_SR0 EQU 0x400046fa + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR1 +CYREG_RESET_SR1 EQU 0x400046fb + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR2 +CYREG_RESET_SR2 EQU 0x400046fc + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR3 +CYREG_RESET_SR3 EQU 0x400046fd + ENDIF + IF :LNOT::DEF:CYREG_RESET_TR +CYREG_RESET_TR EQU 0x400046fe + ENDIF + IF :LNOT::DEF:CYDEV_SPC_BASE +CYDEV_SPC_BASE EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SIZE +CYDEV_SPC_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_SPC_FM_EE_CR +CYREG_SPC_FM_EE_CR EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYREG_SPC_FM_EE_WAKE_CNT +CYREG_SPC_FM_EE_WAKE_CNT EQU 0x40004701 + ENDIF + IF :LNOT::DEF:CYREG_SPC_EE_SCR +CYREG_SPC_EE_SCR EQU 0x40004702 + ENDIF + IF :LNOT::DEF:CYREG_SPC_EE_ERR +CYREG_SPC_EE_ERR EQU 0x40004703 + ENDIF + IF :LNOT::DEF:CYREG_SPC_CPU_DATA +CYREG_SPC_CPU_DATA EQU 0x40004720 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMA_DATA +CYREG_SPC_DMA_DATA EQU 0x40004721 + ENDIF + IF :LNOT::DEF:CYREG_SPC_SR +CYREG_SPC_SR EQU 0x40004722 + ENDIF + IF :LNOT::DEF:CYREG_SPC_CR +CYREG_SPC_CR EQU 0x40004723 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_BASE +CYDEV_SPC_DMM_MAP_BASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SIZE +CYDEV_SPC_DMM_MAP_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMM_MAP_SRAM_MBASE +CYREG_SPC_DMM_MAP_SRAM_MBASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMM_MAP_SRAM_MSIZE +CYREG_SPC_DMM_MAP_SRAM_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_BASE +CYDEV_CACHE_BASE EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_SIZE +CYDEV_CACHE_SIZE EQU 0x0000009c + ENDIF + IF :LNOT::DEF:CYREG_CACHE_CC_CTL +CYREG_CACHE_CC_CTL EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_ECC_CORR +CYREG_CACHE_ECC_CORR EQU 0x40004880 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_ECC_ERR +CYREG_CACHE_ECC_ERR EQU 0x40004888 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_FLASH_ERR +CYREG_CACHE_FLASH_ERR EQU 0x40004890 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_HITMISS +CYREG_CACHE_HITMISS EQU 0x40004898 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_BASE +CYDEV_I2C_BASE EQU 0x40004900 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_SIZE +CYDEV_I2C_SIZE EQU 0x000000e1 + ENDIF + IF :LNOT::DEF:CYREG_I2C_XCFG +CYREG_I2C_XCFG EQU 0x400049c8 + ENDIF + IF :LNOT::DEF:CYREG_I2C_ADR +CYREG_I2C_ADR EQU 0x400049ca + ENDIF + IF :LNOT::DEF:CYREG_I2C_CFG +CYREG_I2C_CFG EQU 0x400049d6 + ENDIF + IF :LNOT::DEF:CYREG_I2C_CSR +CYREG_I2C_CSR EQU 0x400049d7 + ENDIF + IF :LNOT::DEF:CYREG_I2C_D +CYREG_I2C_D EQU 0x400049d8 + ENDIF + IF :LNOT::DEF:CYREG_I2C_MCSR +CYREG_I2C_MCSR EQU 0x400049d9 + ENDIF + IF :LNOT::DEF:CYREG_I2C_CLK_DIV1 +CYREG_I2C_CLK_DIV1 EQU 0x400049db + ENDIF + IF :LNOT::DEF:CYREG_I2C_CLK_DIV2 +CYREG_I2C_CLK_DIV2 EQU 0x400049dc + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CSR +CYREG_I2C_TMOUT_CSR EQU 0x400049dd + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_SR +CYREG_I2C_TMOUT_SR EQU 0x400049de + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CFG0 +CYREG_I2C_TMOUT_CFG0 EQU 0x400049df + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CFG1 +CYREG_I2C_TMOUT_CFG1 EQU 0x400049e0 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_BASE +CYDEV_DEC_BASE EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SIZE +CYDEV_DEC_SIZE EQU 0x00000015 + ENDIF + IF :LNOT::DEF:CYREG_DEC_CR +CYREG_DEC_CR EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SR +CYREG_DEC_SR EQU 0x40004e01 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SHIFT1 +CYREG_DEC_SHIFT1 EQU 0x40004e02 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SHIFT2 +CYREG_DEC_SHIFT2 EQU 0x40004e03 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR2 +CYREG_DEC_DR2 EQU 0x40004e04 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR2H +CYREG_DEC_DR2H EQU 0x40004e05 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR1 +CYREG_DEC_DR1 EQU 0x40004e06 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCOR +CYREG_DEC_OCOR EQU 0x40004e08 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCORM +CYREG_DEC_OCORM EQU 0x40004e09 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCORH +CYREG_DEC_OCORH EQU 0x40004e0a + ENDIF + IF :LNOT::DEF:CYREG_DEC_GCOR +CYREG_DEC_GCOR EQU 0x40004e0c + ENDIF + IF :LNOT::DEF:CYREG_DEC_GCORH +CYREG_DEC_GCORH EQU 0x40004e0d + ENDIF + IF :LNOT::DEF:CYREG_DEC_GVAL +CYREG_DEC_GVAL EQU 0x40004e0e + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMP +CYREG_DEC_OUTSAMP EQU 0x40004e10 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPM +CYREG_DEC_OUTSAMPM EQU 0x40004e11 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPH +CYREG_DEC_OUTSAMPH EQU 0x40004e12 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPS +CYREG_DEC_OUTSAMPS EQU 0x40004e13 + ENDIF + IF :LNOT::DEF:CYREG_DEC_COHER +CYREG_DEC_COHER EQU 0x40004e14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_BASE +CYDEV_TMR0_BASE EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SIZE +CYDEV_TMR0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG0 +CYREG_TMR0_CFG0 EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG1 +CYREG_TMR0_CFG1 EQU 0x40004f01 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG2 +CYREG_TMR0_CFG2 EQU 0x40004f02 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_SR0 +CYREG_TMR0_SR0 EQU 0x40004f03 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_PER0 +CYREG_TMR0_PER0 EQU 0x40004f04 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_PER1 +CYREG_TMR0_PER1 EQU 0x40004f05 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CNT_CMP0 +CYREG_TMR0_CNT_CMP0 EQU 0x40004f06 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CNT_CMP1 +CYREG_TMR0_CNT_CMP1 EQU 0x40004f07 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CAP0 +CYREG_TMR0_CAP0 EQU 0x40004f08 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CAP1 +CYREG_TMR0_CAP1 EQU 0x40004f09 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_RT0 +CYREG_TMR0_RT0 EQU 0x40004f0a + ENDIF + IF :LNOT::DEF:CYREG_TMR0_RT1 +CYREG_TMR0_RT1 EQU 0x40004f0b + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_BASE +CYDEV_TMR1_BASE EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SIZE +CYDEV_TMR1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG0 +CYREG_TMR1_CFG0 EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG1 +CYREG_TMR1_CFG1 EQU 0x40004f0d + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG2 +CYREG_TMR1_CFG2 EQU 0x40004f0e + ENDIF + IF :LNOT::DEF:CYREG_TMR1_SR0 +CYREG_TMR1_SR0 EQU 0x40004f0f + ENDIF + IF :LNOT::DEF:CYREG_TMR1_PER0 +CYREG_TMR1_PER0 EQU 0x40004f10 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_PER1 +CYREG_TMR1_PER1 EQU 0x40004f11 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CNT_CMP0 +CYREG_TMR1_CNT_CMP0 EQU 0x40004f12 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CNT_CMP1 +CYREG_TMR1_CNT_CMP1 EQU 0x40004f13 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CAP0 +CYREG_TMR1_CAP0 EQU 0x40004f14 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CAP1 +CYREG_TMR1_CAP1 EQU 0x40004f15 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_RT0 +CYREG_TMR1_RT0 EQU 0x40004f16 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_RT1 +CYREG_TMR1_RT1 EQU 0x40004f17 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_BASE +CYDEV_TMR2_BASE EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SIZE +CYDEV_TMR2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG0 +CYREG_TMR2_CFG0 EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG1 +CYREG_TMR2_CFG1 EQU 0x40004f19 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG2 +CYREG_TMR2_CFG2 EQU 0x40004f1a + ENDIF + IF :LNOT::DEF:CYREG_TMR2_SR0 +CYREG_TMR2_SR0 EQU 0x40004f1b + ENDIF + IF :LNOT::DEF:CYREG_TMR2_PER0 +CYREG_TMR2_PER0 EQU 0x40004f1c + ENDIF + IF :LNOT::DEF:CYREG_TMR2_PER1 +CYREG_TMR2_PER1 EQU 0x40004f1d + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CNT_CMP0 +CYREG_TMR2_CNT_CMP0 EQU 0x40004f1e + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CNT_CMP1 +CYREG_TMR2_CNT_CMP1 EQU 0x40004f1f + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CAP0 +CYREG_TMR2_CAP0 EQU 0x40004f20 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CAP1 +CYREG_TMR2_CAP1 EQU 0x40004f21 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_RT0 +CYREG_TMR2_RT0 EQU 0x40004f22 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_RT1 +CYREG_TMR2_RT1 EQU 0x40004f23 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_BASE +CYDEV_TMR3_BASE EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SIZE +CYDEV_TMR3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG0 +CYREG_TMR3_CFG0 EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG1 +CYREG_TMR3_CFG1 EQU 0x40004f25 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG2 +CYREG_TMR3_CFG2 EQU 0x40004f26 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_SR0 +CYREG_TMR3_SR0 EQU 0x40004f27 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_PER0 +CYREG_TMR3_PER0 EQU 0x40004f28 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_PER1 +CYREG_TMR3_PER1 EQU 0x40004f29 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CNT_CMP0 +CYREG_TMR3_CNT_CMP0 EQU 0x40004f2a + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CNT_CMP1 +CYREG_TMR3_CNT_CMP1 EQU 0x40004f2b + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CAP0 +CYREG_TMR3_CAP0 EQU 0x40004f2c + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CAP1 +CYREG_TMR3_CAP1 EQU 0x40004f2d + ENDIF + IF :LNOT::DEF:CYREG_TMR3_RT0 +CYREG_TMR3_RT0 EQU 0x40004f2e + ENDIF + IF :LNOT::DEF:CYREG_TMR3_RT1 +CYREG_TMR3_RT1 EQU 0x40004f2f + ENDIF + IF :LNOT::DEF:CYDEV_IO_BASE +CYDEV_IO_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_SIZE +CYDEV_IO_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_BASE +CYDEV_IO_PC_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_SIZE +CYDEV_IO_PC_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_BASE +CYDEV_IO_PC_PRT0_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_SIZE +CYDEV_IO_PC_PRT0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC0 +CYREG_PRT0_PC0 EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC1 +CYREG_PRT0_PC1 EQU 0x40005001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC2 +CYREG_PRT0_PC2 EQU 0x40005002 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC3 +CYREG_PRT0_PC3 EQU 0x40005003 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC4 +CYREG_PRT0_PC4 EQU 0x40005004 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC5 +CYREG_PRT0_PC5 EQU 0x40005005 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC6 +CYREG_PRT0_PC6 EQU 0x40005006 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC7 +CYREG_PRT0_PC7 EQU 0x40005007 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_BASE +CYDEV_IO_PC_PRT1_BASE EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_SIZE +CYDEV_IO_PC_PRT1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC0 +CYREG_PRT1_PC0 EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC1 +CYREG_PRT1_PC1 EQU 0x40005009 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC2 +CYREG_PRT1_PC2 EQU 0x4000500a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC3 +CYREG_PRT1_PC3 EQU 0x4000500b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC4 +CYREG_PRT1_PC4 EQU 0x4000500c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC5 +CYREG_PRT1_PC5 EQU 0x4000500d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC6 +CYREG_PRT1_PC6 EQU 0x4000500e + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC7 +CYREG_PRT1_PC7 EQU 0x4000500f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_BASE +CYDEV_IO_PC_PRT2_BASE EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_SIZE +CYDEV_IO_PC_PRT2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC0 +CYREG_PRT2_PC0 EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC1 +CYREG_PRT2_PC1 EQU 0x40005011 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC2 +CYREG_PRT2_PC2 EQU 0x40005012 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC3 +CYREG_PRT2_PC3 EQU 0x40005013 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC4 +CYREG_PRT2_PC4 EQU 0x40005014 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC5 +CYREG_PRT2_PC5 EQU 0x40005015 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC6 +CYREG_PRT2_PC6 EQU 0x40005016 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC7 +CYREG_PRT2_PC7 EQU 0x40005017 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_BASE +CYDEV_IO_PC_PRT3_BASE EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_SIZE +CYDEV_IO_PC_PRT3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC0 +CYREG_PRT3_PC0 EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC1 +CYREG_PRT3_PC1 EQU 0x40005019 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC2 +CYREG_PRT3_PC2 EQU 0x4000501a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC3 +CYREG_PRT3_PC3 EQU 0x4000501b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC4 +CYREG_PRT3_PC4 EQU 0x4000501c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC5 +CYREG_PRT3_PC5 EQU 0x4000501d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC6 +CYREG_PRT3_PC6 EQU 0x4000501e + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC7 +CYREG_PRT3_PC7 EQU 0x4000501f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_BASE +CYDEV_IO_PC_PRT4_BASE EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_SIZE +CYDEV_IO_PC_PRT4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC0 +CYREG_PRT4_PC0 EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC1 +CYREG_PRT4_PC1 EQU 0x40005021 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC2 +CYREG_PRT4_PC2 EQU 0x40005022 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC3 +CYREG_PRT4_PC3 EQU 0x40005023 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC4 +CYREG_PRT4_PC4 EQU 0x40005024 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC5 +CYREG_PRT4_PC5 EQU 0x40005025 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC6 +CYREG_PRT4_PC6 EQU 0x40005026 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC7 +CYREG_PRT4_PC7 EQU 0x40005027 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_BASE +CYDEV_IO_PC_PRT5_BASE EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_SIZE +CYDEV_IO_PC_PRT5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC0 +CYREG_PRT5_PC0 EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC1 +CYREG_PRT5_PC1 EQU 0x40005029 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC2 +CYREG_PRT5_PC2 EQU 0x4000502a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC3 +CYREG_PRT5_PC3 EQU 0x4000502b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC4 +CYREG_PRT5_PC4 EQU 0x4000502c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC5 +CYREG_PRT5_PC5 EQU 0x4000502d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC6 +CYREG_PRT5_PC6 EQU 0x4000502e + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC7 +CYREG_PRT5_PC7 EQU 0x4000502f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_BASE +CYDEV_IO_PC_PRT6_BASE EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_SIZE +CYDEV_IO_PC_PRT6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC0 +CYREG_PRT6_PC0 EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC1 +CYREG_PRT6_PC1 EQU 0x40005031 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC2 +CYREG_PRT6_PC2 EQU 0x40005032 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC3 +CYREG_PRT6_PC3 EQU 0x40005033 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC4 +CYREG_PRT6_PC4 EQU 0x40005034 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC5 +CYREG_PRT6_PC5 EQU 0x40005035 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC6 +CYREG_PRT6_PC6 EQU 0x40005036 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC7 +CYREG_PRT6_PC7 EQU 0x40005037 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_BASE +CYDEV_IO_PC_PRT12_BASE EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_SIZE +CYDEV_IO_PC_PRT12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC0 +CYREG_PRT12_PC0 EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC1 +CYREG_PRT12_PC1 EQU 0x40005061 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC2 +CYREG_PRT12_PC2 EQU 0x40005062 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC3 +CYREG_PRT12_PC3 EQU 0x40005063 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC4 +CYREG_PRT12_PC4 EQU 0x40005064 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC5 +CYREG_PRT12_PC5 EQU 0x40005065 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC6 +CYREG_PRT12_PC6 EQU 0x40005066 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC7 +CYREG_PRT12_PC7 EQU 0x40005067 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_BASE +CYDEV_IO_PC_PRT15_BASE EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_SIZE +CYDEV_IO_PC_PRT15_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC0 +CYREG_IO_PC_PRT15_PC0 EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC1 +CYREG_IO_PC_PRT15_PC1 EQU 0x40005079 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC2 +CYREG_IO_PC_PRT15_PC2 EQU 0x4000507a + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC3 +CYREG_IO_PC_PRT15_PC3 EQU 0x4000507b + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC4 +CYREG_IO_PC_PRT15_PC4 EQU 0x4000507c + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC5 +CYREG_IO_PC_PRT15_PC5 EQU 0x4000507d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_BASE +CYDEV_IO_PC_PRT15_7_6_BASE EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_SIZE +CYDEV_IO_PC_PRT15_7_6_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_7_6_PC0 +CYREG_IO_PC_PRT15_7_6_PC0 EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_7_6_PC1 +CYREG_IO_PC_PRT15_7_6_PC1 EQU 0x4000507f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_BASE +CYDEV_IO_DR_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_SIZE +CYDEV_IO_DR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_BASE +CYDEV_IO_DR_PRT0_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_SIZE +CYDEV_IO_DR_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DR_ALIAS +CYREG_PRT0_DR_ALIAS EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_BASE +CYDEV_IO_DR_PRT1_BASE EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_SIZE +CYDEV_IO_DR_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DR_ALIAS +CYREG_PRT1_DR_ALIAS EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_BASE +CYDEV_IO_DR_PRT2_BASE EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_SIZE +CYDEV_IO_DR_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DR_ALIAS +CYREG_PRT2_DR_ALIAS EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_BASE +CYDEV_IO_DR_PRT3_BASE EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_SIZE +CYDEV_IO_DR_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DR_ALIAS +CYREG_PRT3_DR_ALIAS EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_BASE +CYDEV_IO_DR_PRT4_BASE EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_SIZE +CYDEV_IO_DR_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DR_ALIAS +CYREG_PRT4_DR_ALIAS EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_BASE +CYDEV_IO_DR_PRT5_BASE EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_SIZE +CYDEV_IO_DR_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DR_ALIAS +CYREG_PRT5_DR_ALIAS EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_BASE +CYDEV_IO_DR_PRT6_BASE EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_SIZE +CYDEV_IO_DR_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DR_ALIAS +CYREG_PRT6_DR_ALIAS EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_BASE +CYDEV_IO_DR_PRT12_BASE EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_SIZE +CYDEV_IO_DR_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DR_ALIAS +CYREG_PRT12_DR_ALIAS EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_BASE +CYDEV_IO_DR_PRT15_BASE EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_SIZE +CYDEV_IO_DR_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DR_15_ALIAS +CYREG_PRT15_DR_15_ALIAS EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_BASE +CYDEV_IO_PS_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_SIZE +CYDEV_IO_PS_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_BASE +CYDEV_IO_PS_PRT0_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_SIZE +CYDEV_IO_PS_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PS_ALIAS +CYREG_PRT0_PS_ALIAS EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_BASE +CYDEV_IO_PS_PRT1_BASE EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_SIZE +CYDEV_IO_PS_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PS_ALIAS +CYREG_PRT1_PS_ALIAS EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_BASE +CYDEV_IO_PS_PRT2_BASE EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_SIZE +CYDEV_IO_PS_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PS_ALIAS +CYREG_PRT2_PS_ALIAS EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_BASE +CYDEV_IO_PS_PRT3_BASE EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_SIZE +CYDEV_IO_PS_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PS_ALIAS +CYREG_PRT3_PS_ALIAS EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_BASE +CYDEV_IO_PS_PRT4_BASE EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_SIZE +CYDEV_IO_PS_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PS_ALIAS +CYREG_PRT4_PS_ALIAS EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_BASE +CYDEV_IO_PS_PRT5_BASE EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_SIZE +CYDEV_IO_PS_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PS_ALIAS +CYREG_PRT5_PS_ALIAS EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_BASE +CYDEV_IO_PS_PRT6_BASE EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_SIZE +CYDEV_IO_PS_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PS_ALIAS +CYREG_PRT6_PS_ALIAS EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_BASE +CYDEV_IO_PS_PRT12_BASE EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_SIZE +CYDEV_IO_PS_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PS_ALIAS +CYREG_PRT12_PS_ALIAS EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_BASE +CYDEV_IO_PS_PRT15_BASE EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_SIZE +CYDEV_IO_PS_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PS15_ALIAS +CYREG_PRT15_PS15_ALIAS EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_BASE +CYDEV_IO_PRT_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_SIZE +CYDEV_IO_PRT_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BASE +CYDEV_IO_PRT_PRT0_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SIZE +CYDEV_IO_PRT_PRT0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DR +CYREG_PRT0_DR EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PS +CYREG_PRT0_PS EQU 0x40005101 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM0 +CYREG_PRT0_DM0 EQU 0x40005102 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM1 +CYREG_PRT0_DM1 EQU 0x40005103 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM2 +CYREG_PRT0_DM2 EQU 0x40005104 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_SLW +CYREG_PRT0_SLW EQU 0x40005105 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BYP +CYREG_PRT0_BYP EQU 0x40005106 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BIE +CYREG_PRT0_BIE EQU 0x40005107 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_INP_DIS +CYREG_PRT0_INP_DIS EQU 0x40005108 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_CTL +CYREG_PRT0_CTL EQU 0x40005109 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PRT +CYREG_PRT0_PRT EQU 0x4000510a + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BIT_MASK +CYREG_PRT0_BIT_MASK EQU 0x4000510b + ENDIF + IF :LNOT::DEF:CYREG_PRT0_AMUX +CYREG_PRT0_AMUX EQU 0x4000510c + ENDIF + IF :LNOT::DEF:CYREG_PRT0_AG +CYREG_PRT0_AG EQU 0x4000510d + ENDIF + IF :LNOT::DEF:CYREG_PRT0_LCD_COM_SEG +CYREG_PRT0_LCD_COM_SEG EQU 0x4000510e + ENDIF + IF :LNOT::DEF:CYREG_PRT0_LCD_EN +CYREG_PRT0_LCD_EN EQU 0x4000510f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BASE +CYDEV_IO_PRT_PRT1_BASE EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SIZE +CYDEV_IO_PRT_PRT1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DR +CYREG_PRT1_DR EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PS +CYREG_PRT1_PS EQU 0x40005111 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM0 +CYREG_PRT1_DM0 EQU 0x40005112 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM1 +CYREG_PRT1_DM1 EQU 0x40005113 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM2 +CYREG_PRT1_DM2 EQU 0x40005114 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_SLW +CYREG_PRT1_SLW EQU 0x40005115 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BYP +CYREG_PRT1_BYP EQU 0x40005116 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BIE +CYREG_PRT1_BIE EQU 0x40005117 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_INP_DIS +CYREG_PRT1_INP_DIS EQU 0x40005118 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_CTL +CYREG_PRT1_CTL EQU 0x40005119 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PRT +CYREG_PRT1_PRT EQU 0x4000511a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BIT_MASK +CYREG_PRT1_BIT_MASK EQU 0x4000511b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_AMUX +CYREG_PRT1_AMUX EQU 0x4000511c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_AG +CYREG_PRT1_AG EQU 0x4000511d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_LCD_COM_SEG +CYREG_PRT1_LCD_COM_SEG EQU 0x4000511e + ENDIF + IF :LNOT::DEF:CYREG_PRT1_LCD_EN +CYREG_PRT1_LCD_EN EQU 0x4000511f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BASE +CYDEV_IO_PRT_PRT2_BASE EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SIZE +CYDEV_IO_PRT_PRT2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DR +CYREG_PRT2_DR EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PS +CYREG_PRT2_PS EQU 0x40005121 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM0 +CYREG_PRT2_DM0 EQU 0x40005122 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM1 +CYREG_PRT2_DM1 EQU 0x40005123 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM2 +CYREG_PRT2_DM2 EQU 0x40005124 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_SLW +CYREG_PRT2_SLW EQU 0x40005125 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BYP +CYREG_PRT2_BYP EQU 0x40005126 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BIE +CYREG_PRT2_BIE EQU 0x40005127 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_INP_DIS +CYREG_PRT2_INP_DIS EQU 0x40005128 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_CTL +CYREG_PRT2_CTL EQU 0x40005129 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PRT +CYREG_PRT2_PRT EQU 0x4000512a + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BIT_MASK +CYREG_PRT2_BIT_MASK EQU 0x4000512b + ENDIF + IF :LNOT::DEF:CYREG_PRT2_AMUX +CYREG_PRT2_AMUX EQU 0x4000512c + ENDIF + IF :LNOT::DEF:CYREG_PRT2_AG +CYREG_PRT2_AG EQU 0x4000512d + ENDIF + IF :LNOT::DEF:CYREG_PRT2_LCD_COM_SEG +CYREG_PRT2_LCD_COM_SEG EQU 0x4000512e + ENDIF + IF :LNOT::DEF:CYREG_PRT2_LCD_EN +CYREG_PRT2_LCD_EN EQU 0x4000512f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BASE +CYDEV_IO_PRT_PRT3_BASE EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SIZE +CYDEV_IO_PRT_PRT3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DR +CYREG_PRT3_DR EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PS +CYREG_PRT3_PS EQU 0x40005131 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM0 +CYREG_PRT3_DM0 EQU 0x40005132 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM1 +CYREG_PRT3_DM1 EQU 0x40005133 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM2 +CYREG_PRT3_DM2 EQU 0x40005134 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_SLW +CYREG_PRT3_SLW EQU 0x40005135 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BYP +CYREG_PRT3_BYP EQU 0x40005136 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BIE +CYREG_PRT3_BIE EQU 0x40005137 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_INP_DIS +CYREG_PRT3_INP_DIS EQU 0x40005138 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_CTL +CYREG_PRT3_CTL EQU 0x40005139 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PRT +CYREG_PRT3_PRT EQU 0x4000513a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BIT_MASK +CYREG_PRT3_BIT_MASK EQU 0x4000513b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_AMUX +CYREG_PRT3_AMUX EQU 0x4000513c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_AG +CYREG_PRT3_AG EQU 0x4000513d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_LCD_COM_SEG +CYREG_PRT3_LCD_COM_SEG EQU 0x4000513e + ENDIF + IF :LNOT::DEF:CYREG_PRT3_LCD_EN +CYREG_PRT3_LCD_EN EQU 0x4000513f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BASE +CYDEV_IO_PRT_PRT4_BASE EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SIZE +CYDEV_IO_PRT_PRT4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DR +CYREG_PRT4_DR EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PS +CYREG_PRT4_PS EQU 0x40005141 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM0 +CYREG_PRT4_DM0 EQU 0x40005142 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM1 +CYREG_PRT4_DM1 EQU 0x40005143 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM2 +CYREG_PRT4_DM2 EQU 0x40005144 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_SLW +CYREG_PRT4_SLW EQU 0x40005145 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BYP +CYREG_PRT4_BYP EQU 0x40005146 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BIE +CYREG_PRT4_BIE EQU 0x40005147 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_INP_DIS +CYREG_PRT4_INP_DIS EQU 0x40005148 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_CTL +CYREG_PRT4_CTL EQU 0x40005149 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PRT +CYREG_PRT4_PRT EQU 0x4000514a + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BIT_MASK +CYREG_PRT4_BIT_MASK EQU 0x4000514b + ENDIF + IF :LNOT::DEF:CYREG_PRT4_AMUX +CYREG_PRT4_AMUX EQU 0x4000514c + ENDIF + IF :LNOT::DEF:CYREG_PRT4_AG +CYREG_PRT4_AG EQU 0x4000514d + ENDIF + IF :LNOT::DEF:CYREG_PRT4_LCD_COM_SEG +CYREG_PRT4_LCD_COM_SEG EQU 0x4000514e + ENDIF + IF :LNOT::DEF:CYREG_PRT4_LCD_EN +CYREG_PRT4_LCD_EN EQU 0x4000514f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BASE +CYDEV_IO_PRT_PRT5_BASE EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SIZE +CYDEV_IO_PRT_PRT5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DR +CYREG_PRT5_DR EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PS +CYREG_PRT5_PS EQU 0x40005151 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM0 +CYREG_PRT5_DM0 EQU 0x40005152 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM1 +CYREG_PRT5_DM1 EQU 0x40005153 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM2 +CYREG_PRT5_DM2 EQU 0x40005154 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_SLW +CYREG_PRT5_SLW EQU 0x40005155 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BYP +CYREG_PRT5_BYP EQU 0x40005156 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BIE +CYREG_PRT5_BIE EQU 0x40005157 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_INP_DIS +CYREG_PRT5_INP_DIS EQU 0x40005158 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_CTL +CYREG_PRT5_CTL EQU 0x40005159 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PRT +CYREG_PRT5_PRT EQU 0x4000515a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BIT_MASK +CYREG_PRT5_BIT_MASK EQU 0x4000515b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_AMUX +CYREG_PRT5_AMUX EQU 0x4000515c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_AG +CYREG_PRT5_AG EQU 0x4000515d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_LCD_COM_SEG +CYREG_PRT5_LCD_COM_SEG EQU 0x4000515e + ENDIF + IF :LNOT::DEF:CYREG_PRT5_LCD_EN +CYREG_PRT5_LCD_EN EQU 0x4000515f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BASE +CYDEV_IO_PRT_PRT6_BASE EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SIZE +CYDEV_IO_PRT_PRT6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DR +CYREG_PRT6_DR EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PS +CYREG_PRT6_PS EQU 0x40005161 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM0 +CYREG_PRT6_DM0 EQU 0x40005162 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM1 +CYREG_PRT6_DM1 EQU 0x40005163 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM2 +CYREG_PRT6_DM2 EQU 0x40005164 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_SLW +CYREG_PRT6_SLW EQU 0x40005165 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BYP +CYREG_PRT6_BYP EQU 0x40005166 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BIE +CYREG_PRT6_BIE EQU 0x40005167 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_INP_DIS +CYREG_PRT6_INP_DIS EQU 0x40005168 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_CTL +CYREG_PRT6_CTL EQU 0x40005169 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PRT +CYREG_PRT6_PRT EQU 0x4000516a + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BIT_MASK +CYREG_PRT6_BIT_MASK EQU 0x4000516b + ENDIF + IF :LNOT::DEF:CYREG_PRT6_AMUX +CYREG_PRT6_AMUX EQU 0x4000516c + ENDIF + IF :LNOT::DEF:CYREG_PRT6_AG +CYREG_PRT6_AG EQU 0x4000516d + ENDIF + IF :LNOT::DEF:CYREG_PRT6_LCD_COM_SEG +CYREG_PRT6_LCD_COM_SEG EQU 0x4000516e + ENDIF + IF :LNOT::DEF:CYREG_PRT6_LCD_EN +CYREG_PRT6_LCD_EN EQU 0x4000516f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BASE +CYDEV_IO_PRT_PRT12_BASE EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIZE +CYDEV_IO_PRT_PRT12_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DR +CYREG_PRT12_DR EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PS +CYREG_PRT12_PS EQU 0x400051c1 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM0 +CYREG_PRT12_DM0 EQU 0x400051c2 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM1 +CYREG_PRT12_DM1 EQU 0x400051c3 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM2 +CYREG_PRT12_DM2 EQU 0x400051c4 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SLW +CYREG_PRT12_SLW EQU 0x400051c5 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BYP +CYREG_PRT12_BYP EQU 0x400051c6 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BIE +CYREG_PRT12_BIE EQU 0x400051c7 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_INP_DIS +CYREG_PRT12_INP_DIS EQU 0x400051c8 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_HYST_EN +CYREG_PRT12_SIO_HYST_EN EQU 0x400051c9 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PRT +CYREG_PRT12_PRT EQU 0x400051ca + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BIT_MASK +CYREG_PRT12_BIT_MASK EQU 0x400051cb + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_REG_HIFREQ +CYREG_PRT12_SIO_REG_HIFREQ EQU 0x400051cc + ENDIF + IF :LNOT::DEF:CYREG_PRT12_AG +CYREG_PRT12_AG EQU 0x400051cd + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_CFG +CYREG_PRT12_SIO_CFG EQU 0x400051ce + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_DIFF +CYREG_PRT12_SIO_DIFF EQU 0x400051cf + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BASE +CYDEV_IO_PRT_PRT15_BASE EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SIZE +CYDEV_IO_PRT_PRT15_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DR +CYREG_PRT15_DR EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PS +CYREG_PRT15_PS EQU 0x400051f1 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM0 +CYREG_PRT15_DM0 EQU 0x400051f2 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM1 +CYREG_PRT15_DM1 EQU 0x400051f3 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM2 +CYREG_PRT15_DM2 EQU 0x400051f4 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_SLW +CYREG_PRT15_SLW EQU 0x400051f5 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BYP +CYREG_PRT15_BYP EQU 0x400051f6 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BIE +CYREG_PRT15_BIE EQU 0x400051f7 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_INP_DIS +CYREG_PRT15_INP_DIS EQU 0x400051f8 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_CTL +CYREG_PRT15_CTL EQU 0x400051f9 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PRT +CYREG_PRT15_PRT EQU 0x400051fa + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BIT_MASK +CYREG_PRT15_BIT_MASK EQU 0x400051fb + ENDIF + IF :LNOT::DEF:CYREG_PRT15_AMUX +CYREG_PRT15_AMUX EQU 0x400051fc + ENDIF + IF :LNOT::DEF:CYREG_PRT15_AG +CYREG_PRT15_AG EQU 0x400051fd + ENDIF + IF :LNOT::DEF:CYREG_PRT15_LCD_COM_SEG +CYREG_PRT15_LCD_COM_SEG EQU 0x400051fe + ENDIF + IF :LNOT::DEF:CYREG_PRT15_LCD_EN +CYREG_PRT15_LCD_EN EQU 0x400051ff + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_BASE +CYDEV_PRTDSI_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_SIZE +CYDEV_PRTDSI_SIZE EQU 0x0000007f + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_BASE +CYDEV_PRTDSI_PRT0_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SIZE +CYDEV_PRTDSI_PRT0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OUT_SEL0 +CYREG_PRT0_OUT_SEL0 EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OUT_SEL1 +CYREG_PRT0_OUT_SEL1 EQU 0x40005201 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OE_SEL0 +CYREG_PRT0_OE_SEL0 EQU 0x40005202 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OE_SEL1 +CYREG_PRT0_OE_SEL1 EQU 0x40005203 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DBL_SYNC_IN +CYREG_PRT0_DBL_SYNC_IN EQU 0x40005204 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_SYNC_OUT +CYREG_PRT0_SYNC_OUT EQU 0x40005205 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_CAPS_SEL +CYREG_PRT0_CAPS_SEL EQU 0x40005206 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_BASE +CYDEV_PRTDSI_PRT1_BASE EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SIZE +CYDEV_PRTDSI_PRT1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OUT_SEL0 +CYREG_PRT1_OUT_SEL0 EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OUT_SEL1 +CYREG_PRT1_OUT_SEL1 EQU 0x40005209 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OE_SEL0 +CYREG_PRT1_OE_SEL0 EQU 0x4000520a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OE_SEL1 +CYREG_PRT1_OE_SEL1 EQU 0x4000520b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DBL_SYNC_IN +CYREG_PRT1_DBL_SYNC_IN EQU 0x4000520c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_SYNC_OUT +CYREG_PRT1_SYNC_OUT EQU 0x4000520d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_CAPS_SEL +CYREG_PRT1_CAPS_SEL EQU 0x4000520e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_BASE +CYDEV_PRTDSI_PRT2_BASE EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SIZE +CYDEV_PRTDSI_PRT2_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OUT_SEL0 +CYREG_PRT2_OUT_SEL0 EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OUT_SEL1 +CYREG_PRT2_OUT_SEL1 EQU 0x40005211 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OE_SEL0 +CYREG_PRT2_OE_SEL0 EQU 0x40005212 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OE_SEL1 +CYREG_PRT2_OE_SEL1 EQU 0x40005213 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DBL_SYNC_IN +CYREG_PRT2_DBL_SYNC_IN EQU 0x40005214 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_SYNC_OUT +CYREG_PRT2_SYNC_OUT EQU 0x40005215 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_CAPS_SEL +CYREG_PRT2_CAPS_SEL EQU 0x40005216 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_BASE +CYDEV_PRTDSI_PRT3_BASE EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SIZE +CYDEV_PRTDSI_PRT3_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OUT_SEL0 +CYREG_PRT3_OUT_SEL0 EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OUT_SEL1 +CYREG_PRT3_OUT_SEL1 EQU 0x40005219 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OE_SEL0 +CYREG_PRT3_OE_SEL0 EQU 0x4000521a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OE_SEL1 +CYREG_PRT3_OE_SEL1 EQU 0x4000521b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DBL_SYNC_IN +CYREG_PRT3_DBL_SYNC_IN EQU 0x4000521c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_SYNC_OUT +CYREG_PRT3_SYNC_OUT EQU 0x4000521d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_CAPS_SEL +CYREG_PRT3_CAPS_SEL EQU 0x4000521e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_BASE +CYDEV_PRTDSI_PRT4_BASE EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SIZE +CYDEV_PRTDSI_PRT4_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OUT_SEL0 +CYREG_PRT4_OUT_SEL0 EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OUT_SEL1 +CYREG_PRT4_OUT_SEL1 EQU 0x40005221 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OE_SEL0 +CYREG_PRT4_OE_SEL0 EQU 0x40005222 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OE_SEL1 +CYREG_PRT4_OE_SEL1 EQU 0x40005223 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DBL_SYNC_IN +CYREG_PRT4_DBL_SYNC_IN EQU 0x40005224 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_SYNC_OUT +CYREG_PRT4_SYNC_OUT EQU 0x40005225 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_CAPS_SEL +CYREG_PRT4_CAPS_SEL EQU 0x40005226 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_BASE +CYDEV_PRTDSI_PRT5_BASE EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SIZE +CYDEV_PRTDSI_PRT5_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OUT_SEL0 +CYREG_PRT5_OUT_SEL0 EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OUT_SEL1 +CYREG_PRT5_OUT_SEL1 EQU 0x40005229 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OE_SEL0 +CYREG_PRT5_OE_SEL0 EQU 0x4000522a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OE_SEL1 +CYREG_PRT5_OE_SEL1 EQU 0x4000522b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DBL_SYNC_IN +CYREG_PRT5_DBL_SYNC_IN EQU 0x4000522c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_SYNC_OUT +CYREG_PRT5_SYNC_OUT EQU 0x4000522d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_CAPS_SEL +CYREG_PRT5_CAPS_SEL EQU 0x4000522e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_BASE +CYDEV_PRTDSI_PRT6_BASE EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SIZE +CYDEV_PRTDSI_PRT6_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OUT_SEL0 +CYREG_PRT6_OUT_SEL0 EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OUT_SEL1 +CYREG_PRT6_OUT_SEL1 EQU 0x40005231 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OE_SEL0 +CYREG_PRT6_OE_SEL0 EQU 0x40005232 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OE_SEL1 +CYREG_PRT6_OE_SEL1 EQU 0x40005233 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DBL_SYNC_IN +CYREG_PRT6_DBL_SYNC_IN EQU 0x40005234 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_SYNC_OUT +CYREG_PRT6_SYNC_OUT EQU 0x40005235 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_CAPS_SEL +CYREG_PRT6_CAPS_SEL EQU 0x40005236 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_BASE +CYDEV_PRTDSI_PRT12_BASE EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SIZE +CYDEV_PRTDSI_PRT12_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OUT_SEL0 +CYREG_PRT12_OUT_SEL0 EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OUT_SEL1 +CYREG_PRT12_OUT_SEL1 EQU 0x40005261 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OE_SEL0 +CYREG_PRT12_OE_SEL0 EQU 0x40005262 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OE_SEL1 +CYREG_PRT12_OE_SEL1 EQU 0x40005263 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DBL_SYNC_IN +CYREG_PRT12_DBL_SYNC_IN EQU 0x40005264 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SYNC_OUT +CYREG_PRT12_SYNC_OUT EQU 0x40005265 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_BASE +CYDEV_PRTDSI_PRT15_BASE EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SIZE +CYDEV_PRTDSI_PRT15_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OUT_SEL0 +CYREG_PRT15_OUT_SEL0 EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OUT_SEL1 +CYREG_PRT15_OUT_SEL1 EQU 0x40005279 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OE_SEL0 +CYREG_PRT15_OE_SEL0 EQU 0x4000527a + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OE_SEL1 +CYREG_PRT15_OE_SEL1 EQU 0x4000527b + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DBL_SYNC_IN +CYREG_PRT15_DBL_SYNC_IN EQU 0x4000527c + ENDIF + IF :LNOT::DEF:CYREG_PRT15_SYNC_OUT +CYREG_PRT15_SYNC_OUT EQU 0x4000527d + ENDIF + IF :LNOT::DEF:CYREG_PRT15_CAPS_SEL +CYREG_PRT15_CAPS_SEL EQU 0x4000527e + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_BASE +CYDEV_EMIF_BASE EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_SIZE +CYDEV_EMIF_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_NO_UDB +CYREG_EMIF_NO_UDB EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_RP_WAIT_STATES +CYREG_EMIF_RP_WAIT_STATES EQU 0x40005401 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_MEM_DWN +CYREG_EMIF_MEM_DWN EQU 0x40005402 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_MEMCLK_DIV +CYREG_EMIF_MEMCLK_DIV EQU 0x40005403 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_CLOCK_EN +CYREG_EMIF_CLOCK_EN EQU 0x40005404 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_EM_TYPE +CYREG_EMIF_EM_TYPE EQU 0x40005405 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_WP_WAIT_STATES +CYREG_EMIF_WP_WAIT_STATES EQU 0x40005406 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_BASE +CYDEV_ANAIF_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_SIZE +CYDEV_ANAIF_SIZE EQU 0x000003a9 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BASE +CYDEV_ANAIF_CFG_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SIZE +CYDEV_ANAIF_CFG_SIZE EQU 0x0000010f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_BASE +CYDEV_ANAIF_CFG_SC0_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_SIZE +CYDEV_ANAIF_CFG_SC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR0 +CYREG_SC0_CR0 EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR1 +CYREG_SC0_CR1 EQU 0x40005801 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR2 +CYREG_SC0_CR2 EQU 0x40005802 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_BASE +CYDEV_ANAIF_CFG_SC1_BASE EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_SIZE +CYDEV_ANAIF_CFG_SC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR0 +CYREG_SC1_CR0 EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR1 +CYREG_SC1_CR1 EQU 0x40005805 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR2 +CYREG_SC1_CR2 EQU 0x40005806 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_BASE +CYDEV_ANAIF_CFG_SC2_BASE EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_SIZE +CYDEV_ANAIF_CFG_SC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR0 +CYREG_SC2_CR0 EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR1 +CYREG_SC2_CR1 EQU 0x40005809 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR2 +CYREG_SC2_CR2 EQU 0x4000580a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_BASE +CYDEV_ANAIF_CFG_SC3_BASE EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_SIZE +CYDEV_ANAIF_CFG_SC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR0 +CYREG_SC3_CR0 EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR1 +CYREG_SC3_CR1 EQU 0x4000580d + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR2 +CYREG_SC3_CR2 EQU 0x4000580e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_BASE +CYDEV_ANAIF_CFG_DAC0_BASE EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_SIZE +CYDEV_ANAIF_CFG_DAC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_CR0 +CYREG_DAC0_CR0 EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_CR1 +CYREG_DAC0_CR1 EQU 0x40005821 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_TST +CYREG_DAC0_TST EQU 0x40005822 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_BASE +CYDEV_ANAIF_CFG_DAC1_BASE EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_SIZE +CYDEV_ANAIF_CFG_DAC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_CR0 +CYREG_DAC1_CR0 EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_CR1 +CYREG_DAC1_CR1 EQU 0x40005825 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_TST +CYREG_DAC1_TST EQU 0x40005826 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_BASE +CYDEV_ANAIF_CFG_DAC2_BASE EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_SIZE +CYDEV_ANAIF_CFG_DAC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_CR0 +CYREG_DAC2_CR0 EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_CR1 +CYREG_DAC2_CR1 EQU 0x40005829 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_TST +CYREG_DAC2_TST EQU 0x4000582a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_BASE +CYDEV_ANAIF_CFG_DAC3_BASE EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_SIZE +CYDEV_ANAIF_CFG_DAC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_CR0 +CYREG_DAC3_CR0 EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYREG_DAC3_CR1 +CYREG_DAC3_CR1 EQU 0x4000582d + ENDIF + IF :LNOT::DEF:CYREG_DAC3_TST +CYREG_DAC3_TST EQU 0x4000582e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_BASE +CYDEV_ANAIF_CFG_CMP0_BASE EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_SIZE +CYDEV_ANAIF_CFG_CMP0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_CR +CYREG_CMP0_CR EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_BASE +CYDEV_ANAIF_CFG_CMP1_BASE EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_SIZE +CYDEV_ANAIF_CFG_CMP1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_CR +CYREG_CMP1_CR EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_BASE +CYDEV_ANAIF_CFG_CMP2_BASE EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_SIZE +CYDEV_ANAIF_CFG_CMP2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_CR +CYREG_CMP2_CR EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_BASE +CYDEV_ANAIF_CFG_CMP3_BASE EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_SIZE +CYDEV_ANAIF_CFG_CMP3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_CR +CYREG_CMP3_CR EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_BASE +CYDEV_ANAIF_CFG_LUT0_BASE EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_SIZE +CYDEV_ANAIF_CFG_LUT0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT0_CR +CYREG_LUT0_CR EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYREG_LUT0_MX +CYREG_LUT0_MX EQU 0x40005849 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_BASE +CYDEV_ANAIF_CFG_LUT1_BASE EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_SIZE +CYDEV_ANAIF_CFG_LUT1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT1_CR +CYREG_LUT1_CR EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYREG_LUT1_MX +CYREG_LUT1_MX EQU 0x4000584b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_BASE +CYDEV_ANAIF_CFG_LUT2_BASE EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_SIZE +CYDEV_ANAIF_CFG_LUT2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT2_CR +CYREG_LUT2_CR EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYREG_LUT2_MX +CYREG_LUT2_MX EQU 0x4000584d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_BASE +CYDEV_ANAIF_CFG_LUT3_BASE EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_SIZE +CYDEV_ANAIF_CFG_LUT3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT3_CR +CYREG_LUT3_CR EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYREG_LUT3_MX +CYREG_LUT3_MX EQU 0x4000584f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_BASE +CYDEV_ANAIF_CFG_OPAMP0_BASE EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_SIZE +CYDEV_ANAIF_CFG_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_CR +CYREG_OPAMP0_CR EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_RSVD +CYREG_OPAMP0_RSVD EQU 0x40005859 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_BASE +CYDEV_ANAIF_CFG_OPAMP1_BASE EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_SIZE +CYDEV_ANAIF_CFG_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_CR +CYREG_OPAMP1_CR EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_RSVD +CYREG_OPAMP1_RSVD EQU 0x4000585b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_BASE +CYDEV_ANAIF_CFG_OPAMP2_BASE EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_SIZE +CYDEV_ANAIF_CFG_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_CR +CYREG_OPAMP2_CR EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_RSVD +CYREG_OPAMP2_RSVD EQU 0x4000585d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_BASE +CYDEV_ANAIF_CFG_OPAMP3_BASE EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_SIZE +CYDEV_ANAIF_CFG_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_CR +CYREG_OPAMP3_CR EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_RSVD +CYREG_OPAMP3_RSVD EQU 0x4000585f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_BASE +CYDEV_ANAIF_CFG_LCDDAC_BASE EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_SIZE +CYDEV_ANAIF_CFG_LCDDAC_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_CR0 +CYREG_LCDDAC_CR0 EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_CR1 +CYREG_LCDDAC_CR1 EQU 0x40005869 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_BASE +CYDEV_ANAIF_CFG_LCDDRV_BASE EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_SIZE +CYDEV_ANAIF_CFG_LCDDRV_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_LCDDRV_CR +CYREG_LCDDRV_CR EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_BASE +CYDEV_ANAIF_CFG_LCDTMR_BASE EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_SIZE +CYDEV_ANAIF_CFG_LCDTMR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_LCDTMR_CFG +CYREG_LCDTMR_CFG EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_BASE +CYDEV_ANAIF_CFG_BG_BASE EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_SIZE +CYDEV_ANAIF_CFG_BG_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_BG_CR0 +CYREG_BG_CR0 EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYREG_BG_RSVD +CYREG_BG_RSVD EQU 0x4000586d + ENDIF + IF :LNOT::DEF:CYREG_BG_DFT0 +CYREG_BG_DFT0 EQU 0x4000586e + ENDIF + IF :LNOT::DEF:CYREG_BG_DFT1 +CYREG_BG_DFT1 EQU 0x4000586f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_BASE +CYDEV_ANAIF_CFG_CAPSL_BASE EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_SIZE +CYDEV_ANAIF_CFG_CAPSL_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CAPSL_CFG0 +CYREG_CAPSL_CFG0 EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYREG_CAPSL_CFG1 +CYREG_CAPSL_CFG1 EQU 0x40005871 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_BASE +CYDEV_ANAIF_CFG_CAPSR_BASE EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_SIZE +CYDEV_ANAIF_CFG_CAPSR_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CAPSR_CFG0 +CYREG_CAPSR_CFG0 EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYREG_CAPSR_CFG1 +CYREG_CAPSR_CFG1 EQU 0x40005873 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_BASE +CYDEV_ANAIF_CFG_PUMP_BASE EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_SIZE +CYDEV_ANAIF_CFG_PUMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_PUMP_CR0 +CYREG_PUMP_CR0 EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYREG_PUMP_CR1 +CYREG_PUMP_CR1 EQU 0x40005877 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_BASE +CYDEV_ANAIF_CFG_LPF0_BASE EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_SIZE +CYDEV_ANAIF_CFG_LPF0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LPF0_CR0 +CYREG_LPF0_CR0 EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYREG_LPF0_RSVD +CYREG_LPF0_RSVD EQU 0x40005879 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_BASE +CYDEV_ANAIF_CFG_LPF1_BASE EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_SIZE +CYDEV_ANAIF_CFG_LPF1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LPF1_CR0 +CYREG_LPF1_CR0 EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYREG_LPF1_RSVD +CYREG_LPF1_RSVD EQU 0x4000587b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_BASE +CYDEV_ANAIF_CFG_MISC_BASE EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_SIZE +CYDEV_ANAIF_CFG_MISC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_ANAIF_CFG_MISC_CR0 +CYREG_ANAIF_CFG_MISC_CR0 EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BASE +CYDEV_ANAIF_CFG_DSM0_BASE EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_SIZE +CYDEV_ANAIF_CFG_DSM0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR0 +CYREG_DSM0_CR0 EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR1 +CYREG_DSM0_CR1 EQU 0x40005881 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR2 +CYREG_DSM0_CR2 EQU 0x40005882 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR3 +CYREG_DSM0_CR3 EQU 0x40005883 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR4 +CYREG_DSM0_CR4 EQU 0x40005884 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR5 +CYREG_DSM0_CR5 EQU 0x40005885 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR6 +CYREG_DSM0_CR6 EQU 0x40005886 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR7 +CYREG_DSM0_CR7 EQU 0x40005887 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR8 +CYREG_DSM0_CR8 EQU 0x40005888 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR9 +CYREG_DSM0_CR9 EQU 0x40005889 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR10 +CYREG_DSM0_CR10 EQU 0x4000588a + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR11 +CYREG_DSM0_CR11 EQU 0x4000588b + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR12 +CYREG_DSM0_CR12 EQU 0x4000588c + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR13 +CYREG_DSM0_CR13 EQU 0x4000588d + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR14 +CYREG_DSM0_CR14 EQU 0x4000588e + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR15 +CYREG_DSM0_CR15 EQU 0x4000588f + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR16 +CYREG_DSM0_CR16 EQU 0x40005890 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR17 +CYREG_DSM0_CR17 EQU 0x40005891 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF0 +CYREG_DSM0_REF0 EQU 0x40005892 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF1 +CYREG_DSM0_REF1 EQU 0x40005893 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF2 +CYREG_DSM0_REF2 EQU 0x40005894 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF3 +CYREG_DSM0_REF3 EQU 0x40005895 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_DEM0 +CYREG_DSM0_DEM0 EQU 0x40005896 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_DEM1 +CYREG_DSM0_DEM1 EQU 0x40005897 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_TST0 +CYREG_DSM0_TST0 EQU 0x40005898 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_TST1 +CYREG_DSM0_TST1 EQU 0x40005899 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF0 +CYREG_DSM0_BUF0 EQU 0x4000589a + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF1 +CYREG_DSM0_BUF1 EQU 0x4000589b + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF2 +CYREG_DSM0_BUF2 EQU 0x4000589c + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF3 +CYREG_DSM0_BUF3 EQU 0x4000589d + ENDIF + IF :LNOT::DEF:CYREG_DSM0_MISC +CYREG_DSM0_MISC EQU 0x4000589e + ENDIF + IF :LNOT::DEF:CYREG_DSM0_RSVD1 +CYREG_DSM0_RSVD1 EQU 0x4000589f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_BASE +CYDEV_ANAIF_CFG_SAR0_BASE EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_SIZE +CYDEV_ANAIF_CFG_SAR0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR0 +CYREG_SAR0_CSR0 EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR1 +CYREG_SAR0_CSR1 EQU 0x40005901 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR2 +CYREG_SAR0_CSR2 EQU 0x40005902 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR3 +CYREG_SAR0_CSR3 EQU 0x40005903 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR4 +CYREG_SAR0_CSR4 EQU 0x40005904 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR5 +CYREG_SAR0_CSR5 EQU 0x40005905 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR6 +CYREG_SAR0_CSR6 EQU 0x40005906 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_BASE +CYDEV_ANAIF_CFG_SAR1_BASE EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_SIZE +CYDEV_ANAIF_CFG_SAR1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR0 +CYREG_SAR1_CSR0 EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR1 +CYREG_SAR1_CSR1 EQU 0x40005909 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR2 +CYREG_SAR1_CSR2 EQU 0x4000590a + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR3 +CYREG_SAR1_CSR3 EQU 0x4000590b + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR4 +CYREG_SAR1_CSR4 EQU 0x4000590c + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR5 +CYREG_SAR1_CSR5 EQU 0x4000590d + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR6 +CYREG_SAR1_CSR6 EQU 0x4000590e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BASE +CYDEV_ANAIF_RT_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SIZE +CYDEV_ANAIF_RT_SIZE EQU 0x00000162 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BASE +CYDEV_ANAIF_RT_SC0_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SIZE +CYDEV_ANAIF_RT_SC0_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW0 +CYREG_SC0_SW0 EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW2 +CYREG_SC0_SW2 EQU 0x40005a02 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW3 +CYREG_SC0_SW3 EQU 0x40005a03 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW4 +CYREG_SC0_SW4 EQU 0x40005a04 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW6 +CYREG_SC0_SW6 EQU 0x40005a06 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW7 +CYREG_SC0_SW7 EQU 0x40005a07 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW8 +CYREG_SC0_SW8 EQU 0x40005a08 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW10 +CYREG_SC0_SW10 EQU 0x40005a0a + ENDIF + IF :LNOT::DEF:CYREG_SC0_CLK +CYREG_SC0_CLK EQU 0x40005a0b + ENDIF + IF :LNOT::DEF:CYREG_SC0_BST +CYREG_SC0_BST EQU 0x40005a0c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BASE +CYDEV_ANAIF_RT_SC1_BASE EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SIZE +CYDEV_ANAIF_RT_SC1_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW0 +CYREG_SC1_SW0 EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW2 +CYREG_SC1_SW2 EQU 0x40005a12 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW3 +CYREG_SC1_SW3 EQU 0x40005a13 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW4 +CYREG_SC1_SW4 EQU 0x40005a14 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW6 +CYREG_SC1_SW6 EQU 0x40005a16 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW7 +CYREG_SC1_SW7 EQU 0x40005a17 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW8 +CYREG_SC1_SW8 EQU 0x40005a18 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW10 +CYREG_SC1_SW10 EQU 0x40005a1a + ENDIF + IF :LNOT::DEF:CYREG_SC1_CLK +CYREG_SC1_CLK EQU 0x40005a1b + ENDIF + IF :LNOT::DEF:CYREG_SC1_BST +CYREG_SC1_BST EQU 0x40005a1c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BASE +CYDEV_ANAIF_RT_SC2_BASE EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SIZE +CYDEV_ANAIF_RT_SC2_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW0 +CYREG_SC2_SW0 EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW2 +CYREG_SC2_SW2 EQU 0x40005a22 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW3 +CYREG_SC2_SW3 EQU 0x40005a23 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW4 +CYREG_SC2_SW4 EQU 0x40005a24 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW6 +CYREG_SC2_SW6 EQU 0x40005a26 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW7 +CYREG_SC2_SW7 EQU 0x40005a27 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW8 +CYREG_SC2_SW8 EQU 0x40005a28 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW10 +CYREG_SC2_SW10 EQU 0x40005a2a + ENDIF + IF :LNOT::DEF:CYREG_SC2_CLK +CYREG_SC2_CLK EQU 0x40005a2b + ENDIF + IF :LNOT::DEF:CYREG_SC2_BST +CYREG_SC2_BST EQU 0x40005a2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BASE +CYDEV_ANAIF_RT_SC3_BASE EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SIZE +CYDEV_ANAIF_RT_SC3_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW0 +CYREG_SC3_SW0 EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW2 +CYREG_SC3_SW2 EQU 0x40005a32 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW3 +CYREG_SC3_SW3 EQU 0x40005a33 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW4 +CYREG_SC3_SW4 EQU 0x40005a34 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW6 +CYREG_SC3_SW6 EQU 0x40005a36 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW7 +CYREG_SC3_SW7 EQU 0x40005a37 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW8 +CYREG_SC3_SW8 EQU 0x40005a38 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW10 +CYREG_SC3_SW10 EQU 0x40005a3a + ENDIF + IF :LNOT::DEF:CYREG_SC3_CLK +CYREG_SC3_CLK EQU 0x40005a3b + ENDIF + IF :LNOT::DEF:CYREG_SC3_BST +CYREG_SC3_BST EQU 0x40005a3c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_BASE +CYDEV_ANAIF_RT_DAC0_BASE EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SIZE +CYDEV_ANAIF_RT_DAC0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW0 +CYREG_DAC0_SW0 EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW2 +CYREG_DAC0_SW2 EQU 0x40005a82 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW3 +CYREG_DAC0_SW3 EQU 0x40005a83 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW4 +CYREG_DAC0_SW4 EQU 0x40005a84 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_STROBE +CYREG_DAC0_STROBE EQU 0x40005a87 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_BASE +CYDEV_ANAIF_RT_DAC1_BASE EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SIZE +CYDEV_ANAIF_RT_DAC1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW0 +CYREG_DAC1_SW0 EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW2 +CYREG_DAC1_SW2 EQU 0x40005a8a + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW3 +CYREG_DAC1_SW3 EQU 0x40005a8b + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW4 +CYREG_DAC1_SW4 EQU 0x40005a8c + ENDIF + IF :LNOT::DEF:CYREG_DAC1_STROBE +CYREG_DAC1_STROBE EQU 0x40005a8f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_BASE +CYDEV_ANAIF_RT_DAC2_BASE EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SIZE +CYDEV_ANAIF_RT_DAC2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW0 +CYREG_DAC2_SW0 EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW2 +CYREG_DAC2_SW2 EQU 0x40005a92 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW3 +CYREG_DAC2_SW3 EQU 0x40005a93 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW4 +CYREG_DAC2_SW4 EQU 0x40005a94 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_STROBE +CYREG_DAC2_STROBE EQU 0x40005a97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_BASE +CYDEV_ANAIF_RT_DAC3_BASE EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SIZE +CYDEV_ANAIF_RT_DAC3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW0 +CYREG_DAC3_SW0 EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW2 +CYREG_DAC3_SW2 EQU 0x40005a9a + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW3 +CYREG_DAC3_SW3 EQU 0x40005a9b + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW4 +CYREG_DAC3_SW4 EQU 0x40005a9c + ENDIF + IF :LNOT::DEF:CYREG_DAC3_STROBE +CYREG_DAC3_STROBE EQU 0x40005a9f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_BASE +CYDEV_ANAIF_RT_CMP0_BASE EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SIZE +CYDEV_ANAIF_RT_CMP0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW0 +CYREG_CMP0_SW0 EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW2 +CYREG_CMP0_SW2 EQU 0x40005ac2 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW3 +CYREG_CMP0_SW3 EQU 0x40005ac3 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW4 +CYREG_CMP0_SW4 EQU 0x40005ac4 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW6 +CYREG_CMP0_SW6 EQU 0x40005ac6 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_CLK +CYREG_CMP0_CLK EQU 0x40005ac7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_BASE +CYDEV_ANAIF_RT_CMP1_BASE EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SIZE +CYDEV_ANAIF_RT_CMP1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW0 +CYREG_CMP1_SW0 EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW2 +CYREG_CMP1_SW2 EQU 0x40005aca + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW3 +CYREG_CMP1_SW3 EQU 0x40005acb + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW4 +CYREG_CMP1_SW4 EQU 0x40005acc + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW6 +CYREG_CMP1_SW6 EQU 0x40005ace + ENDIF + IF :LNOT::DEF:CYREG_CMP1_CLK +CYREG_CMP1_CLK EQU 0x40005acf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_BASE +CYDEV_ANAIF_RT_CMP2_BASE EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SIZE +CYDEV_ANAIF_RT_CMP2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW0 +CYREG_CMP2_SW0 EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW2 +CYREG_CMP2_SW2 EQU 0x40005ad2 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW3 +CYREG_CMP2_SW3 EQU 0x40005ad3 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW4 +CYREG_CMP2_SW4 EQU 0x40005ad4 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW6 +CYREG_CMP2_SW6 EQU 0x40005ad6 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_CLK +CYREG_CMP2_CLK EQU 0x40005ad7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_BASE +CYDEV_ANAIF_RT_CMP3_BASE EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SIZE +CYDEV_ANAIF_RT_CMP3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW0 +CYREG_CMP3_SW0 EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW2 +CYREG_CMP3_SW2 EQU 0x40005ada + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW3 +CYREG_CMP3_SW3 EQU 0x40005adb + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW4 +CYREG_CMP3_SW4 EQU 0x40005adc + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW6 +CYREG_CMP3_SW6 EQU 0x40005ade + ENDIF + IF :LNOT::DEF:CYREG_CMP3_CLK +CYREG_CMP3_CLK EQU 0x40005adf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_BASE +CYDEV_ANAIF_RT_DSM0_BASE EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SIZE +CYDEV_ANAIF_RT_DSM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW0 +CYREG_DSM0_SW0 EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW2 +CYREG_DSM0_SW2 EQU 0x40005b02 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW3 +CYREG_DSM0_SW3 EQU 0x40005b03 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW4 +CYREG_DSM0_SW4 EQU 0x40005b04 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW6 +CYREG_DSM0_SW6 EQU 0x40005b06 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CLK +CYREG_DSM0_CLK EQU 0x40005b07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_BASE +CYDEV_ANAIF_RT_SAR0_BASE EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SIZE +CYDEV_ANAIF_RT_SAR0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW0 +CYREG_SAR0_SW0 EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW2 +CYREG_SAR0_SW2 EQU 0x40005b22 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW3 +CYREG_SAR0_SW3 EQU 0x40005b23 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW4 +CYREG_SAR0_SW4 EQU 0x40005b24 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW6 +CYREG_SAR0_SW6 EQU 0x40005b26 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CLK +CYREG_SAR0_CLK EQU 0x40005b27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_BASE +CYDEV_ANAIF_RT_SAR1_BASE EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SIZE +CYDEV_ANAIF_RT_SAR1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW0 +CYREG_SAR1_SW0 EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW2 +CYREG_SAR1_SW2 EQU 0x40005b2a + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW3 +CYREG_SAR1_SW3 EQU 0x40005b2b + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW4 +CYREG_SAR1_SW4 EQU 0x40005b2c + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW6 +CYREG_SAR1_SW6 EQU 0x40005b2e + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CLK +CYREG_SAR1_CLK EQU 0x40005b2f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_BASE +CYDEV_ANAIF_RT_OPAMP0_BASE EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SIZE +CYDEV_ANAIF_RT_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_MX +CYREG_OPAMP0_MX EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_SW +CYREG_OPAMP0_SW EQU 0x40005b41 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_BASE +CYDEV_ANAIF_RT_OPAMP1_BASE EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SIZE +CYDEV_ANAIF_RT_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_MX +CYREG_OPAMP1_MX EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_SW +CYREG_OPAMP1_SW EQU 0x40005b43 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_BASE +CYDEV_ANAIF_RT_OPAMP2_BASE EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SIZE +CYDEV_ANAIF_RT_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_MX +CYREG_OPAMP2_MX EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_SW +CYREG_OPAMP2_SW EQU 0x40005b45 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_BASE +CYDEV_ANAIF_RT_OPAMP3_BASE EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SIZE +CYDEV_ANAIF_RT_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_MX +CYREG_OPAMP3_MX EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_SW +CYREG_OPAMP3_SW EQU 0x40005b47 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_BASE +CYDEV_ANAIF_RT_LCDDAC_BASE EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SIZE +CYDEV_ANAIF_RT_LCDDAC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW0 +CYREG_LCDDAC_SW0 EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW1 +CYREG_LCDDAC_SW1 EQU 0x40005b51 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW2 +CYREG_LCDDAC_SW2 EQU 0x40005b52 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW3 +CYREG_LCDDAC_SW3 EQU 0x40005b53 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW4 +CYREG_LCDDAC_SW4 EQU 0x40005b54 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_BASE +CYDEV_ANAIF_RT_SC_BASE EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_SIZE +CYDEV_ANAIF_RT_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SC_MISC +CYREG_SC_MISC EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_BASE +CYDEV_ANAIF_RT_BUS_BASE EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SIZE +CYDEV_ANAIF_RT_BUS_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW0 +CYREG_BUS_SW0 EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW2 +CYREG_BUS_SW2 EQU 0x40005b5a + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW3 +CYREG_BUS_SW3 EQU 0x40005b5b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_BASE +CYDEV_ANAIF_RT_DFT_BASE EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_SIZE +CYDEV_ANAIF_RT_DFT_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR0 +CYREG_DFT_CR0 EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR1 +CYREG_DFT_CR1 EQU 0x40005b5d + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR2 +CYREG_DFT_CR2 EQU 0x40005b5e + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR3 +CYREG_DFT_CR3 EQU 0x40005b5f + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR4 +CYREG_DFT_CR4 EQU 0x40005b60 + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR5 +CYREG_DFT_CR5 EQU 0x40005b61 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_BASE +CYDEV_ANAIF_WRK_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SIZE +CYDEV_ANAIF_WRK_SIZE EQU 0x00000029 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_BASE +CYDEV_ANAIF_WRK_DAC0_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_SIZE +CYDEV_ANAIF_WRK_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_D +CYREG_DAC0_D EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_BASE +CYDEV_ANAIF_WRK_DAC1_BASE EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_SIZE +CYDEV_ANAIF_WRK_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_D +CYREG_DAC1_D EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_BASE +CYDEV_ANAIF_WRK_DAC2_BASE EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_SIZE +CYDEV_ANAIF_WRK_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_D +CYREG_DAC2_D EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_BASE +CYDEV_ANAIF_WRK_DAC3_BASE EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_SIZE +CYDEV_ANAIF_WRK_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_D +CYREG_DAC3_D EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_BASE +CYDEV_ANAIF_WRK_DSM0_BASE EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_SIZE +CYDEV_ANAIF_WRK_DSM0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_OUT0 +CYREG_DSM0_OUT0 EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_OUT1 +CYREG_DSM0_OUT1 EQU 0x40005b89 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_BASE +CYDEV_ANAIF_WRK_LUT_BASE EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SIZE +CYDEV_ANAIF_WRK_LUT_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_LUT_SR +CYREG_LUT_SR EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYREG_LUT_WRK1 +CYREG_LUT_WRK1 EQU 0x40005b91 + ENDIF + IF :LNOT::DEF:CYREG_LUT_MSK +CYREG_LUT_MSK EQU 0x40005b92 + ENDIF + IF :LNOT::DEF:CYREG_LUT_CLK +CYREG_LUT_CLK EQU 0x40005b93 + ENDIF + IF :LNOT::DEF:CYREG_LUT_CPTR +CYREG_LUT_CPTR EQU 0x40005b94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_BASE +CYDEV_ANAIF_WRK_CMP_BASE EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_SIZE +CYDEV_ANAIF_WRK_CMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP_WRK +CYREG_CMP_WRK EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYREG_CMP_TST +CYREG_CMP_TST EQU 0x40005b97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_BASE +CYDEV_ANAIF_WRK_SC_BASE EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SIZE +CYDEV_ANAIF_WRK_SC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_SC_SR +CYREG_SC_SR EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYREG_SC_WRK1 +CYREG_SC_WRK1 EQU 0x40005b99 + ENDIF + IF :LNOT::DEF:CYREG_SC_MSK +CYREG_SC_MSK EQU 0x40005b9a + ENDIF + IF :LNOT::DEF:CYREG_SC_CMPINV +CYREG_SC_CMPINV EQU 0x40005b9b + ENDIF + IF :LNOT::DEF:CYREG_SC_CPTR +CYREG_SC_CPTR EQU 0x40005b9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_BASE +CYDEV_ANAIF_WRK_SAR0_BASE EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_SIZE +CYDEV_ANAIF_WRK_SAR0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_WRK0 +CYREG_SAR0_WRK0 EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_WRK1 +CYREG_SAR0_WRK1 EQU 0x40005ba1 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_BASE +CYDEV_ANAIF_WRK_SAR1_BASE EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_SIZE +CYDEV_ANAIF_WRK_SAR1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_WRK0 +CYREG_SAR1_WRK0 EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_WRK1 +CYREG_SAR1_WRK1 EQU 0x40005ba3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_BASE +CYDEV_ANAIF_WRK_SARS_BASE EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SIZE +CYDEV_ANAIF_WRK_SARS_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_ANAIF_WRK_SARS_SOF +CYREG_ANAIF_WRK_SARS_SOF EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BASE +CYDEV_USB_BASE EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIZE +CYDEV_USB_SIZE EQU 0x00000300 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR0 +CYREG_USB_EP0_DR0 EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR1 +CYREG_USB_EP0_DR1 EQU 0x40006001 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR2 +CYREG_USB_EP0_DR2 EQU 0x40006002 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR3 +CYREG_USB_EP0_DR3 EQU 0x40006003 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR4 +CYREG_USB_EP0_DR4 EQU 0x40006004 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR5 +CYREG_USB_EP0_DR5 EQU 0x40006005 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR6 +CYREG_USB_EP0_DR6 EQU 0x40006006 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR7 +CYREG_USB_EP0_DR7 EQU 0x40006007 + ENDIF + IF :LNOT::DEF:CYREG_USB_CR0 +CYREG_USB_CR0 EQU 0x40006008 + ENDIF + IF :LNOT::DEF:CYREG_USB_CR1 +CYREG_USB_CR1 EQU 0x40006009 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP_INT_EN +CYREG_USB_SIE_EP_INT_EN EQU 0x4000600a + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP_INT_SR +CYREG_USB_SIE_EP_INT_SR EQU 0x4000600b + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_BASE +CYDEV_USB_SIE_EP1_BASE EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_SIZE +CYDEV_USB_SIE_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CNT0 +CYREG_USB_SIE_EP1_CNT0 EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CNT1 +CYREG_USB_SIE_EP1_CNT1 EQU 0x4000600d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CR0 +CYREG_USB_SIE_EP1_CR0 EQU 0x4000600e + ENDIF + IF :LNOT::DEF:CYREG_USB_USBIO_CR0 +CYREG_USB_USBIO_CR0 EQU 0x40006010 + ENDIF + IF :LNOT::DEF:CYREG_USB_USBIO_CR1 +CYREG_USB_USBIO_CR1 EQU 0x40006012 + ENDIF + IF :LNOT::DEF:CYREG_USB_DYN_RECONFIG +CYREG_USB_DYN_RECONFIG EQU 0x40006014 + ENDIF + IF :LNOT::DEF:CYREG_USB_SOF0 +CYREG_USB_SOF0 EQU 0x40006018 + ENDIF + IF :LNOT::DEF:CYREG_USB_SOF1 +CYREG_USB_SOF1 EQU 0x40006019 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_BASE +CYDEV_USB_SIE_EP2_BASE EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_SIZE +CYDEV_USB_SIE_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CNT0 +CYREG_USB_SIE_EP2_CNT0 EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CNT1 +CYREG_USB_SIE_EP2_CNT1 EQU 0x4000601d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CR0 +CYREG_USB_SIE_EP2_CR0 EQU 0x4000601e + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_CR +CYREG_USB_EP0_CR EQU 0x40006028 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_CNT +CYREG_USB_EP0_CNT EQU 0x40006029 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_BASE +CYDEV_USB_SIE_EP3_BASE EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_SIZE +CYDEV_USB_SIE_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CNT0 +CYREG_USB_SIE_EP3_CNT0 EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CNT1 +CYREG_USB_SIE_EP3_CNT1 EQU 0x4000602d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CR0 +CYREG_USB_SIE_EP3_CR0 EQU 0x4000602e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_BASE +CYDEV_USB_SIE_EP4_BASE EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_SIZE +CYDEV_USB_SIE_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CNT0 +CYREG_USB_SIE_EP4_CNT0 EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CNT1 +CYREG_USB_SIE_EP4_CNT1 EQU 0x4000603d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CR0 +CYREG_USB_SIE_EP4_CR0 EQU 0x4000603e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_BASE +CYDEV_USB_SIE_EP5_BASE EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_SIZE +CYDEV_USB_SIE_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CNT0 +CYREG_USB_SIE_EP5_CNT0 EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CNT1 +CYREG_USB_SIE_EP5_CNT1 EQU 0x4000604d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CR0 +CYREG_USB_SIE_EP5_CR0 EQU 0x4000604e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_BASE +CYDEV_USB_SIE_EP6_BASE EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_SIZE +CYDEV_USB_SIE_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CNT0 +CYREG_USB_SIE_EP6_CNT0 EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CNT1 +CYREG_USB_SIE_EP6_CNT1 EQU 0x4000605d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CR0 +CYREG_USB_SIE_EP6_CR0 EQU 0x4000605e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_BASE +CYDEV_USB_SIE_EP7_BASE EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_SIZE +CYDEV_USB_SIE_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CNT0 +CYREG_USB_SIE_EP7_CNT0 EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CNT1 +CYREG_USB_SIE_EP7_CNT1 EQU 0x4000606d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CR0 +CYREG_USB_SIE_EP7_CR0 EQU 0x4000606e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_BASE +CYDEV_USB_SIE_EP8_BASE EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_SIZE +CYDEV_USB_SIE_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CNT0 +CYREG_USB_SIE_EP8_CNT0 EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CNT1 +CYREG_USB_SIE_EP8_CNT1 EQU 0x4000607d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CR0 +CYREG_USB_SIE_EP8_CR0 EQU 0x4000607e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_BASE +CYDEV_USB_ARB_EP1_BASE EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SIZE +CYDEV_USB_ARB_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_CFG +CYREG_USB_ARB_EP1_CFG EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_INT_EN +CYREG_USB_ARB_EP1_INT_EN EQU 0x40006081 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_SR +CYREG_USB_ARB_EP1_SR EQU 0x40006082 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_BASE +CYDEV_USB_ARB_RW1_BASE EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_SIZE +CYDEV_USB_ARB_RW1_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_WA +CYREG_USB_ARB_RW1_WA EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_WA_MSB +CYREG_USB_ARB_RW1_WA_MSB EQU 0x40006085 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_RA +CYREG_USB_ARB_RW1_RA EQU 0x40006086 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_RA_MSB +CYREG_USB_ARB_RW1_RA_MSB EQU 0x40006087 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_DR +CYREG_USB_ARB_RW1_DR EQU 0x40006088 + ENDIF + IF :LNOT::DEF:CYREG_USB_BUF_SIZE +CYREG_USB_BUF_SIZE EQU 0x4000608c + ENDIF + IF :LNOT::DEF:CYREG_USB_EP_ACTIVE +CYREG_USB_EP_ACTIVE EQU 0x4000608e + ENDIF + IF :LNOT::DEF:CYREG_USB_EP_TYPE +CYREG_USB_EP_TYPE EQU 0x4000608f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_BASE +CYDEV_USB_ARB_EP2_BASE EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SIZE +CYDEV_USB_ARB_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_CFG +CYREG_USB_ARB_EP2_CFG EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_INT_EN +CYREG_USB_ARB_EP2_INT_EN EQU 0x40006091 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_SR +CYREG_USB_ARB_EP2_SR EQU 0x40006092 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_BASE +CYDEV_USB_ARB_RW2_BASE EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_SIZE +CYDEV_USB_ARB_RW2_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_WA +CYREG_USB_ARB_RW2_WA EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_WA_MSB +CYREG_USB_ARB_RW2_WA_MSB EQU 0x40006095 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_RA +CYREG_USB_ARB_RW2_RA EQU 0x40006096 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_RA_MSB +CYREG_USB_ARB_RW2_RA_MSB EQU 0x40006097 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_DR +CYREG_USB_ARB_RW2_DR EQU 0x40006098 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_CFG +CYREG_USB_ARB_CFG EQU 0x4000609c + ENDIF + IF :LNOT::DEF:CYREG_USB_USB_CLK_EN +CYREG_USB_USB_CLK_EN EQU 0x4000609d + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_INT_EN +CYREG_USB_ARB_INT_EN EQU 0x4000609e + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_INT_SR +CYREG_USB_ARB_INT_SR EQU 0x4000609f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_BASE +CYDEV_USB_ARB_EP3_BASE EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SIZE +CYDEV_USB_ARB_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_CFG +CYREG_USB_ARB_EP3_CFG EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_INT_EN +CYREG_USB_ARB_EP3_INT_EN EQU 0x400060a1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_SR +CYREG_USB_ARB_EP3_SR EQU 0x400060a2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_BASE +CYDEV_USB_ARB_RW3_BASE EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_SIZE +CYDEV_USB_ARB_RW3_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_WA +CYREG_USB_ARB_RW3_WA EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_WA_MSB +CYREG_USB_ARB_RW3_WA_MSB EQU 0x400060a5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_RA +CYREG_USB_ARB_RW3_RA EQU 0x400060a6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_RA_MSB +CYREG_USB_ARB_RW3_RA_MSB EQU 0x400060a7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_DR +CYREG_USB_ARB_RW3_DR EQU 0x400060a8 + ENDIF + IF :LNOT::DEF:CYREG_USB_CWA +CYREG_USB_CWA EQU 0x400060ac + ENDIF + IF :LNOT::DEF:CYREG_USB_CWA_MSB +CYREG_USB_CWA_MSB EQU 0x400060ad + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_BASE +CYDEV_USB_ARB_EP4_BASE EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SIZE +CYDEV_USB_ARB_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_CFG +CYREG_USB_ARB_EP4_CFG EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_INT_EN +CYREG_USB_ARB_EP4_INT_EN EQU 0x400060b1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_SR +CYREG_USB_ARB_EP4_SR EQU 0x400060b2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_BASE +CYDEV_USB_ARB_RW4_BASE EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_SIZE +CYDEV_USB_ARB_RW4_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_WA +CYREG_USB_ARB_RW4_WA EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_WA_MSB +CYREG_USB_ARB_RW4_WA_MSB EQU 0x400060b5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_RA +CYREG_USB_ARB_RW4_RA EQU 0x400060b6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_RA_MSB +CYREG_USB_ARB_RW4_RA_MSB EQU 0x400060b7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_DR +CYREG_USB_ARB_RW4_DR EQU 0x400060b8 + ENDIF + IF :LNOT::DEF:CYREG_USB_DMA_THRES +CYREG_USB_DMA_THRES EQU 0x400060bc + ENDIF + IF :LNOT::DEF:CYREG_USB_DMA_THRES_MSB +CYREG_USB_DMA_THRES_MSB EQU 0x400060bd + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_BASE +CYDEV_USB_ARB_EP5_BASE EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SIZE +CYDEV_USB_ARB_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_CFG +CYREG_USB_ARB_EP5_CFG EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_INT_EN +CYREG_USB_ARB_EP5_INT_EN EQU 0x400060c1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_SR +CYREG_USB_ARB_EP5_SR EQU 0x400060c2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_BASE +CYDEV_USB_ARB_RW5_BASE EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_SIZE +CYDEV_USB_ARB_RW5_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_WA +CYREG_USB_ARB_RW5_WA EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_WA_MSB +CYREG_USB_ARB_RW5_WA_MSB EQU 0x400060c5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_RA +CYREG_USB_ARB_RW5_RA EQU 0x400060c6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_RA_MSB +CYREG_USB_ARB_RW5_RA_MSB EQU 0x400060c7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_DR +CYREG_USB_ARB_RW5_DR EQU 0x400060c8 + ENDIF + IF :LNOT::DEF:CYREG_USB_BUS_RST_CNT +CYREG_USB_BUS_RST_CNT EQU 0x400060cc + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_BASE +CYDEV_USB_ARB_EP6_BASE EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SIZE +CYDEV_USB_ARB_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_CFG +CYREG_USB_ARB_EP6_CFG EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_INT_EN +CYREG_USB_ARB_EP6_INT_EN EQU 0x400060d1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_SR +CYREG_USB_ARB_EP6_SR EQU 0x400060d2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_BASE +CYDEV_USB_ARB_RW6_BASE EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_SIZE +CYDEV_USB_ARB_RW6_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_WA +CYREG_USB_ARB_RW6_WA EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_WA_MSB +CYREG_USB_ARB_RW6_WA_MSB EQU 0x400060d5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_RA +CYREG_USB_ARB_RW6_RA EQU 0x400060d6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_RA_MSB +CYREG_USB_ARB_RW6_RA_MSB EQU 0x400060d7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_DR +CYREG_USB_ARB_RW6_DR EQU 0x400060d8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_BASE +CYDEV_USB_ARB_EP7_BASE EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SIZE +CYDEV_USB_ARB_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_CFG +CYREG_USB_ARB_EP7_CFG EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_INT_EN +CYREG_USB_ARB_EP7_INT_EN EQU 0x400060e1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_SR +CYREG_USB_ARB_EP7_SR EQU 0x400060e2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_BASE +CYDEV_USB_ARB_RW7_BASE EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_SIZE +CYDEV_USB_ARB_RW7_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_WA +CYREG_USB_ARB_RW7_WA EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_WA_MSB +CYREG_USB_ARB_RW7_WA_MSB EQU 0x400060e5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_RA +CYREG_USB_ARB_RW7_RA EQU 0x400060e6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_RA_MSB +CYREG_USB_ARB_RW7_RA_MSB EQU 0x400060e7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_DR +CYREG_USB_ARB_RW7_DR EQU 0x400060e8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_BASE +CYDEV_USB_ARB_EP8_BASE EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SIZE +CYDEV_USB_ARB_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_CFG +CYREG_USB_ARB_EP8_CFG EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_INT_EN +CYREG_USB_ARB_EP8_INT_EN EQU 0x400060f1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_SR +CYREG_USB_ARB_EP8_SR EQU 0x400060f2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_BASE +CYDEV_USB_ARB_RW8_BASE EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_SIZE +CYDEV_USB_ARB_RW8_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_WA +CYREG_USB_ARB_RW8_WA EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_WA_MSB +CYREG_USB_ARB_RW8_WA_MSB EQU 0x400060f5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_RA +CYREG_USB_ARB_RW8_RA EQU 0x400060f6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_RA_MSB +CYREG_USB_ARB_RW8_RA_MSB EQU 0x400060f7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_DR +CYREG_USB_ARB_RW8_DR EQU 0x400060f8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_BASE +CYDEV_USB_MEM_BASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_SIZE +CYDEV_USB_MEM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_USB_MEM_DATA_MBASE +CYREG_USB_MEM_DATA_MBASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYREG_USB_MEM_DATA_MSIZE +CYREG_USB_MEM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_BASE +CYDEV_UWRK_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_SIZE +CYDEV_UWRK_SIZE EQU 0x00000b60 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_BASE +CYDEV_UWRK_UWRK8_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_SIZE +CYDEV_UWRK_UWRK8_SIZE EQU 0x000003b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_BASE +CYDEV_UWRK_UWRK8_B0_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_SIZE +CYDEV_UWRK_UWRK8_B0_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A0 +CYREG_B0_UDB00_A0 EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A0 +CYREG_B0_UDB01_A0 EQU 0x40006401 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A0 +CYREG_B0_UDB02_A0 EQU 0x40006402 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A0 +CYREG_B0_UDB03_A0 EQU 0x40006403 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A0 +CYREG_B0_UDB04_A0 EQU 0x40006404 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A0 +CYREG_B0_UDB05_A0 EQU 0x40006405 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A0 +CYREG_B0_UDB06_A0 EQU 0x40006406 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A0 +CYREG_B0_UDB07_A0 EQU 0x40006407 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A0 +CYREG_B0_UDB08_A0 EQU 0x40006408 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A0 +CYREG_B0_UDB09_A0 EQU 0x40006409 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A0 +CYREG_B0_UDB10_A0 EQU 0x4000640a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A0 +CYREG_B0_UDB11_A0 EQU 0x4000640b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A0 +CYREG_B0_UDB12_A0 EQU 0x4000640c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A0 +CYREG_B0_UDB13_A0 EQU 0x4000640d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A0 +CYREG_B0_UDB14_A0 EQU 0x4000640e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A0 +CYREG_B0_UDB15_A0 EQU 0x4000640f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A1 +CYREG_B0_UDB00_A1 EQU 0x40006410 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A1 +CYREG_B0_UDB01_A1 EQU 0x40006411 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A1 +CYREG_B0_UDB02_A1 EQU 0x40006412 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A1 +CYREG_B0_UDB03_A1 EQU 0x40006413 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A1 +CYREG_B0_UDB04_A1 EQU 0x40006414 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A1 +CYREG_B0_UDB05_A1 EQU 0x40006415 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A1 +CYREG_B0_UDB06_A1 EQU 0x40006416 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A1 +CYREG_B0_UDB07_A1 EQU 0x40006417 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A1 +CYREG_B0_UDB08_A1 EQU 0x40006418 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A1 +CYREG_B0_UDB09_A1 EQU 0x40006419 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A1 +CYREG_B0_UDB10_A1 EQU 0x4000641a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A1 +CYREG_B0_UDB11_A1 EQU 0x4000641b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A1 +CYREG_B0_UDB12_A1 EQU 0x4000641c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A1 +CYREG_B0_UDB13_A1 EQU 0x4000641d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A1 +CYREG_B0_UDB14_A1 EQU 0x4000641e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A1 +CYREG_B0_UDB15_A1 EQU 0x4000641f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D0 +CYREG_B0_UDB00_D0 EQU 0x40006420 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D0 +CYREG_B0_UDB01_D0 EQU 0x40006421 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D0 +CYREG_B0_UDB02_D0 EQU 0x40006422 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D0 +CYREG_B0_UDB03_D0 EQU 0x40006423 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D0 +CYREG_B0_UDB04_D0 EQU 0x40006424 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D0 +CYREG_B0_UDB05_D0 EQU 0x40006425 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D0 +CYREG_B0_UDB06_D0 EQU 0x40006426 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D0 +CYREG_B0_UDB07_D0 EQU 0x40006427 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D0 +CYREG_B0_UDB08_D0 EQU 0x40006428 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D0 +CYREG_B0_UDB09_D0 EQU 0x40006429 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D0 +CYREG_B0_UDB10_D0 EQU 0x4000642a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D0 +CYREG_B0_UDB11_D0 EQU 0x4000642b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D0 +CYREG_B0_UDB12_D0 EQU 0x4000642c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D0 +CYREG_B0_UDB13_D0 EQU 0x4000642d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D0 +CYREG_B0_UDB14_D0 EQU 0x4000642e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D0 +CYREG_B0_UDB15_D0 EQU 0x4000642f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D1 +CYREG_B0_UDB00_D1 EQU 0x40006430 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D1 +CYREG_B0_UDB01_D1 EQU 0x40006431 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D1 +CYREG_B0_UDB02_D1 EQU 0x40006432 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D1 +CYREG_B0_UDB03_D1 EQU 0x40006433 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D1 +CYREG_B0_UDB04_D1 EQU 0x40006434 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D1 +CYREG_B0_UDB05_D1 EQU 0x40006435 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D1 +CYREG_B0_UDB06_D1 EQU 0x40006436 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D1 +CYREG_B0_UDB07_D1 EQU 0x40006437 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D1 +CYREG_B0_UDB08_D1 EQU 0x40006438 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D1 +CYREG_B0_UDB09_D1 EQU 0x40006439 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D1 +CYREG_B0_UDB10_D1 EQU 0x4000643a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D1 +CYREG_B0_UDB11_D1 EQU 0x4000643b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D1 +CYREG_B0_UDB12_D1 EQU 0x4000643c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D1 +CYREG_B0_UDB13_D1 EQU 0x4000643d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D1 +CYREG_B0_UDB14_D1 EQU 0x4000643e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D1 +CYREG_B0_UDB15_D1 EQU 0x4000643f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F0 +CYREG_B0_UDB00_F0 EQU 0x40006440 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F0 +CYREG_B0_UDB01_F0 EQU 0x40006441 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F0 +CYREG_B0_UDB02_F0 EQU 0x40006442 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F0 +CYREG_B0_UDB03_F0 EQU 0x40006443 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F0 +CYREG_B0_UDB04_F0 EQU 0x40006444 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F0 +CYREG_B0_UDB05_F0 EQU 0x40006445 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F0 +CYREG_B0_UDB06_F0 EQU 0x40006446 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F0 +CYREG_B0_UDB07_F0 EQU 0x40006447 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F0 +CYREG_B0_UDB08_F0 EQU 0x40006448 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F0 +CYREG_B0_UDB09_F0 EQU 0x40006449 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F0 +CYREG_B0_UDB10_F0 EQU 0x4000644a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F0 +CYREG_B0_UDB11_F0 EQU 0x4000644b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F0 +CYREG_B0_UDB12_F0 EQU 0x4000644c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F0 +CYREG_B0_UDB13_F0 EQU 0x4000644d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F0 +CYREG_B0_UDB14_F0 EQU 0x4000644e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F0 +CYREG_B0_UDB15_F0 EQU 0x4000644f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F1 +CYREG_B0_UDB00_F1 EQU 0x40006450 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F1 +CYREG_B0_UDB01_F1 EQU 0x40006451 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F1 +CYREG_B0_UDB02_F1 EQU 0x40006452 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F1 +CYREG_B0_UDB03_F1 EQU 0x40006453 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F1 +CYREG_B0_UDB04_F1 EQU 0x40006454 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F1 +CYREG_B0_UDB05_F1 EQU 0x40006455 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F1 +CYREG_B0_UDB06_F1 EQU 0x40006456 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F1 +CYREG_B0_UDB07_F1 EQU 0x40006457 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F1 +CYREG_B0_UDB08_F1 EQU 0x40006458 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F1 +CYREG_B0_UDB09_F1 EQU 0x40006459 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F1 +CYREG_B0_UDB10_F1 EQU 0x4000645a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F1 +CYREG_B0_UDB11_F1 EQU 0x4000645b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F1 +CYREG_B0_UDB12_F1 EQU 0x4000645c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F1 +CYREG_B0_UDB13_F1 EQU 0x4000645d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F1 +CYREG_B0_UDB14_F1 EQU 0x4000645e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F1 +CYREG_B0_UDB15_F1 EQU 0x4000645f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ST +CYREG_B0_UDB00_ST EQU 0x40006460 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ST +CYREG_B0_UDB01_ST EQU 0x40006461 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ST +CYREG_B0_UDB02_ST EQU 0x40006462 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ST +CYREG_B0_UDB03_ST EQU 0x40006463 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ST +CYREG_B0_UDB04_ST EQU 0x40006464 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ST +CYREG_B0_UDB05_ST EQU 0x40006465 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ST +CYREG_B0_UDB06_ST EQU 0x40006466 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ST +CYREG_B0_UDB07_ST EQU 0x40006467 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ST +CYREG_B0_UDB08_ST EQU 0x40006468 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ST +CYREG_B0_UDB09_ST EQU 0x40006469 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ST +CYREG_B0_UDB10_ST EQU 0x4000646a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ST +CYREG_B0_UDB11_ST EQU 0x4000646b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ST +CYREG_B0_UDB12_ST EQU 0x4000646c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ST +CYREG_B0_UDB13_ST EQU 0x4000646d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ST +CYREG_B0_UDB14_ST EQU 0x4000646e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ST +CYREG_B0_UDB15_ST EQU 0x4000646f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_CTL +CYREG_B0_UDB00_CTL EQU 0x40006470 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_CTL +CYREG_B0_UDB01_CTL EQU 0x40006471 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_CTL +CYREG_B0_UDB02_CTL EQU 0x40006472 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_CTL +CYREG_B0_UDB03_CTL EQU 0x40006473 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_CTL +CYREG_B0_UDB04_CTL EQU 0x40006474 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_CTL +CYREG_B0_UDB05_CTL EQU 0x40006475 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_CTL +CYREG_B0_UDB06_CTL EQU 0x40006476 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_CTL +CYREG_B0_UDB07_CTL EQU 0x40006477 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_CTL +CYREG_B0_UDB08_CTL EQU 0x40006478 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_CTL +CYREG_B0_UDB09_CTL EQU 0x40006479 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_CTL +CYREG_B0_UDB10_CTL EQU 0x4000647a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_CTL +CYREG_B0_UDB11_CTL EQU 0x4000647b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_CTL +CYREG_B0_UDB12_CTL EQU 0x4000647c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_CTL +CYREG_B0_UDB13_CTL EQU 0x4000647d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_CTL +CYREG_B0_UDB14_CTL EQU 0x4000647e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_CTL +CYREG_B0_UDB15_CTL EQU 0x4000647f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MSK +CYREG_B0_UDB00_MSK EQU 0x40006480 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MSK +CYREG_B0_UDB01_MSK EQU 0x40006481 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MSK +CYREG_B0_UDB02_MSK EQU 0x40006482 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MSK +CYREG_B0_UDB03_MSK EQU 0x40006483 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MSK +CYREG_B0_UDB04_MSK EQU 0x40006484 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MSK +CYREG_B0_UDB05_MSK EQU 0x40006485 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MSK +CYREG_B0_UDB06_MSK EQU 0x40006486 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MSK +CYREG_B0_UDB07_MSK EQU 0x40006487 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MSK +CYREG_B0_UDB08_MSK EQU 0x40006488 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MSK +CYREG_B0_UDB09_MSK EQU 0x40006489 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MSK +CYREG_B0_UDB10_MSK EQU 0x4000648a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MSK +CYREG_B0_UDB11_MSK EQU 0x4000648b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MSK +CYREG_B0_UDB12_MSK EQU 0x4000648c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MSK +CYREG_B0_UDB13_MSK EQU 0x4000648d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MSK +CYREG_B0_UDB14_MSK EQU 0x4000648e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MSK +CYREG_B0_UDB15_MSK EQU 0x4000648f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ACTL +CYREG_B0_UDB00_ACTL EQU 0x40006490 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ACTL +CYREG_B0_UDB01_ACTL EQU 0x40006491 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ACTL +CYREG_B0_UDB02_ACTL EQU 0x40006492 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ACTL +CYREG_B0_UDB03_ACTL EQU 0x40006493 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ACTL +CYREG_B0_UDB04_ACTL EQU 0x40006494 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ACTL +CYREG_B0_UDB05_ACTL EQU 0x40006495 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ACTL +CYREG_B0_UDB06_ACTL EQU 0x40006496 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ACTL +CYREG_B0_UDB07_ACTL EQU 0x40006497 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ACTL +CYREG_B0_UDB08_ACTL EQU 0x40006498 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ACTL +CYREG_B0_UDB09_ACTL EQU 0x40006499 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ACTL +CYREG_B0_UDB10_ACTL EQU 0x4000649a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ACTL +CYREG_B0_UDB11_ACTL EQU 0x4000649b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ACTL +CYREG_B0_UDB12_ACTL EQU 0x4000649c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ACTL +CYREG_B0_UDB13_ACTL EQU 0x4000649d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ACTL +CYREG_B0_UDB14_ACTL EQU 0x4000649e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ACTL +CYREG_B0_UDB15_ACTL EQU 0x4000649f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MC +CYREG_B0_UDB00_MC EQU 0x400064a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MC +CYREG_B0_UDB01_MC EQU 0x400064a1 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MC +CYREG_B0_UDB02_MC EQU 0x400064a2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MC +CYREG_B0_UDB03_MC EQU 0x400064a3 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MC +CYREG_B0_UDB04_MC EQU 0x400064a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MC +CYREG_B0_UDB05_MC EQU 0x400064a5 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MC +CYREG_B0_UDB06_MC EQU 0x400064a6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MC +CYREG_B0_UDB07_MC EQU 0x400064a7 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MC +CYREG_B0_UDB08_MC EQU 0x400064a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MC +CYREG_B0_UDB09_MC EQU 0x400064a9 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MC +CYREG_B0_UDB10_MC EQU 0x400064aa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MC +CYREG_B0_UDB11_MC EQU 0x400064ab + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MC +CYREG_B0_UDB12_MC EQU 0x400064ac + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MC +CYREG_B0_UDB13_MC EQU 0x400064ad + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MC +CYREG_B0_UDB14_MC EQU 0x400064ae + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MC +CYREG_B0_UDB15_MC EQU 0x400064af + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_BASE +CYDEV_UWRK_UWRK8_B1_BASE EQU 0x40006500 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_SIZE +CYDEV_UWRK_UWRK8_B1_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A0 +CYREG_B1_UDB04_A0 EQU 0x40006504 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A0 +CYREG_B1_UDB05_A0 EQU 0x40006505 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A0 +CYREG_B1_UDB06_A0 EQU 0x40006506 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A0 +CYREG_B1_UDB07_A0 EQU 0x40006507 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A0 +CYREG_B1_UDB08_A0 EQU 0x40006508 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A0 +CYREG_B1_UDB09_A0 EQU 0x40006509 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A0 +CYREG_B1_UDB10_A0 EQU 0x4000650a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A0 +CYREG_B1_UDB11_A0 EQU 0x4000650b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A1 +CYREG_B1_UDB04_A1 EQU 0x40006514 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A1 +CYREG_B1_UDB05_A1 EQU 0x40006515 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A1 +CYREG_B1_UDB06_A1 EQU 0x40006516 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A1 +CYREG_B1_UDB07_A1 EQU 0x40006517 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A1 +CYREG_B1_UDB08_A1 EQU 0x40006518 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A1 +CYREG_B1_UDB09_A1 EQU 0x40006519 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A1 +CYREG_B1_UDB10_A1 EQU 0x4000651a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A1 +CYREG_B1_UDB11_A1 EQU 0x4000651b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D0 +CYREG_B1_UDB04_D0 EQU 0x40006524 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D0 +CYREG_B1_UDB05_D0 EQU 0x40006525 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D0 +CYREG_B1_UDB06_D0 EQU 0x40006526 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D0 +CYREG_B1_UDB07_D0 EQU 0x40006527 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D0 +CYREG_B1_UDB08_D0 EQU 0x40006528 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D0 +CYREG_B1_UDB09_D0 EQU 0x40006529 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D0 +CYREG_B1_UDB10_D0 EQU 0x4000652a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D0 +CYREG_B1_UDB11_D0 EQU 0x4000652b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D1 +CYREG_B1_UDB04_D1 EQU 0x40006534 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D1 +CYREG_B1_UDB05_D1 EQU 0x40006535 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D1 +CYREG_B1_UDB06_D1 EQU 0x40006536 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D1 +CYREG_B1_UDB07_D1 EQU 0x40006537 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D1 +CYREG_B1_UDB08_D1 EQU 0x40006538 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D1 +CYREG_B1_UDB09_D1 EQU 0x40006539 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D1 +CYREG_B1_UDB10_D1 EQU 0x4000653a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D1 +CYREG_B1_UDB11_D1 EQU 0x4000653b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F0 +CYREG_B1_UDB04_F0 EQU 0x40006544 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F0 +CYREG_B1_UDB05_F0 EQU 0x40006545 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F0 +CYREG_B1_UDB06_F0 EQU 0x40006546 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F0 +CYREG_B1_UDB07_F0 EQU 0x40006547 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F0 +CYREG_B1_UDB08_F0 EQU 0x40006548 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F0 +CYREG_B1_UDB09_F0 EQU 0x40006549 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F0 +CYREG_B1_UDB10_F0 EQU 0x4000654a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F0 +CYREG_B1_UDB11_F0 EQU 0x4000654b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F1 +CYREG_B1_UDB04_F1 EQU 0x40006554 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F1 +CYREG_B1_UDB05_F1 EQU 0x40006555 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F1 +CYREG_B1_UDB06_F1 EQU 0x40006556 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F1 +CYREG_B1_UDB07_F1 EQU 0x40006557 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F1 +CYREG_B1_UDB08_F1 EQU 0x40006558 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F1 +CYREG_B1_UDB09_F1 EQU 0x40006559 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F1 +CYREG_B1_UDB10_F1 EQU 0x4000655a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F1 +CYREG_B1_UDB11_F1 EQU 0x4000655b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ST +CYREG_B1_UDB04_ST EQU 0x40006564 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ST +CYREG_B1_UDB05_ST EQU 0x40006565 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ST +CYREG_B1_UDB06_ST EQU 0x40006566 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ST +CYREG_B1_UDB07_ST EQU 0x40006567 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ST +CYREG_B1_UDB08_ST EQU 0x40006568 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ST +CYREG_B1_UDB09_ST EQU 0x40006569 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ST +CYREG_B1_UDB10_ST EQU 0x4000656a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ST +CYREG_B1_UDB11_ST EQU 0x4000656b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_CTL +CYREG_B1_UDB04_CTL EQU 0x40006574 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_CTL +CYREG_B1_UDB05_CTL EQU 0x40006575 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_CTL +CYREG_B1_UDB06_CTL EQU 0x40006576 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_CTL +CYREG_B1_UDB07_CTL EQU 0x40006577 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_CTL +CYREG_B1_UDB08_CTL EQU 0x40006578 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_CTL +CYREG_B1_UDB09_CTL EQU 0x40006579 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_CTL +CYREG_B1_UDB10_CTL EQU 0x4000657a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_CTL +CYREG_B1_UDB11_CTL EQU 0x4000657b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MSK +CYREG_B1_UDB04_MSK EQU 0x40006584 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MSK +CYREG_B1_UDB05_MSK EQU 0x40006585 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MSK +CYREG_B1_UDB06_MSK EQU 0x40006586 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MSK +CYREG_B1_UDB07_MSK EQU 0x40006587 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MSK +CYREG_B1_UDB08_MSK EQU 0x40006588 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MSK +CYREG_B1_UDB09_MSK EQU 0x40006589 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MSK +CYREG_B1_UDB10_MSK EQU 0x4000658a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MSK +CYREG_B1_UDB11_MSK EQU 0x4000658b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ACTL +CYREG_B1_UDB04_ACTL EQU 0x40006594 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ACTL +CYREG_B1_UDB05_ACTL EQU 0x40006595 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ACTL +CYREG_B1_UDB06_ACTL EQU 0x40006596 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ACTL +CYREG_B1_UDB07_ACTL EQU 0x40006597 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ACTL +CYREG_B1_UDB08_ACTL EQU 0x40006598 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ACTL +CYREG_B1_UDB09_ACTL EQU 0x40006599 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ACTL +CYREG_B1_UDB10_ACTL EQU 0x4000659a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ACTL +CYREG_B1_UDB11_ACTL EQU 0x4000659b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MC +CYREG_B1_UDB04_MC EQU 0x400065a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MC +CYREG_B1_UDB05_MC EQU 0x400065a5 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MC +CYREG_B1_UDB06_MC EQU 0x400065a6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MC +CYREG_B1_UDB07_MC EQU 0x400065a7 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MC +CYREG_B1_UDB08_MC EQU 0x400065a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MC +CYREG_B1_UDB09_MC EQU 0x400065a9 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MC +CYREG_B1_UDB10_MC EQU 0x400065aa + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MC +CYREG_B1_UDB11_MC EQU 0x400065ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_BASE +CYDEV_UWRK_UWRK16_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_SIZE +CYDEV_UWRK_UWRK16_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_BASE +CYDEV_UWRK_UWRK16_CAT_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_SIZE +CYDEV_UWRK_UWRK16_CAT_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_BASE +CYDEV_UWRK_UWRK16_CAT_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_SIZE +CYDEV_UWRK_UWRK16_CAT_B0_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A0_A1 +CYREG_B0_UDB00_A0_A1 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A0_A1 +CYREG_B0_UDB01_A0_A1 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A0_A1 +CYREG_B0_UDB02_A0_A1 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A0_A1 +CYREG_B0_UDB03_A0_A1 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A0_A1 +CYREG_B0_UDB04_A0_A1 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A0_A1 +CYREG_B0_UDB05_A0_A1 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A0_A1 +CYREG_B0_UDB06_A0_A1 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A0_A1 +CYREG_B0_UDB07_A0_A1 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A0_A1 +CYREG_B0_UDB08_A0_A1 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A0_A1 +CYREG_B0_UDB09_A0_A1 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A0_A1 +CYREG_B0_UDB10_A0_A1 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A0_A1 +CYREG_B0_UDB11_A0_A1 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A0_A1 +CYREG_B0_UDB12_A0_A1 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A0_A1 +CYREG_B0_UDB13_A0_A1 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A0_A1 +CYREG_B0_UDB14_A0_A1 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A0_A1 +CYREG_B0_UDB15_A0_A1 EQU 0x4000681e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D0_D1 +CYREG_B0_UDB00_D0_D1 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D0_D1 +CYREG_B0_UDB01_D0_D1 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D0_D1 +CYREG_B0_UDB02_D0_D1 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D0_D1 +CYREG_B0_UDB03_D0_D1 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D0_D1 +CYREG_B0_UDB04_D0_D1 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D0_D1 +CYREG_B0_UDB05_D0_D1 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D0_D1 +CYREG_B0_UDB06_D0_D1 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D0_D1 +CYREG_B0_UDB07_D0_D1 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D0_D1 +CYREG_B0_UDB08_D0_D1 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D0_D1 +CYREG_B0_UDB09_D0_D1 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D0_D1 +CYREG_B0_UDB10_D0_D1 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D0_D1 +CYREG_B0_UDB11_D0_D1 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D0_D1 +CYREG_B0_UDB12_D0_D1 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D0_D1 +CYREG_B0_UDB13_D0_D1 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D0_D1 +CYREG_B0_UDB14_D0_D1 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D0_D1 +CYREG_B0_UDB15_D0_D1 EQU 0x4000685e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F0_F1 +CYREG_B0_UDB00_F0_F1 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F0_F1 +CYREG_B0_UDB01_F0_F1 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F0_F1 +CYREG_B0_UDB02_F0_F1 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F0_F1 +CYREG_B0_UDB03_F0_F1 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F0_F1 +CYREG_B0_UDB04_F0_F1 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F0_F1 +CYREG_B0_UDB05_F0_F1 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F0_F1 +CYREG_B0_UDB06_F0_F1 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F0_F1 +CYREG_B0_UDB07_F0_F1 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F0_F1 +CYREG_B0_UDB08_F0_F1 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F0_F1 +CYREG_B0_UDB09_F0_F1 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F0_F1 +CYREG_B0_UDB10_F0_F1 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F0_F1 +CYREG_B0_UDB11_F0_F1 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F0_F1 +CYREG_B0_UDB12_F0_F1 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F0_F1 +CYREG_B0_UDB13_F0_F1 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F0_F1 +CYREG_B0_UDB14_F0_F1 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F0_F1 +CYREG_B0_UDB15_F0_F1 EQU 0x4000689e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ST_CTL +CYREG_B0_UDB00_ST_CTL EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ST_CTL +CYREG_B0_UDB01_ST_CTL EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ST_CTL +CYREG_B0_UDB02_ST_CTL EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ST_CTL +CYREG_B0_UDB03_ST_CTL EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ST_CTL +CYREG_B0_UDB04_ST_CTL EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ST_CTL +CYREG_B0_UDB05_ST_CTL EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ST_CTL +CYREG_B0_UDB06_ST_CTL EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ST_CTL +CYREG_B0_UDB07_ST_CTL EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ST_CTL +CYREG_B0_UDB08_ST_CTL EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ST_CTL +CYREG_B0_UDB09_ST_CTL EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ST_CTL +CYREG_B0_UDB10_ST_CTL EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ST_CTL +CYREG_B0_UDB11_ST_CTL EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ST_CTL +CYREG_B0_UDB12_ST_CTL EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ST_CTL +CYREG_B0_UDB13_ST_CTL EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ST_CTL +CYREG_B0_UDB14_ST_CTL EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ST_CTL +CYREG_B0_UDB15_ST_CTL EQU 0x400068de + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MSK_ACTL +CYREG_B0_UDB00_MSK_ACTL EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MSK_ACTL +CYREG_B0_UDB01_MSK_ACTL EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MSK_ACTL +CYREG_B0_UDB02_MSK_ACTL EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MSK_ACTL +CYREG_B0_UDB03_MSK_ACTL EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MSK_ACTL +CYREG_B0_UDB04_MSK_ACTL EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MSK_ACTL +CYREG_B0_UDB05_MSK_ACTL EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MSK_ACTL +CYREG_B0_UDB06_MSK_ACTL EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MSK_ACTL +CYREG_B0_UDB07_MSK_ACTL EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MSK_ACTL +CYREG_B0_UDB08_MSK_ACTL EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MSK_ACTL +CYREG_B0_UDB09_MSK_ACTL EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MSK_ACTL +CYREG_B0_UDB10_MSK_ACTL EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MSK_ACTL +CYREG_B0_UDB11_MSK_ACTL EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MSK_ACTL +CYREG_B0_UDB12_MSK_ACTL EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MSK_ACTL +CYREG_B0_UDB13_MSK_ACTL EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MSK_ACTL +CYREG_B0_UDB14_MSK_ACTL EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MSK_ACTL +CYREG_B0_UDB15_MSK_ACTL EQU 0x4000691e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MC_00 +CYREG_B0_UDB00_MC_00 EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MC_00 +CYREG_B0_UDB01_MC_00 EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MC_00 +CYREG_B0_UDB02_MC_00 EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MC_00 +CYREG_B0_UDB03_MC_00 EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MC_00 +CYREG_B0_UDB04_MC_00 EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MC_00 +CYREG_B0_UDB05_MC_00 EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MC_00 +CYREG_B0_UDB06_MC_00 EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MC_00 +CYREG_B0_UDB07_MC_00 EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MC_00 +CYREG_B0_UDB08_MC_00 EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MC_00 +CYREG_B0_UDB09_MC_00 EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MC_00 +CYREG_B0_UDB10_MC_00 EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MC_00 +CYREG_B0_UDB11_MC_00 EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MC_00 +CYREG_B0_UDB12_MC_00 EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MC_00 +CYREG_B0_UDB13_MC_00 EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MC_00 +CYREG_B0_UDB14_MC_00 EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MC_00 +CYREG_B0_UDB15_MC_00 EQU 0x4000695e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_BASE +CYDEV_UWRK_UWRK16_CAT_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_SIZE +CYDEV_UWRK_UWRK16_CAT_B1_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A0_A1 +CYREG_B1_UDB04_A0_A1 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A0_A1 +CYREG_B1_UDB05_A0_A1 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A0_A1 +CYREG_B1_UDB06_A0_A1 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A0_A1 +CYREG_B1_UDB07_A0_A1 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A0_A1 +CYREG_B1_UDB08_A0_A1 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A0_A1 +CYREG_B1_UDB09_A0_A1 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A0_A1 +CYREG_B1_UDB10_A0_A1 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A0_A1 +CYREG_B1_UDB11_A0_A1 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D0_D1 +CYREG_B1_UDB04_D0_D1 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D0_D1 +CYREG_B1_UDB05_D0_D1 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D0_D1 +CYREG_B1_UDB06_D0_D1 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D0_D1 +CYREG_B1_UDB07_D0_D1 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D0_D1 +CYREG_B1_UDB08_D0_D1 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D0_D1 +CYREG_B1_UDB09_D0_D1 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D0_D1 +CYREG_B1_UDB10_D0_D1 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D0_D1 +CYREG_B1_UDB11_D0_D1 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F0_F1 +CYREG_B1_UDB04_F0_F1 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F0_F1 +CYREG_B1_UDB05_F0_F1 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F0_F1 +CYREG_B1_UDB06_F0_F1 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F0_F1 +CYREG_B1_UDB07_F0_F1 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F0_F1 +CYREG_B1_UDB08_F0_F1 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F0_F1 +CYREG_B1_UDB09_F0_F1 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F0_F1 +CYREG_B1_UDB10_F0_F1 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F0_F1 +CYREG_B1_UDB11_F0_F1 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ST_CTL +CYREG_B1_UDB04_ST_CTL EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ST_CTL +CYREG_B1_UDB05_ST_CTL EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ST_CTL +CYREG_B1_UDB06_ST_CTL EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ST_CTL +CYREG_B1_UDB07_ST_CTL EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ST_CTL +CYREG_B1_UDB08_ST_CTL EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ST_CTL +CYREG_B1_UDB09_ST_CTL EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ST_CTL +CYREG_B1_UDB10_ST_CTL EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ST_CTL +CYREG_B1_UDB11_ST_CTL EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MSK_ACTL +CYREG_B1_UDB04_MSK_ACTL EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MSK_ACTL +CYREG_B1_UDB05_MSK_ACTL EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MSK_ACTL +CYREG_B1_UDB06_MSK_ACTL EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MSK_ACTL +CYREG_B1_UDB07_MSK_ACTL EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MSK_ACTL +CYREG_B1_UDB08_MSK_ACTL EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MSK_ACTL +CYREG_B1_UDB09_MSK_ACTL EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MSK_ACTL +CYREG_B1_UDB10_MSK_ACTL EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MSK_ACTL +CYREG_B1_UDB11_MSK_ACTL EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MC_00 +CYREG_B1_UDB04_MC_00 EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MC_00 +CYREG_B1_UDB05_MC_00 EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MC_00 +CYREG_B1_UDB06_MC_00 EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MC_00 +CYREG_B1_UDB07_MC_00 EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MC_00 +CYREG_B1_UDB08_MC_00 EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MC_00 +CYREG_B1_UDB09_MC_00 EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MC_00 +CYREG_B1_UDB10_MC_00 EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MC_00 +CYREG_B1_UDB11_MC_00 EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_BASE +CYDEV_UWRK_UWRK16_DEF_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_SIZE +CYDEV_UWRK_UWRK16_DEF_SIZE EQU 0x0000075e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_BASE +CYDEV_UWRK_UWRK16_DEF_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_SIZE +CYDEV_UWRK_UWRK16_DEF_B0_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_A0 +CYREG_B0_UDB00_01_A0 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_A0 +CYREG_B0_UDB01_02_A0 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_A0 +CYREG_B0_UDB02_03_A0 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_A0 +CYREG_B0_UDB03_04_A0 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_A0 +CYREG_B0_UDB04_05_A0 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_A0 +CYREG_B0_UDB05_06_A0 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_A0 +CYREG_B0_UDB06_07_A0 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_A0 +CYREG_B0_UDB07_08_A0 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_A0 +CYREG_B0_UDB08_09_A0 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_A0 +CYREG_B0_UDB09_10_A0 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_A0 +CYREG_B0_UDB10_11_A0 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_A0 +CYREG_B0_UDB11_12_A0 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_A0 +CYREG_B0_UDB12_13_A0 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_A0 +CYREG_B0_UDB13_14_A0 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_A0 +CYREG_B0_UDB14_15_A0 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_A1 +CYREG_B0_UDB00_01_A1 EQU 0x40006820 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_A1 +CYREG_B0_UDB01_02_A1 EQU 0x40006822 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_A1 +CYREG_B0_UDB02_03_A1 EQU 0x40006824 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_A1 +CYREG_B0_UDB03_04_A1 EQU 0x40006826 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_A1 +CYREG_B0_UDB04_05_A1 EQU 0x40006828 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_A1 +CYREG_B0_UDB05_06_A1 EQU 0x4000682a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_A1 +CYREG_B0_UDB06_07_A1 EQU 0x4000682c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_A1 +CYREG_B0_UDB07_08_A1 EQU 0x4000682e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_A1 +CYREG_B0_UDB08_09_A1 EQU 0x40006830 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_A1 +CYREG_B0_UDB09_10_A1 EQU 0x40006832 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_A1 +CYREG_B0_UDB10_11_A1 EQU 0x40006834 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_A1 +CYREG_B0_UDB11_12_A1 EQU 0x40006836 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_A1 +CYREG_B0_UDB12_13_A1 EQU 0x40006838 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_A1 +CYREG_B0_UDB13_14_A1 EQU 0x4000683a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_A1 +CYREG_B0_UDB14_15_A1 EQU 0x4000683c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_D0 +CYREG_B0_UDB00_01_D0 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_D0 +CYREG_B0_UDB01_02_D0 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_D0 +CYREG_B0_UDB02_03_D0 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_D0 +CYREG_B0_UDB03_04_D0 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_D0 +CYREG_B0_UDB04_05_D0 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_D0 +CYREG_B0_UDB05_06_D0 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_D0 +CYREG_B0_UDB06_07_D0 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_D0 +CYREG_B0_UDB07_08_D0 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_D0 +CYREG_B0_UDB08_09_D0 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_D0 +CYREG_B0_UDB09_10_D0 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_D0 +CYREG_B0_UDB10_11_D0 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_D0 +CYREG_B0_UDB11_12_D0 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_D0 +CYREG_B0_UDB12_13_D0 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_D0 +CYREG_B0_UDB13_14_D0 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_D0 +CYREG_B0_UDB14_15_D0 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_D1 +CYREG_B0_UDB00_01_D1 EQU 0x40006860 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_D1 +CYREG_B0_UDB01_02_D1 EQU 0x40006862 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_D1 +CYREG_B0_UDB02_03_D1 EQU 0x40006864 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_D1 +CYREG_B0_UDB03_04_D1 EQU 0x40006866 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_D1 +CYREG_B0_UDB04_05_D1 EQU 0x40006868 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_D1 +CYREG_B0_UDB05_06_D1 EQU 0x4000686a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_D1 +CYREG_B0_UDB06_07_D1 EQU 0x4000686c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_D1 +CYREG_B0_UDB07_08_D1 EQU 0x4000686e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_D1 +CYREG_B0_UDB08_09_D1 EQU 0x40006870 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_D1 +CYREG_B0_UDB09_10_D1 EQU 0x40006872 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_D1 +CYREG_B0_UDB10_11_D1 EQU 0x40006874 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_D1 +CYREG_B0_UDB11_12_D1 EQU 0x40006876 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_D1 +CYREG_B0_UDB12_13_D1 EQU 0x40006878 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_D1 +CYREG_B0_UDB13_14_D1 EQU 0x4000687a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_D1 +CYREG_B0_UDB14_15_D1 EQU 0x4000687c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_F0 +CYREG_B0_UDB00_01_F0 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_F0 +CYREG_B0_UDB01_02_F0 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_F0 +CYREG_B0_UDB02_03_F0 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_F0 +CYREG_B0_UDB03_04_F0 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_F0 +CYREG_B0_UDB04_05_F0 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_F0 +CYREG_B0_UDB05_06_F0 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_F0 +CYREG_B0_UDB06_07_F0 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_F0 +CYREG_B0_UDB07_08_F0 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_F0 +CYREG_B0_UDB08_09_F0 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_F0 +CYREG_B0_UDB09_10_F0 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_F0 +CYREG_B0_UDB10_11_F0 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_F0 +CYREG_B0_UDB11_12_F0 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_F0 +CYREG_B0_UDB12_13_F0 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_F0 +CYREG_B0_UDB13_14_F0 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_F0 +CYREG_B0_UDB14_15_F0 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_F1 +CYREG_B0_UDB00_01_F1 EQU 0x400068a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_F1 +CYREG_B0_UDB01_02_F1 EQU 0x400068a2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_F1 +CYREG_B0_UDB02_03_F1 EQU 0x400068a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_F1 +CYREG_B0_UDB03_04_F1 EQU 0x400068a6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_F1 +CYREG_B0_UDB04_05_F1 EQU 0x400068a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_F1 +CYREG_B0_UDB05_06_F1 EQU 0x400068aa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_F1 +CYREG_B0_UDB06_07_F1 EQU 0x400068ac + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_F1 +CYREG_B0_UDB07_08_F1 EQU 0x400068ae + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_F1 +CYREG_B0_UDB08_09_F1 EQU 0x400068b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_F1 +CYREG_B0_UDB09_10_F1 EQU 0x400068b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_F1 +CYREG_B0_UDB10_11_F1 EQU 0x400068b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_F1 +CYREG_B0_UDB11_12_F1 EQU 0x400068b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_F1 +CYREG_B0_UDB12_13_F1 EQU 0x400068b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_F1 +CYREG_B0_UDB13_14_F1 EQU 0x400068ba + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_F1 +CYREG_B0_UDB14_15_F1 EQU 0x400068bc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_ST +CYREG_B0_UDB00_01_ST EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_ST +CYREG_B0_UDB01_02_ST EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_ST +CYREG_B0_UDB02_03_ST EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_ST +CYREG_B0_UDB03_04_ST EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_ST +CYREG_B0_UDB04_05_ST EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_ST +CYREG_B0_UDB05_06_ST EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_ST +CYREG_B0_UDB06_07_ST EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_ST +CYREG_B0_UDB07_08_ST EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_ST +CYREG_B0_UDB08_09_ST EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_ST +CYREG_B0_UDB09_10_ST EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_ST +CYREG_B0_UDB10_11_ST EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_ST +CYREG_B0_UDB11_12_ST EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_ST +CYREG_B0_UDB12_13_ST EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_ST +CYREG_B0_UDB13_14_ST EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_ST +CYREG_B0_UDB14_15_ST EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_CTL +CYREG_B0_UDB00_01_CTL EQU 0x400068e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_CTL +CYREG_B0_UDB01_02_CTL EQU 0x400068e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_CTL +CYREG_B0_UDB02_03_CTL EQU 0x400068e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_CTL +CYREG_B0_UDB03_04_CTL EQU 0x400068e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_CTL +CYREG_B0_UDB04_05_CTL EQU 0x400068e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_CTL +CYREG_B0_UDB05_06_CTL EQU 0x400068ea + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_CTL +CYREG_B0_UDB06_07_CTL EQU 0x400068ec + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_CTL +CYREG_B0_UDB07_08_CTL EQU 0x400068ee + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_CTL +CYREG_B0_UDB08_09_CTL EQU 0x400068f0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_CTL +CYREG_B0_UDB09_10_CTL EQU 0x400068f2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_CTL +CYREG_B0_UDB10_11_CTL EQU 0x400068f4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_CTL +CYREG_B0_UDB11_12_CTL EQU 0x400068f6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_CTL +CYREG_B0_UDB12_13_CTL EQU 0x400068f8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_CTL +CYREG_B0_UDB13_14_CTL EQU 0x400068fa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_CTL +CYREG_B0_UDB14_15_CTL EQU 0x400068fc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_MSK +CYREG_B0_UDB00_01_MSK EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_MSK +CYREG_B0_UDB01_02_MSK EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_MSK +CYREG_B0_UDB02_03_MSK EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_MSK +CYREG_B0_UDB03_04_MSK EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_MSK +CYREG_B0_UDB04_05_MSK EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_MSK +CYREG_B0_UDB05_06_MSK EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_MSK +CYREG_B0_UDB06_07_MSK EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_MSK +CYREG_B0_UDB07_08_MSK EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_MSK +CYREG_B0_UDB08_09_MSK EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_MSK +CYREG_B0_UDB09_10_MSK EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_MSK +CYREG_B0_UDB10_11_MSK EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_MSK +CYREG_B0_UDB11_12_MSK EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_MSK +CYREG_B0_UDB12_13_MSK EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_MSK +CYREG_B0_UDB13_14_MSK EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_MSK +CYREG_B0_UDB14_15_MSK EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_ACTL +CYREG_B0_UDB00_01_ACTL EQU 0x40006920 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_ACTL +CYREG_B0_UDB01_02_ACTL EQU 0x40006922 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_ACTL +CYREG_B0_UDB02_03_ACTL EQU 0x40006924 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_ACTL +CYREG_B0_UDB03_04_ACTL EQU 0x40006926 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_ACTL +CYREG_B0_UDB04_05_ACTL EQU 0x40006928 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_ACTL +CYREG_B0_UDB05_06_ACTL EQU 0x4000692a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_ACTL +CYREG_B0_UDB06_07_ACTL EQU 0x4000692c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_ACTL +CYREG_B0_UDB07_08_ACTL EQU 0x4000692e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_ACTL +CYREG_B0_UDB08_09_ACTL EQU 0x40006930 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_ACTL +CYREG_B0_UDB09_10_ACTL EQU 0x40006932 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_ACTL +CYREG_B0_UDB10_11_ACTL EQU 0x40006934 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_ACTL +CYREG_B0_UDB11_12_ACTL EQU 0x40006936 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_ACTL +CYREG_B0_UDB12_13_ACTL EQU 0x40006938 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_ACTL +CYREG_B0_UDB13_14_ACTL EQU 0x4000693a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_ACTL +CYREG_B0_UDB14_15_ACTL EQU 0x4000693c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_MC +CYREG_B0_UDB00_01_MC EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_MC +CYREG_B0_UDB01_02_MC EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_MC +CYREG_B0_UDB02_03_MC EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_MC +CYREG_B0_UDB03_04_MC EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_MC +CYREG_B0_UDB04_05_MC EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_MC +CYREG_B0_UDB05_06_MC EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_MC +CYREG_B0_UDB06_07_MC EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_MC +CYREG_B0_UDB07_08_MC EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_MC +CYREG_B0_UDB08_09_MC EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_MC +CYREG_B0_UDB09_10_MC EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_MC +CYREG_B0_UDB10_11_MC EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_MC +CYREG_B0_UDB11_12_MC EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_MC +CYREG_B0_UDB12_13_MC EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_MC +CYREG_B0_UDB13_14_MC EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_MC +CYREG_B0_UDB14_15_MC EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_BASE +CYDEV_UWRK_UWRK16_DEF_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_SIZE +CYDEV_UWRK_UWRK16_DEF_B1_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_A0 +CYREG_B1_UDB04_05_A0 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_A0 +CYREG_B1_UDB05_06_A0 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_A0 +CYREG_B1_UDB06_07_A0 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_A0 +CYREG_B1_UDB07_08_A0 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_A0 +CYREG_B1_UDB08_09_A0 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_A0 +CYREG_B1_UDB09_10_A0 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_A0 +CYREG_B1_UDB10_11_A0 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_A0 +CYREG_B1_UDB11_12_A0 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_A1 +CYREG_B1_UDB04_05_A1 EQU 0x40006a28 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_A1 +CYREG_B1_UDB05_06_A1 EQU 0x40006a2a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_A1 +CYREG_B1_UDB06_07_A1 EQU 0x40006a2c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_A1 +CYREG_B1_UDB07_08_A1 EQU 0x40006a2e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_A1 +CYREG_B1_UDB08_09_A1 EQU 0x40006a30 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_A1 +CYREG_B1_UDB09_10_A1 EQU 0x40006a32 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_A1 +CYREG_B1_UDB10_11_A1 EQU 0x40006a34 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_A1 +CYREG_B1_UDB11_12_A1 EQU 0x40006a36 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_D0 +CYREG_B1_UDB04_05_D0 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_D0 +CYREG_B1_UDB05_06_D0 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_D0 +CYREG_B1_UDB06_07_D0 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_D0 +CYREG_B1_UDB07_08_D0 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_D0 +CYREG_B1_UDB08_09_D0 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_D0 +CYREG_B1_UDB09_10_D0 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_D0 +CYREG_B1_UDB10_11_D0 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_D0 +CYREG_B1_UDB11_12_D0 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_D1 +CYREG_B1_UDB04_05_D1 EQU 0x40006a68 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_D1 +CYREG_B1_UDB05_06_D1 EQU 0x40006a6a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_D1 +CYREG_B1_UDB06_07_D1 EQU 0x40006a6c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_D1 +CYREG_B1_UDB07_08_D1 EQU 0x40006a6e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_D1 +CYREG_B1_UDB08_09_D1 EQU 0x40006a70 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_D1 +CYREG_B1_UDB09_10_D1 EQU 0x40006a72 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_D1 +CYREG_B1_UDB10_11_D1 EQU 0x40006a74 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_D1 +CYREG_B1_UDB11_12_D1 EQU 0x40006a76 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_F0 +CYREG_B1_UDB04_05_F0 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_F0 +CYREG_B1_UDB05_06_F0 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_F0 +CYREG_B1_UDB06_07_F0 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_F0 +CYREG_B1_UDB07_08_F0 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_F0 +CYREG_B1_UDB08_09_F0 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_F0 +CYREG_B1_UDB09_10_F0 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_F0 +CYREG_B1_UDB10_11_F0 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_F0 +CYREG_B1_UDB11_12_F0 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_F1 +CYREG_B1_UDB04_05_F1 EQU 0x40006aa8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_F1 +CYREG_B1_UDB05_06_F1 EQU 0x40006aaa + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_F1 +CYREG_B1_UDB06_07_F1 EQU 0x40006aac + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_F1 +CYREG_B1_UDB07_08_F1 EQU 0x40006aae + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_F1 +CYREG_B1_UDB08_09_F1 EQU 0x40006ab0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_F1 +CYREG_B1_UDB09_10_F1 EQU 0x40006ab2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_F1 +CYREG_B1_UDB10_11_F1 EQU 0x40006ab4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_F1 +CYREG_B1_UDB11_12_F1 EQU 0x40006ab6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_ST +CYREG_B1_UDB04_05_ST EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_ST +CYREG_B1_UDB05_06_ST EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_ST +CYREG_B1_UDB06_07_ST EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_ST +CYREG_B1_UDB07_08_ST EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_ST +CYREG_B1_UDB08_09_ST EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_ST +CYREG_B1_UDB09_10_ST EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_ST +CYREG_B1_UDB10_11_ST EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_ST +CYREG_B1_UDB11_12_ST EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_CTL +CYREG_B1_UDB04_05_CTL EQU 0x40006ae8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_CTL +CYREG_B1_UDB05_06_CTL EQU 0x40006aea + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_CTL +CYREG_B1_UDB06_07_CTL EQU 0x40006aec + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_CTL +CYREG_B1_UDB07_08_CTL EQU 0x40006aee + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_CTL +CYREG_B1_UDB08_09_CTL EQU 0x40006af0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_CTL +CYREG_B1_UDB09_10_CTL EQU 0x40006af2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_CTL +CYREG_B1_UDB10_11_CTL EQU 0x40006af4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_CTL +CYREG_B1_UDB11_12_CTL EQU 0x40006af6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_MSK +CYREG_B1_UDB04_05_MSK EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_MSK +CYREG_B1_UDB05_06_MSK EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_MSK +CYREG_B1_UDB06_07_MSK EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_MSK +CYREG_B1_UDB07_08_MSK EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_MSK +CYREG_B1_UDB08_09_MSK EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_MSK +CYREG_B1_UDB09_10_MSK EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_MSK +CYREG_B1_UDB10_11_MSK EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_MSK +CYREG_B1_UDB11_12_MSK EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_ACTL +CYREG_B1_UDB04_05_ACTL EQU 0x40006b28 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_ACTL +CYREG_B1_UDB05_06_ACTL EQU 0x40006b2a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_ACTL +CYREG_B1_UDB06_07_ACTL EQU 0x40006b2c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_ACTL +CYREG_B1_UDB07_08_ACTL EQU 0x40006b2e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_ACTL +CYREG_B1_UDB08_09_ACTL EQU 0x40006b30 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_ACTL +CYREG_B1_UDB09_10_ACTL EQU 0x40006b32 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_ACTL +CYREG_B1_UDB10_11_ACTL EQU 0x40006b34 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_ACTL +CYREG_B1_UDB11_12_ACTL EQU 0x40006b36 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_MC +CYREG_B1_UDB04_05_MC EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_MC +CYREG_B1_UDB05_06_MC EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_MC +CYREG_B1_UDB06_07_MC EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_MC +CYREG_B1_UDB07_08_MC EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_MC +CYREG_B1_UDB08_09_MC EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_MC +CYREG_B1_UDB09_10_MC EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_MC +CYREG_B1_UDB10_11_MC EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_MC +CYREG_B1_UDB11_12_MC EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_BASE +CYDEV_PHUB_BASE EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_SIZE +CYDEV_PHUB_SIZE EQU 0x00000c00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFG +CYREG_PHUB_CFG EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_ERR +CYREG_PHUB_ERR EQU 0x40007004 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_ERR_ADR +CYREG_PHUB_ERR_ADR EQU 0x40007008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASE +CYDEV_PHUB_CH0_BASE EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_SIZE +CYDEV_PHUB_CH0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_BASIC_CFG +CYREG_PHUB_CH0_BASIC_CFG EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_ACTION +CYREG_PHUB_CH0_ACTION EQU 0x40007014 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_BASIC_STATUS +CYREG_PHUB_CH0_BASIC_STATUS EQU 0x40007018 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASE +CYDEV_PHUB_CH1_BASE EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_SIZE +CYDEV_PHUB_CH1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_BASIC_CFG +CYREG_PHUB_CH1_BASIC_CFG EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_ACTION +CYREG_PHUB_CH1_ACTION EQU 0x40007024 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_BASIC_STATUS +CYREG_PHUB_CH1_BASIC_STATUS EQU 0x40007028 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASE +CYDEV_PHUB_CH2_BASE EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_SIZE +CYDEV_PHUB_CH2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_BASIC_CFG +CYREG_PHUB_CH2_BASIC_CFG EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_ACTION +CYREG_PHUB_CH2_ACTION EQU 0x40007034 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_BASIC_STATUS +CYREG_PHUB_CH2_BASIC_STATUS EQU 0x40007038 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASE +CYDEV_PHUB_CH3_BASE EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_SIZE +CYDEV_PHUB_CH3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_BASIC_CFG +CYREG_PHUB_CH3_BASIC_CFG EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_ACTION +CYREG_PHUB_CH3_ACTION EQU 0x40007044 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_BASIC_STATUS +CYREG_PHUB_CH3_BASIC_STATUS EQU 0x40007048 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASE +CYDEV_PHUB_CH4_BASE EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_SIZE +CYDEV_PHUB_CH4_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_BASIC_CFG +CYREG_PHUB_CH4_BASIC_CFG EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_ACTION +CYREG_PHUB_CH4_ACTION EQU 0x40007054 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_BASIC_STATUS +CYREG_PHUB_CH4_BASIC_STATUS EQU 0x40007058 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASE +CYDEV_PHUB_CH5_BASE EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_SIZE +CYDEV_PHUB_CH5_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_BASIC_CFG +CYREG_PHUB_CH5_BASIC_CFG EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_ACTION +CYREG_PHUB_CH5_ACTION EQU 0x40007064 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_BASIC_STATUS +CYREG_PHUB_CH5_BASIC_STATUS EQU 0x40007068 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASE +CYDEV_PHUB_CH6_BASE EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_SIZE +CYDEV_PHUB_CH6_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_BASIC_CFG +CYREG_PHUB_CH6_BASIC_CFG EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_ACTION +CYREG_PHUB_CH6_ACTION EQU 0x40007074 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_BASIC_STATUS +CYREG_PHUB_CH6_BASIC_STATUS EQU 0x40007078 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASE +CYDEV_PHUB_CH7_BASE EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_SIZE +CYDEV_PHUB_CH7_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_BASIC_CFG +CYREG_PHUB_CH7_BASIC_CFG EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_ACTION +CYREG_PHUB_CH7_ACTION EQU 0x40007084 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_BASIC_STATUS +CYREG_PHUB_CH7_BASIC_STATUS EQU 0x40007088 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASE +CYDEV_PHUB_CH8_BASE EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_SIZE +CYDEV_PHUB_CH8_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_BASIC_CFG +CYREG_PHUB_CH8_BASIC_CFG EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_ACTION +CYREG_PHUB_CH8_ACTION EQU 0x40007094 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_BASIC_STATUS +CYREG_PHUB_CH8_BASIC_STATUS EQU 0x40007098 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASE +CYDEV_PHUB_CH9_BASE EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_SIZE +CYDEV_PHUB_CH9_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_BASIC_CFG +CYREG_PHUB_CH9_BASIC_CFG EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_ACTION +CYREG_PHUB_CH9_ACTION EQU 0x400070a4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_BASIC_STATUS +CYREG_PHUB_CH9_BASIC_STATUS EQU 0x400070a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASE +CYDEV_PHUB_CH10_BASE EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_SIZE +CYDEV_PHUB_CH10_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_BASIC_CFG +CYREG_PHUB_CH10_BASIC_CFG EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_ACTION +CYREG_PHUB_CH10_ACTION EQU 0x400070b4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_BASIC_STATUS +CYREG_PHUB_CH10_BASIC_STATUS EQU 0x400070b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASE +CYDEV_PHUB_CH11_BASE EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_SIZE +CYDEV_PHUB_CH11_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_BASIC_CFG +CYREG_PHUB_CH11_BASIC_CFG EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_ACTION +CYREG_PHUB_CH11_ACTION EQU 0x400070c4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_BASIC_STATUS +CYREG_PHUB_CH11_BASIC_STATUS EQU 0x400070c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASE +CYDEV_PHUB_CH12_BASE EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_SIZE +CYDEV_PHUB_CH12_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_BASIC_CFG +CYREG_PHUB_CH12_BASIC_CFG EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_ACTION +CYREG_PHUB_CH12_ACTION EQU 0x400070d4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_BASIC_STATUS +CYREG_PHUB_CH12_BASIC_STATUS EQU 0x400070d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASE +CYDEV_PHUB_CH13_BASE EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_SIZE +CYDEV_PHUB_CH13_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_BASIC_CFG +CYREG_PHUB_CH13_BASIC_CFG EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_ACTION +CYREG_PHUB_CH13_ACTION EQU 0x400070e4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_BASIC_STATUS +CYREG_PHUB_CH13_BASIC_STATUS EQU 0x400070e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASE +CYDEV_PHUB_CH14_BASE EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_SIZE +CYDEV_PHUB_CH14_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_BASIC_CFG +CYREG_PHUB_CH14_BASIC_CFG EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_ACTION +CYREG_PHUB_CH14_ACTION EQU 0x400070f4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_BASIC_STATUS +CYREG_PHUB_CH14_BASIC_STATUS EQU 0x400070f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASE +CYDEV_PHUB_CH15_BASE EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_SIZE +CYDEV_PHUB_CH15_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_BASIC_CFG +CYREG_PHUB_CH15_BASIC_CFG EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_ACTION +CYREG_PHUB_CH15_ACTION EQU 0x40007104 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_BASIC_STATUS +CYREG_PHUB_CH15_BASIC_STATUS EQU 0x40007108 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASE +CYDEV_PHUB_CH16_BASE EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_SIZE +CYDEV_PHUB_CH16_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_BASIC_CFG +CYREG_PHUB_CH16_BASIC_CFG EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_ACTION +CYREG_PHUB_CH16_ACTION EQU 0x40007114 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_BASIC_STATUS +CYREG_PHUB_CH16_BASIC_STATUS EQU 0x40007118 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASE +CYDEV_PHUB_CH17_BASE EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_SIZE +CYDEV_PHUB_CH17_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_BASIC_CFG +CYREG_PHUB_CH17_BASIC_CFG EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_ACTION +CYREG_PHUB_CH17_ACTION EQU 0x40007124 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_BASIC_STATUS +CYREG_PHUB_CH17_BASIC_STATUS EQU 0x40007128 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASE +CYDEV_PHUB_CH18_BASE EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_SIZE +CYDEV_PHUB_CH18_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_BASIC_CFG +CYREG_PHUB_CH18_BASIC_CFG EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_ACTION +CYREG_PHUB_CH18_ACTION EQU 0x40007134 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_BASIC_STATUS +CYREG_PHUB_CH18_BASIC_STATUS EQU 0x40007138 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASE +CYDEV_PHUB_CH19_BASE EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_SIZE +CYDEV_PHUB_CH19_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_BASIC_CFG +CYREG_PHUB_CH19_BASIC_CFG EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_ACTION +CYREG_PHUB_CH19_ACTION EQU 0x40007144 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_BASIC_STATUS +CYREG_PHUB_CH19_BASIC_STATUS EQU 0x40007148 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASE +CYDEV_PHUB_CH20_BASE EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_SIZE +CYDEV_PHUB_CH20_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_BASIC_CFG +CYREG_PHUB_CH20_BASIC_CFG EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_ACTION +CYREG_PHUB_CH20_ACTION EQU 0x40007154 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_BASIC_STATUS +CYREG_PHUB_CH20_BASIC_STATUS EQU 0x40007158 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASE +CYDEV_PHUB_CH21_BASE EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_SIZE +CYDEV_PHUB_CH21_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_BASIC_CFG +CYREG_PHUB_CH21_BASIC_CFG EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_ACTION +CYREG_PHUB_CH21_ACTION EQU 0x40007164 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_BASIC_STATUS +CYREG_PHUB_CH21_BASIC_STATUS EQU 0x40007168 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASE +CYDEV_PHUB_CH22_BASE EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_SIZE +CYDEV_PHUB_CH22_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_BASIC_CFG +CYREG_PHUB_CH22_BASIC_CFG EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_ACTION +CYREG_PHUB_CH22_ACTION EQU 0x40007174 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_BASIC_STATUS +CYREG_PHUB_CH22_BASIC_STATUS EQU 0x40007178 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASE +CYDEV_PHUB_CH23_BASE EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_SIZE +CYDEV_PHUB_CH23_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_BASIC_CFG +CYREG_PHUB_CH23_BASIC_CFG EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_ACTION +CYREG_PHUB_CH23_ACTION EQU 0x40007184 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_BASIC_STATUS +CYREG_PHUB_CH23_BASIC_STATUS EQU 0x40007188 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_BASE +CYDEV_PHUB_CFGMEM0_BASE EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_SIZE +CYDEV_PHUB_CFGMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM0_CFG0 +CYREG_PHUB_CFGMEM0_CFG0 EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM0_CFG1 +CYREG_PHUB_CFGMEM0_CFG1 EQU 0x40007604 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_BASE +CYDEV_PHUB_CFGMEM1_BASE EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_SIZE +CYDEV_PHUB_CFGMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM1_CFG0 +CYREG_PHUB_CFGMEM1_CFG0 EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM1_CFG1 +CYREG_PHUB_CFGMEM1_CFG1 EQU 0x4000760c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_BASE +CYDEV_PHUB_CFGMEM2_BASE EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_SIZE +CYDEV_PHUB_CFGMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM2_CFG0 +CYREG_PHUB_CFGMEM2_CFG0 EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM2_CFG1 +CYREG_PHUB_CFGMEM2_CFG1 EQU 0x40007614 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_BASE +CYDEV_PHUB_CFGMEM3_BASE EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_SIZE +CYDEV_PHUB_CFGMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM3_CFG0 +CYREG_PHUB_CFGMEM3_CFG0 EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM3_CFG1 +CYREG_PHUB_CFGMEM3_CFG1 EQU 0x4000761c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_BASE +CYDEV_PHUB_CFGMEM4_BASE EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_SIZE +CYDEV_PHUB_CFGMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM4_CFG0 +CYREG_PHUB_CFGMEM4_CFG0 EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM4_CFG1 +CYREG_PHUB_CFGMEM4_CFG1 EQU 0x40007624 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_BASE +CYDEV_PHUB_CFGMEM5_BASE EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_SIZE +CYDEV_PHUB_CFGMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM5_CFG0 +CYREG_PHUB_CFGMEM5_CFG0 EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM5_CFG1 +CYREG_PHUB_CFGMEM5_CFG1 EQU 0x4000762c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_BASE +CYDEV_PHUB_CFGMEM6_BASE EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_SIZE +CYDEV_PHUB_CFGMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM6_CFG0 +CYREG_PHUB_CFGMEM6_CFG0 EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM6_CFG1 +CYREG_PHUB_CFGMEM6_CFG1 EQU 0x40007634 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_BASE +CYDEV_PHUB_CFGMEM7_BASE EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_SIZE +CYDEV_PHUB_CFGMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM7_CFG0 +CYREG_PHUB_CFGMEM7_CFG0 EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM7_CFG1 +CYREG_PHUB_CFGMEM7_CFG1 EQU 0x4000763c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_BASE +CYDEV_PHUB_CFGMEM8_BASE EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_SIZE +CYDEV_PHUB_CFGMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM8_CFG0 +CYREG_PHUB_CFGMEM8_CFG0 EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM8_CFG1 +CYREG_PHUB_CFGMEM8_CFG1 EQU 0x40007644 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_BASE +CYDEV_PHUB_CFGMEM9_BASE EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_SIZE +CYDEV_PHUB_CFGMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM9_CFG0 +CYREG_PHUB_CFGMEM9_CFG0 EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM9_CFG1 +CYREG_PHUB_CFGMEM9_CFG1 EQU 0x4000764c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_BASE +CYDEV_PHUB_CFGMEM10_BASE EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_SIZE +CYDEV_PHUB_CFGMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM10_CFG0 +CYREG_PHUB_CFGMEM10_CFG0 EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM10_CFG1 +CYREG_PHUB_CFGMEM10_CFG1 EQU 0x40007654 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_BASE +CYDEV_PHUB_CFGMEM11_BASE EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_SIZE +CYDEV_PHUB_CFGMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM11_CFG0 +CYREG_PHUB_CFGMEM11_CFG0 EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM11_CFG1 +CYREG_PHUB_CFGMEM11_CFG1 EQU 0x4000765c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_BASE +CYDEV_PHUB_CFGMEM12_BASE EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_SIZE +CYDEV_PHUB_CFGMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM12_CFG0 +CYREG_PHUB_CFGMEM12_CFG0 EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM12_CFG1 +CYREG_PHUB_CFGMEM12_CFG1 EQU 0x40007664 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_BASE +CYDEV_PHUB_CFGMEM13_BASE EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_SIZE +CYDEV_PHUB_CFGMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM13_CFG0 +CYREG_PHUB_CFGMEM13_CFG0 EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM13_CFG1 +CYREG_PHUB_CFGMEM13_CFG1 EQU 0x4000766c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_BASE +CYDEV_PHUB_CFGMEM14_BASE EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_SIZE +CYDEV_PHUB_CFGMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM14_CFG0 +CYREG_PHUB_CFGMEM14_CFG0 EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM14_CFG1 +CYREG_PHUB_CFGMEM14_CFG1 EQU 0x40007674 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_BASE +CYDEV_PHUB_CFGMEM15_BASE EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_SIZE +CYDEV_PHUB_CFGMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM15_CFG0 +CYREG_PHUB_CFGMEM15_CFG0 EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM15_CFG1 +CYREG_PHUB_CFGMEM15_CFG1 EQU 0x4000767c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_BASE +CYDEV_PHUB_CFGMEM16_BASE EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_SIZE +CYDEV_PHUB_CFGMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM16_CFG0 +CYREG_PHUB_CFGMEM16_CFG0 EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM16_CFG1 +CYREG_PHUB_CFGMEM16_CFG1 EQU 0x40007684 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_BASE +CYDEV_PHUB_CFGMEM17_BASE EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_SIZE +CYDEV_PHUB_CFGMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM17_CFG0 +CYREG_PHUB_CFGMEM17_CFG0 EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM17_CFG1 +CYREG_PHUB_CFGMEM17_CFG1 EQU 0x4000768c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_BASE +CYDEV_PHUB_CFGMEM18_BASE EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_SIZE +CYDEV_PHUB_CFGMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM18_CFG0 +CYREG_PHUB_CFGMEM18_CFG0 EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM18_CFG1 +CYREG_PHUB_CFGMEM18_CFG1 EQU 0x40007694 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_BASE +CYDEV_PHUB_CFGMEM19_BASE EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_SIZE +CYDEV_PHUB_CFGMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM19_CFG0 +CYREG_PHUB_CFGMEM19_CFG0 EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM19_CFG1 +CYREG_PHUB_CFGMEM19_CFG1 EQU 0x4000769c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_BASE +CYDEV_PHUB_CFGMEM20_BASE EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_SIZE +CYDEV_PHUB_CFGMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM20_CFG0 +CYREG_PHUB_CFGMEM20_CFG0 EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM20_CFG1 +CYREG_PHUB_CFGMEM20_CFG1 EQU 0x400076a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_BASE +CYDEV_PHUB_CFGMEM21_BASE EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_SIZE +CYDEV_PHUB_CFGMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM21_CFG0 +CYREG_PHUB_CFGMEM21_CFG0 EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM21_CFG1 +CYREG_PHUB_CFGMEM21_CFG1 EQU 0x400076ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_BASE +CYDEV_PHUB_CFGMEM22_BASE EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_SIZE +CYDEV_PHUB_CFGMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM22_CFG0 +CYREG_PHUB_CFGMEM22_CFG0 EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM22_CFG1 +CYREG_PHUB_CFGMEM22_CFG1 EQU 0x400076b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_BASE +CYDEV_PHUB_CFGMEM23_BASE EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_SIZE +CYDEV_PHUB_CFGMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM23_CFG0 +CYREG_PHUB_CFGMEM23_CFG0 EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM23_CFG1 +CYREG_PHUB_CFGMEM23_CFG1 EQU 0x400076bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_BASE +CYDEV_PHUB_TDMEM0_BASE EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_SIZE +CYDEV_PHUB_TDMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM0_ORIG_TD0 +CYREG_PHUB_TDMEM0_ORIG_TD0 EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM0_ORIG_TD1 +CYREG_PHUB_TDMEM0_ORIG_TD1 EQU 0x40007804 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_BASE +CYDEV_PHUB_TDMEM1_BASE EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_SIZE +CYDEV_PHUB_TDMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM1_ORIG_TD0 +CYREG_PHUB_TDMEM1_ORIG_TD0 EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM1_ORIG_TD1 +CYREG_PHUB_TDMEM1_ORIG_TD1 EQU 0x4000780c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_BASE +CYDEV_PHUB_TDMEM2_BASE EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_SIZE +CYDEV_PHUB_TDMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM2_ORIG_TD0 +CYREG_PHUB_TDMEM2_ORIG_TD0 EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM2_ORIG_TD1 +CYREG_PHUB_TDMEM2_ORIG_TD1 EQU 0x40007814 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_BASE +CYDEV_PHUB_TDMEM3_BASE EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_SIZE +CYDEV_PHUB_TDMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM3_ORIG_TD0 +CYREG_PHUB_TDMEM3_ORIG_TD0 EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM3_ORIG_TD1 +CYREG_PHUB_TDMEM3_ORIG_TD1 EQU 0x4000781c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_BASE +CYDEV_PHUB_TDMEM4_BASE EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_SIZE +CYDEV_PHUB_TDMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM4_ORIG_TD0 +CYREG_PHUB_TDMEM4_ORIG_TD0 EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM4_ORIG_TD1 +CYREG_PHUB_TDMEM4_ORIG_TD1 EQU 0x40007824 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_BASE +CYDEV_PHUB_TDMEM5_BASE EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_SIZE +CYDEV_PHUB_TDMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM5_ORIG_TD0 +CYREG_PHUB_TDMEM5_ORIG_TD0 EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM5_ORIG_TD1 +CYREG_PHUB_TDMEM5_ORIG_TD1 EQU 0x4000782c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_BASE +CYDEV_PHUB_TDMEM6_BASE EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_SIZE +CYDEV_PHUB_TDMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM6_ORIG_TD0 +CYREG_PHUB_TDMEM6_ORIG_TD0 EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM6_ORIG_TD1 +CYREG_PHUB_TDMEM6_ORIG_TD1 EQU 0x40007834 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_BASE +CYDEV_PHUB_TDMEM7_BASE EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_SIZE +CYDEV_PHUB_TDMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM7_ORIG_TD0 +CYREG_PHUB_TDMEM7_ORIG_TD0 EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM7_ORIG_TD1 +CYREG_PHUB_TDMEM7_ORIG_TD1 EQU 0x4000783c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_BASE +CYDEV_PHUB_TDMEM8_BASE EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_SIZE +CYDEV_PHUB_TDMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM8_ORIG_TD0 +CYREG_PHUB_TDMEM8_ORIG_TD0 EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM8_ORIG_TD1 +CYREG_PHUB_TDMEM8_ORIG_TD1 EQU 0x40007844 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_BASE +CYDEV_PHUB_TDMEM9_BASE EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_SIZE +CYDEV_PHUB_TDMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM9_ORIG_TD0 +CYREG_PHUB_TDMEM9_ORIG_TD0 EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM9_ORIG_TD1 +CYREG_PHUB_TDMEM9_ORIG_TD1 EQU 0x4000784c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_BASE +CYDEV_PHUB_TDMEM10_BASE EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_SIZE +CYDEV_PHUB_TDMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM10_ORIG_TD0 +CYREG_PHUB_TDMEM10_ORIG_TD0 EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM10_ORIG_TD1 +CYREG_PHUB_TDMEM10_ORIG_TD1 EQU 0x40007854 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_BASE +CYDEV_PHUB_TDMEM11_BASE EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_SIZE +CYDEV_PHUB_TDMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM11_ORIG_TD0 +CYREG_PHUB_TDMEM11_ORIG_TD0 EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM11_ORIG_TD1 +CYREG_PHUB_TDMEM11_ORIG_TD1 EQU 0x4000785c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_BASE +CYDEV_PHUB_TDMEM12_BASE EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_SIZE +CYDEV_PHUB_TDMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM12_ORIG_TD0 +CYREG_PHUB_TDMEM12_ORIG_TD0 EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM12_ORIG_TD1 +CYREG_PHUB_TDMEM12_ORIG_TD1 EQU 0x40007864 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_BASE +CYDEV_PHUB_TDMEM13_BASE EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_SIZE +CYDEV_PHUB_TDMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM13_ORIG_TD0 +CYREG_PHUB_TDMEM13_ORIG_TD0 EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM13_ORIG_TD1 +CYREG_PHUB_TDMEM13_ORIG_TD1 EQU 0x4000786c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_BASE +CYDEV_PHUB_TDMEM14_BASE EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_SIZE +CYDEV_PHUB_TDMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM14_ORIG_TD0 +CYREG_PHUB_TDMEM14_ORIG_TD0 EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM14_ORIG_TD1 +CYREG_PHUB_TDMEM14_ORIG_TD1 EQU 0x40007874 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_BASE +CYDEV_PHUB_TDMEM15_BASE EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_SIZE +CYDEV_PHUB_TDMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM15_ORIG_TD0 +CYREG_PHUB_TDMEM15_ORIG_TD0 EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM15_ORIG_TD1 +CYREG_PHUB_TDMEM15_ORIG_TD1 EQU 0x4000787c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_BASE +CYDEV_PHUB_TDMEM16_BASE EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_SIZE +CYDEV_PHUB_TDMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM16_ORIG_TD0 +CYREG_PHUB_TDMEM16_ORIG_TD0 EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM16_ORIG_TD1 +CYREG_PHUB_TDMEM16_ORIG_TD1 EQU 0x40007884 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_BASE +CYDEV_PHUB_TDMEM17_BASE EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_SIZE +CYDEV_PHUB_TDMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM17_ORIG_TD0 +CYREG_PHUB_TDMEM17_ORIG_TD0 EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM17_ORIG_TD1 +CYREG_PHUB_TDMEM17_ORIG_TD1 EQU 0x4000788c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_BASE +CYDEV_PHUB_TDMEM18_BASE EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_SIZE +CYDEV_PHUB_TDMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM18_ORIG_TD0 +CYREG_PHUB_TDMEM18_ORIG_TD0 EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM18_ORIG_TD1 +CYREG_PHUB_TDMEM18_ORIG_TD1 EQU 0x40007894 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_BASE +CYDEV_PHUB_TDMEM19_BASE EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_SIZE +CYDEV_PHUB_TDMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM19_ORIG_TD0 +CYREG_PHUB_TDMEM19_ORIG_TD0 EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM19_ORIG_TD1 +CYREG_PHUB_TDMEM19_ORIG_TD1 EQU 0x4000789c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_BASE +CYDEV_PHUB_TDMEM20_BASE EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_SIZE +CYDEV_PHUB_TDMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM20_ORIG_TD0 +CYREG_PHUB_TDMEM20_ORIG_TD0 EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM20_ORIG_TD1 +CYREG_PHUB_TDMEM20_ORIG_TD1 EQU 0x400078a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_BASE +CYDEV_PHUB_TDMEM21_BASE EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_SIZE +CYDEV_PHUB_TDMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM21_ORIG_TD0 +CYREG_PHUB_TDMEM21_ORIG_TD0 EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM21_ORIG_TD1 +CYREG_PHUB_TDMEM21_ORIG_TD1 EQU 0x400078ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_BASE +CYDEV_PHUB_TDMEM22_BASE EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_SIZE +CYDEV_PHUB_TDMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM22_ORIG_TD0 +CYREG_PHUB_TDMEM22_ORIG_TD0 EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM22_ORIG_TD1 +CYREG_PHUB_TDMEM22_ORIG_TD1 EQU 0x400078b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_BASE +CYDEV_PHUB_TDMEM23_BASE EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_SIZE +CYDEV_PHUB_TDMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM23_ORIG_TD0 +CYREG_PHUB_TDMEM23_ORIG_TD0 EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM23_ORIG_TD1 +CYREG_PHUB_TDMEM23_ORIG_TD1 EQU 0x400078bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_BASE +CYDEV_PHUB_TDMEM24_BASE EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_SIZE +CYDEV_PHUB_TDMEM24_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM24_ORIG_TD0 +CYREG_PHUB_TDMEM24_ORIG_TD0 EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM24_ORIG_TD1 +CYREG_PHUB_TDMEM24_ORIG_TD1 EQU 0x400078c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_BASE +CYDEV_PHUB_TDMEM25_BASE EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_SIZE +CYDEV_PHUB_TDMEM25_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM25_ORIG_TD0 +CYREG_PHUB_TDMEM25_ORIG_TD0 EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM25_ORIG_TD1 +CYREG_PHUB_TDMEM25_ORIG_TD1 EQU 0x400078cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_BASE +CYDEV_PHUB_TDMEM26_BASE EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_SIZE +CYDEV_PHUB_TDMEM26_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM26_ORIG_TD0 +CYREG_PHUB_TDMEM26_ORIG_TD0 EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM26_ORIG_TD1 +CYREG_PHUB_TDMEM26_ORIG_TD1 EQU 0x400078d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_BASE +CYDEV_PHUB_TDMEM27_BASE EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_SIZE +CYDEV_PHUB_TDMEM27_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM27_ORIG_TD0 +CYREG_PHUB_TDMEM27_ORIG_TD0 EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM27_ORIG_TD1 +CYREG_PHUB_TDMEM27_ORIG_TD1 EQU 0x400078dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_BASE +CYDEV_PHUB_TDMEM28_BASE EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_SIZE +CYDEV_PHUB_TDMEM28_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM28_ORIG_TD0 +CYREG_PHUB_TDMEM28_ORIG_TD0 EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM28_ORIG_TD1 +CYREG_PHUB_TDMEM28_ORIG_TD1 EQU 0x400078e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_BASE +CYDEV_PHUB_TDMEM29_BASE EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_SIZE +CYDEV_PHUB_TDMEM29_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM29_ORIG_TD0 +CYREG_PHUB_TDMEM29_ORIG_TD0 EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM29_ORIG_TD1 +CYREG_PHUB_TDMEM29_ORIG_TD1 EQU 0x400078ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_BASE +CYDEV_PHUB_TDMEM30_BASE EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_SIZE +CYDEV_PHUB_TDMEM30_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM30_ORIG_TD0 +CYREG_PHUB_TDMEM30_ORIG_TD0 EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM30_ORIG_TD1 +CYREG_PHUB_TDMEM30_ORIG_TD1 EQU 0x400078f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_BASE +CYDEV_PHUB_TDMEM31_BASE EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_SIZE +CYDEV_PHUB_TDMEM31_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM31_ORIG_TD0 +CYREG_PHUB_TDMEM31_ORIG_TD0 EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM31_ORIG_TD1 +CYREG_PHUB_TDMEM31_ORIG_TD1 EQU 0x400078fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_BASE +CYDEV_PHUB_TDMEM32_BASE EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_SIZE +CYDEV_PHUB_TDMEM32_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM32_ORIG_TD0 +CYREG_PHUB_TDMEM32_ORIG_TD0 EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM32_ORIG_TD1 +CYREG_PHUB_TDMEM32_ORIG_TD1 EQU 0x40007904 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_BASE +CYDEV_PHUB_TDMEM33_BASE EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_SIZE +CYDEV_PHUB_TDMEM33_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM33_ORIG_TD0 +CYREG_PHUB_TDMEM33_ORIG_TD0 EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM33_ORIG_TD1 +CYREG_PHUB_TDMEM33_ORIG_TD1 EQU 0x4000790c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_BASE +CYDEV_PHUB_TDMEM34_BASE EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_SIZE +CYDEV_PHUB_TDMEM34_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM34_ORIG_TD0 +CYREG_PHUB_TDMEM34_ORIG_TD0 EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM34_ORIG_TD1 +CYREG_PHUB_TDMEM34_ORIG_TD1 EQU 0x40007914 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_BASE +CYDEV_PHUB_TDMEM35_BASE EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_SIZE +CYDEV_PHUB_TDMEM35_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM35_ORIG_TD0 +CYREG_PHUB_TDMEM35_ORIG_TD0 EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM35_ORIG_TD1 +CYREG_PHUB_TDMEM35_ORIG_TD1 EQU 0x4000791c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_BASE +CYDEV_PHUB_TDMEM36_BASE EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_SIZE +CYDEV_PHUB_TDMEM36_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM36_ORIG_TD0 +CYREG_PHUB_TDMEM36_ORIG_TD0 EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM36_ORIG_TD1 +CYREG_PHUB_TDMEM36_ORIG_TD1 EQU 0x40007924 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_BASE +CYDEV_PHUB_TDMEM37_BASE EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_SIZE +CYDEV_PHUB_TDMEM37_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM37_ORIG_TD0 +CYREG_PHUB_TDMEM37_ORIG_TD0 EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM37_ORIG_TD1 +CYREG_PHUB_TDMEM37_ORIG_TD1 EQU 0x4000792c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_BASE +CYDEV_PHUB_TDMEM38_BASE EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_SIZE +CYDEV_PHUB_TDMEM38_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM38_ORIG_TD0 +CYREG_PHUB_TDMEM38_ORIG_TD0 EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM38_ORIG_TD1 +CYREG_PHUB_TDMEM38_ORIG_TD1 EQU 0x40007934 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_BASE +CYDEV_PHUB_TDMEM39_BASE EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_SIZE +CYDEV_PHUB_TDMEM39_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM39_ORIG_TD0 +CYREG_PHUB_TDMEM39_ORIG_TD0 EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM39_ORIG_TD1 +CYREG_PHUB_TDMEM39_ORIG_TD1 EQU 0x4000793c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_BASE +CYDEV_PHUB_TDMEM40_BASE EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_SIZE +CYDEV_PHUB_TDMEM40_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM40_ORIG_TD0 +CYREG_PHUB_TDMEM40_ORIG_TD0 EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM40_ORIG_TD1 +CYREG_PHUB_TDMEM40_ORIG_TD1 EQU 0x40007944 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_BASE +CYDEV_PHUB_TDMEM41_BASE EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_SIZE +CYDEV_PHUB_TDMEM41_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM41_ORIG_TD0 +CYREG_PHUB_TDMEM41_ORIG_TD0 EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM41_ORIG_TD1 +CYREG_PHUB_TDMEM41_ORIG_TD1 EQU 0x4000794c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_BASE +CYDEV_PHUB_TDMEM42_BASE EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_SIZE +CYDEV_PHUB_TDMEM42_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM42_ORIG_TD0 +CYREG_PHUB_TDMEM42_ORIG_TD0 EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM42_ORIG_TD1 +CYREG_PHUB_TDMEM42_ORIG_TD1 EQU 0x40007954 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_BASE +CYDEV_PHUB_TDMEM43_BASE EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_SIZE +CYDEV_PHUB_TDMEM43_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM43_ORIG_TD0 +CYREG_PHUB_TDMEM43_ORIG_TD0 EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM43_ORIG_TD1 +CYREG_PHUB_TDMEM43_ORIG_TD1 EQU 0x4000795c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_BASE +CYDEV_PHUB_TDMEM44_BASE EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_SIZE +CYDEV_PHUB_TDMEM44_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM44_ORIG_TD0 +CYREG_PHUB_TDMEM44_ORIG_TD0 EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM44_ORIG_TD1 +CYREG_PHUB_TDMEM44_ORIG_TD1 EQU 0x40007964 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_BASE +CYDEV_PHUB_TDMEM45_BASE EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_SIZE +CYDEV_PHUB_TDMEM45_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM45_ORIG_TD0 +CYREG_PHUB_TDMEM45_ORIG_TD0 EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM45_ORIG_TD1 +CYREG_PHUB_TDMEM45_ORIG_TD1 EQU 0x4000796c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_BASE +CYDEV_PHUB_TDMEM46_BASE EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_SIZE +CYDEV_PHUB_TDMEM46_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM46_ORIG_TD0 +CYREG_PHUB_TDMEM46_ORIG_TD0 EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM46_ORIG_TD1 +CYREG_PHUB_TDMEM46_ORIG_TD1 EQU 0x40007974 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_BASE +CYDEV_PHUB_TDMEM47_BASE EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_SIZE +CYDEV_PHUB_TDMEM47_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM47_ORIG_TD0 +CYREG_PHUB_TDMEM47_ORIG_TD0 EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM47_ORIG_TD1 +CYREG_PHUB_TDMEM47_ORIG_TD1 EQU 0x4000797c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_BASE +CYDEV_PHUB_TDMEM48_BASE EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_SIZE +CYDEV_PHUB_TDMEM48_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM48_ORIG_TD0 +CYREG_PHUB_TDMEM48_ORIG_TD0 EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM48_ORIG_TD1 +CYREG_PHUB_TDMEM48_ORIG_TD1 EQU 0x40007984 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_BASE +CYDEV_PHUB_TDMEM49_BASE EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_SIZE +CYDEV_PHUB_TDMEM49_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM49_ORIG_TD0 +CYREG_PHUB_TDMEM49_ORIG_TD0 EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM49_ORIG_TD1 +CYREG_PHUB_TDMEM49_ORIG_TD1 EQU 0x4000798c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_BASE +CYDEV_PHUB_TDMEM50_BASE EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_SIZE +CYDEV_PHUB_TDMEM50_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM50_ORIG_TD0 +CYREG_PHUB_TDMEM50_ORIG_TD0 EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM50_ORIG_TD1 +CYREG_PHUB_TDMEM50_ORIG_TD1 EQU 0x40007994 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_BASE +CYDEV_PHUB_TDMEM51_BASE EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_SIZE +CYDEV_PHUB_TDMEM51_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM51_ORIG_TD0 +CYREG_PHUB_TDMEM51_ORIG_TD0 EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM51_ORIG_TD1 +CYREG_PHUB_TDMEM51_ORIG_TD1 EQU 0x4000799c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_BASE +CYDEV_PHUB_TDMEM52_BASE EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_SIZE +CYDEV_PHUB_TDMEM52_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM52_ORIG_TD0 +CYREG_PHUB_TDMEM52_ORIG_TD0 EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM52_ORIG_TD1 +CYREG_PHUB_TDMEM52_ORIG_TD1 EQU 0x400079a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_BASE +CYDEV_PHUB_TDMEM53_BASE EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_SIZE +CYDEV_PHUB_TDMEM53_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM53_ORIG_TD0 +CYREG_PHUB_TDMEM53_ORIG_TD0 EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM53_ORIG_TD1 +CYREG_PHUB_TDMEM53_ORIG_TD1 EQU 0x400079ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_BASE +CYDEV_PHUB_TDMEM54_BASE EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_SIZE +CYDEV_PHUB_TDMEM54_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM54_ORIG_TD0 +CYREG_PHUB_TDMEM54_ORIG_TD0 EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM54_ORIG_TD1 +CYREG_PHUB_TDMEM54_ORIG_TD1 EQU 0x400079b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_BASE +CYDEV_PHUB_TDMEM55_BASE EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_SIZE +CYDEV_PHUB_TDMEM55_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM55_ORIG_TD0 +CYREG_PHUB_TDMEM55_ORIG_TD0 EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM55_ORIG_TD1 +CYREG_PHUB_TDMEM55_ORIG_TD1 EQU 0x400079bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_BASE +CYDEV_PHUB_TDMEM56_BASE EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_SIZE +CYDEV_PHUB_TDMEM56_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM56_ORIG_TD0 +CYREG_PHUB_TDMEM56_ORIG_TD0 EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM56_ORIG_TD1 +CYREG_PHUB_TDMEM56_ORIG_TD1 EQU 0x400079c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_BASE +CYDEV_PHUB_TDMEM57_BASE EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_SIZE +CYDEV_PHUB_TDMEM57_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM57_ORIG_TD0 +CYREG_PHUB_TDMEM57_ORIG_TD0 EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM57_ORIG_TD1 +CYREG_PHUB_TDMEM57_ORIG_TD1 EQU 0x400079cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_BASE +CYDEV_PHUB_TDMEM58_BASE EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_SIZE +CYDEV_PHUB_TDMEM58_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM58_ORIG_TD0 +CYREG_PHUB_TDMEM58_ORIG_TD0 EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM58_ORIG_TD1 +CYREG_PHUB_TDMEM58_ORIG_TD1 EQU 0x400079d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_BASE +CYDEV_PHUB_TDMEM59_BASE EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_SIZE +CYDEV_PHUB_TDMEM59_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM59_ORIG_TD0 +CYREG_PHUB_TDMEM59_ORIG_TD0 EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM59_ORIG_TD1 +CYREG_PHUB_TDMEM59_ORIG_TD1 EQU 0x400079dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_BASE +CYDEV_PHUB_TDMEM60_BASE EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_SIZE +CYDEV_PHUB_TDMEM60_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM60_ORIG_TD0 +CYREG_PHUB_TDMEM60_ORIG_TD0 EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM60_ORIG_TD1 +CYREG_PHUB_TDMEM60_ORIG_TD1 EQU 0x400079e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_BASE +CYDEV_PHUB_TDMEM61_BASE EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_SIZE +CYDEV_PHUB_TDMEM61_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM61_ORIG_TD0 +CYREG_PHUB_TDMEM61_ORIG_TD0 EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM61_ORIG_TD1 +CYREG_PHUB_TDMEM61_ORIG_TD1 EQU 0x400079ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_BASE +CYDEV_PHUB_TDMEM62_BASE EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_SIZE +CYDEV_PHUB_TDMEM62_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM62_ORIG_TD0 +CYREG_PHUB_TDMEM62_ORIG_TD0 EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM62_ORIG_TD1 +CYREG_PHUB_TDMEM62_ORIG_TD1 EQU 0x400079f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_BASE +CYDEV_PHUB_TDMEM63_BASE EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_SIZE +CYDEV_PHUB_TDMEM63_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM63_ORIG_TD0 +CYREG_PHUB_TDMEM63_ORIG_TD0 EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM63_ORIG_TD1 +CYREG_PHUB_TDMEM63_ORIG_TD1 EQU 0x400079fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_BASE +CYDEV_PHUB_TDMEM64_BASE EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_SIZE +CYDEV_PHUB_TDMEM64_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM64_ORIG_TD0 +CYREG_PHUB_TDMEM64_ORIG_TD0 EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM64_ORIG_TD1 +CYREG_PHUB_TDMEM64_ORIG_TD1 EQU 0x40007a04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_BASE +CYDEV_PHUB_TDMEM65_BASE EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_SIZE +CYDEV_PHUB_TDMEM65_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM65_ORIG_TD0 +CYREG_PHUB_TDMEM65_ORIG_TD0 EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM65_ORIG_TD1 +CYREG_PHUB_TDMEM65_ORIG_TD1 EQU 0x40007a0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_BASE +CYDEV_PHUB_TDMEM66_BASE EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_SIZE +CYDEV_PHUB_TDMEM66_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM66_ORIG_TD0 +CYREG_PHUB_TDMEM66_ORIG_TD0 EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM66_ORIG_TD1 +CYREG_PHUB_TDMEM66_ORIG_TD1 EQU 0x40007a14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_BASE +CYDEV_PHUB_TDMEM67_BASE EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_SIZE +CYDEV_PHUB_TDMEM67_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM67_ORIG_TD0 +CYREG_PHUB_TDMEM67_ORIG_TD0 EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM67_ORIG_TD1 +CYREG_PHUB_TDMEM67_ORIG_TD1 EQU 0x40007a1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_BASE +CYDEV_PHUB_TDMEM68_BASE EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_SIZE +CYDEV_PHUB_TDMEM68_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM68_ORIG_TD0 +CYREG_PHUB_TDMEM68_ORIG_TD0 EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM68_ORIG_TD1 +CYREG_PHUB_TDMEM68_ORIG_TD1 EQU 0x40007a24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_BASE +CYDEV_PHUB_TDMEM69_BASE EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_SIZE +CYDEV_PHUB_TDMEM69_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM69_ORIG_TD0 +CYREG_PHUB_TDMEM69_ORIG_TD0 EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM69_ORIG_TD1 +CYREG_PHUB_TDMEM69_ORIG_TD1 EQU 0x40007a2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_BASE +CYDEV_PHUB_TDMEM70_BASE EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_SIZE +CYDEV_PHUB_TDMEM70_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM70_ORIG_TD0 +CYREG_PHUB_TDMEM70_ORIG_TD0 EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM70_ORIG_TD1 +CYREG_PHUB_TDMEM70_ORIG_TD1 EQU 0x40007a34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_BASE +CYDEV_PHUB_TDMEM71_BASE EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_SIZE +CYDEV_PHUB_TDMEM71_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM71_ORIG_TD0 +CYREG_PHUB_TDMEM71_ORIG_TD0 EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM71_ORIG_TD1 +CYREG_PHUB_TDMEM71_ORIG_TD1 EQU 0x40007a3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_BASE +CYDEV_PHUB_TDMEM72_BASE EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_SIZE +CYDEV_PHUB_TDMEM72_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM72_ORIG_TD0 +CYREG_PHUB_TDMEM72_ORIG_TD0 EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM72_ORIG_TD1 +CYREG_PHUB_TDMEM72_ORIG_TD1 EQU 0x40007a44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_BASE +CYDEV_PHUB_TDMEM73_BASE EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_SIZE +CYDEV_PHUB_TDMEM73_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM73_ORIG_TD0 +CYREG_PHUB_TDMEM73_ORIG_TD0 EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM73_ORIG_TD1 +CYREG_PHUB_TDMEM73_ORIG_TD1 EQU 0x40007a4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_BASE +CYDEV_PHUB_TDMEM74_BASE EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_SIZE +CYDEV_PHUB_TDMEM74_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM74_ORIG_TD0 +CYREG_PHUB_TDMEM74_ORIG_TD0 EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM74_ORIG_TD1 +CYREG_PHUB_TDMEM74_ORIG_TD1 EQU 0x40007a54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_BASE +CYDEV_PHUB_TDMEM75_BASE EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_SIZE +CYDEV_PHUB_TDMEM75_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM75_ORIG_TD0 +CYREG_PHUB_TDMEM75_ORIG_TD0 EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM75_ORIG_TD1 +CYREG_PHUB_TDMEM75_ORIG_TD1 EQU 0x40007a5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_BASE +CYDEV_PHUB_TDMEM76_BASE EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_SIZE +CYDEV_PHUB_TDMEM76_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM76_ORIG_TD0 +CYREG_PHUB_TDMEM76_ORIG_TD0 EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM76_ORIG_TD1 +CYREG_PHUB_TDMEM76_ORIG_TD1 EQU 0x40007a64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_BASE +CYDEV_PHUB_TDMEM77_BASE EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_SIZE +CYDEV_PHUB_TDMEM77_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM77_ORIG_TD0 +CYREG_PHUB_TDMEM77_ORIG_TD0 EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM77_ORIG_TD1 +CYREG_PHUB_TDMEM77_ORIG_TD1 EQU 0x40007a6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_BASE +CYDEV_PHUB_TDMEM78_BASE EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_SIZE +CYDEV_PHUB_TDMEM78_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM78_ORIG_TD0 +CYREG_PHUB_TDMEM78_ORIG_TD0 EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM78_ORIG_TD1 +CYREG_PHUB_TDMEM78_ORIG_TD1 EQU 0x40007a74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_BASE +CYDEV_PHUB_TDMEM79_BASE EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_SIZE +CYDEV_PHUB_TDMEM79_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM79_ORIG_TD0 +CYREG_PHUB_TDMEM79_ORIG_TD0 EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM79_ORIG_TD1 +CYREG_PHUB_TDMEM79_ORIG_TD1 EQU 0x40007a7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_BASE +CYDEV_PHUB_TDMEM80_BASE EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_SIZE +CYDEV_PHUB_TDMEM80_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM80_ORIG_TD0 +CYREG_PHUB_TDMEM80_ORIG_TD0 EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM80_ORIG_TD1 +CYREG_PHUB_TDMEM80_ORIG_TD1 EQU 0x40007a84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_BASE +CYDEV_PHUB_TDMEM81_BASE EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_SIZE +CYDEV_PHUB_TDMEM81_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM81_ORIG_TD0 +CYREG_PHUB_TDMEM81_ORIG_TD0 EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM81_ORIG_TD1 +CYREG_PHUB_TDMEM81_ORIG_TD1 EQU 0x40007a8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_BASE +CYDEV_PHUB_TDMEM82_BASE EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_SIZE +CYDEV_PHUB_TDMEM82_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM82_ORIG_TD0 +CYREG_PHUB_TDMEM82_ORIG_TD0 EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM82_ORIG_TD1 +CYREG_PHUB_TDMEM82_ORIG_TD1 EQU 0x40007a94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_BASE +CYDEV_PHUB_TDMEM83_BASE EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_SIZE +CYDEV_PHUB_TDMEM83_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM83_ORIG_TD0 +CYREG_PHUB_TDMEM83_ORIG_TD0 EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM83_ORIG_TD1 +CYREG_PHUB_TDMEM83_ORIG_TD1 EQU 0x40007a9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_BASE +CYDEV_PHUB_TDMEM84_BASE EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_SIZE +CYDEV_PHUB_TDMEM84_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM84_ORIG_TD0 +CYREG_PHUB_TDMEM84_ORIG_TD0 EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM84_ORIG_TD1 +CYREG_PHUB_TDMEM84_ORIG_TD1 EQU 0x40007aa4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_BASE +CYDEV_PHUB_TDMEM85_BASE EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_SIZE +CYDEV_PHUB_TDMEM85_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM85_ORIG_TD0 +CYREG_PHUB_TDMEM85_ORIG_TD0 EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM85_ORIG_TD1 +CYREG_PHUB_TDMEM85_ORIG_TD1 EQU 0x40007aac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_BASE +CYDEV_PHUB_TDMEM86_BASE EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_SIZE +CYDEV_PHUB_TDMEM86_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM86_ORIG_TD0 +CYREG_PHUB_TDMEM86_ORIG_TD0 EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM86_ORIG_TD1 +CYREG_PHUB_TDMEM86_ORIG_TD1 EQU 0x40007ab4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_BASE +CYDEV_PHUB_TDMEM87_BASE EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_SIZE +CYDEV_PHUB_TDMEM87_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM87_ORIG_TD0 +CYREG_PHUB_TDMEM87_ORIG_TD0 EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM87_ORIG_TD1 +CYREG_PHUB_TDMEM87_ORIG_TD1 EQU 0x40007abc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_BASE +CYDEV_PHUB_TDMEM88_BASE EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_SIZE +CYDEV_PHUB_TDMEM88_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM88_ORIG_TD0 +CYREG_PHUB_TDMEM88_ORIG_TD0 EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM88_ORIG_TD1 +CYREG_PHUB_TDMEM88_ORIG_TD1 EQU 0x40007ac4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_BASE +CYDEV_PHUB_TDMEM89_BASE EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_SIZE +CYDEV_PHUB_TDMEM89_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM89_ORIG_TD0 +CYREG_PHUB_TDMEM89_ORIG_TD0 EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM89_ORIG_TD1 +CYREG_PHUB_TDMEM89_ORIG_TD1 EQU 0x40007acc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_BASE +CYDEV_PHUB_TDMEM90_BASE EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_SIZE +CYDEV_PHUB_TDMEM90_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM90_ORIG_TD0 +CYREG_PHUB_TDMEM90_ORIG_TD0 EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM90_ORIG_TD1 +CYREG_PHUB_TDMEM90_ORIG_TD1 EQU 0x40007ad4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_BASE +CYDEV_PHUB_TDMEM91_BASE EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_SIZE +CYDEV_PHUB_TDMEM91_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM91_ORIG_TD0 +CYREG_PHUB_TDMEM91_ORIG_TD0 EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM91_ORIG_TD1 +CYREG_PHUB_TDMEM91_ORIG_TD1 EQU 0x40007adc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_BASE +CYDEV_PHUB_TDMEM92_BASE EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_SIZE +CYDEV_PHUB_TDMEM92_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM92_ORIG_TD0 +CYREG_PHUB_TDMEM92_ORIG_TD0 EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM92_ORIG_TD1 +CYREG_PHUB_TDMEM92_ORIG_TD1 EQU 0x40007ae4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_BASE +CYDEV_PHUB_TDMEM93_BASE EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_SIZE +CYDEV_PHUB_TDMEM93_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM93_ORIG_TD0 +CYREG_PHUB_TDMEM93_ORIG_TD0 EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM93_ORIG_TD1 +CYREG_PHUB_TDMEM93_ORIG_TD1 EQU 0x40007aec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_BASE +CYDEV_PHUB_TDMEM94_BASE EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_SIZE +CYDEV_PHUB_TDMEM94_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM94_ORIG_TD0 +CYREG_PHUB_TDMEM94_ORIG_TD0 EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM94_ORIG_TD1 +CYREG_PHUB_TDMEM94_ORIG_TD1 EQU 0x40007af4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_BASE +CYDEV_PHUB_TDMEM95_BASE EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_SIZE +CYDEV_PHUB_TDMEM95_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM95_ORIG_TD0 +CYREG_PHUB_TDMEM95_ORIG_TD0 EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM95_ORIG_TD1 +CYREG_PHUB_TDMEM95_ORIG_TD1 EQU 0x40007afc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_BASE +CYDEV_PHUB_TDMEM96_BASE EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_SIZE +CYDEV_PHUB_TDMEM96_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM96_ORIG_TD0 +CYREG_PHUB_TDMEM96_ORIG_TD0 EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM96_ORIG_TD1 +CYREG_PHUB_TDMEM96_ORIG_TD1 EQU 0x40007b04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_BASE +CYDEV_PHUB_TDMEM97_BASE EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_SIZE +CYDEV_PHUB_TDMEM97_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM97_ORIG_TD0 +CYREG_PHUB_TDMEM97_ORIG_TD0 EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM97_ORIG_TD1 +CYREG_PHUB_TDMEM97_ORIG_TD1 EQU 0x40007b0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_BASE +CYDEV_PHUB_TDMEM98_BASE EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_SIZE +CYDEV_PHUB_TDMEM98_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM98_ORIG_TD0 +CYREG_PHUB_TDMEM98_ORIG_TD0 EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM98_ORIG_TD1 +CYREG_PHUB_TDMEM98_ORIG_TD1 EQU 0x40007b14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_BASE +CYDEV_PHUB_TDMEM99_BASE EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_SIZE +CYDEV_PHUB_TDMEM99_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM99_ORIG_TD0 +CYREG_PHUB_TDMEM99_ORIG_TD0 EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM99_ORIG_TD1 +CYREG_PHUB_TDMEM99_ORIG_TD1 EQU 0x40007b1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_BASE +CYDEV_PHUB_TDMEM100_BASE EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_SIZE +CYDEV_PHUB_TDMEM100_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM100_ORIG_TD0 +CYREG_PHUB_TDMEM100_ORIG_TD0 EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM100_ORIG_TD1 +CYREG_PHUB_TDMEM100_ORIG_TD1 EQU 0x40007b24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_BASE +CYDEV_PHUB_TDMEM101_BASE EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_SIZE +CYDEV_PHUB_TDMEM101_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM101_ORIG_TD0 +CYREG_PHUB_TDMEM101_ORIG_TD0 EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM101_ORIG_TD1 +CYREG_PHUB_TDMEM101_ORIG_TD1 EQU 0x40007b2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_BASE +CYDEV_PHUB_TDMEM102_BASE EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_SIZE +CYDEV_PHUB_TDMEM102_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM102_ORIG_TD0 +CYREG_PHUB_TDMEM102_ORIG_TD0 EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM102_ORIG_TD1 +CYREG_PHUB_TDMEM102_ORIG_TD1 EQU 0x40007b34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_BASE +CYDEV_PHUB_TDMEM103_BASE EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_SIZE +CYDEV_PHUB_TDMEM103_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM103_ORIG_TD0 +CYREG_PHUB_TDMEM103_ORIG_TD0 EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM103_ORIG_TD1 +CYREG_PHUB_TDMEM103_ORIG_TD1 EQU 0x40007b3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_BASE +CYDEV_PHUB_TDMEM104_BASE EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_SIZE +CYDEV_PHUB_TDMEM104_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM104_ORIG_TD0 +CYREG_PHUB_TDMEM104_ORIG_TD0 EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM104_ORIG_TD1 +CYREG_PHUB_TDMEM104_ORIG_TD1 EQU 0x40007b44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_BASE +CYDEV_PHUB_TDMEM105_BASE EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_SIZE +CYDEV_PHUB_TDMEM105_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM105_ORIG_TD0 +CYREG_PHUB_TDMEM105_ORIG_TD0 EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM105_ORIG_TD1 +CYREG_PHUB_TDMEM105_ORIG_TD1 EQU 0x40007b4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_BASE +CYDEV_PHUB_TDMEM106_BASE EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_SIZE +CYDEV_PHUB_TDMEM106_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM106_ORIG_TD0 +CYREG_PHUB_TDMEM106_ORIG_TD0 EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM106_ORIG_TD1 +CYREG_PHUB_TDMEM106_ORIG_TD1 EQU 0x40007b54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_BASE +CYDEV_PHUB_TDMEM107_BASE EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_SIZE +CYDEV_PHUB_TDMEM107_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM107_ORIG_TD0 +CYREG_PHUB_TDMEM107_ORIG_TD0 EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM107_ORIG_TD1 +CYREG_PHUB_TDMEM107_ORIG_TD1 EQU 0x40007b5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_BASE +CYDEV_PHUB_TDMEM108_BASE EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_SIZE +CYDEV_PHUB_TDMEM108_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM108_ORIG_TD0 +CYREG_PHUB_TDMEM108_ORIG_TD0 EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM108_ORIG_TD1 +CYREG_PHUB_TDMEM108_ORIG_TD1 EQU 0x40007b64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_BASE +CYDEV_PHUB_TDMEM109_BASE EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_SIZE +CYDEV_PHUB_TDMEM109_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM109_ORIG_TD0 +CYREG_PHUB_TDMEM109_ORIG_TD0 EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM109_ORIG_TD1 +CYREG_PHUB_TDMEM109_ORIG_TD1 EQU 0x40007b6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_BASE +CYDEV_PHUB_TDMEM110_BASE EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_SIZE +CYDEV_PHUB_TDMEM110_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM110_ORIG_TD0 +CYREG_PHUB_TDMEM110_ORIG_TD0 EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM110_ORIG_TD1 +CYREG_PHUB_TDMEM110_ORIG_TD1 EQU 0x40007b74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_BASE +CYDEV_PHUB_TDMEM111_BASE EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_SIZE +CYDEV_PHUB_TDMEM111_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM111_ORIG_TD0 +CYREG_PHUB_TDMEM111_ORIG_TD0 EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM111_ORIG_TD1 +CYREG_PHUB_TDMEM111_ORIG_TD1 EQU 0x40007b7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_BASE +CYDEV_PHUB_TDMEM112_BASE EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_SIZE +CYDEV_PHUB_TDMEM112_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM112_ORIG_TD0 +CYREG_PHUB_TDMEM112_ORIG_TD0 EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM112_ORIG_TD1 +CYREG_PHUB_TDMEM112_ORIG_TD1 EQU 0x40007b84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_BASE +CYDEV_PHUB_TDMEM113_BASE EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_SIZE +CYDEV_PHUB_TDMEM113_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM113_ORIG_TD0 +CYREG_PHUB_TDMEM113_ORIG_TD0 EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM113_ORIG_TD1 +CYREG_PHUB_TDMEM113_ORIG_TD1 EQU 0x40007b8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_BASE +CYDEV_PHUB_TDMEM114_BASE EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_SIZE +CYDEV_PHUB_TDMEM114_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM114_ORIG_TD0 +CYREG_PHUB_TDMEM114_ORIG_TD0 EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM114_ORIG_TD1 +CYREG_PHUB_TDMEM114_ORIG_TD1 EQU 0x40007b94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_BASE +CYDEV_PHUB_TDMEM115_BASE EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_SIZE +CYDEV_PHUB_TDMEM115_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM115_ORIG_TD0 +CYREG_PHUB_TDMEM115_ORIG_TD0 EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM115_ORIG_TD1 +CYREG_PHUB_TDMEM115_ORIG_TD1 EQU 0x40007b9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_BASE +CYDEV_PHUB_TDMEM116_BASE EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_SIZE +CYDEV_PHUB_TDMEM116_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM116_ORIG_TD0 +CYREG_PHUB_TDMEM116_ORIG_TD0 EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM116_ORIG_TD1 +CYREG_PHUB_TDMEM116_ORIG_TD1 EQU 0x40007ba4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_BASE +CYDEV_PHUB_TDMEM117_BASE EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_SIZE +CYDEV_PHUB_TDMEM117_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM117_ORIG_TD0 +CYREG_PHUB_TDMEM117_ORIG_TD0 EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM117_ORIG_TD1 +CYREG_PHUB_TDMEM117_ORIG_TD1 EQU 0x40007bac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_BASE +CYDEV_PHUB_TDMEM118_BASE EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_SIZE +CYDEV_PHUB_TDMEM118_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM118_ORIG_TD0 +CYREG_PHUB_TDMEM118_ORIG_TD0 EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM118_ORIG_TD1 +CYREG_PHUB_TDMEM118_ORIG_TD1 EQU 0x40007bb4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_BASE +CYDEV_PHUB_TDMEM119_BASE EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_SIZE +CYDEV_PHUB_TDMEM119_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM119_ORIG_TD0 +CYREG_PHUB_TDMEM119_ORIG_TD0 EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM119_ORIG_TD1 +CYREG_PHUB_TDMEM119_ORIG_TD1 EQU 0x40007bbc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_BASE +CYDEV_PHUB_TDMEM120_BASE EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_SIZE +CYDEV_PHUB_TDMEM120_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM120_ORIG_TD0 +CYREG_PHUB_TDMEM120_ORIG_TD0 EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM120_ORIG_TD1 +CYREG_PHUB_TDMEM120_ORIG_TD1 EQU 0x40007bc4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_BASE +CYDEV_PHUB_TDMEM121_BASE EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_SIZE +CYDEV_PHUB_TDMEM121_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM121_ORIG_TD0 +CYREG_PHUB_TDMEM121_ORIG_TD0 EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM121_ORIG_TD1 +CYREG_PHUB_TDMEM121_ORIG_TD1 EQU 0x40007bcc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_BASE +CYDEV_PHUB_TDMEM122_BASE EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_SIZE +CYDEV_PHUB_TDMEM122_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM122_ORIG_TD0 +CYREG_PHUB_TDMEM122_ORIG_TD0 EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM122_ORIG_TD1 +CYREG_PHUB_TDMEM122_ORIG_TD1 EQU 0x40007bd4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_BASE +CYDEV_PHUB_TDMEM123_BASE EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_SIZE +CYDEV_PHUB_TDMEM123_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM123_ORIG_TD0 +CYREG_PHUB_TDMEM123_ORIG_TD0 EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM123_ORIG_TD1 +CYREG_PHUB_TDMEM123_ORIG_TD1 EQU 0x40007bdc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_BASE +CYDEV_PHUB_TDMEM124_BASE EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_SIZE +CYDEV_PHUB_TDMEM124_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM124_ORIG_TD0 +CYREG_PHUB_TDMEM124_ORIG_TD0 EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM124_ORIG_TD1 +CYREG_PHUB_TDMEM124_ORIG_TD1 EQU 0x40007be4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_BASE +CYDEV_PHUB_TDMEM125_BASE EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_SIZE +CYDEV_PHUB_TDMEM125_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM125_ORIG_TD0 +CYREG_PHUB_TDMEM125_ORIG_TD0 EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM125_ORIG_TD1 +CYREG_PHUB_TDMEM125_ORIG_TD1 EQU 0x40007bec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_BASE +CYDEV_PHUB_TDMEM126_BASE EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_SIZE +CYDEV_PHUB_TDMEM126_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM126_ORIG_TD0 +CYREG_PHUB_TDMEM126_ORIG_TD0 EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM126_ORIG_TD1 +CYREG_PHUB_TDMEM126_ORIG_TD1 EQU 0x40007bf4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_BASE +CYDEV_PHUB_TDMEM127_BASE EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_SIZE +CYDEV_PHUB_TDMEM127_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM127_ORIG_TD0 +CYREG_PHUB_TDMEM127_ORIG_TD0 EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM127_ORIG_TD1 +CYREG_PHUB_TDMEM127_ORIG_TD1 EQU 0x40007bfc + ENDIF + IF :LNOT::DEF:CYDEV_EE_BASE +CYDEV_EE_BASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_SIZE +CYDEV_EE_SIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYREG_EE_DATA_MBASE +CYREG_EE_DATA_MBASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYREG_EE_DATA_MSIZE +CYREG_EE_DATA_MSIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_BASE +CYDEV_CAN0_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_SIZE +CYDEV_CAN0_SIZE EQU 0x000002a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BASE +CYDEV_CAN0_CSR_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_SIZE +CYDEV_CAN0_CSR_SIZE EQU 0x00000018 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_INT_SR +CYREG_CAN0_CSR_INT_SR EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_INT_EN +CYREG_CAN0_CSR_INT_EN EQU 0x4000a004 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_BUF_SR +CYREG_CAN0_CSR_BUF_SR EQU 0x4000a008 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_ERR_SR +CYREG_CAN0_CSR_ERR_SR EQU 0x4000a00c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_CMD +CYREG_CAN0_CSR_CMD EQU 0x4000a010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_CFG +CYREG_CAN0_CSR_CFG EQU 0x4000a014 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_BASE +CYDEV_CAN0_TX0_BASE EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_SIZE +CYDEV_CAN0_TX0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_CMD +CYREG_CAN0_TX0_CMD EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_ID +CYREG_CAN0_TX0_ID EQU 0x4000a024 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_DH +CYREG_CAN0_TX0_DH EQU 0x4000a028 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_DL +CYREG_CAN0_TX0_DL EQU 0x4000a02c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_BASE +CYDEV_CAN0_TX1_BASE EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_SIZE +CYDEV_CAN0_TX1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_CMD +CYREG_CAN0_TX1_CMD EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_ID +CYREG_CAN0_TX1_ID EQU 0x4000a034 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_DH +CYREG_CAN0_TX1_DH EQU 0x4000a038 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_DL +CYREG_CAN0_TX1_DL EQU 0x4000a03c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_BASE +CYDEV_CAN0_TX2_BASE EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_SIZE +CYDEV_CAN0_TX2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_CMD +CYREG_CAN0_TX2_CMD EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_ID +CYREG_CAN0_TX2_ID EQU 0x4000a044 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_DH +CYREG_CAN0_TX2_DH EQU 0x4000a048 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_DL +CYREG_CAN0_TX2_DL EQU 0x4000a04c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_BASE +CYDEV_CAN0_TX3_BASE EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_SIZE +CYDEV_CAN0_TX3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_CMD +CYREG_CAN0_TX3_CMD EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_ID +CYREG_CAN0_TX3_ID EQU 0x4000a054 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_DH +CYREG_CAN0_TX3_DH EQU 0x4000a058 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_DL +CYREG_CAN0_TX3_DL EQU 0x4000a05c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_BASE +CYDEV_CAN0_TX4_BASE EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_SIZE +CYDEV_CAN0_TX4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_CMD +CYREG_CAN0_TX4_CMD EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_ID +CYREG_CAN0_TX4_ID EQU 0x4000a064 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_DH +CYREG_CAN0_TX4_DH EQU 0x4000a068 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_DL +CYREG_CAN0_TX4_DL EQU 0x4000a06c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_BASE +CYDEV_CAN0_TX5_BASE EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_SIZE +CYDEV_CAN0_TX5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_CMD +CYREG_CAN0_TX5_CMD EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_ID +CYREG_CAN0_TX5_ID EQU 0x4000a074 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_DH +CYREG_CAN0_TX5_DH EQU 0x4000a078 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_DL +CYREG_CAN0_TX5_DL EQU 0x4000a07c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_BASE +CYDEV_CAN0_TX6_BASE EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_SIZE +CYDEV_CAN0_TX6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_CMD +CYREG_CAN0_TX6_CMD EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_ID +CYREG_CAN0_TX6_ID EQU 0x4000a084 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_DH +CYREG_CAN0_TX6_DH EQU 0x4000a088 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_DL +CYREG_CAN0_TX6_DL EQU 0x4000a08c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_BASE +CYDEV_CAN0_TX7_BASE EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_SIZE +CYDEV_CAN0_TX7_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_CMD +CYREG_CAN0_TX7_CMD EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_ID +CYREG_CAN0_TX7_ID EQU 0x4000a094 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_DH +CYREG_CAN0_TX7_DH EQU 0x4000a098 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_DL +CYREG_CAN0_TX7_DL EQU 0x4000a09c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_BASE +CYDEV_CAN0_RX0_BASE EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_SIZE +CYDEV_CAN0_RX0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_CMD +CYREG_CAN0_RX0_CMD EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ID +CYREG_CAN0_RX0_ID EQU 0x4000a0a4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_DH +CYREG_CAN0_RX0_DH EQU 0x4000a0a8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_DL +CYREG_CAN0_RX0_DL EQU 0x4000a0ac + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_AMR +CYREG_CAN0_RX0_AMR EQU 0x4000a0b0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ACR +CYREG_CAN0_RX0_ACR EQU 0x4000a0b4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_AMRD +CYREG_CAN0_RX0_AMRD EQU 0x4000a0b8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ACRD +CYREG_CAN0_RX0_ACRD EQU 0x4000a0bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_BASE +CYDEV_CAN0_RX1_BASE EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_SIZE +CYDEV_CAN0_RX1_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_CMD +CYREG_CAN0_RX1_CMD EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ID +CYREG_CAN0_RX1_ID EQU 0x4000a0c4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_DH +CYREG_CAN0_RX1_DH EQU 0x4000a0c8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_DL +CYREG_CAN0_RX1_DL EQU 0x4000a0cc + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_AMR +CYREG_CAN0_RX1_AMR EQU 0x4000a0d0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ACR +CYREG_CAN0_RX1_ACR EQU 0x4000a0d4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_AMRD +CYREG_CAN0_RX1_AMRD EQU 0x4000a0d8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ACRD +CYREG_CAN0_RX1_ACRD EQU 0x4000a0dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_BASE +CYDEV_CAN0_RX2_BASE EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_SIZE +CYDEV_CAN0_RX2_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_CMD +CYREG_CAN0_RX2_CMD EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ID +CYREG_CAN0_RX2_ID EQU 0x4000a0e4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_DH +CYREG_CAN0_RX2_DH EQU 0x4000a0e8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_DL +CYREG_CAN0_RX2_DL EQU 0x4000a0ec + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_AMR +CYREG_CAN0_RX2_AMR EQU 0x4000a0f0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ACR +CYREG_CAN0_RX2_ACR EQU 0x4000a0f4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_AMRD +CYREG_CAN0_RX2_AMRD EQU 0x4000a0f8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ACRD +CYREG_CAN0_RX2_ACRD EQU 0x4000a0fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_BASE +CYDEV_CAN0_RX3_BASE EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_SIZE +CYDEV_CAN0_RX3_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_CMD +CYREG_CAN0_RX3_CMD EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ID +CYREG_CAN0_RX3_ID EQU 0x4000a104 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_DH +CYREG_CAN0_RX3_DH EQU 0x4000a108 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_DL +CYREG_CAN0_RX3_DL EQU 0x4000a10c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_AMR +CYREG_CAN0_RX3_AMR EQU 0x4000a110 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ACR +CYREG_CAN0_RX3_ACR EQU 0x4000a114 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_AMRD +CYREG_CAN0_RX3_AMRD EQU 0x4000a118 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ACRD +CYREG_CAN0_RX3_ACRD EQU 0x4000a11c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_BASE +CYDEV_CAN0_RX4_BASE EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_SIZE +CYDEV_CAN0_RX4_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_CMD +CYREG_CAN0_RX4_CMD EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ID +CYREG_CAN0_RX4_ID EQU 0x4000a124 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_DH +CYREG_CAN0_RX4_DH EQU 0x4000a128 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_DL +CYREG_CAN0_RX4_DL EQU 0x4000a12c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_AMR +CYREG_CAN0_RX4_AMR EQU 0x4000a130 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ACR +CYREG_CAN0_RX4_ACR EQU 0x4000a134 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_AMRD +CYREG_CAN0_RX4_AMRD EQU 0x4000a138 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ACRD +CYREG_CAN0_RX4_ACRD EQU 0x4000a13c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_BASE +CYDEV_CAN0_RX5_BASE EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_SIZE +CYDEV_CAN0_RX5_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_CMD +CYREG_CAN0_RX5_CMD EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ID +CYREG_CAN0_RX5_ID EQU 0x4000a144 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_DH +CYREG_CAN0_RX5_DH EQU 0x4000a148 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_DL +CYREG_CAN0_RX5_DL EQU 0x4000a14c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_AMR +CYREG_CAN0_RX5_AMR EQU 0x4000a150 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ACR +CYREG_CAN0_RX5_ACR EQU 0x4000a154 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_AMRD +CYREG_CAN0_RX5_AMRD EQU 0x4000a158 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ACRD +CYREG_CAN0_RX5_ACRD EQU 0x4000a15c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_BASE +CYDEV_CAN0_RX6_BASE EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_SIZE +CYDEV_CAN0_RX6_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_CMD +CYREG_CAN0_RX6_CMD EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ID +CYREG_CAN0_RX6_ID EQU 0x4000a164 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_DH +CYREG_CAN0_RX6_DH EQU 0x4000a168 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_DL +CYREG_CAN0_RX6_DL EQU 0x4000a16c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_AMR +CYREG_CAN0_RX6_AMR EQU 0x4000a170 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ACR +CYREG_CAN0_RX6_ACR EQU 0x4000a174 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_AMRD +CYREG_CAN0_RX6_AMRD EQU 0x4000a178 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ACRD +CYREG_CAN0_RX6_ACRD EQU 0x4000a17c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_BASE +CYDEV_CAN0_RX7_BASE EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_SIZE +CYDEV_CAN0_RX7_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_CMD +CYREG_CAN0_RX7_CMD EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ID +CYREG_CAN0_RX7_ID EQU 0x4000a184 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_DH +CYREG_CAN0_RX7_DH EQU 0x4000a188 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_DL +CYREG_CAN0_RX7_DL EQU 0x4000a18c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_AMR +CYREG_CAN0_RX7_AMR EQU 0x4000a190 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ACR +CYREG_CAN0_RX7_ACR EQU 0x4000a194 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_AMRD +CYREG_CAN0_RX7_AMRD EQU 0x4000a198 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ACRD +CYREG_CAN0_RX7_ACRD EQU 0x4000a19c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_BASE +CYDEV_CAN0_RX8_BASE EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_SIZE +CYDEV_CAN0_RX8_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_CMD +CYREG_CAN0_RX8_CMD EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ID +CYREG_CAN0_RX8_ID EQU 0x4000a1a4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_DH +CYREG_CAN0_RX8_DH EQU 0x4000a1a8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_DL +CYREG_CAN0_RX8_DL EQU 0x4000a1ac + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_AMR +CYREG_CAN0_RX8_AMR EQU 0x4000a1b0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ACR +CYREG_CAN0_RX8_ACR EQU 0x4000a1b4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_AMRD +CYREG_CAN0_RX8_AMRD EQU 0x4000a1b8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ACRD +CYREG_CAN0_RX8_ACRD EQU 0x4000a1bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_BASE +CYDEV_CAN0_RX9_BASE EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_SIZE +CYDEV_CAN0_RX9_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_CMD +CYREG_CAN0_RX9_CMD EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ID +CYREG_CAN0_RX9_ID EQU 0x4000a1c4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_DH +CYREG_CAN0_RX9_DH EQU 0x4000a1c8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_DL +CYREG_CAN0_RX9_DL EQU 0x4000a1cc + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_AMR +CYREG_CAN0_RX9_AMR EQU 0x4000a1d0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ACR +CYREG_CAN0_RX9_ACR EQU 0x4000a1d4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_AMRD +CYREG_CAN0_RX9_AMRD EQU 0x4000a1d8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ACRD +CYREG_CAN0_RX9_ACRD EQU 0x4000a1dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_BASE +CYDEV_CAN0_RX10_BASE EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_SIZE +CYDEV_CAN0_RX10_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_CMD +CYREG_CAN0_RX10_CMD EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ID +CYREG_CAN0_RX10_ID EQU 0x4000a1e4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_DH +CYREG_CAN0_RX10_DH EQU 0x4000a1e8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_DL +CYREG_CAN0_RX10_DL EQU 0x4000a1ec + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_AMR +CYREG_CAN0_RX10_AMR EQU 0x4000a1f0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ACR +CYREG_CAN0_RX10_ACR EQU 0x4000a1f4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_AMRD +CYREG_CAN0_RX10_AMRD EQU 0x4000a1f8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ACRD +CYREG_CAN0_RX10_ACRD EQU 0x4000a1fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_BASE +CYDEV_CAN0_RX11_BASE EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_SIZE +CYDEV_CAN0_RX11_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_CMD +CYREG_CAN0_RX11_CMD EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ID +CYREG_CAN0_RX11_ID EQU 0x4000a204 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_DH +CYREG_CAN0_RX11_DH EQU 0x4000a208 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_DL +CYREG_CAN0_RX11_DL EQU 0x4000a20c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_AMR +CYREG_CAN0_RX11_AMR EQU 0x4000a210 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ACR +CYREG_CAN0_RX11_ACR EQU 0x4000a214 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_AMRD +CYREG_CAN0_RX11_AMRD EQU 0x4000a218 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ACRD +CYREG_CAN0_RX11_ACRD EQU 0x4000a21c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_BASE +CYDEV_CAN0_RX12_BASE EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_SIZE +CYDEV_CAN0_RX12_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_CMD +CYREG_CAN0_RX12_CMD EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ID +CYREG_CAN0_RX12_ID EQU 0x4000a224 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_DH +CYREG_CAN0_RX12_DH EQU 0x4000a228 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_DL +CYREG_CAN0_RX12_DL EQU 0x4000a22c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_AMR +CYREG_CAN0_RX12_AMR EQU 0x4000a230 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ACR +CYREG_CAN0_RX12_ACR EQU 0x4000a234 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_AMRD +CYREG_CAN0_RX12_AMRD EQU 0x4000a238 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ACRD +CYREG_CAN0_RX12_ACRD EQU 0x4000a23c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_BASE +CYDEV_CAN0_RX13_BASE EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_SIZE +CYDEV_CAN0_RX13_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_CMD +CYREG_CAN0_RX13_CMD EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ID +CYREG_CAN0_RX13_ID EQU 0x4000a244 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_DH +CYREG_CAN0_RX13_DH EQU 0x4000a248 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_DL +CYREG_CAN0_RX13_DL EQU 0x4000a24c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_AMR +CYREG_CAN0_RX13_AMR EQU 0x4000a250 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ACR +CYREG_CAN0_RX13_ACR EQU 0x4000a254 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_AMRD +CYREG_CAN0_RX13_AMRD EQU 0x4000a258 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ACRD +CYREG_CAN0_RX13_ACRD EQU 0x4000a25c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_BASE +CYDEV_CAN0_RX14_BASE EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_SIZE +CYDEV_CAN0_RX14_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_CMD +CYREG_CAN0_RX14_CMD EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ID +CYREG_CAN0_RX14_ID EQU 0x4000a264 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_DH +CYREG_CAN0_RX14_DH EQU 0x4000a268 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_DL +CYREG_CAN0_RX14_DL EQU 0x4000a26c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_AMR +CYREG_CAN0_RX14_AMR EQU 0x4000a270 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ACR +CYREG_CAN0_RX14_ACR EQU 0x4000a274 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_AMRD +CYREG_CAN0_RX14_AMRD EQU 0x4000a278 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ACRD +CYREG_CAN0_RX14_ACRD EQU 0x4000a27c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_BASE +CYDEV_CAN0_RX15_BASE EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_SIZE +CYDEV_CAN0_RX15_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_CMD +CYREG_CAN0_RX15_CMD EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ID +CYREG_CAN0_RX15_ID EQU 0x4000a284 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_DH +CYREG_CAN0_RX15_DH EQU 0x4000a288 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_DL +CYREG_CAN0_RX15_DL EQU 0x4000a28c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_AMR +CYREG_CAN0_RX15_AMR EQU 0x4000a290 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ACR +CYREG_CAN0_RX15_ACR EQU 0x4000a294 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_AMRD +CYREG_CAN0_RX15_AMRD EQU 0x4000a298 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ACRD +CYREG_CAN0_RX15_ACRD EQU 0x4000a29c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_BASE +CYDEV_DFB0_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SIZE +CYDEV_DFB0_SIZE EQU 0x000007b5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_BASE +CYDEV_DFB0_DPA_SRAM_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_SIZE +CYDEV_DFB0_DPA_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPA_SRAM_DATA_MBASE +CYREG_DFB0_DPA_SRAM_DATA_MBASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPA_SRAM_DATA_MSIZE +CYREG_DFB0_DPA_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_BASE +CYDEV_DFB0_DPB_SRAM_BASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_SIZE +CYDEV_DFB0_DPB_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPB_SRAM_DATA_MBASE +CYREG_DFB0_DPB_SRAM_DATA_MBASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPB_SRAM_DATA_MSIZE +CYREG_DFB0_DPB_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_BASE +CYDEV_DFB0_CSA_SRAM_BASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_SIZE +CYDEV_DFB0_CSA_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSA_SRAM_DATA_MBASE +CYREG_DFB0_CSA_SRAM_DATA_MBASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSA_SRAM_DATA_MSIZE +CYREG_DFB0_CSA_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_BASE +CYDEV_DFB0_CSB_SRAM_BASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_SIZE +CYDEV_DFB0_CSB_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSB_SRAM_DATA_MBASE +CYREG_DFB0_CSB_SRAM_DATA_MBASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSB_SRAM_DATA_MSIZE +CYREG_DFB0_CSB_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_BASE +CYDEV_DFB0_FSM_SRAM_BASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_SIZE +CYDEV_DFB0_FSM_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_FSM_SRAM_DATA_MBASE +CYREG_DFB0_FSM_SRAM_DATA_MBASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_FSM_SRAM_DATA_MSIZE +CYREG_DFB0_FSM_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_BASE +CYDEV_DFB0_ACU_SRAM_BASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_SIZE +CYDEV_DFB0_ACU_SRAM_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_ACU_SRAM_DATA_MBASE +CYREG_DFB0_ACU_SRAM_DATA_MBASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_ACU_SRAM_DATA_MSIZE +CYREG_DFB0_ACU_SRAM_DATA_MSIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CR +CYREG_DFB0_CR EQU 0x4000c780 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_SR +CYREG_DFB0_SR EQU 0x4000c784 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_RAM_EN +CYREG_DFB0_RAM_EN EQU 0x4000c788 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_RAM_DIR +CYREG_DFB0_RAM_DIR EQU 0x4000c78c + ENDIF + IF :LNOT::DEF:CYREG_DFB0_SEMA +CYREG_DFB0_SEMA EQU 0x4000c790 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DSI_CTRL +CYREG_DFB0_DSI_CTRL EQU 0x4000c794 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_INT_CTRL +CYREG_DFB0_INT_CTRL EQU 0x4000c798 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DMA_CTRL +CYREG_DFB0_DMA_CTRL EQU 0x4000c79c + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEA +CYREG_DFB0_STAGEA EQU 0x4000c7a0 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEAM +CYREG_DFB0_STAGEAM EQU 0x4000c7a1 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEAH +CYREG_DFB0_STAGEAH EQU 0x4000c7a2 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEB +CYREG_DFB0_STAGEB EQU 0x4000c7a4 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEBM +CYREG_DFB0_STAGEBM EQU 0x4000c7a5 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEBH +CYREG_DFB0_STAGEBH EQU 0x4000c7a6 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDA +CYREG_DFB0_HOLDA EQU 0x4000c7a8 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAM +CYREG_DFB0_HOLDAM EQU 0x4000c7a9 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAH +CYREG_DFB0_HOLDAH EQU 0x4000c7aa + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAS +CYREG_DFB0_HOLDAS EQU 0x4000c7ab + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDB +CYREG_DFB0_HOLDB EQU 0x4000c7ac + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBM +CYREG_DFB0_HOLDBM EQU 0x4000c7ad + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBH +CYREG_DFB0_HOLDBH EQU 0x4000c7ae + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBS +CYREG_DFB0_HOLDBS EQU 0x4000c7af + ENDIF + IF :LNOT::DEF:CYREG_DFB0_COHER +CYREG_DFB0_COHER EQU 0x4000c7b0 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DALIGN +CYREG_DFB0_DALIGN EQU 0x4000c7b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BASE +CYDEV_UCFG_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_SIZE +CYDEV_UCFG_SIZE EQU 0x00005040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_BASE +CYDEV_UCFG_B0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_SIZE +CYDEV_UCFG_B0_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_BASE +CYDEV_UCFG_B0_P0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_SIZE +CYDEV_UCFG_B0_P0_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_BASE +CYDEV_UCFG_B0_P0_U0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_SIZE +CYDEV_UCFG_B0_P0_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT0 +CYREG_B0_P0_U0_PLD_IT0 EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT1 +CYREG_B0_P0_U0_PLD_IT1 EQU 0x40010004 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT2 +CYREG_B0_P0_U0_PLD_IT2 EQU 0x40010008 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT3 +CYREG_B0_P0_U0_PLD_IT3 EQU 0x4001000c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT4 +CYREG_B0_P0_U0_PLD_IT4 EQU 0x40010010 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT5 +CYREG_B0_P0_U0_PLD_IT5 EQU 0x40010014 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT6 +CYREG_B0_P0_U0_PLD_IT6 EQU 0x40010018 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT7 +CYREG_B0_P0_U0_PLD_IT7 EQU 0x4001001c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT8 +CYREG_B0_P0_U0_PLD_IT8 EQU 0x40010020 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT9 +CYREG_B0_P0_U0_PLD_IT9 EQU 0x40010024 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT10 +CYREG_B0_P0_U0_PLD_IT10 EQU 0x40010028 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT11 +CYREG_B0_P0_U0_PLD_IT11 EQU 0x4001002c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT0 +CYREG_B0_P0_U0_PLD_ORT0 EQU 0x40010030 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT1 +CYREG_B0_P0_U0_PLD_ORT1 EQU 0x40010032 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT2 +CYREG_B0_P0_U0_PLD_ORT2 EQU 0x40010034 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT3 +CYREG_B0_P0_U0_PLD_ORT3 EQU 0x40010036 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_CEN_CONST +CYREG_B0_P0_U0_MC_CFG_CEN_CONST EQU 0x40010038 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_XORFB +CYREG_B0_P0_U0_MC_CFG_XORFB EQU 0x4001003a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_SET_RESET +CYREG_B0_P0_U0_MC_CFG_SET_RESET EQU 0x4001003c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_BYPASS +CYREG_B0_P0_U0_MC_CFG_BYPASS EQU 0x4001003e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG0 +CYREG_B0_P0_U0_CFG0 EQU 0x40010040 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG1 +CYREG_B0_P0_U0_CFG1 EQU 0x40010041 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG2 +CYREG_B0_P0_U0_CFG2 EQU 0x40010042 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG3 +CYREG_B0_P0_U0_CFG3 EQU 0x40010043 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG4 +CYREG_B0_P0_U0_CFG4 EQU 0x40010044 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG5 +CYREG_B0_P0_U0_CFG5 EQU 0x40010045 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG6 +CYREG_B0_P0_U0_CFG6 EQU 0x40010046 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG7 +CYREG_B0_P0_U0_CFG7 EQU 0x40010047 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG8 +CYREG_B0_P0_U0_CFG8 EQU 0x40010048 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG9 +CYREG_B0_P0_U0_CFG9 EQU 0x40010049 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG10 +CYREG_B0_P0_U0_CFG10 EQU 0x4001004a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG11 +CYREG_B0_P0_U0_CFG11 EQU 0x4001004b + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG12 +CYREG_B0_P0_U0_CFG12 EQU 0x4001004c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG13 +CYREG_B0_P0_U0_CFG13 EQU 0x4001004d + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG14 +CYREG_B0_P0_U0_CFG14 EQU 0x4001004e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG15 +CYREG_B0_P0_U0_CFG15 EQU 0x4001004f + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG16 +CYREG_B0_P0_U0_CFG16 EQU 0x40010050 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG17 +CYREG_B0_P0_U0_CFG17 EQU 0x40010051 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG18 +CYREG_B0_P0_U0_CFG18 EQU 0x40010052 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG19 +CYREG_B0_P0_U0_CFG19 EQU 0x40010053 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG20 +CYREG_B0_P0_U0_CFG20 EQU 0x40010054 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG21 +CYREG_B0_P0_U0_CFG21 EQU 0x40010055 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG22 +CYREG_B0_P0_U0_CFG22 EQU 0x40010056 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG23 +CYREG_B0_P0_U0_CFG23 EQU 0x40010057 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG24 +CYREG_B0_P0_U0_CFG24 EQU 0x40010058 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG25 +CYREG_B0_P0_U0_CFG25 EQU 0x40010059 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG26 +CYREG_B0_P0_U0_CFG26 EQU 0x4001005a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG27 +CYREG_B0_P0_U0_CFG27 EQU 0x4001005b + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG28 +CYREG_B0_P0_U0_CFG28 EQU 0x4001005c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG29 +CYREG_B0_P0_U0_CFG29 EQU 0x4001005d + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG30 +CYREG_B0_P0_U0_CFG30 EQU 0x4001005e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG31 +CYREG_B0_P0_U0_CFG31 EQU 0x4001005f + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG0 +CYREG_B0_P0_U0_DCFG0 EQU 0x40010060 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG1 +CYREG_B0_P0_U0_DCFG1 EQU 0x40010062 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG2 +CYREG_B0_P0_U0_DCFG2 EQU 0x40010064 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG3 +CYREG_B0_P0_U0_DCFG3 EQU 0x40010066 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG4 +CYREG_B0_P0_U0_DCFG4 EQU 0x40010068 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG5 +CYREG_B0_P0_U0_DCFG5 EQU 0x4001006a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG6 +CYREG_B0_P0_U0_DCFG6 EQU 0x4001006c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG7 +CYREG_B0_P0_U0_DCFG7 EQU 0x4001006e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_BASE +CYDEV_UCFG_B0_P0_U1_BASE EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_SIZE +CYDEV_UCFG_B0_P0_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT0 +CYREG_B0_P0_U1_PLD_IT0 EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT1 +CYREG_B0_P0_U1_PLD_IT1 EQU 0x40010084 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT2 +CYREG_B0_P0_U1_PLD_IT2 EQU 0x40010088 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT3 +CYREG_B0_P0_U1_PLD_IT3 EQU 0x4001008c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT4 +CYREG_B0_P0_U1_PLD_IT4 EQU 0x40010090 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT5 +CYREG_B0_P0_U1_PLD_IT5 EQU 0x40010094 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT6 +CYREG_B0_P0_U1_PLD_IT6 EQU 0x40010098 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT7 +CYREG_B0_P0_U1_PLD_IT7 EQU 0x4001009c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT8 +CYREG_B0_P0_U1_PLD_IT8 EQU 0x400100a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT9 +CYREG_B0_P0_U1_PLD_IT9 EQU 0x400100a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT10 +CYREG_B0_P0_U1_PLD_IT10 EQU 0x400100a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT11 +CYREG_B0_P0_U1_PLD_IT11 EQU 0x400100ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT0 +CYREG_B0_P0_U1_PLD_ORT0 EQU 0x400100b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT1 +CYREG_B0_P0_U1_PLD_ORT1 EQU 0x400100b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT2 +CYREG_B0_P0_U1_PLD_ORT2 EQU 0x400100b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT3 +CYREG_B0_P0_U1_PLD_ORT3 EQU 0x400100b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_CEN_CONST +CYREG_B0_P0_U1_MC_CFG_CEN_CONST EQU 0x400100b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_XORFB +CYREG_B0_P0_U1_MC_CFG_XORFB EQU 0x400100ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_SET_RESET +CYREG_B0_P0_U1_MC_CFG_SET_RESET EQU 0x400100bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_BYPASS +CYREG_B0_P0_U1_MC_CFG_BYPASS EQU 0x400100be + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG0 +CYREG_B0_P0_U1_CFG0 EQU 0x400100c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG1 +CYREG_B0_P0_U1_CFG1 EQU 0x400100c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG2 +CYREG_B0_P0_U1_CFG2 EQU 0x400100c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG3 +CYREG_B0_P0_U1_CFG3 EQU 0x400100c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG4 +CYREG_B0_P0_U1_CFG4 EQU 0x400100c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG5 +CYREG_B0_P0_U1_CFG5 EQU 0x400100c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG6 +CYREG_B0_P0_U1_CFG6 EQU 0x400100c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG7 +CYREG_B0_P0_U1_CFG7 EQU 0x400100c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG8 +CYREG_B0_P0_U1_CFG8 EQU 0x400100c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG9 +CYREG_B0_P0_U1_CFG9 EQU 0x400100c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG10 +CYREG_B0_P0_U1_CFG10 EQU 0x400100ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG11 +CYREG_B0_P0_U1_CFG11 EQU 0x400100cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG12 +CYREG_B0_P0_U1_CFG12 EQU 0x400100cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG13 +CYREG_B0_P0_U1_CFG13 EQU 0x400100cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG14 +CYREG_B0_P0_U1_CFG14 EQU 0x400100ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG15 +CYREG_B0_P0_U1_CFG15 EQU 0x400100cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG16 +CYREG_B0_P0_U1_CFG16 EQU 0x400100d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG17 +CYREG_B0_P0_U1_CFG17 EQU 0x400100d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG18 +CYREG_B0_P0_U1_CFG18 EQU 0x400100d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG19 +CYREG_B0_P0_U1_CFG19 EQU 0x400100d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG20 +CYREG_B0_P0_U1_CFG20 EQU 0x400100d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG21 +CYREG_B0_P0_U1_CFG21 EQU 0x400100d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG22 +CYREG_B0_P0_U1_CFG22 EQU 0x400100d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG23 +CYREG_B0_P0_U1_CFG23 EQU 0x400100d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG24 +CYREG_B0_P0_U1_CFG24 EQU 0x400100d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG25 +CYREG_B0_P0_U1_CFG25 EQU 0x400100d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG26 +CYREG_B0_P0_U1_CFG26 EQU 0x400100da + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG27 +CYREG_B0_P0_U1_CFG27 EQU 0x400100db + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG28 +CYREG_B0_P0_U1_CFG28 EQU 0x400100dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG29 +CYREG_B0_P0_U1_CFG29 EQU 0x400100dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG30 +CYREG_B0_P0_U1_CFG30 EQU 0x400100de + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG31 +CYREG_B0_P0_U1_CFG31 EQU 0x400100df + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG0 +CYREG_B0_P0_U1_DCFG0 EQU 0x400100e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG1 +CYREG_B0_P0_U1_DCFG1 EQU 0x400100e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG2 +CYREG_B0_P0_U1_DCFG2 EQU 0x400100e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG3 +CYREG_B0_P0_U1_DCFG3 EQU 0x400100e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG4 +CYREG_B0_P0_U1_DCFG4 EQU 0x400100e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG5 +CYREG_B0_P0_U1_DCFG5 EQU 0x400100ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG6 +CYREG_B0_P0_U1_DCFG6 EQU 0x400100ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG7 +CYREG_B0_P0_U1_DCFG7 EQU 0x400100ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_BASE +CYDEV_UCFG_B0_P0_ROUTE_BASE EQU 0x40010100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_SIZE +CYDEV_UCFG_B0_P0_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_BASE +CYDEV_UCFG_B0_P1_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_SIZE +CYDEV_UCFG_B0_P1_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_BASE +CYDEV_UCFG_B0_P1_U0_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_SIZE +CYDEV_UCFG_B0_P1_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT0 +CYREG_B0_P1_U0_PLD_IT0 EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT1 +CYREG_B0_P1_U0_PLD_IT1 EQU 0x40010204 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT2 +CYREG_B0_P1_U0_PLD_IT2 EQU 0x40010208 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT3 +CYREG_B0_P1_U0_PLD_IT3 EQU 0x4001020c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT4 +CYREG_B0_P1_U0_PLD_IT4 EQU 0x40010210 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT5 +CYREG_B0_P1_U0_PLD_IT5 EQU 0x40010214 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT6 +CYREG_B0_P1_U0_PLD_IT6 EQU 0x40010218 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT7 +CYREG_B0_P1_U0_PLD_IT7 EQU 0x4001021c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT8 +CYREG_B0_P1_U0_PLD_IT8 EQU 0x40010220 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT9 +CYREG_B0_P1_U0_PLD_IT9 EQU 0x40010224 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT10 +CYREG_B0_P1_U0_PLD_IT10 EQU 0x40010228 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT11 +CYREG_B0_P1_U0_PLD_IT11 EQU 0x4001022c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT0 +CYREG_B0_P1_U0_PLD_ORT0 EQU 0x40010230 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT1 +CYREG_B0_P1_U0_PLD_ORT1 EQU 0x40010232 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT2 +CYREG_B0_P1_U0_PLD_ORT2 EQU 0x40010234 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT3 +CYREG_B0_P1_U0_PLD_ORT3 EQU 0x40010236 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_CEN_CONST +CYREG_B0_P1_U0_MC_CFG_CEN_CONST EQU 0x40010238 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_XORFB +CYREG_B0_P1_U0_MC_CFG_XORFB EQU 0x4001023a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_SET_RESET +CYREG_B0_P1_U0_MC_CFG_SET_RESET EQU 0x4001023c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_BYPASS +CYREG_B0_P1_U0_MC_CFG_BYPASS EQU 0x4001023e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG0 +CYREG_B0_P1_U0_CFG0 EQU 0x40010240 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG1 +CYREG_B0_P1_U0_CFG1 EQU 0x40010241 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG2 +CYREG_B0_P1_U0_CFG2 EQU 0x40010242 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG3 +CYREG_B0_P1_U0_CFG3 EQU 0x40010243 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG4 +CYREG_B0_P1_U0_CFG4 EQU 0x40010244 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG5 +CYREG_B0_P1_U0_CFG5 EQU 0x40010245 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG6 +CYREG_B0_P1_U0_CFG6 EQU 0x40010246 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG7 +CYREG_B0_P1_U0_CFG7 EQU 0x40010247 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG8 +CYREG_B0_P1_U0_CFG8 EQU 0x40010248 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG9 +CYREG_B0_P1_U0_CFG9 EQU 0x40010249 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG10 +CYREG_B0_P1_U0_CFG10 EQU 0x4001024a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG11 +CYREG_B0_P1_U0_CFG11 EQU 0x4001024b + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG12 +CYREG_B0_P1_U0_CFG12 EQU 0x4001024c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG13 +CYREG_B0_P1_U0_CFG13 EQU 0x4001024d + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG14 +CYREG_B0_P1_U0_CFG14 EQU 0x4001024e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG15 +CYREG_B0_P1_U0_CFG15 EQU 0x4001024f + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG16 +CYREG_B0_P1_U0_CFG16 EQU 0x40010250 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG17 +CYREG_B0_P1_U0_CFG17 EQU 0x40010251 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG18 +CYREG_B0_P1_U0_CFG18 EQU 0x40010252 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG19 +CYREG_B0_P1_U0_CFG19 EQU 0x40010253 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG20 +CYREG_B0_P1_U0_CFG20 EQU 0x40010254 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG21 +CYREG_B0_P1_U0_CFG21 EQU 0x40010255 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG22 +CYREG_B0_P1_U0_CFG22 EQU 0x40010256 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG23 +CYREG_B0_P1_U0_CFG23 EQU 0x40010257 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG24 +CYREG_B0_P1_U0_CFG24 EQU 0x40010258 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG25 +CYREG_B0_P1_U0_CFG25 EQU 0x40010259 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG26 +CYREG_B0_P1_U0_CFG26 EQU 0x4001025a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG27 +CYREG_B0_P1_U0_CFG27 EQU 0x4001025b + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG28 +CYREG_B0_P1_U0_CFG28 EQU 0x4001025c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG29 +CYREG_B0_P1_U0_CFG29 EQU 0x4001025d + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG30 +CYREG_B0_P1_U0_CFG30 EQU 0x4001025e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG31 +CYREG_B0_P1_U0_CFG31 EQU 0x4001025f + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG0 +CYREG_B0_P1_U0_DCFG0 EQU 0x40010260 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG1 +CYREG_B0_P1_U0_DCFG1 EQU 0x40010262 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG2 +CYREG_B0_P1_U0_DCFG2 EQU 0x40010264 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG3 +CYREG_B0_P1_U0_DCFG3 EQU 0x40010266 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG4 +CYREG_B0_P1_U0_DCFG4 EQU 0x40010268 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG5 +CYREG_B0_P1_U0_DCFG5 EQU 0x4001026a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG6 +CYREG_B0_P1_U0_DCFG6 EQU 0x4001026c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG7 +CYREG_B0_P1_U0_DCFG7 EQU 0x4001026e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_BASE +CYDEV_UCFG_B0_P1_U1_BASE EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_SIZE +CYDEV_UCFG_B0_P1_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT0 +CYREG_B0_P1_U1_PLD_IT0 EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT1 +CYREG_B0_P1_U1_PLD_IT1 EQU 0x40010284 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT2 +CYREG_B0_P1_U1_PLD_IT2 EQU 0x40010288 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT3 +CYREG_B0_P1_U1_PLD_IT3 EQU 0x4001028c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT4 +CYREG_B0_P1_U1_PLD_IT4 EQU 0x40010290 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT5 +CYREG_B0_P1_U1_PLD_IT5 EQU 0x40010294 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT6 +CYREG_B0_P1_U1_PLD_IT6 EQU 0x40010298 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT7 +CYREG_B0_P1_U1_PLD_IT7 EQU 0x4001029c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT8 +CYREG_B0_P1_U1_PLD_IT8 EQU 0x400102a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT9 +CYREG_B0_P1_U1_PLD_IT9 EQU 0x400102a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT10 +CYREG_B0_P1_U1_PLD_IT10 EQU 0x400102a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT11 +CYREG_B0_P1_U1_PLD_IT11 EQU 0x400102ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT0 +CYREG_B0_P1_U1_PLD_ORT0 EQU 0x400102b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT1 +CYREG_B0_P1_U1_PLD_ORT1 EQU 0x400102b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT2 +CYREG_B0_P1_U1_PLD_ORT2 EQU 0x400102b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT3 +CYREG_B0_P1_U1_PLD_ORT3 EQU 0x400102b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_CEN_CONST +CYREG_B0_P1_U1_MC_CFG_CEN_CONST EQU 0x400102b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_XORFB +CYREG_B0_P1_U1_MC_CFG_XORFB EQU 0x400102ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_SET_RESET +CYREG_B0_P1_U1_MC_CFG_SET_RESET EQU 0x400102bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_BYPASS +CYREG_B0_P1_U1_MC_CFG_BYPASS EQU 0x400102be + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG0 +CYREG_B0_P1_U1_CFG0 EQU 0x400102c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG1 +CYREG_B0_P1_U1_CFG1 EQU 0x400102c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG2 +CYREG_B0_P1_U1_CFG2 EQU 0x400102c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG3 +CYREG_B0_P1_U1_CFG3 EQU 0x400102c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG4 +CYREG_B0_P1_U1_CFG4 EQU 0x400102c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG5 +CYREG_B0_P1_U1_CFG5 EQU 0x400102c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG6 +CYREG_B0_P1_U1_CFG6 EQU 0x400102c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG7 +CYREG_B0_P1_U1_CFG7 EQU 0x400102c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG8 +CYREG_B0_P1_U1_CFG8 EQU 0x400102c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG9 +CYREG_B0_P1_U1_CFG9 EQU 0x400102c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG10 +CYREG_B0_P1_U1_CFG10 EQU 0x400102ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG11 +CYREG_B0_P1_U1_CFG11 EQU 0x400102cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG12 +CYREG_B0_P1_U1_CFG12 EQU 0x400102cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG13 +CYREG_B0_P1_U1_CFG13 EQU 0x400102cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG14 +CYREG_B0_P1_U1_CFG14 EQU 0x400102ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG15 +CYREG_B0_P1_U1_CFG15 EQU 0x400102cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG16 +CYREG_B0_P1_U1_CFG16 EQU 0x400102d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG17 +CYREG_B0_P1_U1_CFG17 EQU 0x400102d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG18 +CYREG_B0_P1_U1_CFG18 EQU 0x400102d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG19 +CYREG_B0_P1_U1_CFG19 EQU 0x400102d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG20 +CYREG_B0_P1_U1_CFG20 EQU 0x400102d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG21 +CYREG_B0_P1_U1_CFG21 EQU 0x400102d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG22 +CYREG_B0_P1_U1_CFG22 EQU 0x400102d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG23 +CYREG_B0_P1_U1_CFG23 EQU 0x400102d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG24 +CYREG_B0_P1_U1_CFG24 EQU 0x400102d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG25 +CYREG_B0_P1_U1_CFG25 EQU 0x400102d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG26 +CYREG_B0_P1_U1_CFG26 EQU 0x400102da + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG27 +CYREG_B0_P1_U1_CFG27 EQU 0x400102db + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG28 +CYREG_B0_P1_U1_CFG28 EQU 0x400102dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG29 +CYREG_B0_P1_U1_CFG29 EQU 0x400102dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG30 +CYREG_B0_P1_U1_CFG30 EQU 0x400102de + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG31 +CYREG_B0_P1_U1_CFG31 EQU 0x400102df + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG0 +CYREG_B0_P1_U1_DCFG0 EQU 0x400102e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG1 +CYREG_B0_P1_U1_DCFG1 EQU 0x400102e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG2 +CYREG_B0_P1_U1_DCFG2 EQU 0x400102e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG3 +CYREG_B0_P1_U1_DCFG3 EQU 0x400102e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG4 +CYREG_B0_P1_U1_DCFG4 EQU 0x400102e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG5 +CYREG_B0_P1_U1_DCFG5 EQU 0x400102ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG6 +CYREG_B0_P1_U1_DCFG6 EQU 0x400102ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG7 +CYREG_B0_P1_U1_DCFG7 EQU 0x400102ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_BASE +CYDEV_UCFG_B0_P1_ROUTE_BASE EQU 0x40010300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_SIZE +CYDEV_UCFG_B0_P1_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_BASE +CYDEV_UCFG_B0_P2_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_SIZE +CYDEV_UCFG_B0_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_BASE +CYDEV_UCFG_B0_P2_U0_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_SIZE +CYDEV_UCFG_B0_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT0 +CYREG_B0_P2_U0_PLD_IT0 EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT1 +CYREG_B0_P2_U0_PLD_IT1 EQU 0x40010404 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT2 +CYREG_B0_P2_U0_PLD_IT2 EQU 0x40010408 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT3 +CYREG_B0_P2_U0_PLD_IT3 EQU 0x4001040c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT4 +CYREG_B0_P2_U0_PLD_IT4 EQU 0x40010410 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT5 +CYREG_B0_P2_U0_PLD_IT5 EQU 0x40010414 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT6 +CYREG_B0_P2_U0_PLD_IT6 EQU 0x40010418 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT7 +CYREG_B0_P2_U0_PLD_IT7 EQU 0x4001041c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT8 +CYREG_B0_P2_U0_PLD_IT8 EQU 0x40010420 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT9 +CYREG_B0_P2_U0_PLD_IT9 EQU 0x40010424 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT10 +CYREG_B0_P2_U0_PLD_IT10 EQU 0x40010428 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT11 +CYREG_B0_P2_U0_PLD_IT11 EQU 0x4001042c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT0 +CYREG_B0_P2_U0_PLD_ORT0 EQU 0x40010430 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT1 +CYREG_B0_P2_U0_PLD_ORT1 EQU 0x40010432 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT2 +CYREG_B0_P2_U0_PLD_ORT2 EQU 0x40010434 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT3 +CYREG_B0_P2_U0_PLD_ORT3 EQU 0x40010436 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_CEN_CONST +CYREG_B0_P2_U0_MC_CFG_CEN_CONST EQU 0x40010438 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_XORFB +CYREG_B0_P2_U0_MC_CFG_XORFB EQU 0x4001043a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_SET_RESET +CYREG_B0_P2_U0_MC_CFG_SET_RESET EQU 0x4001043c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_BYPASS +CYREG_B0_P2_U0_MC_CFG_BYPASS EQU 0x4001043e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG0 +CYREG_B0_P2_U0_CFG0 EQU 0x40010440 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG1 +CYREG_B0_P2_U0_CFG1 EQU 0x40010441 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG2 +CYREG_B0_P2_U0_CFG2 EQU 0x40010442 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG3 +CYREG_B0_P2_U0_CFG3 EQU 0x40010443 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG4 +CYREG_B0_P2_U0_CFG4 EQU 0x40010444 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG5 +CYREG_B0_P2_U0_CFG5 EQU 0x40010445 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG6 +CYREG_B0_P2_U0_CFG6 EQU 0x40010446 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG7 +CYREG_B0_P2_U0_CFG7 EQU 0x40010447 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG8 +CYREG_B0_P2_U0_CFG8 EQU 0x40010448 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG9 +CYREG_B0_P2_U0_CFG9 EQU 0x40010449 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG10 +CYREG_B0_P2_U0_CFG10 EQU 0x4001044a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG11 +CYREG_B0_P2_U0_CFG11 EQU 0x4001044b + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG12 +CYREG_B0_P2_U0_CFG12 EQU 0x4001044c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG13 +CYREG_B0_P2_U0_CFG13 EQU 0x4001044d + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG14 +CYREG_B0_P2_U0_CFG14 EQU 0x4001044e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG15 +CYREG_B0_P2_U0_CFG15 EQU 0x4001044f + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG16 +CYREG_B0_P2_U0_CFG16 EQU 0x40010450 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG17 +CYREG_B0_P2_U0_CFG17 EQU 0x40010451 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG18 +CYREG_B0_P2_U0_CFG18 EQU 0x40010452 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG19 +CYREG_B0_P2_U0_CFG19 EQU 0x40010453 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG20 +CYREG_B0_P2_U0_CFG20 EQU 0x40010454 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG21 +CYREG_B0_P2_U0_CFG21 EQU 0x40010455 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG22 +CYREG_B0_P2_U0_CFG22 EQU 0x40010456 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG23 +CYREG_B0_P2_U0_CFG23 EQU 0x40010457 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG24 +CYREG_B0_P2_U0_CFG24 EQU 0x40010458 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG25 +CYREG_B0_P2_U0_CFG25 EQU 0x40010459 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG26 +CYREG_B0_P2_U0_CFG26 EQU 0x4001045a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG27 +CYREG_B0_P2_U0_CFG27 EQU 0x4001045b + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG28 +CYREG_B0_P2_U0_CFG28 EQU 0x4001045c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG29 +CYREG_B0_P2_U0_CFG29 EQU 0x4001045d + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG30 +CYREG_B0_P2_U0_CFG30 EQU 0x4001045e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG31 +CYREG_B0_P2_U0_CFG31 EQU 0x4001045f + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG0 +CYREG_B0_P2_U0_DCFG0 EQU 0x40010460 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG1 +CYREG_B0_P2_U0_DCFG1 EQU 0x40010462 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG2 +CYREG_B0_P2_U0_DCFG2 EQU 0x40010464 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG3 +CYREG_B0_P2_U0_DCFG3 EQU 0x40010466 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG4 +CYREG_B0_P2_U0_DCFG4 EQU 0x40010468 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG5 +CYREG_B0_P2_U0_DCFG5 EQU 0x4001046a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG6 +CYREG_B0_P2_U0_DCFG6 EQU 0x4001046c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG7 +CYREG_B0_P2_U0_DCFG7 EQU 0x4001046e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_BASE +CYDEV_UCFG_B0_P2_U1_BASE EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_SIZE +CYDEV_UCFG_B0_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT0 +CYREG_B0_P2_U1_PLD_IT0 EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT1 +CYREG_B0_P2_U1_PLD_IT1 EQU 0x40010484 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT2 +CYREG_B0_P2_U1_PLD_IT2 EQU 0x40010488 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT3 +CYREG_B0_P2_U1_PLD_IT3 EQU 0x4001048c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT4 +CYREG_B0_P2_U1_PLD_IT4 EQU 0x40010490 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT5 +CYREG_B0_P2_U1_PLD_IT5 EQU 0x40010494 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT6 +CYREG_B0_P2_U1_PLD_IT6 EQU 0x40010498 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT7 +CYREG_B0_P2_U1_PLD_IT7 EQU 0x4001049c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT8 +CYREG_B0_P2_U1_PLD_IT8 EQU 0x400104a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT9 +CYREG_B0_P2_U1_PLD_IT9 EQU 0x400104a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT10 +CYREG_B0_P2_U1_PLD_IT10 EQU 0x400104a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT11 +CYREG_B0_P2_U1_PLD_IT11 EQU 0x400104ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT0 +CYREG_B0_P2_U1_PLD_ORT0 EQU 0x400104b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT1 +CYREG_B0_P2_U1_PLD_ORT1 EQU 0x400104b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT2 +CYREG_B0_P2_U1_PLD_ORT2 EQU 0x400104b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT3 +CYREG_B0_P2_U1_PLD_ORT3 EQU 0x400104b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_CEN_CONST +CYREG_B0_P2_U1_MC_CFG_CEN_CONST EQU 0x400104b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_XORFB +CYREG_B0_P2_U1_MC_CFG_XORFB EQU 0x400104ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_SET_RESET +CYREG_B0_P2_U1_MC_CFG_SET_RESET EQU 0x400104bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_BYPASS +CYREG_B0_P2_U1_MC_CFG_BYPASS EQU 0x400104be + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG0 +CYREG_B0_P2_U1_CFG0 EQU 0x400104c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG1 +CYREG_B0_P2_U1_CFG1 EQU 0x400104c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG2 +CYREG_B0_P2_U1_CFG2 EQU 0x400104c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG3 +CYREG_B0_P2_U1_CFG3 EQU 0x400104c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG4 +CYREG_B0_P2_U1_CFG4 EQU 0x400104c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG5 +CYREG_B0_P2_U1_CFG5 EQU 0x400104c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG6 +CYREG_B0_P2_U1_CFG6 EQU 0x400104c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG7 +CYREG_B0_P2_U1_CFG7 EQU 0x400104c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG8 +CYREG_B0_P2_U1_CFG8 EQU 0x400104c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG9 +CYREG_B0_P2_U1_CFG9 EQU 0x400104c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG10 +CYREG_B0_P2_U1_CFG10 EQU 0x400104ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG11 +CYREG_B0_P2_U1_CFG11 EQU 0x400104cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG12 +CYREG_B0_P2_U1_CFG12 EQU 0x400104cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG13 +CYREG_B0_P2_U1_CFG13 EQU 0x400104cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG14 +CYREG_B0_P2_U1_CFG14 EQU 0x400104ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG15 +CYREG_B0_P2_U1_CFG15 EQU 0x400104cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG16 +CYREG_B0_P2_U1_CFG16 EQU 0x400104d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG17 +CYREG_B0_P2_U1_CFG17 EQU 0x400104d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG18 +CYREG_B0_P2_U1_CFG18 EQU 0x400104d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG19 +CYREG_B0_P2_U1_CFG19 EQU 0x400104d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG20 +CYREG_B0_P2_U1_CFG20 EQU 0x400104d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG21 +CYREG_B0_P2_U1_CFG21 EQU 0x400104d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG22 +CYREG_B0_P2_U1_CFG22 EQU 0x400104d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG23 +CYREG_B0_P2_U1_CFG23 EQU 0x400104d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG24 +CYREG_B0_P2_U1_CFG24 EQU 0x400104d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG25 +CYREG_B0_P2_U1_CFG25 EQU 0x400104d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG26 +CYREG_B0_P2_U1_CFG26 EQU 0x400104da + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG27 +CYREG_B0_P2_U1_CFG27 EQU 0x400104db + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG28 +CYREG_B0_P2_U1_CFG28 EQU 0x400104dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG29 +CYREG_B0_P2_U1_CFG29 EQU 0x400104dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG30 +CYREG_B0_P2_U1_CFG30 EQU 0x400104de + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG31 +CYREG_B0_P2_U1_CFG31 EQU 0x400104df + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG0 +CYREG_B0_P2_U1_DCFG0 EQU 0x400104e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG1 +CYREG_B0_P2_U1_DCFG1 EQU 0x400104e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG2 +CYREG_B0_P2_U1_DCFG2 EQU 0x400104e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG3 +CYREG_B0_P2_U1_DCFG3 EQU 0x400104e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG4 +CYREG_B0_P2_U1_DCFG4 EQU 0x400104e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG5 +CYREG_B0_P2_U1_DCFG5 EQU 0x400104ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG6 +CYREG_B0_P2_U1_DCFG6 EQU 0x400104ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG7 +CYREG_B0_P2_U1_DCFG7 EQU 0x400104ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_BASE +CYDEV_UCFG_B0_P2_ROUTE_BASE EQU 0x40010500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_SIZE +CYDEV_UCFG_B0_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_BASE +CYDEV_UCFG_B0_P3_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_SIZE +CYDEV_UCFG_B0_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_BASE +CYDEV_UCFG_B0_P3_U0_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_SIZE +CYDEV_UCFG_B0_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT0 +CYREG_B0_P3_U0_PLD_IT0 EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT1 +CYREG_B0_P3_U0_PLD_IT1 EQU 0x40010604 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT2 +CYREG_B0_P3_U0_PLD_IT2 EQU 0x40010608 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT3 +CYREG_B0_P3_U0_PLD_IT3 EQU 0x4001060c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT4 +CYREG_B0_P3_U0_PLD_IT4 EQU 0x40010610 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT5 +CYREG_B0_P3_U0_PLD_IT5 EQU 0x40010614 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT6 +CYREG_B0_P3_U0_PLD_IT6 EQU 0x40010618 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT7 +CYREG_B0_P3_U0_PLD_IT7 EQU 0x4001061c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT8 +CYREG_B0_P3_U0_PLD_IT8 EQU 0x40010620 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT9 +CYREG_B0_P3_U0_PLD_IT9 EQU 0x40010624 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT10 +CYREG_B0_P3_U0_PLD_IT10 EQU 0x40010628 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT11 +CYREG_B0_P3_U0_PLD_IT11 EQU 0x4001062c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT0 +CYREG_B0_P3_U0_PLD_ORT0 EQU 0x40010630 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT1 +CYREG_B0_P3_U0_PLD_ORT1 EQU 0x40010632 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT2 +CYREG_B0_P3_U0_PLD_ORT2 EQU 0x40010634 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT3 +CYREG_B0_P3_U0_PLD_ORT3 EQU 0x40010636 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_CEN_CONST +CYREG_B0_P3_U0_MC_CFG_CEN_CONST EQU 0x40010638 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_XORFB +CYREG_B0_P3_U0_MC_CFG_XORFB EQU 0x4001063a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_SET_RESET +CYREG_B0_P3_U0_MC_CFG_SET_RESET EQU 0x4001063c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_BYPASS +CYREG_B0_P3_U0_MC_CFG_BYPASS EQU 0x4001063e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG0 +CYREG_B0_P3_U0_CFG0 EQU 0x40010640 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG1 +CYREG_B0_P3_U0_CFG1 EQU 0x40010641 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG2 +CYREG_B0_P3_U0_CFG2 EQU 0x40010642 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG3 +CYREG_B0_P3_U0_CFG3 EQU 0x40010643 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG4 +CYREG_B0_P3_U0_CFG4 EQU 0x40010644 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG5 +CYREG_B0_P3_U0_CFG5 EQU 0x40010645 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG6 +CYREG_B0_P3_U0_CFG6 EQU 0x40010646 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG7 +CYREG_B0_P3_U0_CFG7 EQU 0x40010647 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG8 +CYREG_B0_P3_U0_CFG8 EQU 0x40010648 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG9 +CYREG_B0_P3_U0_CFG9 EQU 0x40010649 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG10 +CYREG_B0_P3_U0_CFG10 EQU 0x4001064a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG11 +CYREG_B0_P3_U0_CFG11 EQU 0x4001064b + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG12 +CYREG_B0_P3_U0_CFG12 EQU 0x4001064c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG13 +CYREG_B0_P3_U0_CFG13 EQU 0x4001064d + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG14 +CYREG_B0_P3_U0_CFG14 EQU 0x4001064e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG15 +CYREG_B0_P3_U0_CFG15 EQU 0x4001064f + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG16 +CYREG_B0_P3_U0_CFG16 EQU 0x40010650 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG17 +CYREG_B0_P3_U0_CFG17 EQU 0x40010651 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG18 +CYREG_B0_P3_U0_CFG18 EQU 0x40010652 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG19 +CYREG_B0_P3_U0_CFG19 EQU 0x40010653 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG20 +CYREG_B0_P3_U0_CFG20 EQU 0x40010654 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG21 +CYREG_B0_P3_U0_CFG21 EQU 0x40010655 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG22 +CYREG_B0_P3_U0_CFG22 EQU 0x40010656 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG23 +CYREG_B0_P3_U0_CFG23 EQU 0x40010657 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG24 +CYREG_B0_P3_U0_CFG24 EQU 0x40010658 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG25 +CYREG_B0_P3_U0_CFG25 EQU 0x40010659 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG26 +CYREG_B0_P3_U0_CFG26 EQU 0x4001065a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG27 +CYREG_B0_P3_U0_CFG27 EQU 0x4001065b + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG28 +CYREG_B0_P3_U0_CFG28 EQU 0x4001065c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG29 +CYREG_B0_P3_U0_CFG29 EQU 0x4001065d + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG30 +CYREG_B0_P3_U0_CFG30 EQU 0x4001065e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG31 +CYREG_B0_P3_U0_CFG31 EQU 0x4001065f + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG0 +CYREG_B0_P3_U0_DCFG0 EQU 0x40010660 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG1 +CYREG_B0_P3_U0_DCFG1 EQU 0x40010662 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG2 +CYREG_B0_P3_U0_DCFG2 EQU 0x40010664 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG3 +CYREG_B0_P3_U0_DCFG3 EQU 0x40010666 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG4 +CYREG_B0_P3_U0_DCFG4 EQU 0x40010668 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG5 +CYREG_B0_P3_U0_DCFG5 EQU 0x4001066a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG6 +CYREG_B0_P3_U0_DCFG6 EQU 0x4001066c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG7 +CYREG_B0_P3_U0_DCFG7 EQU 0x4001066e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_BASE +CYDEV_UCFG_B0_P3_U1_BASE EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_SIZE +CYDEV_UCFG_B0_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT0 +CYREG_B0_P3_U1_PLD_IT0 EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT1 +CYREG_B0_P3_U1_PLD_IT1 EQU 0x40010684 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT2 +CYREG_B0_P3_U1_PLD_IT2 EQU 0x40010688 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT3 +CYREG_B0_P3_U1_PLD_IT3 EQU 0x4001068c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT4 +CYREG_B0_P3_U1_PLD_IT4 EQU 0x40010690 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT5 +CYREG_B0_P3_U1_PLD_IT5 EQU 0x40010694 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT6 +CYREG_B0_P3_U1_PLD_IT6 EQU 0x40010698 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT7 +CYREG_B0_P3_U1_PLD_IT7 EQU 0x4001069c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT8 +CYREG_B0_P3_U1_PLD_IT8 EQU 0x400106a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT9 +CYREG_B0_P3_U1_PLD_IT9 EQU 0x400106a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT10 +CYREG_B0_P3_U1_PLD_IT10 EQU 0x400106a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT11 +CYREG_B0_P3_U1_PLD_IT11 EQU 0x400106ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT0 +CYREG_B0_P3_U1_PLD_ORT0 EQU 0x400106b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT1 +CYREG_B0_P3_U1_PLD_ORT1 EQU 0x400106b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT2 +CYREG_B0_P3_U1_PLD_ORT2 EQU 0x400106b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT3 +CYREG_B0_P3_U1_PLD_ORT3 EQU 0x400106b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_CEN_CONST +CYREG_B0_P3_U1_MC_CFG_CEN_CONST EQU 0x400106b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_XORFB +CYREG_B0_P3_U1_MC_CFG_XORFB EQU 0x400106ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_SET_RESET +CYREG_B0_P3_U1_MC_CFG_SET_RESET EQU 0x400106bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_BYPASS +CYREG_B0_P3_U1_MC_CFG_BYPASS EQU 0x400106be + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG0 +CYREG_B0_P3_U1_CFG0 EQU 0x400106c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG1 +CYREG_B0_P3_U1_CFG1 EQU 0x400106c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG2 +CYREG_B0_P3_U1_CFG2 EQU 0x400106c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG3 +CYREG_B0_P3_U1_CFG3 EQU 0x400106c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG4 +CYREG_B0_P3_U1_CFG4 EQU 0x400106c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG5 +CYREG_B0_P3_U1_CFG5 EQU 0x400106c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG6 +CYREG_B0_P3_U1_CFG6 EQU 0x400106c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG7 +CYREG_B0_P3_U1_CFG7 EQU 0x400106c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG8 +CYREG_B0_P3_U1_CFG8 EQU 0x400106c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG9 +CYREG_B0_P3_U1_CFG9 EQU 0x400106c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG10 +CYREG_B0_P3_U1_CFG10 EQU 0x400106ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG11 +CYREG_B0_P3_U1_CFG11 EQU 0x400106cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG12 +CYREG_B0_P3_U1_CFG12 EQU 0x400106cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG13 +CYREG_B0_P3_U1_CFG13 EQU 0x400106cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG14 +CYREG_B0_P3_U1_CFG14 EQU 0x400106ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG15 +CYREG_B0_P3_U1_CFG15 EQU 0x400106cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG16 +CYREG_B0_P3_U1_CFG16 EQU 0x400106d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG17 +CYREG_B0_P3_U1_CFG17 EQU 0x400106d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG18 +CYREG_B0_P3_U1_CFG18 EQU 0x400106d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG19 +CYREG_B0_P3_U1_CFG19 EQU 0x400106d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG20 +CYREG_B0_P3_U1_CFG20 EQU 0x400106d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG21 +CYREG_B0_P3_U1_CFG21 EQU 0x400106d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG22 +CYREG_B0_P3_U1_CFG22 EQU 0x400106d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG23 +CYREG_B0_P3_U1_CFG23 EQU 0x400106d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG24 +CYREG_B0_P3_U1_CFG24 EQU 0x400106d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG25 +CYREG_B0_P3_U1_CFG25 EQU 0x400106d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG26 +CYREG_B0_P3_U1_CFG26 EQU 0x400106da + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG27 +CYREG_B0_P3_U1_CFG27 EQU 0x400106db + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG28 +CYREG_B0_P3_U1_CFG28 EQU 0x400106dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG29 +CYREG_B0_P3_U1_CFG29 EQU 0x400106dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG30 +CYREG_B0_P3_U1_CFG30 EQU 0x400106de + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG31 +CYREG_B0_P3_U1_CFG31 EQU 0x400106df + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG0 +CYREG_B0_P3_U1_DCFG0 EQU 0x400106e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG1 +CYREG_B0_P3_U1_DCFG1 EQU 0x400106e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG2 +CYREG_B0_P3_U1_DCFG2 EQU 0x400106e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG3 +CYREG_B0_P3_U1_DCFG3 EQU 0x400106e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG4 +CYREG_B0_P3_U1_DCFG4 EQU 0x400106e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG5 +CYREG_B0_P3_U1_DCFG5 EQU 0x400106ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG6 +CYREG_B0_P3_U1_DCFG6 EQU 0x400106ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG7 +CYREG_B0_P3_U1_DCFG7 EQU 0x400106ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_BASE +CYDEV_UCFG_B0_P3_ROUTE_BASE EQU 0x40010700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_SIZE +CYDEV_UCFG_B0_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_BASE +CYDEV_UCFG_B0_P4_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_SIZE +CYDEV_UCFG_B0_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_BASE +CYDEV_UCFG_B0_P4_U0_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_SIZE +CYDEV_UCFG_B0_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT0 +CYREG_B0_P4_U0_PLD_IT0 EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT1 +CYREG_B0_P4_U0_PLD_IT1 EQU 0x40010804 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT2 +CYREG_B0_P4_U0_PLD_IT2 EQU 0x40010808 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT3 +CYREG_B0_P4_U0_PLD_IT3 EQU 0x4001080c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT4 +CYREG_B0_P4_U0_PLD_IT4 EQU 0x40010810 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT5 +CYREG_B0_P4_U0_PLD_IT5 EQU 0x40010814 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT6 +CYREG_B0_P4_U0_PLD_IT6 EQU 0x40010818 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT7 +CYREG_B0_P4_U0_PLD_IT7 EQU 0x4001081c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT8 +CYREG_B0_P4_U0_PLD_IT8 EQU 0x40010820 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT9 +CYREG_B0_P4_U0_PLD_IT9 EQU 0x40010824 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT10 +CYREG_B0_P4_U0_PLD_IT10 EQU 0x40010828 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT11 +CYREG_B0_P4_U0_PLD_IT11 EQU 0x4001082c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT0 +CYREG_B0_P4_U0_PLD_ORT0 EQU 0x40010830 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT1 +CYREG_B0_P4_U0_PLD_ORT1 EQU 0x40010832 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT2 +CYREG_B0_P4_U0_PLD_ORT2 EQU 0x40010834 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT3 +CYREG_B0_P4_U0_PLD_ORT3 EQU 0x40010836 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_CEN_CONST +CYREG_B0_P4_U0_MC_CFG_CEN_CONST EQU 0x40010838 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_XORFB +CYREG_B0_P4_U0_MC_CFG_XORFB EQU 0x4001083a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_SET_RESET +CYREG_B0_P4_U0_MC_CFG_SET_RESET EQU 0x4001083c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_BYPASS +CYREG_B0_P4_U0_MC_CFG_BYPASS EQU 0x4001083e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG0 +CYREG_B0_P4_U0_CFG0 EQU 0x40010840 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG1 +CYREG_B0_P4_U0_CFG1 EQU 0x40010841 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG2 +CYREG_B0_P4_U0_CFG2 EQU 0x40010842 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG3 +CYREG_B0_P4_U0_CFG3 EQU 0x40010843 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG4 +CYREG_B0_P4_U0_CFG4 EQU 0x40010844 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG5 +CYREG_B0_P4_U0_CFG5 EQU 0x40010845 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG6 +CYREG_B0_P4_U0_CFG6 EQU 0x40010846 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG7 +CYREG_B0_P4_U0_CFG7 EQU 0x40010847 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG8 +CYREG_B0_P4_U0_CFG8 EQU 0x40010848 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG9 +CYREG_B0_P4_U0_CFG9 EQU 0x40010849 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG10 +CYREG_B0_P4_U0_CFG10 EQU 0x4001084a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG11 +CYREG_B0_P4_U0_CFG11 EQU 0x4001084b + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG12 +CYREG_B0_P4_U0_CFG12 EQU 0x4001084c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG13 +CYREG_B0_P4_U0_CFG13 EQU 0x4001084d + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG14 +CYREG_B0_P4_U0_CFG14 EQU 0x4001084e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG15 +CYREG_B0_P4_U0_CFG15 EQU 0x4001084f + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG16 +CYREG_B0_P4_U0_CFG16 EQU 0x40010850 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG17 +CYREG_B0_P4_U0_CFG17 EQU 0x40010851 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG18 +CYREG_B0_P4_U0_CFG18 EQU 0x40010852 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG19 +CYREG_B0_P4_U0_CFG19 EQU 0x40010853 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG20 +CYREG_B0_P4_U0_CFG20 EQU 0x40010854 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG21 +CYREG_B0_P4_U0_CFG21 EQU 0x40010855 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG22 +CYREG_B0_P4_U0_CFG22 EQU 0x40010856 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG23 +CYREG_B0_P4_U0_CFG23 EQU 0x40010857 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG24 +CYREG_B0_P4_U0_CFG24 EQU 0x40010858 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG25 +CYREG_B0_P4_U0_CFG25 EQU 0x40010859 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG26 +CYREG_B0_P4_U0_CFG26 EQU 0x4001085a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG27 +CYREG_B0_P4_U0_CFG27 EQU 0x4001085b + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG28 +CYREG_B0_P4_U0_CFG28 EQU 0x4001085c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG29 +CYREG_B0_P4_U0_CFG29 EQU 0x4001085d + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG30 +CYREG_B0_P4_U0_CFG30 EQU 0x4001085e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG31 +CYREG_B0_P4_U0_CFG31 EQU 0x4001085f + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG0 +CYREG_B0_P4_U0_DCFG0 EQU 0x40010860 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG1 +CYREG_B0_P4_U0_DCFG1 EQU 0x40010862 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG2 +CYREG_B0_P4_U0_DCFG2 EQU 0x40010864 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG3 +CYREG_B0_P4_U0_DCFG3 EQU 0x40010866 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG4 +CYREG_B0_P4_U0_DCFG4 EQU 0x40010868 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG5 +CYREG_B0_P4_U0_DCFG5 EQU 0x4001086a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG6 +CYREG_B0_P4_U0_DCFG6 EQU 0x4001086c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG7 +CYREG_B0_P4_U0_DCFG7 EQU 0x4001086e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_BASE +CYDEV_UCFG_B0_P4_U1_BASE EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_SIZE +CYDEV_UCFG_B0_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT0 +CYREG_B0_P4_U1_PLD_IT0 EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT1 +CYREG_B0_P4_U1_PLD_IT1 EQU 0x40010884 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT2 +CYREG_B0_P4_U1_PLD_IT2 EQU 0x40010888 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT3 +CYREG_B0_P4_U1_PLD_IT3 EQU 0x4001088c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT4 +CYREG_B0_P4_U1_PLD_IT4 EQU 0x40010890 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT5 +CYREG_B0_P4_U1_PLD_IT5 EQU 0x40010894 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT6 +CYREG_B0_P4_U1_PLD_IT6 EQU 0x40010898 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT7 +CYREG_B0_P4_U1_PLD_IT7 EQU 0x4001089c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT8 +CYREG_B0_P4_U1_PLD_IT8 EQU 0x400108a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT9 +CYREG_B0_P4_U1_PLD_IT9 EQU 0x400108a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT10 +CYREG_B0_P4_U1_PLD_IT10 EQU 0x400108a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT11 +CYREG_B0_P4_U1_PLD_IT11 EQU 0x400108ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT0 +CYREG_B0_P4_U1_PLD_ORT0 EQU 0x400108b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT1 +CYREG_B0_P4_U1_PLD_ORT1 EQU 0x400108b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT2 +CYREG_B0_P4_U1_PLD_ORT2 EQU 0x400108b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT3 +CYREG_B0_P4_U1_PLD_ORT3 EQU 0x400108b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_CEN_CONST +CYREG_B0_P4_U1_MC_CFG_CEN_CONST EQU 0x400108b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_XORFB +CYREG_B0_P4_U1_MC_CFG_XORFB EQU 0x400108ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_SET_RESET +CYREG_B0_P4_U1_MC_CFG_SET_RESET EQU 0x400108bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_BYPASS +CYREG_B0_P4_U1_MC_CFG_BYPASS EQU 0x400108be + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG0 +CYREG_B0_P4_U1_CFG0 EQU 0x400108c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG1 +CYREG_B0_P4_U1_CFG1 EQU 0x400108c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG2 +CYREG_B0_P4_U1_CFG2 EQU 0x400108c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG3 +CYREG_B0_P4_U1_CFG3 EQU 0x400108c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG4 +CYREG_B0_P4_U1_CFG4 EQU 0x400108c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG5 +CYREG_B0_P4_U1_CFG5 EQU 0x400108c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG6 +CYREG_B0_P4_U1_CFG6 EQU 0x400108c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG7 +CYREG_B0_P4_U1_CFG7 EQU 0x400108c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG8 +CYREG_B0_P4_U1_CFG8 EQU 0x400108c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG9 +CYREG_B0_P4_U1_CFG9 EQU 0x400108c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG10 +CYREG_B0_P4_U1_CFG10 EQU 0x400108ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG11 +CYREG_B0_P4_U1_CFG11 EQU 0x400108cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG12 +CYREG_B0_P4_U1_CFG12 EQU 0x400108cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG13 +CYREG_B0_P4_U1_CFG13 EQU 0x400108cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG14 +CYREG_B0_P4_U1_CFG14 EQU 0x400108ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG15 +CYREG_B0_P4_U1_CFG15 EQU 0x400108cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG16 +CYREG_B0_P4_U1_CFG16 EQU 0x400108d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG17 +CYREG_B0_P4_U1_CFG17 EQU 0x400108d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG18 +CYREG_B0_P4_U1_CFG18 EQU 0x400108d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG19 +CYREG_B0_P4_U1_CFG19 EQU 0x400108d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG20 +CYREG_B0_P4_U1_CFG20 EQU 0x400108d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG21 +CYREG_B0_P4_U1_CFG21 EQU 0x400108d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG22 +CYREG_B0_P4_U1_CFG22 EQU 0x400108d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG23 +CYREG_B0_P4_U1_CFG23 EQU 0x400108d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG24 +CYREG_B0_P4_U1_CFG24 EQU 0x400108d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG25 +CYREG_B0_P4_U1_CFG25 EQU 0x400108d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG26 +CYREG_B0_P4_U1_CFG26 EQU 0x400108da + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG27 +CYREG_B0_P4_U1_CFG27 EQU 0x400108db + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG28 +CYREG_B0_P4_U1_CFG28 EQU 0x400108dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG29 +CYREG_B0_P4_U1_CFG29 EQU 0x400108dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG30 +CYREG_B0_P4_U1_CFG30 EQU 0x400108de + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG31 +CYREG_B0_P4_U1_CFG31 EQU 0x400108df + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG0 +CYREG_B0_P4_U1_DCFG0 EQU 0x400108e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG1 +CYREG_B0_P4_U1_DCFG1 EQU 0x400108e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG2 +CYREG_B0_P4_U1_DCFG2 EQU 0x400108e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG3 +CYREG_B0_P4_U1_DCFG3 EQU 0x400108e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG4 +CYREG_B0_P4_U1_DCFG4 EQU 0x400108e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG5 +CYREG_B0_P4_U1_DCFG5 EQU 0x400108ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG6 +CYREG_B0_P4_U1_DCFG6 EQU 0x400108ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG7 +CYREG_B0_P4_U1_DCFG7 EQU 0x400108ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_BASE +CYDEV_UCFG_B0_P4_ROUTE_BASE EQU 0x40010900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_SIZE +CYDEV_UCFG_B0_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_BASE +CYDEV_UCFG_B0_P5_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_SIZE +CYDEV_UCFG_B0_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_BASE +CYDEV_UCFG_B0_P5_U0_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_SIZE +CYDEV_UCFG_B0_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT0 +CYREG_B0_P5_U0_PLD_IT0 EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT1 +CYREG_B0_P5_U0_PLD_IT1 EQU 0x40010a04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT2 +CYREG_B0_P5_U0_PLD_IT2 EQU 0x40010a08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT3 +CYREG_B0_P5_U0_PLD_IT3 EQU 0x40010a0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT4 +CYREG_B0_P5_U0_PLD_IT4 EQU 0x40010a10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT5 +CYREG_B0_P5_U0_PLD_IT5 EQU 0x40010a14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT6 +CYREG_B0_P5_U0_PLD_IT6 EQU 0x40010a18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT7 +CYREG_B0_P5_U0_PLD_IT7 EQU 0x40010a1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT8 +CYREG_B0_P5_U0_PLD_IT8 EQU 0x40010a20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT9 +CYREG_B0_P5_U0_PLD_IT9 EQU 0x40010a24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT10 +CYREG_B0_P5_U0_PLD_IT10 EQU 0x40010a28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT11 +CYREG_B0_P5_U0_PLD_IT11 EQU 0x40010a2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT0 +CYREG_B0_P5_U0_PLD_ORT0 EQU 0x40010a30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT1 +CYREG_B0_P5_U0_PLD_ORT1 EQU 0x40010a32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT2 +CYREG_B0_P5_U0_PLD_ORT2 EQU 0x40010a34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT3 +CYREG_B0_P5_U0_PLD_ORT3 EQU 0x40010a36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_CEN_CONST +CYREG_B0_P5_U0_MC_CFG_CEN_CONST EQU 0x40010a38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_XORFB +CYREG_B0_P5_U0_MC_CFG_XORFB EQU 0x40010a3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_SET_RESET +CYREG_B0_P5_U0_MC_CFG_SET_RESET EQU 0x40010a3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_BYPASS +CYREG_B0_P5_U0_MC_CFG_BYPASS EQU 0x40010a3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG0 +CYREG_B0_P5_U0_CFG0 EQU 0x40010a40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG1 +CYREG_B0_P5_U0_CFG1 EQU 0x40010a41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG2 +CYREG_B0_P5_U0_CFG2 EQU 0x40010a42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG3 +CYREG_B0_P5_U0_CFG3 EQU 0x40010a43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG4 +CYREG_B0_P5_U0_CFG4 EQU 0x40010a44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG5 +CYREG_B0_P5_U0_CFG5 EQU 0x40010a45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG6 +CYREG_B0_P5_U0_CFG6 EQU 0x40010a46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG7 +CYREG_B0_P5_U0_CFG7 EQU 0x40010a47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG8 +CYREG_B0_P5_U0_CFG8 EQU 0x40010a48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG9 +CYREG_B0_P5_U0_CFG9 EQU 0x40010a49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG10 +CYREG_B0_P5_U0_CFG10 EQU 0x40010a4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG11 +CYREG_B0_P5_U0_CFG11 EQU 0x40010a4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG12 +CYREG_B0_P5_U0_CFG12 EQU 0x40010a4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG13 +CYREG_B0_P5_U0_CFG13 EQU 0x40010a4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG14 +CYREG_B0_P5_U0_CFG14 EQU 0x40010a4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG15 +CYREG_B0_P5_U0_CFG15 EQU 0x40010a4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG16 +CYREG_B0_P5_U0_CFG16 EQU 0x40010a50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG17 +CYREG_B0_P5_U0_CFG17 EQU 0x40010a51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG18 +CYREG_B0_P5_U0_CFG18 EQU 0x40010a52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG19 +CYREG_B0_P5_U0_CFG19 EQU 0x40010a53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG20 +CYREG_B0_P5_U0_CFG20 EQU 0x40010a54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG21 +CYREG_B0_P5_U0_CFG21 EQU 0x40010a55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG22 +CYREG_B0_P5_U0_CFG22 EQU 0x40010a56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG23 +CYREG_B0_P5_U0_CFG23 EQU 0x40010a57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG24 +CYREG_B0_P5_U0_CFG24 EQU 0x40010a58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG25 +CYREG_B0_P5_U0_CFG25 EQU 0x40010a59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG26 +CYREG_B0_P5_U0_CFG26 EQU 0x40010a5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG27 +CYREG_B0_P5_U0_CFG27 EQU 0x40010a5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG28 +CYREG_B0_P5_U0_CFG28 EQU 0x40010a5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG29 +CYREG_B0_P5_U0_CFG29 EQU 0x40010a5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG30 +CYREG_B0_P5_U0_CFG30 EQU 0x40010a5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG31 +CYREG_B0_P5_U0_CFG31 EQU 0x40010a5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG0 +CYREG_B0_P5_U0_DCFG0 EQU 0x40010a60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG1 +CYREG_B0_P5_U0_DCFG1 EQU 0x40010a62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG2 +CYREG_B0_P5_U0_DCFG2 EQU 0x40010a64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG3 +CYREG_B0_P5_U0_DCFG3 EQU 0x40010a66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG4 +CYREG_B0_P5_U0_DCFG4 EQU 0x40010a68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG5 +CYREG_B0_P5_U0_DCFG5 EQU 0x40010a6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG6 +CYREG_B0_P5_U0_DCFG6 EQU 0x40010a6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG7 +CYREG_B0_P5_U0_DCFG7 EQU 0x40010a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_BASE +CYDEV_UCFG_B0_P5_U1_BASE EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_SIZE +CYDEV_UCFG_B0_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT0 +CYREG_B0_P5_U1_PLD_IT0 EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT1 +CYREG_B0_P5_U1_PLD_IT1 EQU 0x40010a84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT2 +CYREG_B0_P5_U1_PLD_IT2 EQU 0x40010a88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT3 +CYREG_B0_P5_U1_PLD_IT3 EQU 0x40010a8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT4 +CYREG_B0_P5_U1_PLD_IT4 EQU 0x40010a90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT5 +CYREG_B0_P5_U1_PLD_IT5 EQU 0x40010a94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT6 +CYREG_B0_P5_U1_PLD_IT6 EQU 0x40010a98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT7 +CYREG_B0_P5_U1_PLD_IT7 EQU 0x40010a9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT8 +CYREG_B0_P5_U1_PLD_IT8 EQU 0x40010aa0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT9 +CYREG_B0_P5_U1_PLD_IT9 EQU 0x40010aa4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT10 +CYREG_B0_P5_U1_PLD_IT10 EQU 0x40010aa8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT11 +CYREG_B0_P5_U1_PLD_IT11 EQU 0x40010aac + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT0 +CYREG_B0_P5_U1_PLD_ORT0 EQU 0x40010ab0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT1 +CYREG_B0_P5_U1_PLD_ORT1 EQU 0x40010ab2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT2 +CYREG_B0_P5_U1_PLD_ORT2 EQU 0x40010ab4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT3 +CYREG_B0_P5_U1_PLD_ORT3 EQU 0x40010ab6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_CEN_CONST +CYREG_B0_P5_U1_MC_CFG_CEN_CONST EQU 0x40010ab8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_XORFB +CYREG_B0_P5_U1_MC_CFG_XORFB EQU 0x40010aba + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_SET_RESET +CYREG_B0_P5_U1_MC_CFG_SET_RESET EQU 0x40010abc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_BYPASS +CYREG_B0_P5_U1_MC_CFG_BYPASS EQU 0x40010abe + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG0 +CYREG_B0_P5_U1_CFG0 EQU 0x40010ac0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG1 +CYREG_B0_P5_U1_CFG1 EQU 0x40010ac1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG2 +CYREG_B0_P5_U1_CFG2 EQU 0x40010ac2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG3 +CYREG_B0_P5_U1_CFG3 EQU 0x40010ac3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG4 +CYREG_B0_P5_U1_CFG4 EQU 0x40010ac4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG5 +CYREG_B0_P5_U1_CFG5 EQU 0x40010ac5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG6 +CYREG_B0_P5_U1_CFG6 EQU 0x40010ac6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG7 +CYREG_B0_P5_U1_CFG7 EQU 0x40010ac7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG8 +CYREG_B0_P5_U1_CFG8 EQU 0x40010ac8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG9 +CYREG_B0_P5_U1_CFG9 EQU 0x40010ac9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG10 +CYREG_B0_P5_U1_CFG10 EQU 0x40010aca + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG11 +CYREG_B0_P5_U1_CFG11 EQU 0x40010acb + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG12 +CYREG_B0_P5_U1_CFG12 EQU 0x40010acc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG13 +CYREG_B0_P5_U1_CFG13 EQU 0x40010acd + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG14 +CYREG_B0_P5_U1_CFG14 EQU 0x40010ace + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG15 +CYREG_B0_P5_U1_CFG15 EQU 0x40010acf + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG16 +CYREG_B0_P5_U1_CFG16 EQU 0x40010ad0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG17 +CYREG_B0_P5_U1_CFG17 EQU 0x40010ad1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG18 +CYREG_B0_P5_U1_CFG18 EQU 0x40010ad2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG19 +CYREG_B0_P5_U1_CFG19 EQU 0x40010ad3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG20 +CYREG_B0_P5_U1_CFG20 EQU 0x40010ad4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG21 +CYREG_B0_P5_U1_CFG21 EQU 0x40010ad5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG22 +CYREG_B0_P5_U1_CFG22 EQU 0x40010ad6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG23 +CYREG_B0_P5_U1_CFG23 EQU 0x40010ad7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG24 +CYREG_B0_P5_U1_CFG24 EQU 0x40010ad8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG25 +CYREG_B0_P5_U1_CFG25 EQU 0x40010ad9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG26 +CYREG_B0_P5_U1_CFG26 EQU 0x40010ada + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG27 +CYREG_B0_P5_U1_CFG27 EQU 0x40010adb + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG28 +CYREG_B0_P5_U1_CFG28 EQU 0x40010adc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG29 +CYREG_B0_P5_U1_CFG29 EQU 0x40010add + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG30 +CYREG_B0_P5_U1_CFG30 EQU 0x40010ade + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG31 +CYREG_B0_P5_U1_CFG31 EQU 0x40010adf + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG0 +CYREG_B0_P5_U1_DCFG0 EQU 0x40010ae0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG1 +CYREG_B0_P5_U1_DCFG1 EQU 0x40010ae2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG2 +CYREG_B0_P5_U1_DCFG2 EQU 0x40010ae4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG3 +CYREG_B0_P5_U1_DCFG3 EQU 0x40010ae6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG4 +CYREG_B0_P5_U1_DCFG4 EQU 0x40010ae8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG5 +CYREG_B0_P5_U1_DCFG5 EQU 0x40010aea + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG6 +CYREG_B0_P5_U1_DCFG6 EQU 0x40010aec + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG7 +CYREG_B0_P5_U1_DCFG7 EQU 0x40010aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_BASE +CYDEV_UCFG_B0_P5_ROUTE_BASE EQU 0x40010b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_SIZE +CYDEV_UCFG_B0_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_BASE +CYDEV_UCFG_B0_P6_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_SIZE +CYDEV_UCFG_B0_P6_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_BASE +CYDEV_UCFG_B0_P6_U0_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_SIZE +CYDEV_UCFG_B0_P6_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT0 +CYREG_B0_P6_U0_PLD_IT0 EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT1 +CYREG_B0_P6_U0_PLD_IT1 EQU 0x40010c04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT2 +CYREG_B0_P6_U0_PLD_IT2 EQU 0x40010c08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT3 +CYREG_B0_P6_U0_PLD_IT3 EQU 0x40010c0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT4 +CYREG_B0_P6_U0_PLD_IT4 EQU 0x40010c10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT5 +CYREG_B0_P6_U0_PLD_IT5 EQU 0x40010c14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT6 +CYREG_B0_P6_U0_PLD_IT6 EQU 0x40010c18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT7 +CYREG_B0_P6_U0_PLD_IT7 EQU 0x40010c1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT8 +CYREG_B0_P6_U0_PLD_IT8 EQU 0x40010c20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT9 +CYREG_B0_P6_U0_PLD_IT9 EQU 0x40010c24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT10 +CYREG_B0_P6_U0_PLD_IT10 EQU 0x40010c28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT11 +CYREG_B0_P6_U0_PLD_IT11 EQU 0x40010c2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT0 +CYREG_B0_P6_U0_PLD_ORT0 EQU 0x40010c30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT1 +CYREG_B0_P6_U0_PLD_ORT1 EQU 0x40010c32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT2 +CYREG_B0_P6_U0_PLD_ORT2 EQU 0x40010c34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT3 +CYREG_B0_P6_U0_PLD_ORT3 EQU 0x40010c36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_CEN_CONST +CYREG_B0_P6_U0_MC_CFG_CEN_CONST EQU 0x40010c38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_XORFB +CYREG_B0_P6_U0_MC_CFG_XORFB EQU 0x40010c3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_SET_RESET +CYREG_B0_P6_U0_MC_CFG_SET_RESET EQU 0x40010c3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_BYPASS +CYREG_B0_P6_U0_MC_CFG_BYPASS EQU 0x40010c3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG0 +CYREG_B0_P6_U0_CFG0 EQU 0x40010c40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG1 +CYREG_B0_P6_U0_CFG1 EQU 0x40010c41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG2 +CYREG_B0_P6_U0_CFG2 EQU 0x40010c42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG3 +CYREG_B0_P6_U0_CFG3 EQU 0x40010c43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG4 +CYREG_B0_P6_U0_CFG4 EQU 0x40010c44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG5 +CYREG_B0_P6_U0_CFG5 EQU 0x40010c45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG6 +CYREG_B0_P6_U0_CFG6 EQU 0x40010c46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG7 +CYREG_B0_P6_U0_CFG7 EQU 0x40010c47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG8 +CYREG_B0_P6_U0_CFG8 EQU 0x40010c48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG9 +CYREG_B0_P6_U0_CFG9 EQU 0x40010c49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG10 +CYREG_B0_P6_U0_CFG10 EQU 0x40010c4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG11 +CYREG_B0_P6_U0_CFG11 EQU 0x40010c4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG12 +CYREG_B0_P6_U0_CFG12 EQU 0x40010c4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG13 +CYREG_B0_P6_U0_CFG13 EQU 0x40010c4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG14 +CYREG_B0_P6_U0_CFG14 EQU 0x40010c4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG15 +CYREG_B0_P6_U0_CFG15 EQU 0x40010c4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG16 +CYREG_B0_P6_U0_CFG16 EQU 0x40010c50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG17 +CYREG_B0_P6_U0_CFG17 EQU 0x40010c51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG18 +CYREG_B0_P6_U0_CFG18 EQU 0x40010c52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG19 +CYREG_B0_P6_U0_CFG19 EQU 0x40010c53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG20 +CYREG_B0_P6_U0_CFG20 EQU 0x40010c54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG21 +CYREG_B0_P6_U0_CFG21 EQU 0x40010c55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG22 +CYREG_B0_P6_U0_CFG22 EQU 0x40010c56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG23 +CYREG_B0_P6_U0_CFG23 EQU 0x40010c57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG24 +CYREG_B0_P6_U0_CFG24 EQU 0x40010c58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG25 +CYREG_B0_P6_U0_CFG25 EQU 0x40010c59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG26 +CYREG_B0_P6_U0_CFG26 EQU 0x40010c5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG27 +CYREG_B0_P6_U0_CFG27 EQU 0x40010c5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG28 +CYREG_B0_P6_U0_CFG28 EQU 0x40010c5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG29 +CYREG_B0_P6_U0_CFG29 EQU 0x40010c5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG30 +CYREG_B0_P6_U0_CFG30 EQU 0x40010c5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG31 +CYREG_B0_P6_U0_CFG31 EQU 0x40010c5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG0 +CYREG_B0_P6_U0_DCFG0 EQU 0x40010c60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG1 +CYREG_B0_P6_U0_DCFG1 EQU 0x40010c62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG2 +CYREG_B0_P6_U0_DCFG2 EQU 0x40010c64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG3 +CYREG_B0_P6_U0_DCFG3 EQU 0x40010c66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG4 +CYREG_B0_P6_U0_DCFG4 EQU 0x40010c68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG5 +CYREG_B0_P6_U0_DCFG5 EQU 0x40010c6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG6 +CYREG_B0_P6_U0_DCFG6 EQU 0x40010c6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG7 +CYREG_B0_P6_U0_DCFG7 EQU 0x40010c6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_BASE +CYDEV_UCFG_B0_P6_U1_BASE EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_SIZE +CYDEV_UCFG_B0_P6_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT0 +CYREG_B0_P6_U1_PLD_IT0 EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT1 +CYREG_B0_P6_U1_PLD_IT1 EQU 0x40010c84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT2 +CYREG_B0_P6_U1_PLD_IT2 EQU 0x40010c88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT3 +CYREG_B0_P6_U1_PLD_IT3 EQU 0x40010c8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT4 +CYREG_B0_P6_U1_PLD_IT4 EQU 0x40010c90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT5 +CYREG_B0_P6_U1_PLD_IT5 EQU 0x40010c94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT6 +CYREG_B0_P6_U1_PLD_IT6 EQU 0x40010c98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT7 +CYREG_B0_P6_U1_PLD_IT7 EQU 0x40010c9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT8 +CYREG_B0_P6_U1_PLD_IT8 EQU 0x40010ca0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT9 +CYREG_B0_P6_U1_PLD_IT9 EQU 0x40010ca4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT10 +CYREG_B0_P6_U1_PLD_IT10 EQU 0x40010ca8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT11 +CYREG_B0_P6_U1_PLD_IT11 EQU 0x40010cac + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT0 +CYREG_B0_P6_U1_PLD_ORT0 EQU 0x40010cb0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT1 +CYREG_B0_P6_U1_PLD_ORT1 EQU 0x40010cb2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT2 +CYREG_B0_P6_U1_PLD_ORT2 EQU 0x40010cb4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT3 +CYREG_B0_P6_U1_PLD_ORT3 EQU 0x40010cb6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_CEN_CONST +CYREG_B0_P6_U1_MC_CFG_CEN_CONST EQU 0x40010cb8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_XORFB +CYREG_B0_P6_U1_MC_CFG_XORFB EQU 0x40010cba + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_SET_RESET +CYREG_B0_P6_U1_MC_CFG_SET_RESET EQU 0x40010cbc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_BYPASS +CYREG_B0_P6_U1_MC_CFG_BYPASS EQU 0x40010cbe + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG0 +CYREG_B0_P6_U1_CFG0 EQU 0x40010cc0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG1 +CYREG_B0_P6_U1_CFG1 EQU 0x40010cc1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG2 +CYREG_B0_P6_U1_CFG2 EQU 0x40010cc2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG3 +CYREG_B0_P6_U1_CFG3 EQU 0x40010cc3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG4 +CYREG_B0_P6_U1_CFG4 EQU 0x40010cc4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG5 +CYREG_B0_P6_U1_CFG5 EQU 0x40010cc5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG6 +CYREG_B0_P6_U1_CFG6 EQU 0x40010cc6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG7 +CYREG_B0_P6_U1_CFG7 EQU 0x40010cc7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG8 +CYREG_B0_P6_U1_CFG8 EQU 0x40010cc8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG9 +CYREG_B0_P6_U1_CFG9 EQU 0x40010cc9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG10 +CYREG_B0_P6_U1_CFG10 EQU 0x40010cca + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG11 +CYREG_B0_P6_U1_CFG11 EQU 0x40010ccb + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG12 +CYREG_B0_P6_U1_CFG12 EQU 0x40010ccc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG13 +CYREG_B0_P6_U1_CFG13 EQU 0x40010ccd + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG14 +CYREG_B0_P6_U1_CFG14 EQU 0x40010cce + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG15 +CYREG_B0_P6_U1_CFG15 EQU 0x40010ccf + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG16 +CYREG_B0_P6_U1_CFG16 EQU 0x40010cd0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG17 +CYREG_B0_P6_U1_CFG17 EQU 0x40010cd1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG18 +CYREG_B0_P6_U1_CFG18 EQU 0x40010cd2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG19 +CYREG_B0_P6_U1_CFG19 EQU 0x40010cd3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG20 +CYREG_B0_P6_U1_CFG20 EQU 0x40010cd4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG21 +CYREG_B0_P6_U1_CFG21 EQU 0x40010cd5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG22 +CYREG_B0_P6_U1_CFG22 EQU 0x40010cd6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG23 +CYREG_B0_P6_U1_CFG23 EQU 0x40010cd7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG24 +CYREG_B0_P6_U1_CFG24 EQU 0x40010cd8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG25 +CYREG_B0_P6_U1_CFG25 EQU 0x40010cd9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG26 +CYREG_B0_P6_U1_CFG26 EQU 0x40010cda + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG27 +CYREG_B0_P6_U1_CFG27 EQU 0x40010cdb + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG28 +CYREG_B0_P6_U1_CFG28 EQU 0x40010cdc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG29 +CYREG_B0_P6_U1_CFG29 EQU 0x40010cdd + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG30 +CYREG_B0_P6_U1_CFG30 EQU 0x40010cde + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG31 +CYREG_B0_P6_U1_CFG31 EQU 0x40010cdf + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG0 +CYREG_B0_P6_U1_DCFG0 EQU 0x40010ce0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG1 +CYREG_B0_P6_U1_DCFG1 EQU 0x40010ce2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG2 +CYREG_B0_P6_U1_DCFG2 EQU 0x40010ce4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG3 +CYREG_B0_P6_U1_DCFG3 EQU 0x40010ce6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG4 +CYREG_B0_P6_U1_DCFG4 EQU 0x40010ce8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG5 +CYREG_B0_P6_U1_DCFG5 EQU 0x40010cea + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG6 +CYREG_B0_P6_U1_DCFG6 EQU 0x40010cec + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG7 +CYREG_B0_P6_U1_DCFG7 EQU 0x40010cee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_BASE +CYDEV_UCFG_B0_P6_ROUTE_BASE EQU 0x40010d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_SIZE +CYDEV_UCFG_B0_P6_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_BASE +CYDEV_UCFG_B0_P7_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_SIZE +CYDEV_UCFG_B0_P7_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_BASE +CYDEV_UCFG_B0_P7_U0_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_SIZE +CYDEV_UCFG_B0_P7_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT0 +CYREG_B0_P7_U0_PLD_IT0 EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT1 +CYREG_B0_P7_U0_PLD_IT1 EQU 0x40010e04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT2 +CYREG_B0_P7_U0_PLD_IT2 EQU 0x40010e08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT3 +CYREG_B0_P7_U0_PLD_IT3 EQU 0x40010e0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT4 +CYREG_B0_P7_U0_PLD_IT4 EQU 0x40010e10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT5 +CYREG_B0_P7_U0_PLD_IT5 EQU 0x40010e14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT6 +CYREG_B0_P7_U0_PLD_IT6 EQU 0x40010e18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT7 +CYREG_B0_P7_U0_PLD_IT7 EQU 0x40010e1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT8 +CYREG_B0_P7_U0_PLD_IT8 EQU 0x40010e20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT9 +CYREG_B0_P7_U0_PLD_IT9 EQU 0x40010e24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT10 +CYREG_B0_P7_U0_PLD_IT10 EQU 0x40010e28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT11 +CYREG_B0_P7_U0_PLD_IT11 EQU 0x40010e2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT0 +CYREG_B0_P7_U0_PLD_ORT0 EQU 0x40010e30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT1 +CYREG_B0_P7_U0_PLD_ORT1 EQU 0x40010e32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT2 +CYREG_B0_P7_U0_PLD_ORT2 EQU 0x40010e34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT3 +CYREG_B0_P7_U0_PLD_ORT3 EQU 0x40010e36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_CEN_CONST +CYREG_B0_P7_U0_MC_CFG_CEN_CONST EQU 0x40010e38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_XORFB +CYREG_B0_P7_U0_MC_CFG_XORFB EQU 0x40010e3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_SET_RESET +CYREG_B0_P7_U0_MC_CFG_SET_RESET EQU 0x40010e3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_BYPASS +CYREG_B0_P7_U0_MC_CFG_BYPASS EQU 0x40010e3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG0 +CYREG_B0_P7_U0_CFG0 EQU 0x40010e40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG1 +CYREG_B0_P7_U0_CFG1 EQU 0x40010e41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG2 +CYREG_B0_P7_U0_CFG2 EQU 0x40010e42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG3 +CYREG_B0_P7_U0_CFG3 EQU 0x40010e43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG4 +CYREG_B0_P7_U0_CFG4 EQU 0x40010e44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG5 +CYREG_B0_P7_U0_CFG5 EQU 0x40010e45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG6 +CYREG_B0_P7_U0_CFG6 EQU 0x40010e46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG7 +CYREG_B0_P7_U0_CFG7 EQU 0x40010e47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG8 +CYREG_B0_P7_U0_CFG8 EQU 0x40010e48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG9 +CYREG_B0_P7_U0_CFG9 EQU 0x40010e49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG10 +CYREG_B0_P7_U0_CFG10 EQU 0x40010e4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG11 +CYREG_B0_P7_U0_CFG11 EQU 0x40010e4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG12 +CYREG_B0_P7_U0_CFG12 EQU 0x40010e4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG13 +CYREG_B0_P7_U0_CFG13 EQU 0x40010e4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG14 +CYREG_B0_P7_U0_CFG14 EQU 0x40010e4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG15 +CYREG_B0_P7_U0_CFG15 EQU 0x40010e4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG16 +CYREG_B0_P7_U0_CFG16 EQU 0x40010e50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG17 +CYREG_B0_P7_U0_CFG17 EQU 0x40010e51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG18 +CYREG_B0_P7_U0_CFG18 EQU 0x40010e52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG19 +CYREG_B0_P7_U0_CFG19 EQU 0x40010e53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG20 +CYREG_B0_P7_U0_CFG20 EQU 0x40010e54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG21 +CYREG_B0_P7_U0_CFG21 EQU 0x40010e55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG22 +CYREG_B0_P7_U0_CFG22 EQU 0x40010e56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG23 +CYREG_B0_P7_U0_CFG23 EQU 0x40010e57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG24 +CYREG_B0_P7_U0_CFG24 EQU 0x40010e58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG25 +CYREG_B0_P7_U0_CFG25 EQU 0x40010e59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG26 +CYREG_B0_P7_U0_CFG26 EQU 0x40010e5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG27 +CYREG_B0_P7_U0_CFG27 EQU 0x40010e5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG28 +CYREG_B0_P7_U0_CFG28 EQU 0x40010e5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG29 +CYREG_B0_P7_U0_CFG29 EQU 0x40010e5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG30 +CYREG_B0_P7_U0_CFG30 EQU 0x40010e5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG31 +CYREG_B0_P7_U0_CFG31 EQU 0x40010e5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG0 +CYREG_B0_P7_U0_DCFG0 EQU 0x40010e60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG1 +CYREG_B0_P7_U0_DCFG1 EQU 0x40010e62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG2 +CYREG_B0_P7_U0_DCFG2 EQU 0x40010e64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG3 +CYREG_B0_P7_U0_DCFG3 EQU 0x40010e66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG4 +CYREG_B0_P7_U0_DCFG4 EQU 0x40010e68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG5 +CYREG_B0_P7_U0_DCFG5 EQU 0x40010e6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG6 +CYREG_B0_P7_U0_DCFG6 EQU 0x40010e6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG7 +CYREG_B0_P7_U0_DCFG7 EQU 0x40010e6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_BASE +CYDEV_UCFG_B0_P7_U1_BASE EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_SIZE +CYDEV_UCFG_B0_P7_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT0 +CYREG_B0_P7_U1_PLD_IT0 EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT1 +CYREG_B0_P7_U1_PLD_IT1 EQU 0x40010e84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT2 +CYREG_B0_P7_U1_PLD_IT2 EQU 0x40010e88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT3 +CYREG_B0_P7_U1_PLD_IT3 EQU 0x40010e8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT4 +CYREG_B0_P7_U1_PLD_IT4 EQU 0x40010e90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT5 +CYREG_B0_P7_U1_PLD_IT5 EQU 0x40010e94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT6 +CYREG_B0_P7_U1_PLD_IT6 EQU 0x40010e98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT7 +CYREG_B0_P7_U1_PLD_IT7 EQU 0x40010e9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT8 +CYREG_B0_P7_U1_PLD_IT8 EQU 0x40010ea0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT9 +CYREG_B0_P7_U1_PLD_IT9 EQU 0x40010ea4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT10 +CYREG_B0_P7_U1_PLD_IT10 EQU 0x40010ea8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT11 +CYREG_B0_P7_U1_PLD_IT11 EQU 0x40010eac + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT0 +CYREG_B0_P7_U1_PLD_ORT0 EQU 0x40010eb0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT1 +CYREG_B0_P7_U1_PLD_ORT1 EQU 0x40010eb2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT2 +CYREG_B0_P7_U1_PLD_ORT2 EQU 0x40010eb4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT3 +CYREG_B0_P7_U1_PLD_ORT3 EQU 0x40010eb6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_CEN_CONST +CYREG_B0_P7_U1_MC_CFG_CEN_CONST EQU 0x40010eb8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_XORFB +CYREG_B0_P7_U1_MC_CFG_XORFB EQU 0x40010eba + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_SET_RESET +CYREG_B0_P7_U1_MC_CFG_SET_RESET EQU 0x40010ebc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_BYPASS +CYREG_B0_P7_U1_MC_CFG_BYPASS EQU 0x40010ebe + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG0 +CYREG_B0_P7_U1_CFG0 EQU 0x40010ec0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG1 +CYREG_B0_P7_U1_CFG1 EQU 0x40010ec1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG2 +CYREG_B0_P7_U1_CFG2 EQU 0x40010ec2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG3 +CYREG_B0_P7_U1_CFG3 EQU 0x40010ec3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG4 +CYREG_B0_P7_U1_CFG4 EQU 0x40010ec4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG5 +CYREG_B0_P7_U1_CFG5 EQU 0x40010ec5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG6 +CYREG_B0_P7_U1_CFG6 EQU 0x40010ec6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG7 +CYREG_B0_P7_U1_CFG7 EQU 0x40010ec7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG8 +CYREG_B0_P7_U1_CFG8 EQU 0x40010ec8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG9 +CYREG_B0_P7_U1_CFG9 EQU 0x40010ec9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG10 +CYREG_B0_P7_U1_CFG10 EQU 0x40010eca + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG11 +CYREG_B0_P7_U1_CFG11 EQU 0x40010ecb + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG12 +CYREG_B0_P7_U1_CFG12 EQU 0x40010ecc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG13 +CYREG_B0_P7_U1_CFG13 EQU 0x40010ecd + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG14 +CYREG_B0_P7_U1_CFG14 EQU 0x40010ece + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG15 +CYREG_B0_P7_U1_CFG15 EQU 0x40010ecf + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG16 +CYREG_B0_P7_U1_CFG16 EQU 0x40010ed0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG17 +CYREG_B0_P7_U1_CFG17 EQU 0x40010ed1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG18 +CYREG_B0_P7_U1_CFG18 EQU 0x40010ed2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG19 +CYREG_B0_P7_U1_CFG19 EQU 0x40010ed3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG20 +CYREG_B0_P7_U1_CFG20 EQU 0x40010ed4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG21 +CYREG_B0_P7_U1_CFG21 EQU 0x40010ed5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG22 +CYREG_B0_P7_U1_CFG22 EQU 0x40010ed6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG23 +CYREG_B0_P7_U1_CFG23 EQU 0x40010ed7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG24 +CYREG_B0_P7_U1_CFG24 EQU 0x40010ed8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG25 +CYREG_B0_P7_U1_CFG25 EQU 0x40010ed9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG26 +CYREG_B0_P7_U1_CFG26 EQU 0x40010eda + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG27 +CYREG_B0_P7_U1_CFG27 EQU 0x40010edb + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG28 +CYREG_B0_P7_U1_CFG28 EQU 0x40010edc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG29 +CYREG_B0_P7_U1_CFG29 EQU 0x40010edd + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG30 +CYREG_B0_P7_U1_CFG30 EQU 0x40010ede + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG31 +CYREG_B0_P7_U1_CFG31 EQU 0x40010edf + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG0 +CYREG_B0_P7_U1_DCFG0 EQU 0x40010ee0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG1 +CYREG_B0_P7_U1_DCFG1 EQU 0x40010ee2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG2 +CYREG_B0_P7_U1_DCFG2 EQU 0x40010ee4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG3 +CYREG_B0_P7_U1_DCFG3 EQU 0x40010ee6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG4 +CYREG_B0_P7_U1_DCFG4 EQU 0x40010ee8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG5 +CYREG_B0_P7_U1_DCFG5 EQU 0x40010eea + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG6 +CYREG_B0_P7_U1_DCFG6 EQU 0x40010eec + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG7 +CYREG_B0_P7_U1_DCFG7 EQU 0x40010eee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_BASE +CYDEV_UCFG_B0_P7_ROUTE_BASE EQU 0x40010f00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_SIZE +CYDEV_UCFG_B0_P7_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_BASE +CYDEV_UCFG_B1_BASE EQU 0x40011000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_SIZE +CYDEV_UCFG_B1_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_BASE +CYDEV_UCFG_B1_P2_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_SIZE +CYDEV_UCFG_B1_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_BASE +CYDEV_UCFG_B1_P2_U0_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_SIZE +CYDEV_UCFG_B1_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT0 +CYREG_B1_P2_U0_PLD_IT0 EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT1 +CYREG_B1_P2_U0_PLD_IT1 EQU 0x40011404 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT2 +CYREG_B1_P2_U0_PLD_IT2 EQU 0x40011408 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT3 +CYREG_B1_P2_U0_PLD_IT3 EQU 0x4001140c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT4 +CYREG_B1_P2_U0_PLD_IT4 EQU 0x40011410 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT5 +CYREG_B1_P2_U0_PLD_IT5 EQU 0x40011414 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT6 +CYREG_B1_P2_U0_PLD_IT6 EQU 0x40011418 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT7 +CYREG_B1_P2_U0_PLD_IT7 EQU 0x4001141c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT8 +CYREG_B1_P2_U0_PLD_IT8 EQU 0x40011420 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT9 +CYREG_B1_P2_U0_PLD_IT9 EQU 0x40011424 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT10 +CYREG_B1_P2_U0_PLD_IT10 EQU 0x40011428 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT11 +CYREG_B1_P2_U0_PLD_IT11 EQU 0x4001142c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT0 +CYREG_B1_P2_U0_PLD_ORT0 EQU 0x40011430 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT1 +CYREG_B1_P2_U0_PLD_ORT1 EQU 0x40011432 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT2 +CYREG_B1_P2_U0_PLD_ORT2 EQU 0x40011434 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT3 +CYREG_B1_P2_U0_PLD_ORT3 EQU 0x40011436 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_CEN_CONST +CYREG_B1_P2_U0_MC_CFG_CEN_CONST EQU 0x40011438 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_XORFB +CYREG_B1_P2_U0_MC_CFG_XORFB EQU 0x4001143a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_SET_RESET +CYREG_B1_P2_U0_MC_CFG_SET_RESET EQU 0x4001143c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_BYPASS +CYREG_B1_P2_U0_MC_CFG_BYPASS EQU 0x4001143e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG0 +CYREG_B1_P2_U0_CFG0 EQU 0x40011440 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG1 +CYREG_B1_P2_U0_CFG1 EQU 0x40011441 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG2 +CYREG_B1_P2_U0_CFG2 EQU 0x40011442 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG3 +CYREG_B1_P2_U0_CFG3 EQU 0x40011443 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG4 +CYREG_B1_P2_U0_CFG4 EQU 0x40011444 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG5 +CYREG_B1_P2_U0_CFG5 EQU 0x40011445 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG6 +CYREG_B1_P2_U0_CFG6 EQU 0x40011446 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG7 +CYREG_B1_P2_U0_CFG7 EQU 0x40011447 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG8 +CYREG_B1_P2_U0_CFG8 EQU 0x40011448 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG9 +CYREG_B1_P2_U0_CFG9 EQU 0x40011449 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG10 +CYREG_B1_P2_U0_CFG10 EQU 0x4001144a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG11 +CYREG_B1_P2_U0_CFG11 EQU 0x4001144b + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG12 +CYREG_B1_P2_U0_CFG12 EQU 0x4001144c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG13 +CYREG_B1_P2_U0_CFG13 EQU 0x4001144d + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG14 +CYREG_B1_P2_U0_CFG14 EQU 0x4001144e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG15 +CYREG_B1_P2_U0_CFG15 EQU 0x4001144f + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG16 +CYREG_B1_P2_U0_CFG16 EQU 0x40011450 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG17 +CYREG_B1_P2_U0_CFG17 EQU 0x40011451 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG18 +CYREG_B1_P2_U0_CFG18 EQU 0x40011452 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG19 +CYREG_B1_P2_U0_CFG19 EQU 0x40011453 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG20 +CYREG_B1_P2_U0_CFG20 EQU 0x40011454 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG21 +CYREG_B1_P2_U0_CFG21 EQU 0x40011455 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG22 +CYREG_B1_P2_U0_CFG22 EQU 0x40011456 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG23 +CYREG_B1_P2_U0_CFG23 EQU 0x40011457 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG24 +CYREG_B1_P2_U0_CFG24 EQU 0x40011458 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG25 +CYREG_B1_P2_U0_CFG25 EQU 0x40011459 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG26 +CYREG_B1_P2_U0_CFG26 EQU 0x4001145a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG27 +CYREG_B1_P2_U0_CFG27 EQU 0x4001145b + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG28 +CYREG_B1_P2_U0_CFG28 EQU 0x4001145c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG29 +CYREG_B1_P2_U0_CFG29 EQU 0x4001145d + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG30 +CYREG_B1_P2_U0_CFG30 EQU 0x4001145e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG31 +CYREG_B1_P2_U0_CFG31 EQU 0x4001145f + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG0 +CYREG_B1_P2_U0_DCFG0 EQU 0x40011460 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG1 +CYREG_B1_P2_U0_DCFG1 EQU 0x40011462 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG2 +CYREG_B1_P2_U0_DCFG2 EQU 0x40011464 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG3 +CYREG_B1_P2_U0_DCFG3 EQU 0x40011466 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG4 +CYREG_B1_P2_U0_DCFG4 EQU 0x40011468 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG5 +CYREG_B1_P2_U0_DCFG5 EQU 0x4001146a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG6 +CYREG_B1_P2_U0_DCFG6 EQU 0x4001146c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG7 +CYREG_B1_P2_U0_DCFG7 EQU 0x4001146e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_BASE +CYDEV_UCFG_B1_P2_U1_BASE EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_SIZE +CYDEV_UCFG_B1_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT0 +CYREG_B1_P2_U1_PLD_IT0 EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT1 +CYREG_B1_P2_U1_PLD_IT1 EQU 0x40011484 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT2 +CYREG_B1_P2_U1_PLD_IT2 EQU 0x40011488 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT3 +CYREG_B1_P2_U1_PLD_IT3 EQU 0x4001148c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT4 +CYREG_B1_P2_U1_PLD_IT4 EQU 0x40011490 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT5 +CYREG_B1_P2_U1_PLD_IT5 EQU 0x40011494 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT6 +CYREG_B1_P2_U1_PLD_IT6 EQU 0x40011498 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT7 +CYREG_B1_P2_U1_PLD_IT7 EQU 0x4001149c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT8 +CYREG_B1_P2_U1_PLD_IT8 EQU 0x400114a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT9 +CYREG_B1_P2_U1_PLD_IT9 EQU 0x400114a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT10 +CYREG_B1_P2_U1_PLD_IT10 EQU 0x400114a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT11 +CYREG_B1_P2_U1_PLD_IT11 EQU 0x400114ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT0 +CYREG_B1_P2_U1_PLD_ORT0 EQU 0x400114b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT1 +CYREG_B1_P2_U1_PLD_ORT1 EQU 0x400114b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT2 +CYREG_B1_P2_U1_PLD_ORT2 EQU 0x400114b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT3 +CYREG_B1_P2_U1_PLD_ORT3 EQU 0x400114b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_CEN_CONST +CYREG_B1_P2_U1_MC_CFG_CEN_CONST EQU 0x400114b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_XORFB +CYREG_B1_P2_U1_MC_CFG_XORFB EQU 0x400114ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_SET_RESET +CYREG_B1_P2_U1_MC_CFG_SET_RESET EQU 0x400114bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_BYPASS +CYREG_B1_P2_U1_MC_CFG_BYPASS EQU 0x400114be + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG0 +CYREG_B1_P2_U1_CFG0 EQU 0x400114c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG1 +CYREG_B1_P2_U1_CFG1 EQU 0x400114c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG2 +CYREG_B1_P2_U1_CFG2 EQU 0x400114c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG3 +CYREG_B1_P2_U1_CFG3 EQU 0x400114c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG4 +CYREG_B1_P2_U1_CFG4 EQU 0x400114c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG5 +CYREG_B1_P2_U1_CFG5 EQU 0x400114c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG6 +CYREG_B1_P2_U1_CFG6 EQU 0x400114c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG7 +CYREG_B1_P2_U1_CFG7 EQU 0x400114c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG8 +CYREG_B1_P2_U1_CFG8 EQU 0x400114c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG9 +CYREG_B1_P2_U1_CFG9 EQU 0x400114c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG10 +CYREG_B1_P2_U1_CFG10 EQU 0x400114ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG11 +CYREG_B1_P2_U1_CFG11 EQU 0x400114cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG12 +CYREG_B1_P2_U1_CFG12 EQU 0x400114cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG13 +CYREG_B1_P2_U1_CFG13 EQU 0x400114cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG14 +CYREG_B1_P2_U1_CFG14 EQU 0x400114ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG15 +CYREG_B1_P2_U1_CFG15 EQU 0x400114cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG16 +CYREG_B1_P2_U1_CFG16 EQU 0x400114d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG17 +CYREG_B1_P2_U1_CFG17 EQU 0x400114d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG18 +CYREG_B1_P2_U1_CFG18 EQU 0x400114d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG19 +CYREG_B1_P2_U1_CFG19 EQU 0x400114d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG20 +CYREG_B1_P2_U1_CFG20 EQU 0x400114d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG21 +CYREG_B1_P2_U1_CFG21 EQU 0x400114d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG22 +CYREG_B1_P2_U1_CFG22 EQU 0x400114d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG23 +CYREG_B1_P2_U1_CFG23 EQU 0x400114d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG24 +CYREG_B1_P2_U1_CFG24 EQU 0x400114d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG25 +CYREG_B1_P2_U1_CFG25 EQU 0x400114d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG26 +CYREG_B1_P2_U1_CFG26 EQU 0x400114da + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG27 +CYREG_B1_P2_U1_CFG27 EQU 0x400114db + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG28 +CYREG_B1_P2_U1_CFG28 EQU 0x400114dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG29 +CYREG_B1_P2_U1_CFG29 EQU 0x400114dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG30 +CYREG_B1_P2_U1_CFG30 EQU 0x400114de + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG31 +CYREG_B1_P2_U1_CFG31 EQU 0x400114df + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG0 +CYREG_B1_P2_U1_DCFG0 EQU 0x400114e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG1 +CYREG_B1_P2_U1_DCFG1 EQU 0x400114e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG2 +CYREG_B1_P2_U1_DCFG2 EQU 0x400114e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG3 +CYREG_B1_P2_U1_DCFG3 EQU 0x400114e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG4 +CYREG_B1_P2_U1_DCFG4 EQU 0x400114e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG5 +CYREG_B1_P2_U1_DCFG5 EQU 0x400114ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG6 +CYREG_B1_P2_U1_DCFG6 EQU 0x400114ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG7 +CYREG_B1_P2_U1_DCFG7 EQU 0x400114ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_BASE +CYDEV_UCFG_B1_P2_ROUTE_BASE EQU 0x40011500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_SIZE +CYDEV_UCFG_B1_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_BASE +CYDEV_UCFG_B1_P3_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_SIZE +CYDEV_UCFG_B1_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_BASE +CYDEV_UCFG_B1_P3_U0_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_SIZE +CYDEV_UCFG_B1_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT0 +CYREG_B1_P3_U0_PLD_IT0 EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT1 +CYREG_B1_P3_U0_PLD_IT1 EQU 0x40011604 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT2 +CYREG_B1_P3_U0_PLD_IT2 EQU 0x40011608 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT3 +CYREG_B1_P3_U0_PLD_IT3 EQU 0x4001160c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT4 +CYREG_B1_P3_U0_PLD_IT4 EQU 0x40011610 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT5 +CYREG_B1_P3_U0_PLD_IT5 EQU 0x40011614 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT6 +CYREG_B1_P3_U0_PLD_IT6 EQU 0x40011618 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT7 +CYREG_B1_P3_U0_PLD_IT7 EQU 0x4001161c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT8 +CYREG_B1_P3_U0_PLD_IT8 EQU 0x40011620 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT9 +CYREG_B1_P3_U0_PLD_IT9 EQU 0x40011624 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT10 +CYREG_B1_P3_U0_PLD_IT10 EQU 0x40011628 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT11 +CYREG_B1_P3_U0_PLD_IT11 EQU 0x4001162c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT0 +CYREG_B1_P3_U0_PLD_ORT0 EQU 0x40011630 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT1 +CYREG_B1_P3_U0_PLD_ORT1 EQU 0x40011632 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT2 +CYREG_B1_P3_U0_PLD_ORT2 EQU 0x40011634 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT3 +CYREG_B1_P3_U0_PLD_ORT3 EQU 0x40011636 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_CEN_CONST +CYREG_B1_P3_U0_MC_CFG_CEN_CONST EQU 0x40011638 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_XORFB +CYREG_B1_P3_U0_MC_CFG_XORFB EQU 0x4001163a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_SET_RESET +CYREG_B1_P3_U0_MC_CFG_SET_RESET EQU 0x4001163c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_BYPASS +CYREG_B1_P3_U0_MC_CFG_BYPASS EQU 0x4001163e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG0 +CYREG_B1_P3_U0_CFG0 EQU 0x40011640 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG1 +CYREG_B1_P3_U0_CFG1 EQU 0x40011641 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG2 +CYREG_B1_P3_U0_CFG2 EQU 0x40011642 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG3 +CYREG_B1_P3_U0_CFG3 EQU 0x40011643 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG4 +CYREG_B1_P3_U0_CFG4 EQU 0x40011644 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG5 +CYREG_B1_P3_U0_CFG5 EQU 0x40011645 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG6 +CYREG_B1_P3_U0_CFG6 EQU 0x40011646 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG7 +CYREG_B1_P3_U0_CFG7 EQU 0x40011647 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG8 +CYREG_B1_P3_U0_CFG8 EQU 0x40011648 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG9 +CYREG_B1_P3_U0_CFG9 EQU 0x40011649 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG10 +CYREG_B1_P3_U0_CFG10 EQU 0x4001164a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG11 +CYREG_B1_P3_U0_CFG11 EQU 0x4001164b + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG12 +CYREG_B1_P3_U0_CFG12 EQU 0x4001164c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG13 +CYREG_B1_P3_U0_CFG13 EQU 0x4001164d + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG14 +CYREG_B1_P3_U0_CFG14 EQU 0x4001164e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG15 +CYREG_B1_P3_U0_CFG15 EQU 0x4001164f + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG16 +CYREG_B1_P3_U0_CFG16 EQU 0x40011650 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG17 +CYREG_B1_P3_U0_CFG17 EQU 0x40011651 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG18 +CYREG_B1_P3_U0_CFG18 EQU 0x40011652 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG19 +CYREG_B1_P3_U0_CFG19 EQU 0x40011653 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG20 +CYREG_B1_P3_U0_CFG20 EQU 0x40011654 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG21 +CYREG_B1_P3_U0_CFG21 EQU 0x40011655 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG22 +CYREG_B1_P3_U0_CFG22 EQU 0x40011656 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG23 +CYREG_B1_P3_U0_CFG23 EQU 0x40011657 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG24 +CYREG_B1_P3_U0_CFG24 EQU 0x40011658 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG25 +CYREG_B1_P3_U0_CFG25 EQU 0x40011659 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG26 +CYREG_B1_P3_U0_CFG26 EQU 0x4001165a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG27 +CYREG_B1_P3_U0_CFG27 EQU 0x4001165b + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG28 +CYREG_B1_P3_U0_CFG28 EQU 0x4001165c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG29 +CYREG_B1_P3_U0_CFG29 EQU 0x4001165d + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG30 +CYREG_B1_P3_U0_CFG30 EQU 0x4001165e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG31 +CYREG_B1_P3_U0_CFG31 EQU 0x4001165f + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG0 +CYREG_B1_P3_U0_DCFG0 EQU 0x40011660 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG1 +CYREG_B1_P3_U0_DCFG1 EQU 0x40011662 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG2 +CYREG_B1_P3_U0_DCFG2 EQU 0x40011664 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG3 +CYREG_B1_P3_U0_DCFG3 EQU 0x40011666 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG4 +CYREG_B1_P3_U0_DCFG4 EQU 0x40011668 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG5 +CYREG_B1_P3_U0_DCFG5 EQU 0x4001166a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG6 +CYREG_B1_P3_U0_DCFG6 EQU 0x4001166c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG7 +CYREG_B1_P3_U0_DCFG7 EQU 0x4001166e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_BASE +CYDEV_UCFG_B1_P3_U1_BASE EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_SIZE +CYDEV_UCFG_B1_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT0 +CYREG_B1_P3_U1_PLD_IT0 EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT1 +CYREG_B1_P3_U1_PLD_IT1 EQU 0x40011684 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT2 +CYREG_B1_P3_U1_PLD_IT2 EQU 0x40011688 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT3 +CYREG_B1_P3_U1_PLD_IT3 EQU 0x4001168c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT4 +CYREG_B1_P3_U1_PLD_IT4 EQU 0x40011690 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT5 +CYREG_B1_P3_U1_PLD_IT5 EQU 0x40011694 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT6 +CYREG_B1_P3_U1_PLD_IT6 EQU 0x40011698 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT7 +CYREG_B1_P3_U1_PLD_IT7 EQU 0x4001169c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT8 +CYREG_B1_P3_U1_PLD_IT8 EQU 0x400116a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT9 +CYREG_B1_P3_U1_PLD_IT9 EQU 0x400116a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT10 +CYREG_B1_P3_U1_PLD_IT10 EQU 0x400116a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT11 +CYREG_B1_P3_U1_PLD_IT11 EQU 0x400116ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT0 +CYREG_B1_P3_U1_PLD_ORT0 EQU 0x400116b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT1 +CYREG_B1_P3_U1_PLD_ORT1 EQU 0x400116b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT2 +CYREG_B1_P3_U1_PLD_ORT2 EQU 0x400116b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT3 +CYREG_B1_P3_U1_PLD_ORT3 EQU 0x400116b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_CEN_CONST +CYREG_B1_P3_U1_MC_CFG_CEN_CONST EQU 0x400116b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_XORFB +CYREG_B1_P3_U1_MC_CFG_XORFB EQU 0x400116ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_SET_RESET +CYREG_B1_P3_U1_MC_CFG_SET_RESET EQU 0x400116bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_BYPASS +CYREG_B1_P3_U1_MC_CFG_BYPASS EQU 0x400116be + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG0 +CYREG_B1_P3_U1_CFG0 EQU 0x400116c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG1 +CYREG_B1_P3_U1_CFG1 EQU 0x400116c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG2 +CYREG_B1_P3_U1_CFG2 EQU 0x400116c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG3 +CYREG_B1_P3_U1_CFG3 EQU 0x400116c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG4 +CYREG_B1_P3_U1_CFG4 EQU 0x400116c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG5 +CYREG_B1_P3_U1_CFG5 EQU 0x400116c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG6 +CYREG_B1_P3_U1_CFG6 EQU 0x400116c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG7 +CYREG_B1_P3_U1_CFG7 EQU 0x400116c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG8 +CYREG_B1_P3_U1_CFG8 EQU 0x400116c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG9 +CYREG_B1_P3_U1_CFG9 EQU 0x400116c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG10 +CYREG_B1_P3_U1_CFG10 EQU 0x400116ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG11 +CYREG_B1_P3_U1_CFG11 EQU 0x400116cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG12 +CYREG_B1_P3_U1_CFG12 EQU 0x400116cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG13 +CYREG_B1_P3_U1_CFG13 EQU 0x400116cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG14 +CYREG_B1_P3_U1_CFG14 EQU 0x400116ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG15 +CYREG_B1_P3_U1_CFG15 EQU 0x400116cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG16 +CYREG_B1_P3_U1_CFG16 EQU 0x400116d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG17 +CYREG_B1_P3_U1_CFG17 EQU 0x400116d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG18 +CYREG_B1_P3_U1_CFG18 EQU 0x400116d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG19 +CYREG_B1_P3_U1_CFG19 EQU 0x400116d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG20 +CYREG_B1_P3_U1_CFG20 EQU 0x400116d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG21 +CYREG_B1_P3_U1_CFG21 EQU 0x400116d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG22 +CYREG_B1_P3_U1_CFG22 EQU 0x400116d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG23 +CYREG_B1_P3_U1_CFG23 EQU 0x400116d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG24 +CYREG_B1_P3_U1_CFG24 EQU 0x400116d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG25 +CYREG_B1_P3_U1_CFG25 EQU 0x400116d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG26 +CYREG_B1_P3_U1_CFG26 EQU 0x400116da + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG27 +CYREG_B1_P3_U1_CFG27 EQU 0x400116db + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG28 +CYREG_B1_P3_U1_CFG28 EQU 0x400116dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG29 +CYREG_B1_P3_U1_CFG29 EQU 0x400116dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG30 +CYREG_B1_P3_U1_CFG30 EQU 0x400116de + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG31 +CYREG_B1_P3_U1_CFG31 EQU 0x400116df + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG0 +CYREG_B1_P3_U1_DCFG0 EQU 0x400116e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG1 +CYREG_B1_P3_U1_DCFG1 EQU 0x400116e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG2 +CYREG_B1_P3_U1_DCFG2 EQU 0x400116e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG3 +CYREG_B1_P3_U1_DCFG3 EQU 0x400116e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG4 +CYREG_B1_P3_U1_DCFG4 EQU 0x400116e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG5 +CYREG_B1_P3_U1_DCFG5 EQU 0x400116ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG6 +CYREG_B1_P3_U1_DCFG6 EQU 0x400116ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG7 +CYREG_B1_P3_U1_DCFG7 EQU 0x400116ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_BASE +CYDEV_UCFG_B1_P3_ROUTE_BASE EQU 0x40011700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_SIZE +CYDEV_UCFG_B1_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_BASE +CYDEV_UCFG_B1_P4_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_SIZE +CYDEV_UCFG_B1_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_BASE +CYDEV_UCFG_B1_P4_U0_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_SIZE +CYDEV_UCFG_B1_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT0 +CYREG_B1_P4_U0_PLD_IT0 EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT1 +CYREG_B1_P4_U0_PLD_IT1 EQU 0x40011804 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT2 +CYREG_B1_P4_U0_PLD_IT2 EQU 0x40011808 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT3 +CYREG_B1_P4_U0_PLD_IT3 EQU 0x4001180c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT4 +CYREG_B1_P4_U0_PLD_IT4 EQU 0x40011810 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT5 +CYREG_B1_P4_U0_PLD_IT5 EQU 0x40011814 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT6 +CYREG_B1_P4_U0_PLD_IT6 EQU 0x40011818 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT7 +CYREG_B1_P4_U0_PLD_IT7 EQU 0x4001181c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT8 +CYREG_B1_P4_U0_PLD_IT8 EQU 0x40011820 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT9 +CYREG_B1_P4_U0_PLD_IT9 EQU 0x40011824 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT10 +CYREG_B1_P4_U0_PLD_IT10 EQU 0x40011828 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT11 +CYREG_B1_P4_U0_PLD_IT11 EQU 0x4001182c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT0 +CYREG_B1_P4_U0_PLD_ORT0 EQU 0x40011830 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT1 +CYREG_B1_P4_U0_PLD_ORT1 EQU 0x40011832 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT2 +CYREG_B1_P4_U0_PLD_ORT2 EQU 0x40011834 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT3 +CYREG_B1_P4_U0_PLD_ORT3 EQU 0x40011836 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_CEN_CONST +CYREG_B1_P4_U0_MC_CFG_CEN_CONST EQU 0x40011838 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_XORFB +CYREG_B1_P4_U0_MC_CFG_XORFB EQU 0x4001183a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_SET_RESET +CYREG_B1_P4_U0_MC_CFG_SET_RESET EQU 0x4001183c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_BYPASS +CYREG_B1_P4_U0_MC_CFG_BYPASS EQU 0x4001183e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG0 +CYREG_B1_P4_U0_CFG0 EQU 0x40011840 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG1 +CYREG_B1_P4_U0_CFG1 EQU 0x40011841 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG2 +CYREG_B1_P4_U0_CFG2 EQU 0x40011842 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG3 +CYREG_B1_P4_U0_CFG3 EQU 0x40011843 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG4 +CYREG_B1_P4_U0_CFG4 EQU 0x40011844 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG5 +CYREG_B1_P4_U0_CFG5 EQU 0x40011845 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG6 +CYREG_B1_P4_U0_CFG6 EQU 0x40011846 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG7 +CYREG_B1_P4_U0_CFG7 EQU 0x40011847 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG8 +CYREG_B1_P4_U0_CFG8 EQU 0x40011848 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG9 +CYREG_B1_P4_U0_CFG9 EQU 0x40011849 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG10 +CYREG_B1_P4_U0_CFG10 EQU 0x4001184a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG11 +CYREG_B1_P4_U0_CFG11 EQU 0x4001184b + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG12 +CYREG_B1_P4_U0_CFG12 EQU 0x4001184c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG13 +CYREG_B1_P4_U0_CFG13 EQU 0x4001184d + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG14 +CYREG_B1_P4_U0_CFG14 EQU 0x4001184e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG15 +CYREG_B1_P4_U0_CFG15 EQU 0x4001184f + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG16 +CYREG_B1_P4_U0_CFG16 EQU 0x40011850 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG17 +CYREG_B1_P4_U0_CFG17 EQU 0x40011851 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG18 +CYREG_B1_P4_U0_CFG18 EQU 0x40011852 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG19 +CYREG_B1_P4_U0_CFG19 EQU 0x40011853 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG20 +CYREG_B1_P4_U0_CFG20 EQU 0x40011854 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG21 +CYREG_B1_P4_U0_CFG21 EQU 0x40011855 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG22 +CYREG_B1_P4_U0_CFG22 EQU 0x40011856 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG23 +CYREG_B1_P4_U0_CFG23 EQU 0x40011857 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG24 +CYREG_B1_P4_U0_CFG24 EQU 0x40011858 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG25 +CYREG_B1_P4_U0_CFG25 EQU 0x40011859 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG26 +CYREG_B1_P4_U0_CFG26 EQU 0x4001185a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG27 +CYREG_B1_P4_U0_CFG27 EQU 0x4001185b + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG28 +CYREG_B1_P4_U0_CFG28 EQU 0x4001185c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG29 +CYREG_B1_P4_U0_CFG29 EQU 0x4001185d + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG30 +CYREG_B1_P4_U0_CFG30 EQU 0x4001185e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG31 +CYREG_B1_P4_U0_CFG31 EQU 0x4001185f + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG0 +CYREG_B1_P4_U0_DCFG0 EQU 0x40011860 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG1 +CYREG_B1_P4_U0_DCFG1 EQU 0x40011862 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG2 +CYREG_B1_P4_U0_DCFG2 EQU 0x40011864 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG3 +CYREG_B1_P4_U0_DCFG3 EQU 0x40011866 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG4 +CYREG_B1_P4_U0_DCFG4 EQU 0x40011868 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG5 +CYREG_B1_P4_U0_DCFG5 EQU 0x4001186a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG6 +CYREG_B1_P4_U0_DCFG6 EQU 0x4001186c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG7 +CYREG_B1_P4_U0_DCFG7 EQU 0x4001186e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_BASE +CYDEV_UCFG_B1_P4_U1_BASE EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_SIZE +CYDEV_UCFG_B1_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT0 +CYREG_B1_P4_U1_PLD_IT0 EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT1 +CYREG_B1_P4_U1_PLD_IT1 EQU 0x40011884 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT2 +CYREG_B1_P4_U1_PLD_IT2 EQU 0x40011888 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT3 +CYREG_B1_P4_U1_PLD_IT3 EQU 0x4001188c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT4 +CYREG_B1_P4_U1_PLD_IT4 EQU 0x40011890 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT5 +CYREG_B1_P4_U1_PLD_IT5 EQU 0x40011894 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT6 +CYREG_B1_P4_U1_PLD_IT6 EQU 0x40011898 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT7 +CYREG_B1_P4_U1_PLD_IT7 EQU 0x4001189c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT8 +CYREG_B1_P4_U1_PLD_IT8 EQU 0x400118a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT9 +CYREG_B1_P4_U1_PLD_IT9 EQU 0x400118a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT10 +CYREG_B1_P4_U1_PLD_IT10 EQU 0x400118a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT11 +CYREG_B1_P4_U1_PLD_IT11 EQU 0x400118ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT0 +CYREG_B1_P4_U1_PLD_ORT0 EQU 0x400118b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT1 +CYREG_B1_P4_U1_PLD_ORT1 EQU 0x400118b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT2 +CYREG_B1_P4_U1_PLD_ORT2 EQU 0x400118b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT3 +CYREG_B1_P4_U1_PLD_ORT3 EQU 0x400118b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_CEN_CONST +CYREG_B1_P4_U1_MC_CFG_CEN_CONST EQU 0x400118b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_XORFB +CYREG_B1_P4_U1_MC_CFG_XORFB EQU 0x400118ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_SET_RESET +CYREG_B1_P4_U1_MC_CFG_SET_RESET EQU 0x400118bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_BYPASS +CYREG_B1_P4_U1_MC_CFG_BYPASS EQU 0x400118be + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG0 +CYREG_B1_P4_U1_CFG0 EQU 0x400118c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG1 +CYREG_B1_P4_U1_CFG1 EQU 0x400118c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG2 +CYREG_B1_P4_U1_CFG2 EQU 0x400118c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG3 +CYREG_B1_P4_U1_CFG3 EQU 0x400118c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG4 +CYREG_B1_P4_U1_CFG4 EQU 0x400118c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG5 +CYREG_B1_P4_U1_CFG5 EQU 0x400118c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG6 +CYREG_B1_P4_U1_CFG6 EQU 0x400118c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG7 +CYREG_B1_P4_U1_CFG7 EQU 0x400118c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG8 +CYREG_B1_P4_U1_CFG8 EQU 0x400118c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG9 +CYREG_B1_P4_U1_CFG9 EQU 0x400118c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG10 +CYREG_B1_P4_U1_CFG10 EQU 0x400118ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG11 +CYREG_B1_P4_U1_CFG11 EQU 0x400118cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG12 +CYREG_B1_P4_U1_CFG12 EQU 0x400118cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG13 +CYREG_B1_P4_U1_CFG13 EQU 0x400118cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG14 +CYREG_B1_P4_U1_CFG14 EQU 0x400118ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG15 +CYREG_B1_P4_U1_CFG15 EQU 0x400118cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG16 +CYREG_B1_P4_U1_CFG16 EQU 0x400118d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG17 +CYREG_B1_P4_U1_CFG17 EQU 0x400118d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG18 +CYREG_B1_P4_U1_CFG18 EQU 0x400118d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG19 +CYREG_B1_P4_U1_CFG19 EQU 0x400118d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG20 +CYREG_B1_P4_U1_CFG20 EQU 0x400118d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG21 +CYREG_B1_P4_U1_CFG21 EQU 0x400118d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG22 +CYREG_B1_P4_U1_CFG22 EQU 0x400118d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG23 +CYREG_B1_P4_U1_CFG23 EQU 0x400118d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG24 +CYREG_B1_P4_U1_CFG24 EQU 0x400118d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG25 +CYREG_B1_P4_U1_CFG25 EQU 0x400118d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG26 +CYREG_B1_P4_U1_CFG26 EQU 0x400118da + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG27 +CYREG_B1_P4_U1_CFG27 EQU 0x400118db + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG28 +CYREG_B1_P4_U1_CFG28 EQU 0x400118dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG29 +CYREG_B1_P4_U1_CFG29 EQU 0x400118dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG30 +CYREG_B1_P4_U1_CFG30 EQU 0x400118de + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG31 +CYREG_B1_P4_U1_CFG31 EQU 0x400118df + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG0 +CYREG_B1_P4_U1_DCFG0 EQU 0x400118e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG1 +CYREG_B1_P4_U1_DCFG1 EQU 0x400118e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG2 +CYREG_B1_P4_U1_DCFG2 EQU 0x400118e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG3 +CYREG_B1_P4_U1_DCFG3 EQU 0x400118e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG4 +CYREG_B1_P4_U1_DCFG4 EQU 0x400118e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG5 +CYREG_B1_P4_U1_DCFG5 EQU 0x400118ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG6 +CYREG_B1_P4_U1_DCFG6 EQU 0x400118ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG7 +CYREG_B1_P4_U1_DCFG7 EQU 0x400118ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_BASE +CYDEV_UCFG_B1_P4_ROUTE_BASE EQU 0x40011900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_SIZE +CYDEV_UCFG_B1_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_BASE +CYDEV_UCFG_B1_P5_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_SIZE +CYDEV_UCFG_B1_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_BASE +CYDEV_UCFG_B1_P5_U0_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_SIZE +CYDEV_UCFG_B1_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT0 +CYREG_B1_P5_U0_PLD_IT0 EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT1 +CYREG_B1_P5_U0_PLD_IT1 EQU 0x40011a04 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT2 +CYREG_B1_P5_U0_PLD_IT2 EQU 0x40011a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT3 +CYREG_B1_P5_U0_PLD_IT3 EQU 0x40011a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT4 +CYREG_B1_P5_U0_PLD_IT4 EQU 0x40011a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT5 +CYREG_B1_P5_U0_PLD_IT5 EQU 0x40011a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT6 +CYREG_B1_P5_U0_PLD_IT6 EQU 0x40011a18 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT7 +CYREG_B1_P5_U0_PLD_IT7 EQU 0x40011a1c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT8 +CYREG_B1_P5_U0_PLD_IT8 EQU 0x40011a20 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT9 +CYREG_B1_P5_U0_PLD_IT9 EQU 0x40011a24 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT10 +CYREG_B1_P5_U0_PLD_IT10 EQU 0x40011a28 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT11 +CYREG_B1_P5_U0_PLD_IT11 EQU 0x40011a2c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT0 +CYREG_B1_P5_U0_PLD_ORT0 EQU 0x40011a30 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT1 +CYREG_B1_P5_U0_PLD_ORT1 EQU 0x40011a32 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT2 +CYREG_B1_P5_U0_PLD_ORT2 EQU 0x40011a34 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT3 +CYREG_B1_P5_U0_PLD_ORT3 EQU 0x40011a36 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_CEN_CONST +CYREG_B1_P5_U0_MC_CFG_CEN_CONST EQU 0x40011a38 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_XORFB +CYREG_B1_P5_U0_MC_CFG_XORFB EQU 0x40011a3a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_SET_RESET +CYREG_B1_P5_U0_MC_CFG_SET_RESET EQU 0x40011a3c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_BYPASS +CYREG_B1_P5_U0_MC_CFG_BYPASS EQU 0x40011a3e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG0 +CYREG_B1_P5_U0_CFG0 EQU 0x40011a40 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG1 +CYREG_B1_P5_U0_CFG1 EQU 0x40011a41 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG2 +CYREG_B1_P5_U0_CFG2 EQU 0x40011a42 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG3 +CYREG_B1_P5_U0_CFG3 EQU 0x40011a43 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG4 +CYREG_B1_P5_U0_CFG4 EQU 0x40011a44 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG5 +CYREG_B1_P5_U0_CFG5 EQU 0x40011a45 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG6 +CYREG_B1_P5_U0_CFG6 EQU 0x40011a46 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG7 +CYREG_B1_P5_U0_CFG7 EQU 0x40011a47 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG8 +CYREG_B1_P5_U0_CFG8 EQU 0x40011a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG9 +CYREG_B1_P5_U0_CFG9 EQU 0x40011a49 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG10 +CYREG_B1_P5_U0_CFG10 EQU 0x40011a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG11 +CYREG_B1_P5_U0_CFG11 EQU 0x40011a4b + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG12 +CYREG_B1_P5_U0_CFG12 EQU 0x40011a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG13 +CYREG_B1_P5_U0_CFG13 EQU 0x40011a4d + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG14 +CYREG_B1_P5_U0_CFG14 EQU 0x40011a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG15 +CYREG_B1_P5_U0_CFG15 EQU 0x40011a4f + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG16 +CYREG_B1_P5_U0_CFG16 EQU 0x40011a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG17 +CYREG_B1_P5_U0_CFG17 EQU 0x40011a51 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG18 +CYREG_B1_P5_U0_CFG18 EQU 0x40011a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG19 +CYREG_B1_P5_U0_CFG19 EQU 0x40011a53 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG20 +CYREG_B1_P5_U0_CFG20 EQU 0x40011a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG21 +CYREG_B1_P5_U0_CFG21 EQU 0x40011a55 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG22 +CYREG_B1_P5_U0_CFG22 EQU 0x40011a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG23 +CYREG_B1_P5_U0_CFG23 EQU 0x40011a57 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG24 +CYREG_B1_P5_U0_CFG24 EQU 0x40011a58 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG25 +CYREG_B1_P5_U0_CFG25 EQU 0x40011a59 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG26 +CYREG_B1_P5_U0_CFG26 EQU 0x40011a5a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG27 +CYREG_B1_P5_U0_CFG27 EQU 0x40011a5b + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG28 +CYREG_B1_P5_U0_CFG28 EQU 0x40011a5c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG29 +CYREG_B1_P5_U0_CFG29 EQU 0x40011a5d + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG30 +CYREG_B1_P5_U0_CFG30 EQU 0x40011a5e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG31 +CYREG_B1_P5_U0_CFG31 EQU 0x40011a5f + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG0 +CYREG_B1_P5_U0_DCFG0 EQU 0x40011a60 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG1 +CYREG_B1_P5_U0_DCFG1 EQU 0x40011a62 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG2 +CYREG_B1_P5_U0_DCFG2 EQU 0x40011a64 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG3 +CYREG_B1_P5_U0_DCFG3 EQU 0x40011a66 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG4 +CYREG_B1_P5_U0_DCFG4 EQU 0x40011a68 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG5 +CYREG_B1_P5_U0_DCFG5 EQU 0x40011a6a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG6 +CYREG_B1_P5_U0_DCFG6 EQU 0x40011a6c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG7 +CYREG_B1_P5_U0_DCFG7 EQU 0x40011a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_BASE +CYDEV_UCFG_B1_P5_U1_BASE EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_SIZE +CYDEV_UCFG_B1_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT0 +CYREG_B1_P5_U1_PLD_IT0 EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT1 +CYREG_B1_P5_U1_PLD_IT1 EQU 0x40011a84 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT2 +CYREG_B1_P5_U1_PLD_IT2 EQU 0x40011a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT3 +CYREG_B1_P5_U1_PLD_IT3 EQU 0x40011a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT4 +CYREG_B1_P5_U1_PLD_IT4 EQU 0x40011a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT5 +CYREG_B1_P5_U1_PLD_IT5 EQU 0x40011a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT6 +CYREG_B1_P5_U1_PLD_IT6 EQU 0x40011a98 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT7 +CYREG_B1_P5_U1_PLD_IT7 EQU 0x40011a9c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT8 +CYREG_B1_P5_U1_PLD_IT8 EQU 0x40011aa0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT9 +CYREG_B1_P5_U1_PLD_IT9 EQU 0x40011aa4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT10 +CYREG_B1_P5_U1_PLD_IT10 EQU 0x40011aa8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT11 +CYREG_B1_P5_U1_PLD_IT11 EQU 0x40011aac + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT0 +CYREG_B1_P5_U1_PLD_ORT0 EQU 0x40011ab0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT1 +CYREG_B1_P5_U1_PLD_ORT1 EQU 0x40011ab2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT2 +CYREG_B1_P5_U1_PLD_ORT2 EQU 0x40011ab4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT3 +CYREG_B1_P5_U1_PLD_ORT3 EQU 0x40011ab6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_CEN_CONST +CYREG_B1_P5_U1_MC_CFG_CEN_CONST EQU 0x40011ab8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_XORFB +CYREG_B1_P5_U1_MC_CFG_XORFB EQU 0x40011aba + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_SET_RESET +CYREG_B1_P5_U1_MC_CFG_SET_RESET EQU 0x40011abc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_BYPASS +CYREG_B1_P5_U1_MC_CFG_BYPASS EQU 0x40011abe + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG0 +CYREG_B1_P5_U1_CFG0 EQU 0x40011ac0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG1 +CYREG_B1_P5_U1_CFG1 EQU 0x40011ac1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG2 +CYREG_B1_P5_U1_CFG2 EQU 0x40011ac2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG3 +CYREG_B1_P5_U1_CFG3 EQU 0x40011ac3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG4 +CYREG_B1_P5_U1_CFG4 EQU 0x40011ac4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG5 +CYREG_B1_P5_U1_CFG5 EQU 0x40011ac5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG6 +CYREG_B1_P5_U1_CFG6 EQU 0x40011ac6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG7 +CYREG_B1_P5_U1_CFG7 EQU 0x40011ac7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG8 +CYREG_B1_P5_U1_CFG8 EQU 0x40011ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG9 +CYREG_B1_P5_U1_CFG9 EQU 0x40011ac9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG10 +CYREG_B1_P5_U1_CFG10 EQU 0x40011aca + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG11 +CYREG_B1_P5_U1_CFG11 EQU 0x40011acb + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG12 +CYREG_B1_P5_U1_CFG12 EQU 0x40011acc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG13 +CYREG_B1_P5_U1_CFG13 EQU 0x40011acd + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG14 +CYREG_B1_P5_U1_CFG14 EQU 0x40011ace + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG15 +CYREG_B1_P5_U1_CFG15 EQU 0x40011acf + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG16 +CYREG_B1_P5_U1_CFG16 EQU 0x40011ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG17 +CYREG_B1_P5_U1_CFG17 EQU 0x40011ad1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG18 +CYREG_B1_P5_U1_CFG18 EQU 0x40011ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG19 +CYREG_B1_P5_U1_CFG19 EQU 0x40011ad3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG20 +CYREG_B1_P5_U1_CFG20 EQU 0x40011ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG21 +CYREG_B1_P5_U1_CFG21 EQU 0x40011ad5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG22 +CYREG_B1_P5_U1_CFG22 EQU 0x40011ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG23 +CYREG_B1_P5_U1_CFG23 EQU 0x40011ad7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG24 +CYREG_B1_P5_U1_CFG24 EQU 0x40011ad8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG25 +CYREG_B1_P5_U1_CFG25 EQU 0x40011ad9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG26 +CYREG_B1_P5_U1_CFG26 EQU 0x40011ada + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG27 +CYREG_B1_P5_U1_CFG27 EQU 0x40011adb + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG28 +CYREG_B1_P5_U1_CFG28 EQU 0x40011adc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG29 +CYREG_B1_P5_U1_CFG29 EQU 0x40011add + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG30 +CYREG_B1_P5_U1_CFG30 EQU 0x40011ade + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG31 +CYREG_B1_P5_U1_CFG31 EQU 0x40011adf + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG0 +CYREG_B1_P5_U1_DCFG0 EQU 0x40011ae0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG1 +CYREG_B1_P5_U1_DCFG1 EQU 0x40011ae2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG2 +CYREG_B1_P5_U1_DCFG2 EQU 0x40011ae4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG3 +CYREG_B1_P5_U1_DCFG3 EQU 0x40011ae6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG4 +CYREG_B1_P5_U1_DCFG4 EQU 0x40011ae8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG5 +CYREG_B1_P5_U1_DCFG5 EQU 0x40011aea + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG6 +CYREG_B1_P5_U1_DCFG6 EQU 0x40011aec + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG7 +CYREG_B1_P5_U1_DCFG7 EQU 0x40011aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_BASE +CYDEV_UCFG_B1_P5_ROUTE_BASE EQU 0x40011b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_SIZE +CYDEV_UCFG_B1_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_BASE +CYDEV_UCFG_DSI0_BASE EQU 0x40014000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_SIZE +CYDEV_UCFG_DSI0_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_BASE +CYDEV_UCFG_DSI1_BASE EQU 0x40014100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_SIZE +CYDEV_UCFG_DSI1_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_BASE +CYDEV_UCFG_DSI2_BASE EQU 0x40014200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_SIZE +CYDEV_UCFG_DSI2_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_BASE +CYDEV_UCFG_DSI3_BASE EQU 0x40014300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_SIZE +CYDEV_UCFG_DSI3_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_BASE +CYDEV_UCFG_DSI4_BASE EQU 0x40014400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_SIZE +CYDEV_UCFG_DSI4_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_BASE +CYDEV_UCFG_DSI5_BASE EQU 0x40014500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_SIZE +CYDEV_UCFG_DSI5_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_BASE +CYDEV_UCFG_DSI6_BASE EQU 0x40014600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_SIZE +CYDEV_UCFG_DSI6_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_BASE +CYDEV_UCFG_DSI7_BASE EQU 0x40014700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_SIZE +CYDEV_UCFG_DSI7_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_BASE +CYDEV_UCFG_DSI8_BASE EQU 0x40014800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_SIZE +CYDEV_UCFG_DSI8_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_BASE +CYDEV_UCFG_DSI9_BASE EQU 0x40014900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_SIZE +CYDEV_UCFG_DSI9_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_BASE +CYDEV_UCFG_DSI12_BASE EQU 0x40014c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_SIZE +CYDEV_UCFG_DSI12_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_BASE +CYDEV_UCFG_DSI13_BASE EQU 0x40014d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_SIZE +CYDEV_UCFG_DSI13_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BASE +CYDEV_UCFG_BCTL0_BASE EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_SIZE +CYDEV_UCFG_BCTL0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_MDCLK_EN +CYREG_BCTL0_MDCLK_EN EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_MBCLK_EN +CYREG_BCTL0_MBCLK_EN EQU 0x40015001 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_WAIT_CFG +CYREG_BCTL0_WAIT_CFG EQU 0x40015002 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BANK_CTL +CYREG_BCTL0_BANK_CTL EQU 0x40015003 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_UDB_TEST_3 +CYREG_BCTL0_UDB_TEST_3 EQU 0x40015007 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN0 +CYREG_BCTL0_DCLK_EN0 EQU 0x40015008 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN0 +CYREG_BCTL0_BCLK_EN0 EQU 0x40015009 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN1 +CYREG_BCTL0_DCLK_EN1 EQU 0x4001500a + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN1 +CYREG_BCTL0_BCLK_EN1 EQU 0x4001500b + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN2 +CYREG_BCTL0_DCLK_EN2 EQU 0x4001500c + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN2 +CYREG_BCTL0_BCLK_EN2 EQU 0x4001500d + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN3 +CYREG_BCTL0_DCLK_EN3 EQU 0x4001500e + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN3 +CYREG_BCTL0_BCLK_EN3 EQU 0x4001500f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BASE +CYDEV_UCFG_BCTL1_BASE EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_SIZE +CYDEV_UCFG_BCTL1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_MDCLK_EN +CYREG_BCTL1_MDCLK_EN EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_MBCLK_EN +CYREG_BCTL1_MBCLK_EN EQU 0x40015011 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_WAIT_CFG +CYREG_BCTL1_WAIT_CFG EQU 0x40015012 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BANK_CTL +CYREG_BCTL1_BANK_CTL EQU 0x40015013 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_UDB_TEST_3 +CYREG_BCTL1_UDB_TEST_3 EQU 0x40015017 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN0 +CYREG_BCTL1_DCLK_EN0 EQU 0x40015018 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN0 +CYREG_BCTL1_BCLK_EN0 EQU 0x40015019 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN1 +CYREG_BCTL1_DCLK_EN1 EQU 0x4001501a + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN1 +CYREG_BCTL1_BCLK_EN1 EQU 0x4001501b + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN2 +CYREG_BCTL1_DCLK_EN2 EQU 0x4001501c + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN2 +CYREG_BCTL1_BCLK_EN2 EQU 0x4001501d + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN3 +CYREG_BCTL1_DCLK_EN3 EQU 0x4001501e + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN3 +CYREG_BCTL1_BCLK_EN3 EQU 0x4001501f + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_BASE +CYDEV_IDMUX_BASE EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_SIZE +CYDEV_IDMUX_SIZE EQU 0x00000016 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL0 +CYREG_IDMUX_IRQ_CTL0 EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL1 +CYREG_IDMUX_IRQ_CTL1 EQU 0x40015101 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL2 +CYREG_IDMUX_IRQ_CTL2 EQU 0x40015102 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL3 +CYREG_IDMUX_IRQ_CTL3 EQU 0x40015103 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL4 +CYREG_IDMUX_IRQ_CTL4 EQU 0x40015104 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL5 +CYREG_IDMUX_IRQ_CTL5 EQU 0x40015105 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL6 +CYREG_IDMUX_IRQ_CTL6 EQU 0x40015106 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL7 +CYREG_IDMUX_IRQ_CTL7 EQU 0x40015107 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL0 +CYREG_IDMUX_DRQ_CTL0 EQU 0x40015110 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL1 +CYREG_IDMUX_DRQ_CTL1 EQU 0x40015111 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL2 +CYREG_IDMUX_DRQ_CTL2 EQU 0x40015112 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL3 +CYREG_IDMUX_DRQ_CTL3 EQU 0x40015113 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL4 +CYREG_IDMUX_DRQ_CTL4 EQU 0x40015114 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL5 +CYREG_IDMUX_DRQ_CTL5 EQU 0x40015115 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_BASE +CYDEV_CACHERAM_BASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_SIZE +CYDEV_CACHERAM_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYREG_CACHERAM_DATA_MBASE +CYREG_CACHERAM_DATA_MBASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYREG_CACHERAM_DATA_MSIZE +CYREG_CACHERAM_DATA_MSIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_BASE +CYDEV_SFR_BASE EQU 0x40050100 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_SIZE +CYDEV_SFR_SIZE EQU 0x000000fb + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO0 +CYREG_SFR_GPIO0 EQU 0x40050180 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD0 +CYREG_SFR_GPIRD0 EQU 0x40050189 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO0_SEL +CYREG_SFR_GPIO0_SEL EQU 0x4005018a + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO1 +CYREG_SFR_GPIO1 EQU 0x40050190 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD1 +CYREG_SFR_GPIRD1 EQU 0x40050191 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO2 +CYREG_SFR_GPIO2 EQU 0x40050198 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD2 +CYREG_SFR_GPIRD2 EQU 0x40050199 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO2_SEL +CYREG_SFR_GPIO2_SEL EQU 0x4005019a + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO1_SEL +CYREG_SFR_GPIO1_SEL EQU 0x400501a2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO3 +CYREG_SFR_GPIO3 EQU 0x400501b0 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD3 +CYREG_SFR_GPIRD3 EQU 0x400501b1 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO3_SEL +CYREG_SFR_GPIO3_SEL EQU 0x400501b2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO4 +CYREG_SFR_GPIO4 EQU 0x400501c0 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD4 +CYREG_SFR_GPIRD4 EQU 0x400501c1 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO4_SEL +CYREG_SFR_GPIO4_SEL EQU 0x400501c2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO5 +CYREG_SFR_GPIO5 EQU 0x400501c8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD5 +CYREG_SFR_GPIRD5 EQU 0x400501c9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO5_SEL +CYREG_SFR_GPIO5_SEL EQU 0x400501ca + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO6 +CYREG_SFR_GPIO6 EQU 0x400501d8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD6 +CYREG_SFR_GPIRD6 EQU 0x400501d9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO6_SEL +CYREG_SFR_GPIO6_SEL EQU 0x400501da + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO12 +CYREG_SFR_GPIO12 EQU 0x400501e8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD12 +CYREG_SFR_GPIRD12 EQU 0x400501e9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO12_SEL +CYREG_SFR_GPIO12_SEL EQU 0x400501f2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO15 +CYREG_SFR_GPIO15 EQU 0x400501f8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD15 +CYREG_SFR_GPIRD15 EQU 0x400501f9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO15_SEL +CYREG_SFR_GPIO15_SEL EQU 0x400501fa + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BASE +CYDEV_P3BA_BASE EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SIZE +CYDEV_P3BA_SIZE EQU 0x0000002b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_Y_START +CYREG_P3BA_Y_START EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_YROLL +CYREG_P3BA_YROLL EQU 0x40050301 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_YCFG +CYREG_P3BA_YCFG EQU 0x40050302 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_START1 +CYREG_P3BA_X_START1 EQU 0x40050303 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_START2 +CYREG_P3BA_X_START2 EQU 0x40050304 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XROLL1 +CYREG_P3BA_XROLL1 EQU 0x40050305 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XROLL2 +CYREG_P3BA_XROLL2 EQU 0x40050306 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XINC +CYREG_P3BA_XINC EQU 0x40050307 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XCFG +CYREG_P3BA_XCFG EQU 0x40050308 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR1 +CYREG_P3BA_OFFSETADDR1 EQU 0x40050309 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR2 +CYREG_P3BA_OFFSETADDR2 EQU 0x4005030a + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR3 +CYREG_P3BA_OFFSETADDR3 EQU 0x4005030b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR1 +CYREG_P3BA_ABSADDR1 EQU 0x4005030c + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR2 +CYREG_P3BA_ABSADDR2 EQU 0x4005030d + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR3 +CYREG_P3BA_ABSADDR3 EQU 0x4005030e + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR4 +CYREG_P3BA_ABSADDR4 EQU 0x4005030f + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATCFG1 +CYREG_P3BA_DATCFG1 EQU 0x40050310 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATCFG2 +CYREG_P3BA_DATCFG2 EQU 0x40050311 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT1 +CYREG_P3BA_CMP_RSLT1 EQU 0x40050314 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT2 +CYREG_P3BA_CMP_RSLT2 EQU 0x40050315 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT3 +CYREG_P3BA_CMP_RSLT3 EQU 0x40050316 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT4 +CYREG_P3BA_CMP_RSLT4 EQU 0x40050317 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG1 +CYREG_P3BA_DATA_REG1 EQU 0x40050318 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG2 +CYREG_P3BA_DATA_REG2 EQU 0x40050319 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG3 +CYREG_P3BA_DATA_REG3 EQU 0x4005031a + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG4 +CYREG_P3BA_DATA_REG4 EQU 0x4005031b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA1 +CYREG_P3BA_EXP_DATA1 EQU 0x4005031c + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA2 +CYREG_P3BA_EXP_DATA2 EQU 0x4005031d + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA3 +CYREG_P3BA_EXP_DATA3 EQU 0x4005031e + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA4 +CYREG_P3BA_EXP_DATA4 EQU 0x4005031f + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA1 +CYREG_P3BA_MSTR_HRDATA1 EQU 0x40050320 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA2 +CYREG_P3BA_MSTR_HRDATA2 EQU 0x40050321 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA3 +CYREG_P3BA_MSTR_HRDATA3 EQU 0x40050322 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA4 +CYREG_P3BA_MSTR_HRDATA4 EQU 0x40050323 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_BIST_EN +CYREG_P3BA_BIST_EN EQU 0x40050324 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_PHUB_MASTER_SSR +CYREG_P3BA_PHUB_MASTER_SSR EQU 0x40050325 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_SEQCFG1 +CYREG_P3BA_SEQCFG1 EQU 0x40050326 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_SEQCFG2 +CYREG_P3BA_SEQCFG2 EQU 0x40050327 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_Y_CURR +CYREG_P3BA_Y_CURR EQU 0x40050328 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_CURR1 +CYREG_P3BA_X_CURR1 EQU 0x40050329 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_CURR2 +CYREG_P3BA_X_CURR2 EQU 0x4005032a + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_BASE +CYDEV_PANTHER_BASE EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_SIZE +CYDEV_PANTHER_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_STCALIB_CFG +CYREG_PANTHER_STCALIB_CFG EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_WAITPIPE +CYREG_PANTHER_WAITPIPE EQU 0x40080004 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_TRACE_CFG +CYREG_PANTHER_TRACE_CFG EQU 0x40080008 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_DBG_CFG +CYREG_PANTHER_DBG_CFG EQU 0x4008000c + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_CM3_LCKRST_STAT +CYREG_PANTHER_CM3_LCKRST_STAT EQU 0x40080018 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_DEVICE_ID +CYREG_PANTHER_DEVICE_ID EQU 0x4008001c + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_BASE +CYDEV_FLSECC_BASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_SIZE +CYDEV_FLSECC_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_FLSECC_DATA_MBASE +CYREG_FLSECC_DATA_MBASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYREG_FLSECC_DATA_MSIZE +CYREG_FLSECC_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_BASE +CYDEV_FLSHID_BASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_SIZE +CYDEV_FLSHID_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_RSVD_MBASE +CYREG_FLSHID_RSVD_MBASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_RSVD_MSIZE +CYREG_FLSHID_RSVD_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_MDATA_MBASE +CYREG_FLSHID_CUST_MDATA_MBASE EQU 0x49000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_MDATA_MSIZE +CYREG_FLSHID_CUST_MDATA_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_BASE +CYDEV_FLSHID_CUST_TABLES_BASE EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_SIZE +CYDEV_FLSHID_CUST_TABLES_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_Y_LOC +CYREG_FLSHID_CUST_TABLES_Y_LOC EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_X_LOC +CYREG_FLSHID_CUST_TABLES_X_LOC EQU 0x49000101 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_WAFER_NUM +CYREG_FLSHID_CUST_TABLES_WAFER_NUM EQU 0x49000102 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_LOT_LSB +CYREG_FLSHID_CUST_TABLES_LOT_LSB EQU 0x49000103 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_LOT_MSB +CYREG_FLSHID_CUST_TABLES_LOT_MSB EQU 0x49000104 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_WRK_WK +CYREG_FLSHID_CUST_TABLES_WRK_WK EQU 0x49000105 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_FAB_YR +CYREG_FLSHID_CUST_TABLES_FAB_YR EQU 0x49000106 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_MINOR +CYREG_FLSHID_CUST_TABLES_MINOR EQU 0x49000107 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_3MHZ +CYREG_FLSHID_CUST_TABLES_IMO_3MHZ EQU 0x49000108 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_6MHZ +CYREG_FLSHID_CUST_TABLES_IMO_6MHZ EQU 0x49000109 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_12MHZ +CYREG_FLSHID_CUST_TABLES_IMO_12MHZ EQU 0x4900010a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_24MHZ +CYREG_FLSHID_CUST_TABLES_IMO_24MHZ EQU 0x4900010b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_67MHZ +CYREG_FLSHID_CUST_TABLES_IMO_67MHZ EQU 0x4900010c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_80MHZ +CYREG_FLSHID_CUST_TABLES_IMO_80MHZ EQU 0x4900010d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_92MHZ +CYREG_FLSHID_CUST_TABLES_IMO_92MHZ EQU 0x4900010e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_USB +CYREG_FLSHID_CUST_TABLES_IMO_USB EQU 0x4900010f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS EQU 0x49000110 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS EQU 0x49000111 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS EQU 0x49000112 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS EQU 0x49000113 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS EQU 0x49000114 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS EQU 0x49000115 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS EQU 0x49000116 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS EQU 0x49000117 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M1 +CYREG_FLSHID_CUST_TABLES_DEC_M1 EQU 0x49000118 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M2 +CYREG_FLSHID_CUST_TABLES_DEC_M2 EQU 0x49000119 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M3 +CYREG_FLSHID_CUST_TABLES_DEC_M3 EQU 0x4900011a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M4 +CYREG_FLSHID_CUST_TABLES_DEC_M4 EQU 0x4900011b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M5 +CYREG_FLSHID_CUST_TABLES_DEC_M5 EQU 0x4900011c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M6 +CYREG_FLSHID_CUST_TABLES_DEC_M6 EQU 0x4900011d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M7 +CYREG_FLSHID_CUST_TABLES_DEC_M7 EQU 0x4900011e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M8 +CYREG_FLSHID_CUST_TABLES_DEC_M8 EQU 0x4900011f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M1 +CYREG_FLSHID_CUST_TABLES_DAC0_M1 EQU 0x49000120 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M2 +CYREG_FLSHID_CUST_TABLES_DAC0_M2 EQU 0x49000121 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M3 +CYREG_FLSHID_CUST_TABLES_DAC0_M3 EQU 0x49000122 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M4 +CYREG_FLSHID_CUST_TABLES_DAC0_M4 EQU 0x49000123 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M5 +CYREG_FLSHID_CUST_TABLES_DAC0_M5 EQU 0x49000124 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M6 +CYREG_FLSHID_CUST_TABLES_DAC0_M6 EQU 0x49000125 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M7 +CYREG_FLSHID_CUST_TABLES_DAC0_M7 EQU 0x49000126 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M8 +CYREG_FLSHID_CUST_TABLES_DAC0_M8 EQU 0x49000127 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M1 +CYREG_FLSHID_CUST_TABLES_DAC2_M1 EQU 0x49000128 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M2 +CYREG_FLSHID_CUST_TABLES_DAC2_M2 EQU 0x49000129 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M3 +CYREG_FLSHID_CUST_TABLES_DAC2_M3 EQU 0x4900012a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M4 +CYREG_FLSHID_CUST_TABLES_DAC2_M4 EQU 0x4900012b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M5 +CYREG_FLSHID_CUST_TABLES_DAC2_M5 EQU 0x4900012c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M6 +CYREG_FLSHID_CUST_TABLES_DAC2_M6 EQU 0x4900012d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M7 +CYREG_FLSHID_CUST_TABLES_DAC2_M7 EQU 0x4900012e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M8 +CYREG_FLSHID_CUST_TABLES_DAC2_M8 EQU 0x4900012f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M1 +CYREG_FLSHID_CUST_TABLES_DAC1_M1 EQU 0x49000130 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M2 +CYREG_FLSHID_CUST_TABLES_DAC1_M2 EQU 0x49000131 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M3 +CYREG_FLSHID_CUST_TABLES_DAC1_M3 EQU 0x49000132 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M4 +CYREG_FLSHID_CUST_TABLES_DAC1_M4 EQU 0x49000133 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M5 +CYREG_FLSHID_CUST_TABLES_DAC1_M5 EQU 0x49000134 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M6 +CYREG_FLSHID_CUST_TABLES_DAC1_M6 EQU 0x49000135 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M7 +CYREG_FLSHID_CUST_TABLES_DAC1_M7 EQU 0x49000136 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M8 +CYREG_FLSHID_CUST_TABLES_DAC1_M8 EQU 0x49000137 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M1 +CYREG_FLSHID_CUST_TABLES_DAC3_M1 EQU 0x49000138 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M2 +CYREG_FLSHID_CUST_TABLES_DAC3_M2 EQU 0x49000139 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M3 +CYREG_FLSHID_CUST_TABLES_DAC3_M3 EQU 0x4900013a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M4 +CYREG_FLSHID_CUST_TABLES_DAC3_M4 EQU 0x4900013b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M5 +CYREG_FLSHID_CUST_TABLES_DAC3_M5 EQU 0x4900013c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M6 +CYREG_FLSHID_CUST_TABLES_DAC3_M6 EQU 0x4900013d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M7 +CYREG_FLSHID_CUST_TABLES_DAC3_M7 EQU 0x4900013e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M8 +CYREG_FLSHID_CUST_TABLES_DAC3_M8 EQU 0x4900013f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BASE +CYDEV_FLSHID_MFG_CFG_BASE EQU 0x49000180 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_SIZE +CYDEV_FLSHID_MFG_CFG_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_IMO_TR1 +CYREG_FLSHID_MFG_CFG_IMO_TR1 EQU 0x49000188 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP0_TR0 +CYREG_FLSHID_MFG_CFG_CMP0_TR0 EQU 0x490001ac + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP1_TR0 +CYREG_FLSHID_MFG_CFG_CMP1_TR0 EQU 0x490001ae + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP2_TR0 +CYREG_FLSHID_MFG_CFG_CMP2_TR0 EQU 0x490001b0 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP3_TR0 +CYREG_FLSHID_MFG_CFG_CMP3_TR0 EQU 0x490001b2 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP0_TR1 +CYREG_FLSHID_MFG_CFG_CMP0_TR1 EQU 0x490001b4 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP1_TR1 +CYREG_FLSHID_MFG_CFG_CMP1_TR1 EQU 0x490001b6 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP2_TR1 +CYREG_FLSHID_MFG_CFG_CMP2_TR1 EQU 0x490001b8 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP3_TR1 +CYREG_FLSHID_MFG_CFG_CMP3_TR1 EQU 0x490001ba + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM +CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM EQU 0x490001ce + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_BASE +CYDEV_EXTMEM_BASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_SIZE +CYDEV_EXTMEM_SIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYREG_EXTMEM_DATA_MBASE +CYREG_EXTMEM_DATA_MBASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYREG_EXTMEM_DATA_MSIZE +CYREG_EXTMEM_DATA_MSIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_BASE +CYDEV_ITM_BASE EQU 0xe0000000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_SIZE +CYDEV_ITM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_EN +CYREG_ITM_TRACE_EN EQU 0xe0000e00 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_PRIVILEGE +CYREG_ITM_TRACE_PRIVILEGE EQU 0xe0000e40 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_CTRL +CYREG_ITM_TRACE_CTRL EQU 0xe0000e80 + ENDIF + IF :LNOT::DEF:CYREG_ITM_LOCK_ACCESS +CYREG_ITM_LOCK_ACCESS EQU 0xe0000fb0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_LOCK_STATUS +CYREG_ITM_LOCK_STATUS EQU 0xe0000fb4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID4 +CYREG_ITM_PID4 EQU 0xe0000fd0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID5 +CYREG_ITM_PID5 EQU 0xe0000fd4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID6 +CYREG_ITM_PID6 EQU 0xe0000fd8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID7 +CYREG_ITM_PID7 EQU 0xe0000fdc + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID0 +CYREG_ITM_PID0 EQU 0xe0000fe0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID1 +CYREG_ITM_PID1 EQU 0xe0000fe4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID2 +CYREG_ITM_PID2 EQU 0xe0000fe8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID3 +CYREG_ITM_PID3 EQU 0xe0000fec + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID0 +CYREG_ITM_CID0 EQU 0xe0000ff0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID1 +CYREG_ITM_CID1 EQU 0xe0000ff4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID2 +CYREG_ITM_CID2 EQU 0xe0000ff8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID3 +CYREG_ITM_CID3 EQU 0xe0000ffc + ENDIF + IF :LNOT::DEF:CYDEV_DWT_BASE +CYDEV_DWT_BASE EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SIZE +CYDEV_DWT_SIZE EQU 0x0000005c + ENDIF + IF :LNOT::DEF:CYREG_DWT_CTRL +CYREG_DWT_CTRL EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYREG_DWT_CYCLE_COUNT +CYREG_DWT_CYCLE_COUNT EQU 0xe0001004 + ENDIF + IF :LNOT::DEF:CYREG_DWT_CPI_COUNT +CYREG_DWT_CPI_COUNT EQU 0xe0001008 + ENDIF + IF :LNOT::DEF:CYREG_DWT_EXC_OVHD_COUNT +CYREG_DWT_EXC_OVHD_COUNT EQU 0xe000100c + ENDIF + IF :LNOT::DEF:CYREG_DWT_SLEEP_COUNT +CYREG_DWT_SLEEP_COUNT EQU 0xe0001010 + ENDIF + IF :LNOT::DEF:CYREG_DWT_LSU_COUNT +CYREG_DWT_LSU_COUNT EQU 0xe0001014 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FOLD_COUNT +CYREG_DWT_FOLD_COUNT EQU 0xe0001018 + ENDIF + IF :LNOT::DEF:CYREG_DWT_PC_SAMPLE +CYREG_DWT_PC_SAMPLE EQU 0xe000101c + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_0 +CYREG_DWT_COMP_0 EQU 0xe0001020 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_0 +CYREG_DWT_MASK_0 EQU 0xe0001024 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_0 +CYREG_DWT_FUNCTION_0 EQU 0xe0001028 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_1 +CYREG_DWT_COMP_1 EQU 0xe0001030 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_1 +CYREG_DWT_MASK_1 EQU 0xe0001034 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_1 +CYREG_DWT_FUNCTION_1 EQU 0xe0001038 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_2 +CYREG_DWT_COMP_2 EQU 0xe0001040 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_2 +CYREG_DWT_MASK_2 EQU 0xe0001044 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_2 +CYREG_DWT_FUNCTION_2 EQU 0xe0001048 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_3 +CYREG_DWT_COMP_3 EQU 0xe0001050 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_3 +CYREG_DWT_MASK_3 EQU 0xe0001054 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_3 +CYREG_DWT_FUNCTION_3 EQU 0xe0001058 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_BASE +CYDEV_FPB_BASE EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_SIZE +CYDEV_FPB_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CTRL +CYREG_FPB_CTRL EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYREG_FPB_REMAP +CYREG_FPB_REMAP EQU 0xe0002004 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_0 +CYREG_FPB_FP_COMP_0 EQU 0xe0002008 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_1 +CYREG_FPB_FP_COMP_1 EQU 0xe000200c + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_2 +CYREG_FPB_FP_COMP_2 EQU 0xe0002010 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_3 +CYREG_FPB_FP_COMP_3 EQU 0xe0002014 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_4 +CYREG_FPB_FP_COMP_4 EQU 0xe0002018 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_5 +CYREG_FPB_FP_COMP_5 EQU 0xe000201c + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_6 +CYREG_FPB_FP_COMP_6 EQU 0xe0002020 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_7 +CYREG_FPB_FP_COMP_7 EQU 0xe0002024 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID4 +CYREG_FPB_PID4 EQU 0xe0002fd0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID5 +CYREG_FPB_PID5 EQU 0xe0002fd4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID6 +CYREG_FPB_PID6 EQU 0xe0002fd8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID7 +CYREG_FPB_PID7 EQU 0xe0002fdc + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID0 +CYREG_FPB_PID0 EQU 0xe0002fe0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID1 +CYREG_FPB_PID1 EQU 0xe0002fe4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID2 +CYREG_FPB_PID2 EQU 0xe0002fe8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID3 +CYREG_FPB_PID3 EQU 0xe0002fec + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID0 +CYREG_FPB_CID0 EQU 0xe0002ff0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID1 +CYREG_FPB_CID1 EQU 0xe0002ff4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID2 +CYREG_FPB_CID2 EQU 0xe0002ff8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID3 +CYREG_FPB_CID3 EQU 0xe0002ffc + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BASE +CYDEV_NVIC_BASE EQU 0xe000e000 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SIZE +CYDEV_NVIC_SIZE EQU 0x00000d3c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_INT_CTL_TYPE +CYREG_NVIC_INT_CTL_TYPE EQU 0xe000e004 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CTL +CYREG_NVIC_SYSTICK_CTL EQU 0xe000e010 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_RELOAD +CYREG_NVIC_SYSTICK_RELOAD EQU 0xe000e014 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CURRENT +CYREG_NVIC_SYSTICK_CURRENT EQU 0xe000e018 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CAL +CYREG_NVIC_SYSTICK_CAL EQU 0xe000e01c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SETENA0 +CYREG_NVIC_SETENA0 EQU 0xe000e100 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CLRENA0 +CYREG_NVIC_CLRENA0 EQU 0xe000e180 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SETPEND0 +CYREG_NVIC_SETPEND0 EQU 0xe000e200 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CLRPEND0 +CYREG_NVIC_CLRPEND0 EQU 0xe000e280 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_ACTIVE0 +CYREG_NVIC_ACTIVE0 EQU 0xe000e300 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_0 +CYREG_NVIC_PRI_0 EQU 0xe000e400 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_1 +CYREG_NVIC_PRI_1 EQU 0xe000e401 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_2 +CYREG_NVIC_PRI_2 EQU 0xe000e402 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_3 +CYREG_NVIC_PRI_3 EQU 0xe000e403 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_4 +CYREG_NVIC_PRI_4 EQU 0xe000e404 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_5 +CYREG_NVIC_PRI_5 EQU 0xe000e405 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_6 +CYREG_NVIC_PRI_6 EQU 0xe000e406 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_7 +CYREG_NVIC_PRI_7 EQU 0xe000e407 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_8 +CYREG_NVIC_PRI_8 EQU 0xe000e408 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_9 +CYREG_NVIC_PRI_9 EQU 0xe000e409 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_10 +CYREG_NVIC_PRI_10 EQU 0xe000e40a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_11 +CYREG_NVIC_PRI_11 EQU 0xe000e40b + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_12 +CYREG_NVIC_PRI_12 EQU 0xe000e40c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_13 +CYREG_NVIC_PRI_13 EQU 0xe000e40d + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_14 +CYREG_NVIC_PRI_14 EQU 0xe000e40e + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_15 +CYREG_NVIC_PRI_15 EQU 0xe000e40f + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_16 +CYREG_NVIC_PRI_16 EQU 0xe000e410 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_17 +CYREG_NVIC_PRI_17 EQU 0xe000e411 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_18 +CYREG_NVIC_PRI_18 EQU 0xe000e412 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_19 +CYREG_NVIC_PRI_19 EQU 0xe000e413 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_20 +CYREG_NVIC_PRI_20 EQU 0xe000e414 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_21 +CYREG_NVIC_PRI_21 EQU 0xe000e415 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_22 +CYREG_NVIC_PRI_22 EQU 0xe000e416 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_23 +CYREG_NVIC_PRI_23 EQU 0xe000e417 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_24 +CYREG_NVIC_PRI_24 EQU 0xe000e418 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_25 +CYREG_NVIC_PRI_25 EQU 0xe000e419 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_26 +CYREG_NVIC_PRI_26 EQU 0xe000e41a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_27 +CYREG_NVIC_PRI_27 EQU 0xe000e41b + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_28 +CYREG_NVIC_PRI_28 EQU 0xe000e41c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_29 +CYREG_NVIC_PRI_29 EQU 0xe000e41d + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_30 +CYREG_NVIC_PRI_30 EQU 0xe000e41e + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_31 +CYREG_NVIC_PRI_31 EQU 0xe000e41f + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CPUID_BASE +CYREG_NVIC_CPUID_BASE EQU 0xe000ed00 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_INTR_CTRL_STATE +CYREG_NVIC_INTR_CTRL_STATE EQU 0xe000ed04 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_VECT_OFFSET +CYREG_NVIC_VECT_OFFSET EQU 0xe000ed08 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_APPLN_INTR +CYREG_NVIC_APPLN_INTR EQU 0xe000ed0c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTEM_CONTROL +CYREG_NVIC_SYSTEM_CONTROL EQU 0xe000ed10 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CFG_CONTROL +CYREG_NVIC_CFG_CONTROL EQU 0xe000ed14 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_4_7 +CYREG_NVIC_SYS_PRIO_HANDLER_4_7 EQU 0xe000ed18 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_8_11 +CYREG_NVIC_SYS_PRIO_HANDLER_8_11 EQU 0xe000ed1c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_12_15 +CYREG_NVIC_SYS_PRIO_HANDLER_12_15 EQU 0xe000ed20 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_HANDLER_CSR +CYREG_NVIC_SYS_HANDLER_CSR EQU 0xe000ed24 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_MEMMAN_FAULT_STATUS +CYREG_NVIC_MEMMAN_FAULT_STATUS EQU 0xe000ed28 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_BUS_FAULT_STATUS +CYREG_NVIC_BUS_FAULT_STATUS EQU 0xe000ed29 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_USAGE_FAULT_STATUS +CYREG_NVIC_USAGE_FAULT_STATUS EQU 0xe000ed2a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_HARD_FAULT_STATUS +CYREG_NVIC_HARD_FAULT_STATUS EQU 0xe000ed2c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_DEBUG_FAULT_STATUS +CYREG_NVIC_DEBUG_FAULT_STATUS EQU 0xe000ed30 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_MEMMAN_FAULT_ADD +CYREG_NVIC_MEMMAN_FAULT_ADD EQU 0xe000ed34 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_BUS_FAULT_ADD +CYREG_NVIC_BUS_FAULT_ADD EQU 0xe000ed38 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_BASE +CYDEV_CORE_DBG_BASE EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_SIZE +CYDEV_CORE_DBG_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_HLT_CS +CYREG_CORE_DBG_DBG_HLT_CS EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_REG_SEL +CYREG_CORE_DBG_DBG_REG_SEL EQU 0xe000edf4 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_REG_DATA +CYREG_CORE_DBG_DBG_REG_DATA EQU 0xe000edf8 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_EXC_MON_CTL +CYREG_CORE_DBG_EXC_MON_CTL EQU 0xe000edfc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_BASE +CYDEV_TPIU_BASE EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SIZE +CYDEV_TPIU_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ +CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CURRENT_SYNC_PRT_SZ +CYREG_TPIU_CURRENT_SYNC_PRT_SZ EQU 0xe0040004 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ASYNC_CLK_PRESCALER +CYREG_TPIU_ASYNC_CLK_PRESCALER EQU 0xe0040010 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PROTOCOL +CYREG_TPIU_PROTOCOL EQU 0xe00400f0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_FORM_FLUSH_STAT +CYREG_TPIU_FORM_FLUSH_STAT EQU 0xe0040300 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_FORM_FLUSH_CTRL +CYREG_TPIU_FORM_FLUSH_CTRL EQU 0xe0040304 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_TRIGGER +CYREG_TPIU_TRIGGER EQU 0xe0040ee8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITETMDATA +CYREG_TPIU_ITETMDATA EQU 0xe0040eec + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITATBCTR2 +CYREG_TPIU_ITATBCTR2 EQU 0xe0040ef0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITATBCTR0 +CYREG_TPIU_ITATBCTR0 EQU 0xe0040ef8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITITMDATA +CYREG_TPIU_ITITMDATA EQU 0xe0040efc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITCTRL +CYREG_TPIU_ITCTRL EQU 0xe0040f00 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_DEVID +CYREG_TPIU_DEVID EQU 0xe0040fc8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_DEVTYPE +CYREG_TPIU_DEVTYPE EQU 0xe0040fcc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID4 +CYREG_TPIU_PID4 EQU 0xe0040fd0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID5 +CYREG_TPIU_PID5 EQU 0xe0040fd4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID6 +CYREG_TPIU_PID6 EQU 0xe0040fd8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID7 +CYREG_TPIU_PID7 EQU 0xe0040fdc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID0 +CYREG_TPIU_PID0 EQU 0xe0040fe0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID1 +CYREG_TPIU_PID1 EQU 0xe0040fe4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID2 +CYREG_TPIU_PID2 EQU 0xe0040fe8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID3 +CYREG_TPIU_PID3 EQU 0xe0040fec + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID0 +CYREG_TPIU_CID0 EQU 0xe0040ff0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID1 +CYREG_TPIU_CID1 EQU 0xe0040ff4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID2 +CYREG_TPIU_CID2 EQU 0xe0040ff8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID3 +CYREG_TPIU_CID3 EQU 0xe0040ffc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_BASE +CYDEV_ETM_BASE EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SIZE +CYDEV_ETM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CTL +CYREG_ETM_CTL EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CFG_CODE +CYREG_ETM_CFG_CODE EQU 0xe0041004 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRIG_EVENT +CYREG_ETM_TRIG_EVENT EQU 0xe0041008 + ENDIF + IF :LNOT::DEF:CYREG_ETM_STATUS +CYREG_ETM_STATUS EQU 0xe0041010 + ENDIF + IF :LNOT::DEF:CYREG_ETM_SYS_CFG +CYREG_ETM_SYS_CFG EQU 0xe0041014 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRACE_ENB_EVENT +CYREG_ETM_TRACE_ENB_EVENT EQU 0xe0041020 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRACE_EN_CTRL1 +CYREG_ETM_TRACE_EN_CTRL1 EQU 0xe0041024 + ENDIF + IF :LNOT::DEF:CYREG_ETM_FIFOFULL_LEVEL +CYREG_ETM_FIFOFULL_LEVEL EQU 0xe004102c + ENDIF + IF :LNOT::DEF:CYREG_ETM_SYNC_FREQ +CYREG_ETM_SYNC_FREQ EQU 0xe00411e0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ETM_ID +CYREG_ETM_ETM_ID EQU 0xe00411e4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CFG_CODE_EXT +CYREG_ETM_CFG_CODE_EXT EQU 0xe00411e8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TR_SS_EMBICE_CTRL +CYREG_ETM_TR_SS_EMBICE_CTRL EQU 0xe00411f0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CS_TRACE_ID +CYREG_ETM_CS_TRACE_ID EQU 0xe0041200 + ENDIF + IF :LNOT::DEF:CYREG_ETM_OS_LOCK_ACCESS +CYREG_ETM_OS_LOCK_ACCESS EQU 0xe0041300 + ENDIF + IF :LNOT::DEF:CYREG_ETM_OS_LOCK_STATUS +CYREG_ETM_OS_LOCK_STATUS EQU 0xe0041304 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PDSR +CYREG_ETM_PDSR EQU 0xe0041314 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITMISCIN +CYREG_ETM_ITMISCIN EQU 0xe0041ee0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITTRIGOUT +CYREG_ETM_ITTRIGOUT EQU 0xe0041ee8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITATBCTR2 +CYREG_ETM_ITATBCTR2 EQU 0xe0041ef0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITATBCTR0 +CYREG_ETM_ITATBCTR0 EQU 0xe0041ef8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_INT_MODE_CTRL +CYREG_ETM_INT_MODE_CTRL EQU 0xe0041f00 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CLM_TAG_SET +CYREG_ETM_CLM_TAG_SET EQU 0xe0041fa0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CLM_TAG_CLR +CYREG_ETM_CLM_TAG_CLR EQU 0xe0041fa4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_LOCK_ACCESS +CYREG_ETM_LOCK_ACCESS EQU 0xe0041fb0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_LOCK_STATUS +CYREG_ETM_LOCK_STATUS EQU 0xe0041fb4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_AUTH_STATUS +CYREG_ETM_AUTH_STATUS EQU 0xe0041fb8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_DEV_TYPE +CYREG_ETM_DEV_TYPE EQU 0xe0041fcc + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID4 +CYREG_ETM_PID4 EQU 0xe0041fd0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID5 +CYREG_ETM_PID5 EQU 0xe0041fd4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID6 +CYREG_ETM_PID6 EQU 0xe0041fd8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID7 +CYREG_ETM_PID7 EQU 0xe0041fdc + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID0 +CYREG_ETM_PID0 EQU 0xe0041fe0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID1 +CYREG_ETM_PID1 EQU 0xe0041fe4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID2 +CYREG_ETM_PID2 EQU 0xe0041fe8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID3 +CYREG_ETM_PID3 EQU 0xe0041fec + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID0 +CYREG_ETM_CID0 EQU 0xe0041ff0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID1 +CYREG_ETM_CID1 EQU 0xe0041ff4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID2 +CYREG_ETM_CID2 EQU 0xe0041ff8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID3 +CYREG_ETM_CID3 EQU 0xe0041ffc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_BASE +CYDEV_ROM_TABLE_BASE EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_SIZE +CYDEV_ROM_TABLE_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_NVIC +CYREG_ROM_TABLE_NVIC EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_DWT +CYREG_ROM_TABLE_DWT EQU 0xe00ff004 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_FPB +CYREG_ROM_TABLE_FPB EQU 0xe00ff008 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_ITM +CYREG_ROM_TABLE_ITM EQU 0xe00ff00c + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_TPIU +CYREG_ROM_TABLE_TPIU EQU 0xe00ff010 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_ETM +CYREG_ROM_TABLE_ETM EQU 0xe00ff014 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_END +CYREG_ROM_TABLE_END EQU 0xe00ff018 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_MEMTYPE +CYREG_ROM_TABLE_MEMTYPE EQU 0xe00fffcc + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID4 +CYREG_ROM_TABLE_PID4 EQU 0xe00fffd0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID5 +CYREG_ROM_TABLE_PID5 EQU 0xe00fffd4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID6 +CYREG_ROM_TABLE_PID6 EQU 0xe00fffd8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID7 +CYREG_ROM_TABLE_PID7 EQU 0xe00fffdc + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID0 +CYREG_ROM_TABLE_PID0 EQU 0xe00fffe0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID1 +CYREG_ROM_TABLE_PID1 EQU 0xe00fffe4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID2 +CYREG_ROM_TABLE_PID2 EQU 0xe00fffe8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID3 +CYREG_ROM_TABLE_PID3 EQU 0xe00fffec + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID0 +CYREG_ROM_TABLE_CID0 EQU 0xe00ffff0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID1 +CYREG_ROM_TABLE_CID1 EQU 0xe00ffff4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID2 +CYREG_ROM_TABLE_CID2 EQU 0xe00ffff8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID3 +CYREG_ROM_TABLE_CID3 EQU 0xe00ffffc + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SIZE +CYDEV_FLS_SIZE EQU CYDEV_FLASH_SIZE + ENDIF + IF :LNOT::DEF:CYDEV_ECC_BASE +CYDEV_ECC_BASE EQU CYDEV_FLSECC_BASE + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SECTOR_SIZE +CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE +CYDEV_FLS_ROW_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE +CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_ROW_SIZE +CYDEV_ECC_ROW_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_SECTOR_SIZE +CYDEV_EEPROM_SECTOR_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_ROW_SIZE +CYDEV_EEPROM_ROW_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PERIPH_BASE +CYDEV_PERIPH_BASE EQU CYDEV_CLKDIST_BASE + ENDIF + IF :LNOT::DEF:CYCLK_LD_DISABLE +CYCLK_LD_DISABLE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYCLK_LD_SYNC_EN +CYCLK_LD_SYNC_EN EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYCLK_LD_LOAD +CYCLK_LD_LOAD EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYCLK_PIPE +CYCLK_PIPE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYCLK_SSS +CYCLK_SSS EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYCLK_EARLY +CYCLK_EARLY EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYCLK_DUTY +CYCLK_DUTY EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYCLK_SYNC +CYCLK_SYNC EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_D +CYCLK_SRC_SEL_CLK_SYNC_D EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_SYNC_DIG +CYCLK_SRC_SEL_SYNC_DIG EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_IMO +CYCLK_SRC_SEL_IMO EQU 1 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_MHZ +CYCLK_SRC_SEL_XTAL_MHZ EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALM +CYCLK_SRC_SEL_XTALM EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_ILO +CYCLK_SRC_SEL_ILO EQU 3 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_PLL +CYCLK_SRC_SEL_PLL EQU 4 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_KHZ +CYCLK_SRC_SEL_XTAL_KHZ EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALK +CYCLK_SRC_SEL_XTALK EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_G +CYCLK_SRC_SEL_DSI_G EQU 6 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_D +CYCLK_SRC_SEL_DSI_D EQU 7 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_A +CYCLK_SRC_SEL_CLK_SYNC_A EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_A +CYCLK_SRC_SEL_DSI_A EQU 7 + ENDIF + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h new file mode 100644 index 0000000..7b6355f --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h @@ -0,0 +1,5 @@ +#ifndef INCLUDED_CYDISABLEDSHEETS_H +#define INCLUDED_CYDISABLEDSHEETS_H + + +#endif /* INCLUDED_CYDISABLEDSHEETS_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h new file mode 100644 index 0000000..8c2b1e8 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h @@ -0,0 +1,1361 @@ +#ifndef INCLUDED_CYFITTER_H +#define INCLUDED_CYFITTER_H +#include +#include + +/* USBFS_bus_reset */ +#define USBFS_bus_reset__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_bus_reset__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_bus_reset__INTC_MASK 0x800000u +#define USBFS_bus_reset__INTC_NUMBER 23u +#define USBFS_bus_reset__INTC_PRIOR_NUM 7u +#define USBFS_bus_reset__INTC_PRIOR_REG CYREG_NVIC_PRI_23 +#define USBFS_bus_reset__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_bus_reset__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_arb_int */ +#define USBFS_arb_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_arb_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_arb_int__INTC_MASK 0x400000u +#define USBFS_arb_int__INTC_NUMBER 22u +#define USBFS_arb_int__INTC_PRIOR_NUM 7u +#define USBFS_arb_int__INTC_PRIOR_REG CYREG_NVIC_PRI_22 +#define USBFS_arb_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_arb_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_sof_int */ +#define USBFS_sof_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_sof_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_sof_int__INTC_MASK 0x200000u +#define USBFS_sof_int__INTC_NUMBER 21u +#define USBFS_sof_int__INTC_PRIOR_NUM 7u +#define USBFS_sof_int__INTC_PRIOR_REG CYREG_NVIC_PRI_21 +#define USBFS_sof_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_sof_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +#define SCSI_Out_DBx__0__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__0__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__0__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__0__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__0__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__0__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__0__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__0__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__0__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__0__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__0__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__0__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__0__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__0__MASK 0x08u +#define SCSI_Out_DBx__0__PC CYREG_PRT6_PC3 +#define SCSI_Out_DBx__0__PORT 6u +#define SCSI_Out_DBx__0__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__0__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__0__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__0__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__0__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__0__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__0__SHIFT 3 +#define SCSI_Out_DBx__0__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__1__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__1__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__1__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__1__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__1__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__1__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__1__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__1__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__1__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__1__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__1__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__1__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__1__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__1__MASK 0x04u +#define SCSI_Out_DBx__1__PC CYREG_PRT6_PC2 +#define SCSI_Out_DBx__1__PORT 6u +#define SCSI_Out_DBx__1__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__1__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__1__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__1__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__1__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__1__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__1__SHIFT 2 +#define SCSI_Out_DBx__1__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__2__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__2__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__2__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__2__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__2__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__2__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__2__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__2__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__2__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__2__MASK 0x02u +#define SCSI_Out_DBx__2__PC CYREG_PRT6_PC1 +#define SCSI_Out_DBx__2__PORT 6u +#define SCSI_Out_DBx__2__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__2__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__2__SHIFT 1 +#define SCSI_Out_DBx__2__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__3__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__3__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__3__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__3__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__3__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__3__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__3__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__3__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__3__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__3__MASK 0x01u +#define SCSI_Out_DBx__3__PC CYREG_PRT6_PC0 +#define SCSI_Out_DBx__3__PORT 6u +#define SCSI_Out_DBx__3__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__3__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__3__SHIFT 0 +#define SCSI_Out_DBx__3__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__4__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__4__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__4__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__4__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__4__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__4__MASK 0x80u +#define SCSI_Out_DBx__4__PC CYREG_PRT4_PC7 +#define SCSI_Out_DBx__4__PORT 4u +#define SCSI_Out_DBx__4__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__4__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__4__SHIFT 7 +#define SCSI_Out_DBx__4__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__5__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__5__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__5__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__5__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__5__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__5__MASK 0x40u +#define SCSI_Out_DBx__5__PC CYREG_PRT4_PC6 +#define SCSI_Out_DBx__5__PORT 4u +#define SCSI_Out_DBx__5__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__5__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__5__SHIFT 6 +#define SCSI_Out_DBx__5__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__6__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__6__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__6__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__6__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__6__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__6__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__6__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__6__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__6__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__6__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__6__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__6__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__6__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__6__MASK 0x20u +#define SCSI_Out_DBx__6__PC CYREG_PRT4_PC5 +#define SCSI_Out_DBx__6__PORT 4u +#define SCSI_Out_DBx__6__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__6__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__6__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__6__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__6__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__6__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__6__SHIFT 5 +#define SCSI_Out_DBx__6__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__7__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__7__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__7__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__7__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__7__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__7__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__7__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__7__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__7__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__7__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__7__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__7__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__7__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__7__MASK 0x10u +#define SCSI_Out_DBx__7__PC CYREG_PRT4_PC4 +#define SCSI_Out_DBx__7__PORT 4u +#define SCSI_Out_DBx__7__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__7__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__7__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__7__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__7__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__7__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__7__SHIFT 4 +#define SCSI_Out_DBx__7__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB0__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB0__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB0__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB0__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB0__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB0__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB0__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB0__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB0__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB0__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB0__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB0__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB0__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB0__MASK 0x08u +#define SCSI_Out_DBx__DB0__PC CYREG_PRT6_PC3 +#define SCSI_Out_DBx__DB0__PORT 6u +#define SCSI_Out_DBx__DB0__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB0__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB0__SHIFT 3 +#define SCSI_Out_DBx__DB0__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB1__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB1__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB1__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB1__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB1__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB1__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB1__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB1__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB1__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB1__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB1__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB1__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB1__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB1__MASK 0x04u +#define SCSI_Out_DBx__DB1__PC CYREG_PRT6_PC2 +#define SCSI_Out_DBx__DB1__PORT 6u +#define SCSI_Out_DBx__DB1__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB1__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB1__SHIFT 2 +#define SCSI_Out_DBx__DB1__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB2__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB2__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB2__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB2__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB2__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB2__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB2__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB2__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB2__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB2__MASK 0x02u +#define SCSI_Out_DBx__DB2__PC CYREG_PRT6_PC1 +#define SCSI_Out_DBx__DB2__PORT 6u +#define SCSI_Out_DBx__DB2__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB2__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB2__SHIFT 1 +#define SCSI_Out_DBx__DB2__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB3__AG CYREG_PRT6_AG +#define SCSI_Out_DBx__DB3__AMUX CYREG_PRT6_AMUX +#define SCSI_Out_DBx__DB3__BIE CYREG_PRT6_BIE +#define SCSI_Out_DBx__DB3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out_DBx__DB3__BYP CYREG_PRT6_BYP +#define SCSI_Out_DBx__DB3__CTL CYREG_PRT6_CTL +#define SCSI_Out_DBx__DB3__DM0 CYREG_PRT6_DM0 +#define SCSI_Out_DBx__DB3__DM1 CYREG_PRT6_DM1 +#define SCSI_Out_DBx__DB3__DM2 CYREG_PRT6_DM2 +#define SCSI_Out_DBx__DB3__DR CYREG_PRT6_DR +#define SCSI_Out_DBx__DB3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out_DBx__DB3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out_DBx__DB3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out_DBx__DB3__MASK 0x01u +#define SCSI_Out_DBx__DB3__PC CYREG_PRT6_PC0 +#define SCSI_Out_DBx__DB3__PORT 6u +#define SCSI_Out_DBx__DB3__PRT CYREG_PRT6_PRT +#define SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out_DBx__DB3__PS CYREG_PRT6_PS +#define SCSI_Out_DBx__DB3__SHIFT 0 +#define SCSI_Out_DBx__DB3__SLW CYREG_PRT6_SLW +#define SCSI_Out_DBx__DB4__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB4__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB4__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB4__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB4__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB4__MASK 0x80u +#define SCSI_Out_DBx__DB4__PC CYREG_PRT4_PC7 +#define SCSI_Out_DBx__DB4__PORT 4u +#define SCSI_Out_DBx__DB4__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB4__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB4__SHIFT 7 +#define SCSI_Out_DBx__DB4__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB5__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB5__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB5__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB5__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB5__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB5__MASK 0x40u +#define SCSI_Out_DBx__DB5__PC CYREG_PRT4_PC6 +#define SCSI_Out_DBx__DB5__PORT 4u +#define SCSI_Out_DBx__DB5__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB5__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB5__SHIFT 6 +#define SCSI_Out_DBx__DB5__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB6__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB6__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB6__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB6__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB6__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB6__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB6__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB6__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB6__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB6__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB6__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB6__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB6__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB6__MASK 0x20u +#define SCSI_Out_DBx__DB6__PC CYREG_PRT4_PC5 +#define SCSI_Out_DBx__DB6__PORT 4u +#define SCSI_Out_DBx__DB6__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB6__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB6__SHIFT 5 +#define SCSI_Out_DBx__DB6__SLW CYREG_PRT4_SLW +#define SCSI_Out_DBx__DB7__AG CYREG_PRT4_AG +#define SCSI_Out_DBx__DB7__AMUX CYREG_PRT4_AMUX +#define SCSI_Out_DBx__DB7__BIE CYREG_PRT4_BIE +#define SCSI_Out_DBx__DB7__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out_DBx__DB7__BYP CYREG_PRT4_BYP +#define SCSI_Out_DBx__DB7__CTL CYREG_PRT4_CTL +#define SCSI_Out_DBx__DB7__DM0 CYREG_PRT4_DM0 +#define SCSI_Out_DBx__DB7__DM1 CYREG_PRT4_DM1 +#define SCSI_Out_DBx__DB7__DM2 CYREG_PRT4_DM2 +#define SCSI_Out_DBx__DB7__DR CYREG_PRT4_DR +#define SCSI_Out_DBx__DB7__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out_DBx__DB7__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out_DBx__DB7__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out_DBx__DB7__MASK 0x10u +#define SCSI_Out_DBx__DB7__PC CYREG_PRT4_PC4 +#define SCSI_Out_DBx__DB7__PORT 4u +#define SCSI_Out_DBx__DB7__PRT CYREG_PRT4_PRT +#define SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out_DBx__DB7__PS CYREG_PRT4_PS +#define SCSI_Out_DBx__DB7__SHIFT 4 +#define SCSI_Out_DBx__DB7__SLW CYREG_PRT4_SLW + +/* USBFS_dp_int */ +#define USBFS_dp_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_dp_int__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_dp_int__INTC_MASK 0x1000u +#define USBFS_dp_int__INTC_NUMBER 12u +#define USBFS_dp_int__INTC_PRIOR_NUM 7u +#define USBFS_dp_int__INTC_PRIOR_REG CYREG_NVIC_PRI_12 +#define USBFS_dp_int__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_dp_int__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_ep_0 */ +#define USBFS_ep_0__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_ep_0__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_ep_0__INTC_MASK 0x1000000u +#define USBFS_ep_0__INTC_NUMBER 24u +#define USBFS_ep_0__INTC_PRIOR_NUM 7u +#define USBFS_ep_0__INTC_PRIOR_REG CYREG_NVIC_PRI_24 +#define USBFS_ep_0__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_ep_0__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_ep_1 */ +#define USBFS_ep_1__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_ep_1__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_ep_1__INTC_MASK 0x01u +#define USBFS_ep_1__INTC_NUMBER 0u +#define USBFS_ep_1__INTC_PRIOR_NUM 7u +#define USBFS_ep_1__INTC_PRIOR_REG CYREG_NVIC_PRI_0 +#define USBFS_ep_1__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_ep_1__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_ep_2 */ +#define USBFS_ep_2__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define USBFS_ep_2__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define USBFS_ep_2__INTC_MASK 0x02u +#define USBFS_ep_2__INTC_NUMBER 1u +#define USBFS_ep_2__INTC_PRIOR_NUM 7u +#define USBFS_ep_2__INTC_PRIOR_REG CYREG_NVIC_PRI_1 +#define USBFS_ep_2__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define USBFS_ep_2__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* USBFS_USB */ +#define USBFS_USB__ARB_CFG CYREG_USB_ARB_CFG +#define USBFS_USB__ARB_EP1_CFG CYREG_USB_ARB_EP1_CFG +#define USBFS_USB__ARB_EP1_INT_EN CYREG_USB_ARB_EP1_INT_EN +#define USBFS_USB__ARB_EP1_SR CYREG_USB_ARB_EP1_SR +#define USBFS_USB__ARB_EP2_CFG CYREG_USB_ARB_EP2_CFG +#define USBFS_USB__ARB_EP2_INT_EN CYREG_USB_ARB_EP2_INT_EN +#define USBFS_USB__ARB_EP2_SR CYREG_USB_ARB_EP2_SR +#define USBFS_USB__ARB_EP3_CFG CYREG_USB_ARB_EP3_CFG +#define USBFS_USB__ARB_EP3_INT_EN CYREG_USB_ARB_EP3_INT_EN +#define USBFS_USB__ARB_EP3_SR CYREG_USB_ARB_EP3_SR +#define USBFS_USB__ARB_EP4_CFG CYREG_USB_ARB_EP4_CFG +#define USBFS_USB__ARB_EP4_INT_EN CYREG_USB_ARB_EP4_INT_EN +#define USBFS_USB__ARB_EP4_SR CYREG_USB_ARB_EP4_SR +#define USBFS_USB__ARB_EP5_CFG CYREG_USB_ARB_EP5_CFG +#define USBFS_USB__ARB_EP5_INT_EN CYREG_USB_ARB_EP5_INT_EN +#define USBFS_USB__ARB_EP5_SR CYREG_USB_ARB_EP5_SR +#define USBFS_USB__ARB_EP6_CFG CYREG_USB_ARB_EP6_CFG +#define USBFS_USB__ARB_EP6_INT_EN CYREG_USB_ARB_EP6_INT_EN +#define USBFS_USB__ARB_EP6_SR CYREG_USB_ARB_EP6_SR +#define USBFS_USB__ARB_EP7_CFG CYREG_USB_ARB_EP7_CFG +#define USBFS_USB__ARB_EP7_INT_EN CYREG_USB_ARB_EP7_INT_EN +#define USBFS_USB__ARB_EP7_SR CYREG_USB_ARB_EP7_SR +#define USBFS_USB__ARB_EP8_CFG CYREG_USB_ARB_EP8_CFG +#define USBFS_USB__ARB_EP8_INT_EN CYREG_USB_ARB_EP8_INT_EN +#define USBFS_USB__ARB_EP8_SR CYREG_USB_ARB_EP8_SR +#define USBFS_USB__ARB_INT_EN CYREG_USB_ARB_INT_EN +#define USBFS_USB__ARB_INT_SR CYREG_USB_ARB_INT_SR +#define USBFS_USB__ARB_RW1_DR CYREG_USB_ARB_RW1_DR +#define USBFS_USB__ARB_RW1_RA CYREG_USB_ARB_RW1_RA +#define USBFS_USB__ARB_RW1_RA_MSB CYREG_USB_ARB_RW1_RA_MSB +#define USBFS_USB__ARB_RW1_WA CYREG_USB_ARB_RW1_WA +#define USBFS_USB__ARB_RW1_WA_MSB CYREG_USB_ARB_RW1_WA_MSB +#define USBFS_USB__ARB_RW2_DR CYREG_USB_ARB_RW2_DR +#define USBFS_USB__ARB_RW2_RA CYREG_USB_ARB_RW2_RA +#define USBFS_USB__ARB_RW2_RA_MSB CYREG_USB_ARB_RW2_RA_MSB +#define USBFS_USB__ARB_RW2_WA CYREG_USB_ARB_RW2_WA +#define USBFS_USB__ARB_RW2_WA_MSB CYREG_USB_ARB_RW2_WA_MSB +#define USBFS_USB__ARB_RW3_DR CYREG_USB_ARB_RW3_DR +#define USBFS_USB__ARB_RW3_RA CYREG_USB_ARB_RW3_RA +#define USBFS_USB__ARB_RW3_RA_MSB CYREG_USB_ARB_RW3_RA_MSB +#define USBFS_USB__ARB_RW3_WA CYREG_USB_ARB_RW3_WA +#define USBFS_USB__ARB_RW3_WA_MSB CYREG_USB_ARB_RW3_WA_MSB +#define USBFS_USB__ARB_RW4_DR CYREG_USB_ARB_RW4_DR +#define USBFS_USB__ARB_RW4_RA CYREG_USB_ARB_RW4_RA +#define USBFS_USB__ARB_RW4_RA_MSB CYREG_USB_ARB_RW4_RA_MSB +#define USBFS_USB__ARB_RW4_WA CYREG_USB_ARB_RW4_WA +#define USBFS_USB__ARB_RW4_WA_MSB CYREG_USB_ARB_RW4_WA_MSB +#define USBFS_USB__ARB_RW5_DR CYREG_USB_ARB_RW5_DR +#define USBFS_USB__ARB_RW5_RA CYREG_USB_ARB_RW5_RA +#define USBFS_USB__ARB_RW5_RA_MSB CYREG_USB_ARB_RW5_RA_MSB +#define USBFS_USB__ARB_RW5_WA CYREG_USB_ARB_RW5_WA +#define USBFS_USB__ARB_RW5_WA_MSB CYREG_USB_ARB_RW5_WA_MSB +#define USBFS_USB__ARB_RW6_DR CYREG_USB_ARB_RW6_DR +#define USBFS_USB__ARB_RW6_RA CYREG_USB_ARB_RW6_RA +#define USBFS_USB__ARB_RW6_RA_MSB CYREG_USB_ARB_RW6_RA_MSB +#define USBFS_USB__ARB_RW6_WA CYREG_USB_ARB_RW6_WA +#define USBFS_USB__ARB_RW6_WA_MSB CYREG_USB_ARB_RW6_WA_MSB +#define USBFS_USB__ARB_RW7_DR CYREG_USB_ARB_RW7_DR +#define USBFS_USB__ARB_RW7_RA CYREG_USB_ARB_RW7_RA +#define USBFS_USB__ARB_RW7_RA_MSB CYREG_USB_ARB_RW7_RA_MSB +#define USBFS_USB__ARB_RW7_WA CYREG_USB_ARB_RW7_WA +#define USBFS_USB__ARB_RW7_WA_MSB CYREG_USB_ARB_RW7_WA_MSB +#define USBFS_USB__ARB_RW8_DR CYREG_USB_ARB_RW8_DR +#define USBFS_USB__ARB_RW8_RA CYREG_USB_ARB_RW8_RA +#define USBFS_USB__ARB_RW8_RA_MSB CYREG_USB_ARB_RW8_RA_MSB +#define USBFS_USB__ARB_RW8_WA CYREG_USB_ARB_RW8_WA +#define USBFS_USB__ARB_RW8_WA_MSB CYREG_USB_ARB_RW8_WA_MSB +#define USBFS_USB__BUF_SIZE CYREG_USB_BUF_SIZE +#define USBFS_USB__BUS_RST_CNT CYREG_USB_BUS_RST_CNT +#define USBFS_USB__CR0 CYREG_USB_CR0 +#define USBFS_USB__CR1 CYREG_USB_CR1 +#define USBFS_USB__CWA CYREG_USB_CWA +#define USBFS_USB__CWA_MSB CYREG_USB_CWA_MSB +#define USBFS_USB__DMA_THRES CYREG_USB_DMA_THRES +#define USBFS_USB__DMA_THRES_MSB CYREG_USB_DMA_THRES_MSB +#define USBFS_USB__DYN_RECONFIG CYREG_USB_DYN_RECONFIG +#define USBFS_USB__EP0_CNT CYREG_USB_EP0_CNT +#define USBFS_USB__EP0_CR CYREG_USB_EP0_CR +#define USBFS_USB__EP0_DR0 CYREG_USB_EP0_DR0 +#define USBFS_USB__EP0_DR1 CYREG_USB_EP0_DR1 +#define USBFS_USB__EP0_DR2 CYREG_USB_EP0_DR2 +#define USBFS_USB__EP0_DR3 CYREG_USB_EP0_DR3 +#define USBFS_USB__EP0_DR4 CYREG_USB_EP0_DR4 +#define USBFS_USB__EP0_DR5 CYREG_USB_EP0_DR5 +#define USBFS_USB__EP0_DR6 CYREG_USB_EP0_DR6 +#define USBFS_USB__EP0_DR7 CYREG_USB_EP0_DR7 +#define USBFS_USB__EP_ACTIVE CYREG_USB_EP_ACTIVE +#define USBFS_USB__EP_TYPE CYREG_USB_EP_TYPE +#define USBFS_USB__MEM_DATA CYREG_USB_MEM_DATA_MBASE +#define USBFS_USB__PM_ACT_CFG CYREG_PM_ACT_CFG5 +#define USBFS_USB__PM_ACT_MSK 0x01u +#define USBFS_USB__PM_STBY_CFG CYREG_PM_STBY_CFG5 +#define USBFS_USB__PM_STBY_MSK 0x01u +#define USBFS_USB__SIE_EP1_CNT0 CYREG_USB_SIE_EP1_CNT0 +#define USBFS_USB__SIE_EP1_CNT1 CYREG_USB_SIE_EP1_CNT1 +#define USBFS_USB__SIE_EP1_CR0 CYREG_USB_SIE_EP1_CR0 +#define USBFS_USB__SIE_EP2_CNT0 CYREG_USB_SIE_EP2_CNT0 +#define USBFS_USB__SIE_EP2_CNT1 CYREG_USB_SIE_EP2_CNT1 +#define USBFS_USB__SIE_EP2_CR0 CYREG_USB_SIE_EP2_CR0 +#define USBFS_USB__SIE_EP3_CNT0 CYREG_USB_SIE_EP3_CNT0 +#define USBFS_USB__SIE_EP3_CNT1 CYREG_USB_SIE_EP3_CNT1 +#define USBFS_USB__SIE_EP3_CR0 CYREG_USB_SIE_EP3_CR0 +#define USBFS_USB__SIE_EP4_CNT0 CYREG_USB_SIE_EP4_CNT0 +#define USBFS_USB__SIE_EP4_CNT1 CYREG_USB_SIE_EP4_CNT1 +#define USBFS_USB__SIE_EP4_CR0 CYREG_USB_SIE_EP4_CR0 +#define USBFS_USB__SIE_EP5_CNT0 CYREG_USB_SIE_EP5_CNT0 +#define USBFS_USB__SIE_EP5_CNT1 CYREG_USB_SIE_EP5_CNT1 +#define USBFS_USB__SIE_EP5_CR0 CYREG_USB_SIE_EP5_CR0 +#define USBFS_USB__SIE_EP6_CNT0 CYREG_USB_SIE_EP6_CNT0 +#define USBFS_USB__SIE_EP6_CNT1 CYREG_USB_SIE_EP6_CNT1 +#define USBFS_USB__SIE_EP6_CR0 CYREG_USB_SIE_EP6_CR0 +#define USBFS_USB__SIE_EP7_CNT0 CYREG_USB_SIE_EP7_CNT0 +#define USBFS_USB__SIE_EP7_CNT1 CYREG_USB_SIE_EP7_CNT1 +#define USBFS_USB__SIE_EP7_CR0 CYREG_USB_SIE_EP7_CR0 +#define USBFS_USB__SIE_EP8_CNT0 CYREG_USB_SIE_EP8_CNT0 +#define USBFS_USB__SIE_EP8_CNT1 CYREG_USB_SIE_EP8_CNT1 +#define USBFS_USB__SIE_EP8_CR0 CYREG_USB_SIE_EP8_CR0 +#define USBFS_USB__SIE_EP_INT_EN CYREG_USB_SIE_EP_INT_EN +#define USBFS_USB__SIE_EP_INT_SR CYREG_USB_SIE_EP_INT_SR +#define USBFS_USB__SOF0 CYREG_USB_SOF0 +#define USBFS_USB__SOF1 CYREG_USB_SOF1 +#define USBFS_USB__USBIO_CR0 CYREG_USB_USBIO_CR0 +#define USBFS_USB__USBIO_CR1 CYREG_USB_USBIO_CR1 +#define USBFS_USB__USB_CLK_EN CYREG_USB_USB_CLK_EN + +/* SCSI_Out */ +#define SCSI_Out__0__AG CYREG_PRT4_AG +#define SCSI_Out__0__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__0__BIE CYREG_PRT4_BIE +#define SCSI_Out__0__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__0__BYP CYREG_PRT4_BYP +#define SCSI_Out__0__CTL CYREG_PRT4_CTL +#define SCSI_Out__0__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__0__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__0__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__0__DR CYREG_PRT4_DR +#define SCSI_Out__0__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__0__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__0__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__0__MASK 0x08u +#define SCSI_Out__0__PC CYREG_PRT4_PC3 +#define SCSI_Out__0__PORT 4u +#define SCSI_Out__0__PRT CYREG_PRT4_PRT +#define SCSI_Out__0__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__0__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__0__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__0__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__0__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__0__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__0__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__0__PS CYREG_PRT4_PS +#define SCSI_Out__0__SHIFT 3 +#define SCSI_Out__0__SLW CYREG_PRT4_SLW +#define SCSI_Out__1__AG CYREG_PRT4_AG +#define SCSI_Out__1__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__1__BIE CYREG_PRT4_BIE +#define SCSI_Out__1__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__1__BYP CYREG_PRT4_BYP +#define SCSI_Out__1__CTL CYREG_PRT4_CTL +#define SCSI_Out__1__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__1__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__1__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__1__DR CYREG_PRT4_DR +#define SCSI_Out__1__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__1__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__1__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__1__MASK 0x04u +#define SCSI_Out__1__PC CYREG_PRT4_PC2 +#define SCSI_Out__1__PORT 4u +#define SCSI_Out__1__PRT CYREG_PRT4_PRT +#define SCSI_Out__1__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__1__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__1__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__1__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__1__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__1__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__1__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__1__PS CYREG_PRT4_PS +#define SCSI_Out__1__SHIFT 2 +#define SCSI_Out__1__SLW CYREG_PRT4_SLW +#define SCSI_Out__2__AG CYREG_PRT0_AG +#define SCSI_Out__2__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__2__BIE CYREG_PRT0_BIE +#define SCSI_Out__2__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__2__BYP CYREG_PRT0_BYP +#define SCSI_Out__2__CTL CYREG_PRT0_CTL +#define SCSI_Out__2__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__2__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__2__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__2__DR CYREG_PRT0_DR +#define SCSI_Out__2__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__2__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__2__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__2__MASK 0x80u +#define SCSI_Out__2__PC CYREG_PRT0_PC7 +#define SCSI_Out__2__PORT 0u +#define SCSI_Out__2__PRT CYREG_PRT0_PRT +#define SCSI_Out__2__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__2__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__2__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__2__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__2__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__2__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__2__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__2__PS CYREG_PRT0_PS +#define SCSI_Out__2__SHIFT 7 +#define SCSI_Out__2__SLW CYREG_PRT0_SLW +#define SCSI_Out__3__AG CYREG_PRT0_AG +#define SCSI_Out__3__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__3__BIE CYREG_PRT0_BIE +#define SCSI_Out__3__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__3__BYP CYREG_PRT0_BYP +#define SCSI_Out__3__CTL CYREG_PRT0_CTL +#define SCSI_Out__3__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__3__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__3__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__3__DR CYREG_PRT0_DR +#define SCSI_Out__3__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__3__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__3__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__3__MASK 0x40u +#define SCSI_Out__3__PC CYREG_PRT0_PC6 +#define SCSI_Out__3__PORT 0u +#define SCSI_Out__3__PRT CYREG_PRT0_PRT +#define SCSI_Out__3__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__3__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__3__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__3__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__3__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__3__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__3__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__3__PS CYREG_PRT0_PS +#define SCSI_Out__3__SHIFT 6 +#define SCSI_Out__3__SLW CYREG_PRT0_SLW +#define SCSI_Out__4__AG CYREG_PRT0_AG +#define SCSI_Out__4__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__4__BIE CYREG_PRT0_BIE +#define SCSI_Out__4__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__4__BYP CYREG_PRT0_BYP +#define SCSI_Out__4__CTL CYREG_PRT0_CTL +#define SCSI_Out__4__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__4__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__4__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__4__DR CYREG_PRT0_DR +#define SCSI_Out__4__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__4__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__4__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__4__MASK 0x20u +#define SCSI_Out__4__PC CYREG_PRT0_PC5 +#define SCSI_Out__4__PORT 0u +#define SCSI_Out__4__PRT CYREG_PRT0_PRT +#define SCSI_Out__4__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__4__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__4__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__4__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__4__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__4__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__4__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__4__PS CYREG_PRT0_PS +#define SCSI_Out__4__SHIFT 5 +#define SCSI_Out__4__SLW CYREG_PRT0_SLW +#define SCSI_Out__5__AG CYREG_PRT0_AG +#define SCSI_Out__5__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__5__BIE CYREG_PRT0_BIE +#define SCSI_Out__5__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__5__BYP CYREG_PRT0_BYP +#define SCSI_Out__5__CTL CYREG_PRT0_CTL +#define SCSI_Out__5__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__5__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__5__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__5__DR CYREG_PRT0_DR +#define SCSI_Out__5__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__5__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__5__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__5__MASK 0x10u +#define SCSI_Out__5__PC CYREG_PRT0_PC4 +#define SCSI_Out__5__PORT 0u +#define SCSI_Out__5__PRT CYREG_PRT0_PRT +#define SCSI_Out__5__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__5__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__5__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__5__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__5__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__5__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__5__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__5__PS CYREG_PRT0_PS +#define SCSI_Out__5__SHIFT 4 +#define SCSI_Out__5__SLW CYREG_PRT0_SLW +#define SCSI_Out__6__AG CYREG_PRT0_AG +#define SCSI_Out__6__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__6__BIE CYREG_PRT0_BIE +#define SCSI_Out__6__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__6__BYP CYREG_PRT0_BYP +#define SCSI_Out__6__CTL CYREG_PRT0_CTL +#define SCSI_Out__6__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__6__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__6__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__6__DR CYREG_PRT0_DR +#define SCSI_Out__6__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__6__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__6__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__6__MASK 0x08u +#define SCSI_Out__6__PC CYREG_PRT0_PC3 +#define SCSI_Out__6__PORT 0u +#define SCSI_Out__6__PRT CYREG_PRT0_PRT +#define SCSI_Out__6__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__6__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__6__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__6__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__6__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__6__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__6__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__6__PS CYREG_PRT0_PS +#define SCSI_Out__6__SHIFT 3 +#define SCSI_Out__6__SLW CYREG_PRT0_SLW +#define SCSI_Out__7__AG CYREG_PRT0_AG +#define SCSI_Out__7__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__7__BIE CYREG_PRT0_BIE +#define SCSI_Out__7__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__7__BYP CYREG_PRT0_BYP +#define SCSI_Out__7__CTL CYREG_PRT0_CTL +#define SCSI_Out__7__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__7__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__7__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__7__DR CYREG_PRT0_DR +#define SCSI_Out__7__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__7__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__7__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__7__MASK 0x04u +#define SCSI_Out__7__PC CYREG_PRT0_PC2 +#define SCSI_Out__7__PORT 0u +#define SCSI_Out__7__PRT CYREG_PRT0_PRT +#define SCSI_Out__7__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__7__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__7__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__7__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__7__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__7__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__7__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__7__PS CYREG_PRT0_PS +#define SCSI_Out__7__SHIFT 2 +#define SCSI_Out__7__SLW CYREG_PRT0_SLW +#define SCSI_Out__8__AG CYREG_PRT0_AG +#define SCSI_Out__8__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__8__BIE CYREG_PRT0_BIE +#define SCSI_Out__8__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__8__BYP CYREG_PRT0_BYP +#define SCSI_Out__8__CTL CYREG_PRT0_CTL +#define SCSI_Out__8__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__8__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__8__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__8__DR CYREG_PRT0_DR +#define SCSI_Out__8__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__8__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__8__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__8__MASK 0x02u +#define SCSI_Out__8__PC CYREG_PRT0_PC1 +#define SCSI_Out__8__PORT 0u +#define SCSI_Out__8__PRT CYREG_PRT0_PRT +#define SCSI_Out__8__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__8__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__8__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__8__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__8__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__8__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__8__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__8__PS CYREG_PRT0_PS +#define SCSI_Out__8__SHIFT 1 +#define SCSI_Out__8__SLW CYREG_PRT0_SLW +#define SCSI_Out__9__AG CYREG_PRT0_AG +#define SCSI_Out__9__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__9__BIE CYREG_PRT0_BIE +#define SCSI_Out__9__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__9__BYP CYREG_PRT0_BYP +#define SCSI_Out__9__CTL CYREG_PRT0_CTL +#define SCSI_Out__9__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__9__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__9__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__9__DR CYREG_PRT0_DR +#define SCSI_Out__9__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__9__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__9__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__9__MASK 0x01u +#define SCSI_Out__9__PC CYREG_PRT0_PC0 +#define SCSI_Out__9__PORT 0u +#define SCSI_Out__9__PRT CYREG_PRT0_PRT +#define SCSI_Out__9__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__9__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__9__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__9__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__9__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__9__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__9__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__9__PS CYREG_PRT0_PS +#define SCSI_Out__9__SHIFT 0 +#define SCSI_Out__9__SLW CYREG_PRT0_SLW +#define SCSI_Out__ACK__AG CYREG_PRT0_AG +#define SCSI_Out__ACK__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__ACK__BIE CYREG_PRT0_BIE +#define SCSI_Out__ACK__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__ACK__BYP CYREG_PRT0_BYP +#define SCSI_Out__ACK__CTL CYREG_PRT0_CTL +#define SCSI_Out__ACK__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__ACK__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__ACK__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__ACK__DR CYREG_PRT0_DR +#define SCSI_Out__ACK__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__ACK__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__ACK__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__ACK__MASK 0x40u +#define SCSI_Out__ACK__PC CYREG_PRT0_PC6 +#define SCSI_Out__ACK__PORT 0u +#define SCSI_Out__ACK__PRT CYREG_PRT0_PRT +#define SCSI_Out__ACK__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__ACK__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__ACK__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__ACK__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__ACK__PS CYREG_PRT0_PS +#define SCSI_Out__ACK__SHIFT 6 +#define SCSI_Out__ACK__SLW CYREG_PRT0_SLW +#define SCSI_Out__ATN__AG CYREG_PRT4_AG +#define SCSI_Out__ATN__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__ATN__BIE CYREG_PRT4_BIE +#define SCSI_Out__ATN__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__ATN__BYP CYREG_PRT4_BYP +#define SCSI_Out__ATN__CTL CYREG_PRT4_CTL +#define SCSI_Out__ATN__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__ATN__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__ATN__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__ATN__DR CYREG_PRT4_DR +#define SCSI_Out__ATN__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__ATN__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__ATN__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__ATN__MASK 0x04u +#define SCSI_Out__ATN__PC CYREG_PRT4_PC2 +#define SCSI_Out__ATN__PORT 4u +#define SCSI_Out__ATN__PRT CYREG_PRT4_PRT +#define SCSI_Out__ATN__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__ATN__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__ATN__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__ATN__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__ATN__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__ATN__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__ATN__PS CYREG_PRT4_PS +#define SCSI_Out__ATN__SHIFT 2 +#define SCSI_Out__ATN__SLW CYREG_PRT4_SLW +#define SCSI_Out__BSY__AG CYREG_PRT0_AG +#define SCSI_Out__BSY__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__BSY__BIE CYREG_PRT0_BIE +#define SCSI_Out__BSY__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__BSY__BYP CYREG_PRT0_BYP +#define SCSI_Out__BSY__CTL CYREG_PRT0_CTL +#define SCSI_Out__BSY__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__BSY__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__BSY__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__BSY__DR CYREG_PRT0_DR +#define SCSI_Out__BSY__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__BSY__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__BSY__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__BSY__MASK 0x80u +#define SCSI_Out__BSY__PC CYREG_PRT0_PC7 +#define SCSI_Out__BSY__PORT 0u +#define SCSI_Out__BSY__PRT CYREG_PRT0_PRT +#define SCSI_Out__BSY__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__BSY__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__BSY__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__BSY__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__BSY__PS CYREG_PRT0_PS +#define SCSI_Out__BSY__SHIFT 7 +#define SCSI_Out__BSY__SLW CYREG_PRT0_SLW +#define SCSI_Out__CD__AG CYREG_PRT0_AG +#define SCSI_Out__CD__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__CD__BIE CYREG_PRT0_BIE +#define SCSI_Out__CD__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__CD__BYP CYREG_PRT0_BYP +#define SCSI_Out__CD__CTL CYREG_PRT0_CTL +#define SCSI_Out__CD__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__CD__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__CD__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__CD__DR CYREG_PRT0_DR +#define SCSI_Out__CD__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__CD__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__CD__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__CD__MASK 0x04u +#define SCSI_Out__CD__PC CYREG_PRT0_PC2 +#define SCSI_Out__CD__PORT 0u +#define SCSI_Out__CD__PRT CYREG_PRT0_PRT +#define SCSI_Out__CD__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__CD__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__CD__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__CD__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__CD__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__CD__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__CD__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__CD__PS CYREG_PRT0_PS +#define SCSI_Out__CD__SHIFT 2 +#define SCSI_Out__CD__SLW CYREG_PRT0_SLW +#define SCSI_Out__DBP_raw__AG CYREG_PRT4_AG +#define SCSI_Out__DBP_raw__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__DBP_raw__BIE CYREG_PRT4_BIE +#define SCSI_Out__DBP_raw__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__DBP_raw__BYP CYREG_PRT4_BYP +#define SCSI_Out__DBP_raw__CTL CYREG_PRT4_CTL +#define SCSI_Out__DBP_raw__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__DBP_raw__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__DBP_raw__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__DBP_raw__DR CYREG_PRT4_DR +#define SCSI_Out__DBP_raw__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__DBP_raw__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__DBP_raw__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__DBP_raw__MASK 0x08u +#define SCSI_Out__DBP_raw__PC CYREG_PRT4_PC3 +#define SCSI_Out__DBP_raw__PORT 4u +#define SCSI_Out__DBP_raw__PRT CYREG_PRT4_PRT +#define SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__DBP_raw__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__DBP_raw__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__DBP_raw__PS CYREG_PRT4_PS +#define SCSI_Out__DBP_raw__SHIFT 3 +#define SCSI_Out__DBP_raw__SLW CYREG_PRT4_SLW +#define SCSI_Out__IO_raw__AG CYREG_PRT0_AG +#define SCSI_Out__IO_raw__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__IO_raw__BIE CYREG_PRT0_BIE +#define SCSI_Out__IO_raw__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__IO_raw__BYP CYREG_PRT0_BYP +#define SCSI_Out__IO_raw__CTL CYREG_PRT0_CTL +#define SCSI_Out__IO_raw__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__IO_raw__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__IO_raw__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__IO_raw__DR CYREG_PRT0_DR +#define SCSI_Out__IO_raw__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__IO_raw__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__IO_raw__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__IO_raw__MASK 0x01u +#define SCSI_Out__IO_raw__PC CYREG_PRT0_PC0 +#define SCSI_Out__IO_raw__PORT 0u +#define SCSI_Out__IO_raw__PRT CYREG_PRT0_PRT +#define SCSI_Out__IO_raw__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__IO_raw__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__IO_raw__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__IO_raw__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__IO_raw__PS CYREG_PRT0_PS +#define SCSI_Out__IO_raw__SHIFT 0 +#define SCSI_Out__IO_raw__SLW CYREG_PRT0_SLW +#define SCSI_Out__MSG__AG CYREG_PRT0_AG +#define SCSI_Out__MSG__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__MSG__BIE CYREG_PRT0_BIE +#define SCSI_Out__MSG__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__MSG__BYP CYREG_PRT0_BYP +#define SCSI_Out__MSG__CTL CYREG_PRT0_CTL +#define SCSI_Out__MSG__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__MSG__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__MSG__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__MSG__DR CYREG_PRT0_DR +#define SCSI_Out__MSG__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__MSG__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__MSG__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__MSG__MASK 0x10u +#define SCSI_Out__MSG__PC CYREG_PRT0_PC4 +#define SCSI_Out__MSG__PORT 0u +#define SCSI_Out__MSG__PRT CYREG_PRT0_PRT +#define SCSI_Out__MSG__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__MSG__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__MSG__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__MSG__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__MSG__PS CYREG_PRT0_PS +#define SCSI_Out__MSG__SHIFT 4 +#define SCSI_Out__MSG__SLW CYREG_PRT0_SLW +#define SCSI_Out__REQ__AG CYREG_PRT0_AG +#define SCSI_Out__REQ__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__REQ__BIE CYREG_PRT0_BIE +#define SCSI_Out__REQ__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__REQ__BYP CYREG_PRT0_BYP +#define SCSI_Out__REQ__CTL CYREG_PRT0_CTL +#define SCSI_Out__REQ__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__REQ__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__REQ__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__REQ__DR CYREG_PRT0_DR +#define SCSI_Out__REQ__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__REQ__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__REQ__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__REQ__MASK 0x02u +#define SCSI_Out__REQ__PC CYREG_PRT0_PC1 +#define SCSI_Out__REQ__PORT 0u +#define SCSI_Out__REQ__PRT CYREG_PRT0_PRT +#define SCSI_Out__REQ__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__REQ__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__REQ__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__REQ__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__REQ__PS CYREG_PRT0_PS +#define SCSI_Out__REQ__SHIFT 1 +#define SCSI_Out__REQ__SLW CYREG_PRT0_SLW +#define SCSI_Out__RST__AG CYREG_PRT0_AG +#define SCSI_Out__RST__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__RST__BIE CYREG_PRT0_BIE +#define SCSI_Out__RST__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__RST__BYP CYREG_PRT0_BYP +#define SCSI_Out__RST__CTL CYREG_PRT0_CTL +#define SCSI_Out__RST__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__RST__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__RST__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__RST__DR CYREG_PRT0_DR +#define SCSI_Out__RST__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__RST__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__RST__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__RST__MASK 0x20u +#define SCSI_Out__RST__PC CYREG_PRT0_PC5 +#define SCSI_Out__RST__PORT 0u +#define SCSI_Out__RST__PRT CYREG_PRT0_PRT +#define SCSI_Out__RST__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__RST__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__RST__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__RST__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__RST__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__RST__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__RST__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__RST__PS CYREG_PRT0_PS +#define SCSI_Out__RST__SHIFT 5 +#define SCSI_Out__RST__SLW CYREG_PRT0_SLW +#define SCSI_Out__SEL__AG CYREG_PRT0_AG +#define SCSI_Out__SEL__AMUX CYREG_PRT0_AMUX +#define SCSI_Out__SEL__BIE CYREG_PRT0_BIE +#define SCSI_Out__SEL__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out__SEL__BYP CYREG_PRT0_BYP +#define SCSI_Out__SEL__CTL CYREG_PRT0_CTL +#define SCSI_Out__SEL__DM0 CYREG_PRT0_DM0 +#define SCSI_Out__SEL__DM1 CYREG_PRT0_DM1 +#define SCSI_Out__SEL__DM2 CYREG_PRT0_DM2 +#define SCSI_Out__SEL__DR CYREG_PRT0_DR +#define SCSI_Out__SEL__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out__SEL__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out__SEL__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out__SEL__MASK 0x08u +#define SCSI_Out__SEL__PC CYREG_PRT0_PC3 +#define SCSI_Out__SEL__PORT 0u +#define SCSI_Out__SEL__PRT CYREG_PRT0_PRT +#define SCSI_Out__SEL__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out__SEL__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out__SEL__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out__SEL__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out__SEL__PS CYREG_PRT0_PS +#define SCSI_Out__SEL__SHIFT 3 +#define SCSI_Out__SEL__SLW CYREG_PRT0_SLW + +/* USBFS_Dm */ +#define USBFS_Dm__0__MASK 0x80u +#define USBFS_Dm__0__PC CYREG_IO_PC_PRT15_7_6_PC1 +#define USBFS_Dm__0__PORT 15u +#define USBFS_Dm__0__SHIFT 7 +#define USBFS_Dm__AG CYREG_PRT15_AG +#define USBFS_Dm__AMUX CYREG_PRT15_AMUX +#define USBFS_Dm__BIE CYREG_PRT15_BIE +#define USBFS_Dm__BIT_MASK CYREG_PRT15_BIT_MASK +#define USBFS_Dm__BYP CYREG_PRT15_BYP +#define USBFS_Dm__CTL CYREG_PRT15_CTL +#define USBFS_Dm__DM0 CYREG_PRT15_DM0 +#define USBFS_Dm__DM1 CYREG_PRT15_DM1 +#define USBFS_Dm__DM2 CYREG_PRT15_DM2 +#define USBFS_Dm__DR CYREG_PRT15_DR +#define USBFS_Dm__INP_DIS CYREG_PRT15_INP_DIS +#define USBFS_Dm__LCD_COM_SEG CYREG_PRT15_LCD_COM_SEG +#define USBFS_Dm__LCD_EN CYREG_PRT15_LCD_EN +#define USBFS_Dm__MASK 0x80u +#define USBFS_Dm__PORT 15u +#define USBFS_Dm__PRT CYREG_PRT15_PRT +#define USBFS_Dm__PRTDSI__CAPS_SEL CYREG_PRT15_CAPS_SEL +#define USBFS_Dm__PRTDSI__DBL_SYNC_IN CYREG_PRT15_DBL_SYNC_IN +#define USBFS_Dm__PRTDSI__OE_SEL0 CYREG_PRT15_OE_SEL0 +#define USBFS_Dm__PRTDSI__OE_SEL1 CYREG_PRT15_OE_SEL1 +#define USBFS_Dm__PRTDSI__OUT_SEL0 CYREG_PRT15_OUT_SEL0 +#define USBFS_Dm__PRTDSI__OUT_SEL1 CYREG_PRT15_OUT_SEL1 +#define USBFS_Dm__PRTDSI__SYNC_OUT CYREG_PRT15_SYNC_OUT +#define USBFS_Dm__PS CYREG_PRT15_PS +#define USBFS_Dm__SHIFT 7 +#define USBFS_Dm__SLW CYREG_PRT15_SLW + +/* USBFS_Dp */ +#define USBFS_Dp__0__MASK 0x40u +#define USBFS_Dp__0__PC CYREG_IO_PC_PRT15_7_6_PC0 +#define USBFS_Dp__0__PORT 15u +#define USBFS_Dp__0__SHIFT 6 +#define USBFS_Dp__AG CYREG_PRT15_AG +#define USBFS_Dp__AMUX CYREG_PRT15_AMUX +#define USBFS_Dp__BIE CYREG_PRT15_BIE +#define USBFS_Dp__BIT_MASK CYREG_PRT15_BIT_MASK +#define USBFS_Dp__BYP CYREG_PRT15_BYP +#define USBFS_Dp__CTL CYREG_PRT15_CTL +#define USBFS_Dp__DM0 CYREG_PRT15_DM0 +#define USBFS_Dp__DM1 CYREG_PRT15_DM1 +#define USBFS_Dp__DM2 CYREG_PRT15_DM2 +#define USBFS_Dp__DR CYREG_PRT15_DR +#define USBFS_Dp__INP_DIS CYREG_PRT15_INP_DIS +#define USBFS_Dp__INTSTAT CYREG_PICU15_INTSTAT +#define USBFS_Dp__LCD_COM_SEG CYREG_PRT15_LCD_COM_SEG +#define USBFS_Dp__LCD_EN CYREG_PRT15_LCD_EN +#define USBFS_Dp__MASK 0x40u +#define USBFS_Dp__PORT 15u +#define USBFS_Dp__PRT CYREG_PRT15_PRT +#define USBFS_Dp__PRTDSI__CAPS_SEL CYREG_PRT15_CAPS_SEL +#define USBFS_Dp__PRTDSI__DBL_SYNC_IN CYREG_PRT15_DBL_SYNC_IN +#define USBFS_Dp__PRTDSI__OE_SEL0 CYREG_PRT15_OE_SEL0 +#define USBFS_Dp__PRTDSI__OE_SEL1 CYREG_PRT15_OE_SEL1 +#define USBFS_Dp__PRTDSI__OUT_SEL0 CYREG_PRT15_OUT_SEL0 +#define USBFS_Dp__PRTDSI__OUT_SEL1 CYREG_PRT15_OUT_SEL1 +#define USBFS_Dp__PRTDSI__SYNC_OUT CYREG_PRT15_SYNC_OUT +#define USBFS_Dp__PS CYREG_PRT15_PS +#define USBFS_Dp__SHIFT 6 +#define USBFS_Dp__SLW CYREG_PRT15_SLW +#define USBFS_Dp__SNAP CYREG_PICU_15_SNAP_15 + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +#define CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO 0 +#define CYDEV_DEBUGGING_DPS_SWD_SWV 6 +#define CYDEV_CONFIG_UNUSED_IO_AllowButWarn 0 +#define CYDEV_CONFIGURATION_MODE_COMPRESSED 0 +#define CYDEV_CONFIG_FASTBOOT_ENABLED 1 +#define CYDEV_CHIP_REV_PSOC5LP_PRODUCTION 0u +#define CYDEV_CHIP_REVISION_5B_PRODUCTION 0u +#define CYDEV_CHIP_MEMBER_5B 4u +#define CYDEV_CHIP_FAMILY_PSOC5 3u +#define CYDEV_CHIP_DIE_PSOC5LP 4u +#define CYDEV_CHIP_DIE_EXPECT CYDEV_CHIP_DIE_PSOC5LP +#define CYDEV_BOOTLOADER_IO_COMP_USBFS 1 +#define BCLK__BUS_CLK__HZ 64000000U +#define BCLK__BUS_CLK__KHZ 64000U +#define BCLK__BUS_CLK__MHZ 64U +#define CYDEV_BOOTLOADER_APPLICATIONS 1u +#define CYDEV_BOOTLOADER_CHECKSUM_BASIC 0 +#define CYDEV_BOOTLOADER_CHECKSUM_CRC 1 +#define CYDEV_BOOTLOADER_IO_COMP CYDEV_BOOTLOADER_IO_COMP_USBFS +#define CYDEV_CHIP_DIE_ACTUAL CYDEV_CHIP_DIE_EXPECT +#define CYDEV_CHIP_DIE_LEOPARD 1u +#define CYDEV_CHIP_DIE_PANTHER 3u +#define CYDEV_CHIP_DIE_PSOC4A 2u +#define CYDEV_CHIP_DIE_UNKNOWN 0u +#define CYDEV_CHIP_FAMILY_PSOC3 1u +#define CYDEV_CHIP_FAMILY_PSOC4 2u +#define CYDEV_CHIP_FAMILY_UNKNOWN 0u +#define CYDEV_CHIP_FAMILY_USED CYDEV_CHIP_FAMILY_PSOC5 +#define CYDEV_CHIP_JTAG_ID 0x2E133069u +#define CYDEV_CHIP_MEMBER_3A 1u +#define CYDEV_CHIP_MEMBER_4A 2u +#define CYDEV_CHIP_MEMBER_5A 3u +#define CYDEV_CHIP_MEMBER_UNKNOWN 0u +#define CYDEV_CHIP_MEMBER_USED CYDEV_CHIP_MEMBER_5B +#define CYDEV_CHIP_REVISION_3A_ES1 0u +#define CYDEV_CHIP_REVISION_3A_ES2 1u +#define CYDEV_CHIP_REVISION_3A_ES3 3u +#define CYDEV_CHIP_REVISION_3A_PRODUCTION 3u +#define CYDEV_CHIP_REVISION_4A_ES0 17u +#define CYDEV_CHIP_REVISION_4A_PRODUCTION 17u +#define CYDEV_CHIP_REVISION_5A_ES0 0u +#define CYDEV_CHIP_REVISION_5A_ES1 1u +#define CYDEV_CHIP_REVISION_5A_PRODUCTION 1u +#define CYDEV_CHIP_REVISION_5B_ES0 0u +#define CYDEV_CHIP_REVISION_USED CYDEV_CHIP_REVISION_5B_PRODUCTION +#define CYDEV_CHIP_REV_EXPECT CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +#define CYDEV_CHIP_REV_LEOPARD_ES1 0u +#define CYDEV_CHIP_REV_LEOPARD_ES2 1u +#define CYDEV_CHIP_REV_LEOPARD_ES3 3u +#define CYDEV_CHIP_REV_LEOPARD_PRODUCTION 3u +#define CYDEV_CHIP_REV_PANTHER_ES0 0u +#define CYDEV_CHIP_REV_PANTHER_ES1 1u +#define CYDEV_CHIP_REV_PANTHER_PRODUCTION 1u +#define CYDEV_CHIP_REV_PSOC4A_ES0 17u +#define CYDEV_CHIP_REV_PSOC4A_PRODUCTION 17u +#define CYDEV_CHIP_REV_PSOC5LP_ES0 0u +#define CYDEV_CONFIGURATION_COMPRESSED 1 +#define CYDEV_CONFIGURATION_DMA 0 +#define CYDEV_CONFIGURATION_ECC 0 +#define CYDEV_CONFIGURATION_IMOENABLED CYDEV_CONFIG_FASTBOOT_ENABLED +#define CYDEV_CONFIGURATION_MODE CYDEV_CONFIGURATION_MODE_COMPRESSED +#define CYDEV_CONFIGURATION_MODE_DMA 2 +#define CYDEV_CONFIGURATION_MODE_UNCOMPRESSED 1 +#define CYDEV_CONFIG_UNUSED_IO CYDEV_CONFIG_UNUSED_IO_AllowButWarn +#define CYDEV_CONFIG_UNUSED_IO_AllowWithInfo 1 +#define CYDEV_CONFIG_UNUSED_IO_Disallowed 2 +#define CYDEV_DEBUGGING_DPS CYDEV_DEBUGGING_DPS_SWD_SWV +#define CYDEV_DEBUGGING_DPS_Disable 3 +#define CYDEV_DEBUGGING_DPS_JTAG_4 1 +#define CYDEV_DEBUGGING_DPS_JTAG_5 0 +#define CYDEV_DEBUGGING_DPS_SWD 2 +#define CYDEV_DEBUGGING_ENABLE 1 +#define CYDEV_DEBUGGING_XRES 0 +#define CYDEV_DEBUG_ENABLE_MASK 0x20u +#define CYDEV_DEBUG_ENABLE_REGISTER CYREG_MLOGIC_DEBUG +#define CYDEV_DMA_CHANNELS_AVAILABLE 24u +#define CYDEV_ECC_ENABLE 0 +#define CYDEV_HEAP_SIZE 0x0800 +#define CYDEV_INSTRUCT_CACHE_ENABLED 1 +#define CYDEV_INTR_RISING 0x00000000u +#define CYDEV_PROJ_TYPE 1 +#define CYDEV_PROJ_TYPE_BOOTLOADER 1 +#define CYDEV_PROJ_TYPE_LOADABLE 2 +#define CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER 3 +#define CYDEV_PROJ_TYPE_STANDARD 0 +#define CYDEV_PROTECTION_ENABLE 0 +#define CYDEV_STACK_SIZE 0x2000 +#define CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP +#define CYDEV_USE_BUNDLED_CMSIS 1 +#define CYDEV_VARIABLE_VDDA 0 +#define CYDEV_VDDA 5.0 +#define CYDEV_VDDA_MV 5000 +#define CYDEV_VDDD 5.0 +#define CYDEV_VDDD_MV 5000 +#define CYDEV_VDDIO0 5.0 +#define CYDEV_VDDIO0_MV 5000 +#define CYDEV_VDDIO1 5.0 +#define CYDEV_VDDIO1_MV 5000 +#define CYDEV_VDDIO2 5.0 +#define CYDEV_VDDIO2_MV 5000 +#define CYDEV_VDDIO3 5.0 +#define CYDEV_VDDIO3_MV 5000 +#define CYDEV_VIO0 5 +#define CYDEV_VIO0_MV 5000 +#define CYDEV_VIO1 5 +#define CYDEV_VIO1_MV 5000 +#define CYDEV_VIO2 5 +#define CYDEV_VIO2_MV 5000 +#define CYDEV_VIO3 5 +#define CYDEV_VIO3_MV 5000 +#define CyBtldr_Custom_Interface CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +#define CyBtldr_USBFS CYDEV_BOOTLOADER_IO_COMP_USBFS +#define DMA_CHANNELS_USED__MASK0 0x00000000u +#define CYDEV_BOOTLOADER_ENABLE 1 + +#endif /* INCLUDED_CYFITTER_H */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c new file mode 100644 index 0000000..090e521 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c @@ -0,0 +1,429 @@ +/******************************************************************************* +* FILENAME: cyfitter_cfg.c +* PSoC Creator 3.0 +* +* Description: +* This file is automatically generated by PSoC Creator with device +* initialization code. Except for the user defined sections in +* CyClockStartupError(), this file should not be modified. +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include +#include +#include +#include +#include +#include + +#define CY_NEED_CYCLOCKSTARTUPERROR 1 + + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) + #define CYPACKED + #define CYPACKED_ATTR __attribute__ ((packed)) + #define CYALIGNED __attribute__ ((aligned)) + #define CY_CFG_UNUSED __attribute__ ((unused)) + #define CY_CFG_SECTION __attribute__ ((section(".psocinit"))) + + #if defined(__ARMCC_VERSION) + #define CY_CFG_MEMORY_BARRIER() __memory_changed() + #else + #define CY_CFG_MEMORY_BARRIER() __sync_synchronize() + #endif + +#elif defined(__ICCARM__) + #include + + #define CYPACKED __packed + #define CYPACKED_ATTR + #define CYALIGNED _Pragma("data_alignment=4") + #define CY_CFG_UNUSED _Pragma("diag_suppress=Pe177") + #define CY_CFG_SECTION _Pragma("location=\".psocinit\"") + + #define CY_CFG_MEMORY_BARRIER() __DMB() + +#else + #error Unsupported toolchain +#endif + + +CY_CFG_UNUSED +static void CYMEMZERO(void *s, size_t n); +CY_CFG_UNUSED +static void CYMEMZERO(void *s, size_t n) +{ + (void)memset(s, 0, n); +} +CY_CFG_UNUSED +static void CYCONFIGCPY(void *dest, const void *src, size_t n); +CY_CFG_UNUSED +static void CYCONFIGCPY(void *dest, const void *src, size_t n) +{ + (void)memcpy(dest, src, n); +} +CY_CFG_UNUSED +static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n); +CY_CFG_UNUSED +static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n) +{ + (void)memcpy(dest, src, n); +} + + + +/* Clock startup error codes */ +#define CYCLOCKSTART_NO_ERROR 0u +#define CYCLOCKSTART_XTAL_ERROR 1u +#define CYCLOCKSTART_32KHZ_ERROR 2u +#define CYCLOCKSTART_PLL_ERROR 3u + +#ifdef CY_NEED_CYCLOCKSTARTUPERROR +/******************************************************************************* +* Function Name: CyClockStartupError +******************************************************************************** +* Summary: +* If an error is encountered during clock configuration (crystal startup error, +* PLL lock error, etc.), the system will end up here. Unless reimplemented by +* the customer, this function will stop in an infinite loop. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +CY_CFG_UNUSED +static void CyClockStartupError(uint8 errorCode); +CY_CFG_UNUSED +static void CyClockStartupError(uint8 errorCode) +{ + /* To remove the compiler warning if errorCode not used. */ + errorCode = errorCode; + + /* `#START CyClockStartupError` */ + + /* If we have a clock startup error (bad MHz crystal, PLL lock, etc.), */ + /* we will end up here to allow the customer to implement something to */ + /* deal with the clock condition. */ + + /* `#END` */ + + /* If nothing else, stop here since the clocks have not started */ + /* correctly. */ + while(1) {} +} +#endif + +#define CY_CFG_BASE_ADDR_COUNT 12u +CYPACKED typedef struct +{ + uint8 offset; + uint8 value; +} CYPACKED_ATTR cy_cfg_addrvalue_t; + + + +/******************************************************************************* +* Function Name: cfg_write_bytes32 +******************************************************************************** +* Summary: +* This function is used for setting up the chip configuration areas that +* contain relatively sparse data. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]); +static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]) +{ + /* For 32-bit little-endian architectures */ + uint32 i, j = 0u; + for (i = 0u; i < CY_CFG_BASE_ADDR_COUNT; i++) + { + uint32 baseAddr = addr_table[i]; + uint8 count = (uint8)baseAddr; + baseAddr &= 0xFFFFFF00u; + while (count != 0u) + { + CY_SET_XTND_REG8((void CYFAR *)(baseAddr + data_table[j].offset), data_table[j].value); + j++; + count--; + } + } +} + +/******************************************************************************* +* Function Name: ClockSetup +******************************************************************************** +* +* Summary: +* Performs the initialization of all of the clocks in the device based on the +* settings in the Clock tab of the DWR. This includes enabling the requested +* clocks and setting the necessary dividers to produce the desired frequency. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void ClockSetup(void); +static void ClockSetup(void) +{ + uint32 timeout; + uint8 pllLock; + + + /* Configure ILO based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x06u); + + /* Configure IMO based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x52u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_TABLES_IMO_USB))); + + /* Configure PLL based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1251u); + /* Wait up to 250us for the PLL to lock */ + pllLock = 0u; + for (timeout = 250u / 10u; (timeout > 0u) && (pllLock != 0x03u); timeout--) + { + pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_PLL_SR) & 0x01u) >> 0)); + CyDelayCycles(10u * 48u); /* Delay 10us based on 48MHz clock */ + } + /* If we ran out of time the PLL didn't lock so go to the error function */ + if (timeout == 0u) + { + CyClockStartupError(CYCLOCKSTART_PLL_ERROR); + } + + /* Configure Bus/Master Clock based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x07u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x00u); + + /* Configure USB Clock based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_UCFG), 0x00u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); +} + + +/* Analog API Functions */ + + +/******************************************************************************* +* Function Name: AnalogSetDefault +******************************************************************************** +* +* Summary: +* Sets up the analog portions of the chip to default values based on chip +* configuration options from the project. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void AnalogSetDefault(void); +static void AnalogSetDefault(void) +{ + uint8 bg_xover_inl_trim = CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM + 1u)); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT0), (bg_xover_inl_trim & 0x07u)); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_BG_DFT1), ((bg_xover_inl_trim >> 4) & 0x0Fu)); + CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, 0x44u); +} + + +/******************************************************************************* +* Function Name: SetAnalogRoutingPumps +******************************************************************************** +* +* Summary: +* Enables or disables the analog pumps feeding analog routing switches. +* Intended to be called at startup, based on the Vdda system configuration; +* may be called during operation when the user informs us that the Vdda voltage +* crossed the pump threshold. +* +* Parameters: +* enabled - 1 to enable the pumps, 0 to disable the pumps +* +* Return: +* void +* +*******************************************************************************/ +void SetAnalogRoutingPumps(uint8 enabled) +{ + uint8 regValue = CY_GET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0); + if (enabled != 0u) + { + regValue |= 0x00u; + } + else + { + regValue &= (uint8)~0x00u; + } + CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, regValue); +} + +#define CY_AMUX_UNUSED CYREG_BOOST_SR + + +/******************************************************************************* +* Function Name: cyfitter_cfg +******************************************************************************** +* Summary: +* This function is called by the start-up code for the selected device. It +* performs all of the necessary device configuration based on the design +* settings. This includes settings from the Design Wide Resources (DWR) such +* as Clocks and Pins as well as any component configuration that is necessary. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ + +void cyfitter_cfg(void) +{ + /* IOPINS0_0 Address: CYREG_PRT0_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_0_VAL[] = { + 0x00u, 0xFFu, 0xFFu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_8 Address: CYREG_PRT15_DR Size (bytes): 10 */ + static const uint8 CYCODE BS_IOPINS0_8_VAL[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0xC0u, 0x00u}; + + /* IOPINS0_4 Address: CYREG_PRT4_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_4_VAL[] = { + 0x00u, 0xFCu, 0xFCu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + + /* IOPINS0_6 Address: CYREG_PRT6_DM0 Size (bytes): 8 */ + static const uint8 CYCODE BS_IOPINS0_6_VAL[] = { + 0x00u, 0x0Fu, 0x0Fu, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + +#ifdef CYGlobalIntDisable + /* Disable interrupts by default. Let user enable if/when they want. */ + CYGlobalIntDisable +#endif + + + /* Set Flash Cycles based on max possible frequency in case a glitch occurs during ClockSetup(). */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CACHE_CC_CTL), (((CYDEV_INSTRUCT_CACHE_ENABLED) != 0) ? 0x01u : 0x00u)); + /* Setup clocks based on selections from Clock DWR */ + ClockSetup(); + /* Enable/Disable Debug functionality based on settings from System DWR */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG, (CY_GET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG) | 0x04u)); + + { + static const uint32 CYCODE cy_cfg_addr_table[] = { + 0x40004501u, /* Base address: 0x40004500 Count: 1 */ + 0x40005201u, /* Base address: 0x40005200 Count: 1 */ + 0x40011701u, /* Base address: 0x40011700 Count: 1 */ + 0x40011901u, /* Base address: 0x40011900 Count: 1 */ + 0x40014003u, /* Base address: 0x40014000 Count: 3 */ + 0x40014102u, /* Base address: 0x40014100 Count: 2 */ + 0x40014202u, /* Base address: 0x40014200 Count: 2 */ + 0x40014302u, /* Base address: 0x40014300 Count: 2 */ + 0x40014703u, /* Base address: 0x40014700 Count: 3 */ + 0x40014803u, /* Base address: 0x40014800 Count: 3 */ + 0x40014C02u, /* Base address: 0x40014C00 Count: 2 */ + 0x40015101u, /* Base address: 0x40015100 Count: 1 */ + }; + + static const cy_cfg_addrvalue_t CYCODE cy_cfg_data_table[] = { + {0x7Eu, 0x02u}, + {0x7Cu, 0x40u}, + {0xEEu, 0x0Au}, + {0xEEu, 0x0Au}, + {0x33u, 0x80u}, + {0x36u, 0x40u}, + {0xCCu, 0x30u}, + {0xA6u, 0x40u}, + {0xA7u, 0x80u}, + {0xA6u, 0x40u}, + {0xA7u, 0x80u}, + {0xA6u, 0x40u}, + {0xA7u, 0x80u}, + {0x08u, 0x08u}, + {0x0Fu, 0x40u}, + {0xC2u, 0x0Cu}, + {0xAEu, 0x40u}, + {0xAFu, 0x80u}, + {0xEEu, 0x50u}, + {0xACu, 0x08u}, + {0xAFu, 0x40u}, + {0x00u, 0x0Au}, + }; + + + + CYPACKED typedef struct { + void CYFAR *address; + uint16 size; + } CYPACKED_ATTR cfg_memset_t; + + static const cfg_memset_t CYCODE cfg_memset_list [] = { + /* address, size */ + {(void CYFAR *)(CYREG_PRT1_DR), 48u}, + {(void CYFAR *)(CYREG_PRT5_DR), 16u}, + {(void CYFAR *)(CYREG_PRT12_DR), 16u}, + {(void CYFAR *)(CYDEV_UCFG_B0_P0_U0_BASE), 4096u}, + {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 2048u}, + {(void CYFAR *)(CYDEV_UCFG_DSI0_BASE), 2560u}, + {(void CYFAR *)(CYDEV_UCFG_DSI12_BASE), 512u}, + {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), 32u}, + }; + + uint8 CYDATA i; + + /* Zero out critical memory blocks before beginning configuration */ + for (i = 0u; i < (sizeof(cfg_memset_list)/sizeof(cfg_memset_list[0])); i++) + { + const cfg_memset_t CYCODE * CYDATA ms = &cfg_memset_list[i]; + CYMEMZERO(ms->address, (uint32)(ms->size)); + } + + cfg_write_bytes32(cy_cfg_addr_table, cy_cfg_data_table); + + /* Enable digital routing */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL) | 0x02u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL) | 0x02u); + + /* Enable UDB array */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0) | 0x40u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2) | 0x10u); + } + + /* Perform second pass device configuration. These items must be configured in specific order after the regular configuration is done. */ + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT0_DM0), (const void CYCODE *)(BS_IOPINS0_0_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT15_DR), (const void CYCODE *)(BS_IOPINS0_8_VAL), 10u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT4_DM0), (const void CYCODE *)(BS_IOPINS0_4_VAL), 8u); + CYCONFIGCPYCODE((void CYFAR *)(CYREG_PRT6_DM0), (const void CYCODE *)(BS_IOPINS0_6_VAL), 8u); + + /* Switch Boost to the precision bandgap reference from its internal reference */ + CY_SET_REG8((void CYXDATA *)CYREG_BOOST_CR2, (CY_GET_REG8((void CYXDATA *)CYREG_BOOST_CR2) | 0x08u)); + + /* Perform basic analog initialization to defaults */ + AnalogSetDefault(); + + /* Configure alternate active mode */ + CYCONFIGCPY((void CYFAR *)CYDEV_PM_STBY_BASE, (const void CYFAR *)CYDEV_PM_ACT_BASE, 14u); +} diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h new file mode 100644 index 0000000..02880d0 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h @@ -0,0 +1,28 @@ +/******************************************************************************* +* FILENAME: cyfitter_cfg.h +* PSoC Creator 3.0 +* +* Description: +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef CYFITTER_CFG_H +#define CYFITTER_CFG_H + +#include + +extern void cyfitter_cfg(void); + +/* Analog Set/Unset methods */ +extern void SetAnalogRoutingPumps(uint8 enabled); + + +#endif /* CYFITTER_CFG_H */ + +/*[]*/ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfittergnu.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfittergnu.inc new file mode 100644 index 0000000..95fa17a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfittergnu.inc @@ -0,0 +1,1354 @@ +.ifndef INCLUDED_CYFITTERGNU_INC +.set INCLUDED_CYFITTERGNU_INC, 1 +.include "cydevicegnu.inc" +.include "cydevicegnu_trm.inc" + +/* USBFS_bus_reset */ +.set USBFS_bus_reset__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_bus_reset__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_bus_reset__INTC_MASK, 0x800000 +.set USBFS_bus_reset__INTC_NUMBER, 23 +.set USBFS_bus_reset__INTC_PRIOR_NUM, 7 +.set USBFS_bus_reset__INTC_PRIOR_REG, CYREG_NVIC_PRI_23 +.set USBFS_bus_reset__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_bus_reset__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_arb_int */ +.set USBFS_arb_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_arb_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_arb_int__INTC_MASK, 0x400000 +.set USBFS_arb_int__INTC_NUMBER, 22 +.set USBFS_arb_int__INTC_PRIOR_NUM, 7 +.set USBFS_arb_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_22 +.set USBFS_arb_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_arb_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_sof_int */ +.set USBFS_sof_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_sof_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_sof_int__INTC_MASK, 0x200000 +.set USBFS_sof_int__INTC_NUMBER, 21 +.set USBFS_sof_int__INTC_PRIOR_NUM, 7 +.set USBFS_sof_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_21 +.set USBFS_sof_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_sof_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +.set SCSI_Out_DBx__0__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__0__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__0__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__0__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__0__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__0__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__0__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__0__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__0__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__0__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__0__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__0__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__0__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__0__MASK, 0x08 +.set SCSI_Out_DBx__0__PC, CYREG_PRT6_PC3 +.set SCSI_Out_DBx__0__PORT, 6 +.set SCSI_Out_DBx__0__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__0__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__0__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__0__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__0__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__0__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__0__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__0__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__0__SHIFT, 3 +.set SCSI_Out_DBx__0__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__1__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__1__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__1__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__1__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__1__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__1__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__1__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__1__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__1__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__1__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__1__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__1__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__1__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__1__MASK, 0x04 +.set SCSI_Out_DBx__1__PC, CYREG_PRT6_PC2 +.set SCSI_Out_DBx__1__PORT, 6 +.set SCSI_Out_DBx__1__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__1__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__1__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__1__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__1__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__1__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__1__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__1__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__1__SHIFT, 2 +.set SCSI_Out_DBx__1__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__2__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__2__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__2__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__2__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__2__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__2__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__2__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__2__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__2__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__2__MASK, 0x02 +.set SCSI_Out_DBx__2__PC, CYREG_PRT6_PC1 +.set SCSI_Out_DBx__2__PORT, 6 +.set SCSI_Out_DBx__2__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__2__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__2__SHIFT, 1 +.set SCSI_Out_DBx__2__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__3__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__3__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__3__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__3__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__3__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__3__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__3__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__3__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__3__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__3__MASK, 0x01 +.set SCSI_Out_DBx__3__PC, CYREG_PRT6_PC0 +.set SCSI_Out_DBx__3__PORT, 6 +.set SCSI_Out_DBx__3__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__3__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__3__SHIFT, 0 +.set SCSI_Out_DBx__3__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__4__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__4__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__4__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__4__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__4__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__4__MASK, 0x80 +.set SCSI_Out_DBx__4__PC, CYREG_PRT4_PC7 +.set SCSI_Out_DBx__4__PORT, 4 +.set SCSI_Out_DBx__4__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__4__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__4__SHIFT, 7 +.set SCSI_Out_DBx__4__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__5__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__5__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__5__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__5__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__5__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__5__MASK, 0x40 +.set SCSI_Out_DBx__5__PC, CYREG_PRT4_PC6 +.set SCSI_Out_DBx__5__PORT, 4 +.set SCSI_Out_DBx__5__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__5__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__5__SHIFT, 6 +.set SCSI_Out_DBx__5__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__6__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__6__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__6__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__6__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__6__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__6__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__6__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__6__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__6__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__6__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__6__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__6__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__6__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__6__MASK, 0x20 +.set SCSI_Out_DBx__6__PC, CYREG_PRT4_PC5 +.set SCSI_Out_DBx__6__PORT, 4 +.set SCSI_Out_DBx__6__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__6__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__6__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__6__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__6__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__6__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__6__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__6__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__6__SHIFT, 5 +.set SCSI_Out_DBx__6__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__7__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__7__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__7__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__7__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__7__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__7__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__7__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__7__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__7__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__7__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__7__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__7__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__7__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__7__MASK, 0x10 +.set SCSI_Out_DBx__7__PC, CYREG_PRT4_PC4 +.set SCSI_Out_DBx__7__PORT, 4 +.set SCSI_Out_DBx__7__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__7__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__7__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__7__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__7__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__7__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__7__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__7__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__7__SHIFT, 4 +.set SCSI_Out_DBx__7__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB0__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB0__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB0__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB0__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB0__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB0__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB0__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB0__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB0__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB0__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB0__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB0__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB0__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB0__MASK, 0x08 +.set SCSI_Out_DBx__DB0__PC, CYREG_PRT6_PC3 +.set SCSI_Out_DBx__DB0__PORT, 6 +.set SCSI_Out_DBx__DB0__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB0__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB0__SHIFT, 3 +.set SCSI_Out_DBx__DB0__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB1__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB1__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB1__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB1__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB1__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB1__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB1__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB1__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB1__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB1__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB1__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB1__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB1__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB1__MASK, 0x04 +.set SCSI_Out_DBx__DB1__PC, CYREG_PRT6_PC2 +.set SCSI_Out_DBx__DB1__PORT, 6 +.set SCSI_Out_DBx__DB1__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB1__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB1__SHIFT, 2 +.set SCSI_Out_DBx__DB1__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB2__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB2__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB2__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB2__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB2__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB2__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB2__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB2__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB2__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB2__MASK, 0x02 +.set SCSI_Out_DBx__DB2__PC, CYREG_PRT6_PC1 +.set SCSI_Out_DBx__DB2__PORT, 6 +.set SCSI_Out_DBx__DB2__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB2__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB2__SHIFT, 1 +.set SCSI_Out_DBx__DB2__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB3__AG, CYREG_PRT6_AG +.set SCSI_Out_DBx__DB3__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out_DBx__DB3__BIE, CYREG_PRT6_BIE +.set SCSI_Out_DBx__DB3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out_DBx__DB3__BYP, CYREG_PRT6_BYP +.set SCSI_Out_DBx__DB3__CTL, CYREG_PRT6_CTL +.set SCSI_Out_DBx__DB3__DM0, CYREG_PRT6_DM0 +.set SCSI_Out_DBx__DB3__DM1, CYREG_PRT6_DM1 +.set SCSI_Out_DBx__DB3__DM2, CYREG_PRT6_DM2 +.set SCSI_Out_DBx__DB3__DR, CYREG_PRT6_DR +.set SCSI_Out_DBx__DB3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out_DBx__DB3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out_DBx__DB3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out_DBx__DB3__MASK, 0x01 +.set SCSI_Out_DBx__DB3__PC, CYREG_PRT6_PC0 +.set SCSI_Out_DBx__DB3__PORT, 6 +.set SCSI_Out_DBx__DB3__PRT, CYREG_PRT6_PRT +.set SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out_DBx__DB3__PS, CYREG_PRT6_PS +.set SCSI_Out_DBx__DB3__SHIFT, 0 +.set SCSI_Out_DBx__DB3__SLW, CYREG_PRT6_SLW +.set SCSI_Out_DBx__DB4__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB4__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB4__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB4__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB4__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB4__MASK, 0x80 +.set SCSI_Out_DBx__DB4__PC, CYREG_PRT4_PC7 +.set SCSI_Out_DBx__DB4__PORT, 4 +.set SCSI_Out_DBx__DB4__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB4__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB4__SHIFT, 7 +.set SCSI_Out_DBx__DB4__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB5__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB5__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB5__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB5__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB5__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB5__MASK, 0x40 +.set SCSI_Out_DBx__DB5__PC, CYREG_PRT4_PC6 +.set SCSI_Out_DBx__DB5__PORT, 4 +.set SCSI_Out_DBx__DB5__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB5__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB5__SHIFT, 6 +.set SCSI_Out_DBx__DB5__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB6__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB6__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB6__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB6__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB6__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB6__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB6__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB6__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB6__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB6__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB6__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB6__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB6__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB6__MASK, 0x20 +.set SCSI_Out_DBx__DB6__PC, CYREG_PRT4_PC5 +.set SCSI_Out_DBx__DB6__PORT, 4 +.set SCSI_Out_DBx__DB6__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB6__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB6__SHIFT, 5 +.set SCSI_Out_DBx__DB6__SLW, CYREG_PRT4_SLW +.set SCSI_Out_DBx__DB7__AG, CYREG_PRT4_AG +.set SCSI_Out_DBx__DB7__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out_DBx__DB7__BIE, CYREG_PRT4_BIE +.set SCSI_Out_DBx__DB7__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out_DBx__DB7__BYP, CYREG_PRT4_BYP +.set SCSI_Out_DBx__DB7__CTL, CYREG_PRT4_CTL +.set SCSI_Out_DBx__DB7__DM0, CYREG_PRT4_DM0 +.set SCSI_Out_DBx__DB7__DM1, CYREG_PRT4_DM1 +.set SCSI_Out_DBx__DB7__DM2, CYREG_PRT4_DM2 +.set SCSI_Out_DBx__DB7__DR, CYREG_PRT4_DR +.set SCSI_Out_DBx__DB7__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out_DBx__DB7__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out_DBx__DB7__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out_DBx__DB7__MASK, 0x10 +.set SCSI_Out_DBx__DB7__PC, CYREG_PRT4_PC4 +.set SCSI_Out_DBx__DB7__PORT, 4 +.set SCSI_Out_DBx__DB7__PRT, CYREG_PRT4_PRT +.set SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out_DBx__DB7__PS, CYREG_PRT4_PS +.set SCSI_Out_DBx__DB7__SHIFT, 4 +.set SCSI_Out_DBx__DB7__SLW, CYREG_PRT4_SLW + +/* USBFS_dp_int */ +.set USBFS_dp_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_dp_int__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_dp_int__INTC_MASK, 0x1000 +.set USBFS_dp_int__INTC_NUMBER, 12 +.set USBFS_dp_int__INTC_PRIOR_NUM, 7 +.set USBFS_dp_int__INTC_PRIOR_REG, CYREG_NVIC_PRI_12 +.set USBFS_dp_int__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_dp_int__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_ep_0 */ +.set USBFS_ep_0__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_ep_0__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_ep_0__INTC_MASK, 0x1000000 +.set USBFS_ep_0__INTC_NUMBER, 24 +.set USBFS_ep_0__INTC_PRIOR_NUM, 7 +.set USBFS_ep_0__INTC_PRIOR_REG, CYREG_NVIC_PRI_24 +.set USBFS_ep_0__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_ep_0__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_ep_1 */ +.set USBFS_ep_1__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_ep_1__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_ep_1__INTC_MASK, 0x01 +.set USBFS_ep_1__INTC_NUMBER, 0 +.set USBFS_ep_1__INTC_PRIOR_NUM, 7 +.set USBFS_ep_1__INTC_PRIOR_REG, CYREG_NVIC_PRI_0 +.set USBFS_ep_1__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_ep_1__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_ep_2 */ +.set USBFS_ep_2__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set USBFS_ep_2__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set USBFS_ep_2__INTC_MASK, 0x02 +.set USBFS_ep_2__INTC_NUMBER, 1 +.set USBFS_ep_2__INTC_PRIOR_NUM, 7 +.set USBFS_ep_2__INTC_PRIOR_REG, CYREG_NVIC_PRI_1 +.set USBFS_ep_2__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set USBFS_ep_2__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* USBFS_USB */ +.set USBFS_USB__ARB_CFG, CYREG_USB_ARB_CFG +.set USBFS_USB__ARB_EP1_CFG, CYREG_USB_ARB_EP1_CFG +.set USBFS_USB__ARB_EP1_INT_EN, CYREG_USB_ARB_EP1_INT_EN +.set USBFS_USB__ARB_EP1_SR, CYREG_USB_ARB_EP1_SR +.set USBFS_USB__ARB_EP2_CFG, CYREG_USB_ARB_EP2_CFG +.set USBFS_USB__ARB_EP2_INT_EN, CYREG_USB_ARB_EP2_INT_EN +.set USBFS_USB__ARB_EP2_SR, CYREG_USB_ARB_EP2_SR +.set USBFS_USB__ARB_EP3_CFG, CYREG_USB_ARB_EP3_CFG +.set USBFS_USB__ARB_EP3_INT_EN, CYREG_USB_ARB_EP3_INT_EN +.set USBFS_USB__ARB_EP3_SR, CYREG_USB_ARB_EP3_SR +.set USBFS_USB__ARB_EP4_CFG, CYREG_USB_ARB_EP4_CFG +.set USBFS_USB__ARB_EP4_INT_EN, CYREG_USB_ARB_EP4_INT_EN +.set USBFS_USB__ARB_EP4_SR, CYREG_USB_ARB_EP4_SR +.set USBFS_USB__ARB_EP5_CFG, CYREG_USB_ARB_EP5_CFG +.set USBFS_USB__ARB_EP5_INT_EN, CYREG_USB_ARB_EP5_INT_EN +.set USBFS_USB__ARB_EP5_SR, CYREG_USB_ARB_EP5_SR +.set USBFS_USB__ARB_EP6_CFG, CYREG_USB_ARB_EP6_CFG +.set USBFS_USB__ARB_EP6_INT_EN, CYREG_USB_ARB_EP6_INT_EN +.set USBFS_USB__ARB_EP6_SR, CYREG_USB_ARB_EP6_SR +.set USBFS_USB__ARB_EP7_CFG, CYREG_USB_ARB_EP7_CFG +.set USBFS_USB__ARB_EP7_INT_EN, CYREG_USB_ARB_EP7_INT_EN +.set USBFS_USB__ARB_EP7_SR, CYREG_USB_ARB_EP7_SR +.set USBFS_USB__ARB_EP8_CFG, CYREG_USB_ARB_EP8_CFG +.set USBFS_USB__ARB_EP8_INT_EN, CYREG_USB_ARB_EP8_INT_EN +.set USBFS_USB__ARB_EP8_SR, CYREG_USB_ARB_EP8_SR +.set USBFS_USB__ARB_INT_EN, CYREG_USB_ARB_INT_EN +.set USBFS_USB__ARB_INT_SR, CYREG_USB_ARB_INT_SR +.set USBFS_USB__ARB_RW1_DR, CYREG_USB_ARB_RW1_DR +.set USBFS_USB__ARB_RW1_RA, CYREG_USB_ARB_RW1_RA +.set USBFS_USB__ARB_RW1_RA_MSB, CYREG_USB_ARB_RW1_RA_MSB +.set USBFS_USB__ARB_RW1_WA, CYREG_USB_ARB_RW1_WA +.set USBFS_USB__ARB_RW1_WA_MSB, CYREG_USB_ARB_RW1_WA_MSB +.set USBFS_USB__ARB_RW2_DR, CYREG_USB_ARB_RW2_DR +.set USBFS_USB__ARB_RW2_RA, CYREG_USB_ARB_RW2_RA +.set USBFS_USB__ARB_RW2_RA_MSB, CYREG_USB_ARB_RW2_RA_MSB +.set USBFS_USB__ARB_RW2_WA, CYREG_USB_ARB_RW2_WA +.set USBFS_USB__ARB_RW2_WA_MSB, CYREG_USB_ARB_RW2_WA_MSB +.set USBFS_USB__ARB_RW3_DR, CYREG_USB_ARB_RW3_DR +.set USBFS_USB__ARB_RW3_RA, CYREG_USB_ARB_RW3_RA +.set USBFS_USB__ARB_RW3_RA_MSB, CYREG_USB_ARB_RW3_RA_MSB +.set USBFS_USB__ARB_RW3_WA, CYREG_USB_ARB_RW3_WA +.set USBFS_USB__ARB_RW3_WA_MSB, CYREG_USB_ARB_RW3_WA_MSB +.set USBFS_USB__ARB_RW4_DR, CYREG_USB_ARB_RW4_DR +.set USBFS_USB__ARB_RW4_RA, CYREG_USB_ARB_RW4_RA +.set USBFS_USB__ARB_RW4_RA_MSB, CYREG_USB_ARB_RW4_RA_MSB +.set USBFS_USB__ARB_RW4_WA, CYREG_USB_ARB_RW4_WA +.set USBFS_USB__ARB_RW4_WA_MSB, CYREG_USB_ARB_RW4_WA_MSB +.set USBFS_USB__ARB_RW5_DR, CYREG_USB_ARB_RW5_DR +.set USBFS_USB__ARB_RW5_RA, CYREG_USB_ARB_RW5_RA +.set USBFS_USB__ARB_RW5_RA_MSB, CYREG_USB_ARB_RW5_RA_MSB +.set USBFS_USB__ARB_RW5_WA, CYREG_USB_ARB_RW5_WA +.set USBFS_USB__ARB_RW5_WA_MSB, CYREG_USB_ARB_RW5_WA_MSB +.set USBFS_USB__ARB_RW6_DR, CYREG_USB_ARB_RW6_DR +.set USBFS_USB__ARB_RW6_RA, CYREG_USB_ARB_RW6_RA +.set USBFS_USB__ARB_RW6_RA_MSB, CYREG_USB_ARB_RW6_RA_MSB +.set USBFS_USB__ARB_RW6_WA, CYREG_USB_ARB_RW6_WA +.set USBFS_USB__ARB_RW6_WA_MSB, CYREG_USB_ARB_RW6_WA_MSB +.set USBFS_USB__ARB_RW7_DR, CYREG_USB_ARB_RW7_DR +.set USBFS_USB__ARB_RW7_RA, CYREG_USB_ARB_RW7_RA +.set USBFS_USB__ARB_RW7_RA_MSB, CYREG_USB_ARB_RW7_RA_MSB +.set USBFS_USB__ARB_RW7_WA, CYREG_USB_ARB_RW7_WA +.set USBFS_USB__ARB_RW7_WA_MSB, CYREG_USB_ARB_RW7_WA_MSB +.set USBFS_USB__ARB_RW8_DR, CYREG_USB_ARB_RW8_DR +.set USBFS_USB__ARB_RW8_RA, CYREG_USB_ARB_RW8_RA +.set USBFS_USB__ARB_RW8_RA_MSB, CYREG_USB_ARB_RW8_RA_MSB +.set USBFS_USB__ARB_RW8_WA, CYREG_USB_ARB_RW8_WA +.set USBFS_USB__ARB_RW8_WA_MSB, CYREG_USB_ARB_RW8_WA_MSB +.set USBFS_USB__BUF_SIZE, CYREG_USB_BUF_SIZE +.set USBFS_USB__BUS_RST_CNT, CYREG_USB_BUS_RST_CNT +.set USBFS_USB__CR0, CYREG_USB_CR0 +.set USBFS_USB__CR1, CYREG_USB_CR1 +.set USBFS_USB__CWA, CYREG_USB_CWA +.set USBFS_USB__CWA_MSB, CYREG_USB_CWA_MSB +.set USBFS_USB__DMA_THRES, CYREG_USB_DMA_THRES +.set USBFS_USB__DMA_THRES_MSB, CYREG_USB_DMA_THRES_MSB +.set USBFS_USB__DYN_RECONFIG, CYREG_USB_DYN_RECONFIG +.set USBFS_USB__EP0_CNT, CYREG_USB_EP0_CNT +.set USBFS_USB__EP0_CR, CYREG_USB_EP0_CR +.set USBFS_USB__EP0_DR0, CYREG_USB_EP0_DR0 +.set USBFS_USB__EP0_DR1, CYREG_USB_EP0_DR1 +.set USBFS_USB__EP0_DR2, CYREG_USB_EP0_DR2 +.set USBFS_USB__EP0_DR3, CYREG_USB_EP0_DR3 +.set USBFS_USB__EP0_DR4, CYREG_USB_EP0_DR4 +.set USBFS_USB__EP0_DR5, CYREG_USB_EP0_DR5 +.set USBFS_USB__EP0_DR6, CYREG_USB_EP0_DR6 +.set USBFS_USB__EP0_DR7, CYREG_USB_EP0_DR7 +.set USBFS_USB__EP_ACTIVE, CYREG_USB_EP_ACTIVE +.set USBFS_USB__EP_TYPE, CYREG_USB_EP_TYPE +.set USBFS_USB__MEM_DATA, CYREG_USB_MEM_DATA_MBASE +.set USBFS_USB__PM_ACT_CFG, CYREG_PM_ACT_CFG5 +.set USBFS_USB__PM_ACT_MSK, 0x01 +.set USBFS_USB__PM_STBY_CFG, CYREG_PM_STBY_CFG5 +.set USBFS_USB__PM_STBY_MSK, 0x01 +.set USBFS_USB__SIE_EP1_CNT0, CYREG_USB_SIE_EP1_CNT0 +.set USBFS_USB__SIE_EP1_CNT1, CYREG_USB_SIE_EP1_CNT1 +.set USBFS_USB__SIE_EP1_CR0, CYREG_USB_SIE_EP1_CR0 +.set USBFS_USB__SIE_EP2_CNT0, CYREG_USB_SIE_EP2_CNT0 +.set USBFS_USB__SIE_EP2_CNT1, CYREG_USB_SIE_EP2_CNT1 +.set USBFS_USB__SIE_EP2_CR0, CYREG_USB_SIE_EP2_CR0 +.set USBFS_USB__SIE_EP3_CNT0, CYREG_USB_SIE_EP3_CNT0 +.set USBFS_USB__SIE_EP3_CNT1, CYREG_USB_SIE_EP3_CNT1 +.set USBFS_USB__SIE_EP3_CR0, CYREG_USB_SIE_EP3_CR0 +.set USBFS_USB__SIE_EP4_CNT0, CYREG_USB_SIE_EP4_CNT0 +.set USBFS_USB__SIE_EP4_CNT1, CYREG_USB_SIE_EP4_CNT1 +.set USBFS_USB__SIE_EP4_CR0, CYREG_USB_SIE_EP4_CR0 +.set USBFS_USB__SIE_EP5_CNT0, CYREG_USB_SIE_EP5_CNT0 +.set USBFS_USB__SIE_EP5_CNT1, CYREG_USB_SIE_EP5_CNT1 +.set USBFS_USB__SIE_EP5_CR0, CYREG_USB_SIE_EP5_CR0 +.set USBFS_USB__SIE_EP6_CNT0, CYREG_USB_SIE_EP6_CNT0 +.set USBFS_USB__SIE_EP6_CNT1, CYREG_USB_SIE_EP6_CNT1 +.set USBFS_USB__SIE_EP6_CR0, CYREG_USB_SIE_EP6_CR0 +.set USBFS_USB__SIE_EP7_CNT0, CYREG_USB_SIE_EP7_CNT0 +.set USBFS_USB__SIE_EP7_CNT1, CYREG_USB_SIE_EP7_CNT1 +.set USBFS_USB__SIE_EP7_CR0, CYREG_USB_SIE_EP7_CR0 +.set USBFS_USB__SIE_EP8_CNT0, CYREG_USB_SIE_EP8_CNT0 +.set USBFS_USB__SIE_EP8_CNT1, CYREG_USB_SIE_EP8_CNT1 +.set USBFS_USB__SIE_EP8_CR0, CYREG_USB_SIE_EP8_CR0 +.set USBFS_USB__SIE_EP_INT_EN, CYREG_USB_SIE_EP_INT_EN +.set USBFS_USB__SIE_EP_INT_SR, CYREG_USB_SIE_EP_INT_SR +.set USBFS_USB__SOF0, CYREG_USB_SOF0 +.set USBFS_USB__SOF1, CYREG_USB_SOF1 +.set USBFS_USB__USBIO_CR0, CYREG_USB_USBIO_CR0 +.set USBFS_USB__USBIO_CR1, CYREG_USB_USBIO_CR1 +.set USBFS_USB__USB_CLK_EN, CYREG_USB_USB_CLK_EN + +/* SCSI_Out */ +.set SCSI_Out__0__AG, CYREG_PRT4_AG +.set SCSI_Out__0__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__0__BIE, CYREG_PRT4_BIE +.set SCSI_Out__0__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__0__BYP, CYREG_PRT4_BYP +.set SCSI_Out__0__CTL, CYREG_PRT4_CTL +.set SCSI_Out__0__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__0__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__0__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__0__DR, CYREG_PRT4_DR +.set SCSI_Out__0__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__0__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__0__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__0__MASK, 0x08 +.set SCSI_Out__0__PC, CYREG_PRT4_PC3 +.set SCSI_Out__0__PORT, 4 +.set SCSI_Out__0__PRT, CYREG_PRT4_PRT +.set SCSI_Out__0__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__0__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__0__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__0__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__0__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__0__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__0__PS, CYREG_PRT4_PS +.set SCSI_Out__0__SHIFT, 3 +.set SCSI_Out__0__SLW, CYREG_PRT4_SLW +.set SCSI_Out__1__AG, CYREG_PRT4_AG +.set SCSI_Out__1__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__1__BIE, CYREG_PRT4_BIE +.set SCSI_Out__1__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__1__BYP, CYREG_PRT4_BYP +.set SCSI_Out__1__CTL, CYREG_PRT4_CTL +.set SCSI_Out__1__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__1__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__1__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__1__DR, CYREG_PRT4_DR +.set SCSI_Out__1__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__1__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__1__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__1__MASK, 0x04 +.set SCSI_Out__1__PC, CYREG_PRT4_PC2 +.set SCSI_Out__1__PORT, 4 +.set SCSI_Out__1__PRT, CYREG_PRT4_PRT +.set SCSI_Out__1__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__1__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__1__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__1__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__1__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__1__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__1__PS, CYREG_PRT4_PS +.set SCSI_Out__1__SHIFT, 2 +.set SCSI_Out__1__SLW, CYREG_PRT4_SLW +.set SCSI_Out__2__AG, CYREG_PRT0_AG +.set SCSI_Out__2__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__2__BIE, CYREG_PRT0_BIE +.set SCSI_Out__2__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__2__BYP, CYREG_PRT0_BYP +.set SCSI_Out__2__CTL, CYREG_PRT0_CTL +.set SCSI_Out__2__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__2__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__2__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__2__DR, CYREG_PRT0_DR +.set SCSI_Out__2__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__2__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__2__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__2__MASK, 0x80 +.set SCSI_Out__2__PC, CYREG_PRT0_PC7 +.set SCSI_Out__2__PORT, 0 +.set SCSI_Out__2__PRT, CYREG_PRT0_PRT +.set SCSI_Out__2__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__2__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__2__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__2__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__2__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__2__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__2__PS, CYREG_PRT0_PS +.set SCSI_Out__2__SHIFT, 7 +.set SCSI_Out__2__SLW, CYREG_PRT0_SLW +.set SCSI_Out__3__AG, CYREG_PRT0_AG +.set SCSI_Out__3__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__3__BIE, CYREG_PRT0_BIE +.set SCSI_Out__3__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__3__BYP, CYREG_PRT0_BYP +.set SCSI_Out__3__CTL, CYREG_PRT0_CTL +.set SCSI_Out__3__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__3__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__3__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__3__DR, CYREG_PRT0_DR +.set SCSI_Out__3__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__3__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__3__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__3__MASK, 0x40 +.set SCSI_Out__3__PC, CYREG_PRT0_PC6 +.set SCSI_Out__3__PORT, 0 +.set SCSI_Out__3__PRT, CYREG_PRT0_PRT +.set SCSI_Out__3__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__3__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__3__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__3__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__3__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__3__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__3__PS, CYREG_PRT0_PS +.set SCSI_Out__3__SHIFT, 6 +.set SCSI_Out__3__SLW, CYREG_PRT0_SLW +.set SCSI_Out__4__AG, CYREG_PRT0_AG +.set SCSI_Out__4__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__4__BIE, CYREG_PRT0_BIE +.set SCSI_Out__4__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__4__BYP, CYREG_PRT0_BYP +.set SCSI_Out__4__CTL, CYREG_PRT0_CTL +.set SCSI_Out__4__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__4__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__4__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__4__DR, CYREG_PRT0_DR +.set SCSI_Out__4__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__4__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__4__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__4__MASK, 0x20 +.set SCSI_Out__4__PC, CYREG_PRT0_PC5 +.set SCSI_Out__4__PORT, 0 +.set SCSI_Out__4__PRT, CYREG_PRT0_PRT +.set SCSI_Out__4__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__4__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__4__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__4__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__4__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__4__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__4__PS, CYREG_PRT0_PS +.set SCSI_Out__4__SHIFT, 5 +.set SCSI_Out__4__SLW, CYREG_PRT0_SLW +.set SCSI_Out__5__AG, CYREG_PRT0_AG +.set SCSI_Out__5__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__5__BIE, CYREG_PRT0_BIE +.set SCSI_Out__5__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__5__BYP, CYREG_PRT0_BYP +.set SCSI_Out__5__CTL, CYREG_PRT0_CTL +.set SCSI_Out__5__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__5__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__5__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__5__DR, CYREG_PRT0_DR +.set SCSI_Out__5__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__5__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__5__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__5__MASK, 0x10 +.set SCSI_Out__5__PC, CYREG_PRT0_PC4 +.set SCSI_Out__5__PORT, 0 +.set SCSI_Out__5__PRT, CYREG_PRT0_PRT +.set SCSI_Out__5__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__5__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__5__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__5__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__5__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__5__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__5__PS, CYREG_PRT0_PS +.set SCSI_Out__5__SHIFT, 4 +.set SCSI_Out__5__SLW, CYREG_PRT0_SLW +.set SCSI_Out__6__AG, CYREG_PRT0_AG +.set SCSI_Out__6__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__6__BIE, CYREG_PRT0_BIE +.set SCSI_Out__6__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__6__BYP, CYREG_PRT0_BYP +.set SCSI_Out__6__CTL, CYREG_PRT0_CTL +.set SCSI_Out__6__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__6__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__6__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__6__DR, CYREG_PRT0_DR +.set SCSI_Out__6__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__6__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__6__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__6__MASK, 0x08 +.set SCSI_Out__6__PC, CYREG_PRT0_PC3 +.set SCSI_Out__6__PORT, 0 +.set SCSI_Out__6__PRT, CYREG_PRT0_PRT +.set SCSI_Out__6__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__6__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__6__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__6__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__6__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__6__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__6__PS, CYREG_PRT0_PS +.set SCSI_Out__6__SHIFT, 3 +.set SCSI_Out__6__SLW, CYREG_PRT0_SLW +.set SCSI_Out__7__AG, CYREG_PRT0_AG +.set SCSI_Out__7__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__7__BIE, CYREG_PRT0_BIE +.set SCSI_Out__7__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__7__BYP, CYREG_PRT0_BYP +.set SCSI_Out__7__CTL, CYREG_PRT0_CTL +.set SCSI_Out__7__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__7__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__7__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__7__DR, CYREG_PRT0_DR +.set SCSI_Out__7__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__7__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__7__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__7__MASK, 0x04 +.set SCSI_Out__7__PC, CYREG_PRT0_PC2 +.set SCSI_Out__7__PORT, 0 +.set SCSI_Out__7__PRT, CYREG_PRT0_PRT +.set SCSI_Out__7__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__7__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__7__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__7__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__7__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__7__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__7__PS, CYREG_PRT0_PS +.set SCSI_Out__7__SHIFT, 2 +.set SCSI_Out__7__SLW, CYREG_PRT0_SLW +.set SCSI_Out__8__AG, CYREG_PRT0_AG +.set SCSI_Out__8__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__8__BIE, CYREG_PRT0_BIE +.set SCSI_Out__8__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__8__BYP, CYREG_PRT0_BYP +.set SCSI_Out__8__CTL, CYREG_PRT0_CTL +.set SCSI_Out__8__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__8__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__8__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__8__DR, CYREG_PRT0_DR +.set SCSI_Out__8__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__8__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__8__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__8__MASK, 0x02 +.set SCSI_Out__8__PC, CYREG_PRT0_PC1 +.set SCSI_Out__8__PORT, 0 +.set SCSI_Out__8__PRT, CYREG_PRT0_PRT +.set SCSI_Out__8__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__8__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__8__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__8__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__8__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__8__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__8__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__8__PS, CYREG_PRT0_PS +.set SCSI_Out__8__SHIFT, 1 +.set SCSI_Out__8__SLW, CYREG_PRT0_SLW +.set SCSI_Out__9__AG, CYREG_PRT0_AG +.set SCSI_Out__9__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__9__BIE, CYREG_PRT0_BIE +.set SCSI_Out__9__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__9__BYP, CYREG_PRT0_BYP +.set SCSI_Out__9__CTL, CYREG_PRT0_CTL +.set SCSI_Out__9__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__9__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__9__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__9__DR, CYREG_PRT0_DR +.set SCSI_Out__9__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__9__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__9__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__9__MASK, 0x01 +.set SCSI_Out__9__PC, CYREG_PRT0_PC0 +.set SCSI_Out__9__PORT, 0 +.set SCSI_Out__9__PRT, CYREG_PRT0_PRT +.set SCSI_Out__9__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__9__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__9__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__9__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__9__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__9__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__9__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__9__PS, CYREG_PRT0_PS +.set SCSI_Out__9__SHIFT, 0 +.set SCSI_Out__9__SLW, CYREG_PRT0_SLW +.set SCSI_Out__ACK__AG, CYREG_PRT0_AG +.set SCSI_Out__ACK__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__ACK__BIE, CYREG_PRT0_BIE +.set SCSI_Out__ACK__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__ACK__BYP, CYREG_PRT0_BYP +.set SCSI_Out__ACK__CTL, CYREG_PRT0_CTL +.set SCSI_Out__ACK__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__ACK__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__ACK__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__ACK__DR, CYREG_PRT0_DR +.set SCSI_Out__ACK__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__ACK__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__ACK__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__ACK__MASK, 0x40 +.set SCSI_Out__ACK__PC, CYREG_PRT0_PC6 +.set SCSI_Out__ACK__PORT, 0 +.set SCSI_Out__ACK__PRT, CYREG_PRT0_PRT +.set SCSI_Out__ACK__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__ACK__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__ACK__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__ACK__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__ACK__PS, CYREG_PRT0_PS +.set SCSI_Out__ACK__SHIFT, 6 +.set SCSI_Out__ACK__SLW, CYREG_PRT0_SLW +.set SCSI_Out__ATN__AG, CYREG_PRT4_AG +.set SCSI_Out__ATN__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__ATN__BIE, CYREG_PRT4_BIE +.set SCSI_Out__ATN__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__ATN__BYP, CYREG_PRT4_BYP +.set SCSI_Out__ATN__CTL, CYREG_PRT4_CTL +.set SCSI_Out__ATN__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__ATN__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__ATN__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__ATN__DR, CYREG_PRT4_DR +.set SCSI_Out__ATN__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__ATN__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__ATN__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__ATN__MASK, 0x04 +.set SCSI_Out__ATN__PC, CYREG_PRT4_PC2 +.set SCSI_Out__ATN__PORT, 4 +.set SCSI_Out__ATN__PRT, CYREG_PRT4_PRT +.set SCSI_Out__ATN__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__ATN__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__ATN__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__ATN__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__ATN__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__ATN__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__ATN__PS, CYREG_PRT4_PS +.set SCSI_Out__ATN__SHIFT, 2 +.set SCSI_Out__ATN__SLW, CYREG_PRT4_SLW +.set SCSI_Out__BSY__AG, CYREG_PRT0_AG +.set SCSI_Out__BSY__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__BSY__BIE, CYREG_PRT0_BIE +.set SCSI_Out__BSY__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__BSY__BYP, CYREG_PRT0_BYP +.set SCSI_Out__BSY__CTL, CYREG_PRT0_CTL +.set SCSI_Out__BSY__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__BSY__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__BSY__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__BSY__DR, CYREG_PRT0_DR +.set SCSI_Out__BSY__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__BSY__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__BSY__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__BSY__MASK, 0x80 +.set SCSI_Out__BSY__PC, CYREG_PRT0_PC7 +.set SCSI_Out__BSY__PORT, 0 +.set SCSI_Out__BSY__PRT, CYREG_PRT0_PRT +.set SCSI_Out__BSY__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__BSY__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__BSY__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__BSY__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__BSY__PS, CYREG_PRT0_PS +.set SCSI_Out__BSY__SHIFT, 7 +.set SCSI_Out__BSY__SLW, CYREG_PRT0_SLW +.set SCSI_Out__CD__AG, CYREG_PRT0_AG +.set SCSI_Out__CD__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__CD__BIE, CYREG_PRT0_BIE +.set SCSI_Out__CD__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__CD__BYP, CYREG_PRT0_BYP +.set SCSI_Out__CD__CTL, CYREG_PRT0_CTL +.set SCSI_Out__CD__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__CD__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__CD__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__CD__DR, CYREG_PRT0_DR +.set SCSI_Out__CD__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__CD__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__CD__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__CD__MASK, 0x04 +.set SCSI_Out__CD__PC, CYREG_PRT0_PC2 +.set SCSI_Out__CD__PORT, 0 +.set SCSI_Out__CD__PRT, CYREG_PRT0_PRT +.set SCSI_Out__CD__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__CD__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__CD__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__CD__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__CD__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__CD__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__CD__PS, CYREG_PRT0_PS +.set SCSI_Out__CD__SHIFT, 2 +.set SCSI_Out__CD__SLW, CYREG_PRT0_SLW +.set SCSI_Out__DBP_raw__AG, CYREG_PRT4_AG +.set SCSI_Out__DBP_raw__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__DBP_raw__BIE, CYREG_PRT4_BIE +.set SCSI_Out__DBP_raw__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__DBP_raw__BYP, CYREG_PRT4_BYP +.set SCSI_Out__DBP_raw__CTL, CYREG_PRT4_CTL +.set SCSI_Out__DBP_raw__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__DBP_raw__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__DBP_raw__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__DBP_raw__DR, CYREG_PRT4_DR +.set SCSI_Out__DBP_raw__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__DBP_raw__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__DBP_raw__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__DBP_raw__MASK, 0x08 +.set SCSI_Out__DBP_raw__PC, CYREG_PRT4_PC3 +.set SCSI_Out__DBP_raw__PORT, 4 +.set SCSI_Out__DBP_raw__PRT, CYREG_PRT4_PRT +.set SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__DBP_raw__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__DBP_raw__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__DBP_raw__PS, CYREG_PRT4_PS +.set SCSI_Out__DBP_raw__SHIFT, 3 +.set SCSI_Out__DBP_raw__SLW, CYREG_PRT4_SLW +.set SCSI_Out__IO_raw__AG, CYREG_PRT0_AG +.set SCSI_Out__IO_raw__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__IO_raw__BIE, CYREG_PRT0_BIE +.set SCSI_Out__IO_raw__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__IO_raw__BYP, CYREG_PRT0_BYP +.set SCSI_Out__IO_raw__CTL, CYREG_PRT0_CTL +.set SCSI_Out__IO_raw__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__IO_raw__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__IO_raw__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__IO_raw__DR, CYREG_PRT0_DR +.set SCSI_Out__IO_raw__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__IO_raw__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__IO_raw__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__IO_raw__MASK, 0x01 +.set SCSI_Out__IO_raw__PC, CYREG_PRT0_PC0 +.set SCSI_Out__IO_raw__PORT, 0 +.set SCSI_Out__IO_raw__PRT, CYREG_PRT0_PRT +.set SCSI_Out__IO_raw__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__IO_raw__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__IO_raw__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__IO_raw__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__IO_raw__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__IO_raw__PS, CYREG_PRT0_PS +.set SCSI_Out__IO_raw__SHIFT, 0 +.set SCSI_Out__IO_raw__SLW, CYREG_PRT0_SLW +.set SCSI_Out__MSG__AG, CYREG_PRT0_AG +.set SCSI_Out__MSG__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__MSG__BIE, CYREG_PRT0_BIE +.set SCSI_Out__MSG__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__MSG__BYP, CYREG_PRT0_BYP +.set SCSI_Out__MSG__CTL, CYREG_PRT0_CTL +.set SCSI_Out__MSG__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__MSG__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__MSG__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__MSG__DR, CYREG_PRT0_DR +.set SCSI_Out__MSG__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__MSG__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__MSG__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__MSG__MASK, 0x10 +.set SCSI_Out__MSG__PC, CYREG_PRT0_PC4 +.set SCSI_Out__MSG__PORT, 0 +.set SCSI_Out__MSG__PRT, CYREG_PRT0_PRT +.set SCSI_Out__MSG__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__MSG__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__MSG__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__MSG__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__MSG__PS, CYREG_PRT0_PS +.set SCSI_Out__MSG__SHIFT, 4 +.set SCSI_Out__MSG__SLW, CYREG_PRT0_SLW +.set SCSI_Out__REQ__AG, CYREG_PRT0_AG +.set SCSI_Out__REQ__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__REQ__BIE, CYREG_PRT0_BIE +.set SCSI_Out__REQ__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__REQ__BYP, CYREG_PRT0_BYP +.set SCSI_Out__REQ__CTL, CYREG_PRT0_CTL +.set SCSI_Out__REQ__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__REQ__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__REQ__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__REQ__DR, CYREG_PRT0_DR +.set SCSI_Out__REQ__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__REQ__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__REQ__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__REQ__MASK, 0x02 +.set SCSI_Out__REQ__PC, CYREG_PRT0_PC1 +.set SCSI_Out__REQ__PORT, 0 +.set SCSI_Out__REQ__PRT, CYREG_PRT0_PRT +.set SCSI_Out__REQ__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__REQ__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__REQ__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__REQ__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__REQ__PS, CYREG_PRT0_PS +.set SCSI_Out__REQ__SHIFT, 1 +.set SCSI_Out__REQ__SLW, CYREG_PRT0_SLW +.set SCSI_Out__RST__AG, CYREG_PRT0_AG +.set SCSI_Out__RST__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__RST__BIE, CYREG_PRT0_BIE +.set SCSI_Out__RST__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__RST__BYP, CYREG_PRT0_BYP +.set SCSI_Out__RST__CTL, CYREG_PRT0_CTL +.set SCSI_Out__RST__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__RST__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__RST__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__RST__DR, CYREG_PRT0_DR +.set SCSI_Out__RST__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__RST__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__RST__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__RST__MASK, 0x20 +.set SCSI_Out__RST__PC, CYREG_PRT0_PC5 +.set SCSI_Out__RST__PORT, 0 +.set SCSI_Out__RST__PRT, CYREG_PRT0_PRT +.set SCSI_Out__RST__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__RST__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__RST__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__RST__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__RST__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__RST__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__RST__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__RST__PS, CYREG_PRT0_PS +.set SCSI_Out__RST__SHIFT, 5 +.set SCSI_Out__RST__SLW, CYREG_PRT0_SLW +.set SCSI_Out__SEL__AG, CYREG_PRT0_AG +.set SCSI_Out__SEL__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out__SEL__BIE, CYREG_PRT0_BIE +.set SCSI_Out__SEL__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out__SEL__BYP, CYREG_PRT0_BYP +.set SCSI_Out__SEL__CTL, CYREG_PRT0_CTL +.set SCSI_Out__SEL__DM0, CYREG_PRT0_DM0 +.set SCSI_Out__SEL__DM1, CYREG_PRT0_DM1 +.set SCSI_Out__SEL__DM2, CYREG_PRT0_DM2 +.set SCSI_Out__SEL__DR, CYREG_PRT0_DR +.set SCSI_Out__SEL__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out__SEL__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out__SEL__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out__SEL__MASK, 0x08 +.set SCSI_Out__SEL__PC, CYREG_PRT0_PC3 +.set SCSI_Out__SEL__PORT, 0 +.set SCSI_Out__SEL__PRT, CYREG_PRT0_PRT +.set SCSI_Out__SEL__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out__SEL__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out__SEL__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out__SEL__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out__SEL__PS, CYREG_PRT0_PS +.set SCSI_Out__SEL__SHIFT, 3 +.set SCSI_Out__SEL__SLW, CYREG_PRT0_SLW + +/* USBFS_Dm */ +.set USBFS_Dm__0__MASK, 0x80 +.set USBFS_Dm__0__PC, CYREG_IO_PC_PRT15_7_6_PC1 +.set USBFS_Dm__0__PORT, 15 +.set USBFS_Dm__0__SHIFT, 7 +.set USBFS_Dm__AG, CYREG_PRT15_AG +.set USBFS_Dm__AMUX, CYREG_PRT15_AMUX +.set USBFS_Dm__BIE, CYREG_PRT15_BIE +.set USBFS_Dm__BIT_MASK, CYREG_PRT15_BIT_MASK +.set USBFS_Dm__BYP, CYREG_PRT15_BYP +.set USBFS_Dm__CTL, CYREG_PRT15_CTL +.set USBFS_Dm__DM0, CYREG_PRT15_DM0 +.set USBFS_Dm__DM1, CYREG_PRT15_DM1 +.set USBFS_Dm__DM2, CYREG_PRT15_DM2 +.set USBFS_Dm__DR, CYREG_PRT15_DR +.set USBFS_Dm__INP_DIS, CYREG_PRT15_INP_DIS +.set USBFS_Dm__LCD_COM_SEG, CYREG_PRT15_LCD_COM_SEG +.set USBFS_Dm__LCD_EN, CYREG_PRT15_LCD_EN +.set USBFS_Dm__MASK, 0x80 +.set USBFS_Dm__PORT, 15 +.set USBFS_Dm__PRT, CYREG_PRT15_PRT +.set USBFS_Dm__PRTDSI__CAPS_SEL, CYREG_PRT15_CAPS_SEL +.set USBFS_Dm__PRTDSI__DBL_SYNC_IN, CYREG_PRT15_DBL_SYNC_IN +.set USBFS_Dm__PRTDSI__OE_SEL0, CYREG_PRT15_OE_SEL0 +.set USBFS_Dm__PRTDSI__OE_SEL1, CYREG_PRT15_OE_SEL1 +.set USBFS_Dm__PRTDSI__OUT_SEL0, CYREG_PRT15_OUT_SEL0 +.set USBFS_Dm__PRTDSI__OUT_SEL1, CYREG_PRT15_OUT_SEL1 +.set USBFS_Dm__PRTDSI__SYNC_OUT, CYREG_PRT15_SYNC_OUT +.set USBFS_Dm__PS, CYREG_PRT15_PS +.set USBFS_Dm__SHIFT, 7 +.set USBFS_Dm__SLW, CYREG_PRT15_SLW + +/* USBFS_Dp */ +.set USBFS_Dp__0__MASK, 0x40 +.set USBFS_Dp__0__PC, CYREG_IO_PC_PRT15_7_6_PC0 +.set USBFS_Dp__0__PORT, 15 +.set USBFS_Dp__0__SHIFT, 6 +.set USBFS_Dp__AG, CYREG_PRT15_AG +.set USBFS_Dp__AMUX, CYREG_PRT15_AMUX +.set USBFS_Dp__BIE, CYREG_PRT15_BIE +.set USBFS_Dp__BIT_MASK, CYREG_PRT15_BIT_MASK +.set USBFS_Dp__BYP, CYREG_PRT15_BYP +.set USBFS_Dp__CTL, CYREG_PRT15_CTL +.set USBFS_Dp__DM0, CYREG_PRT15_DM0 +.set USBFS_Dp__DM1, CYREG_PRT15_DM1 +.set USBFS_Dp__DM2, CYREG_PRT15_DM2 +.set USBFS_Dp__DR, CYREG_PRT15_DR +.set USBFS_Dp__INP_DIS, CYREG_PRT15_INP_DIS +.set USBFS_Dp__INTSTAT, CYREG_PICU15_INTSTAT +.set USBFS_Dp__LCD_COM_SEG, CYREG_PRT15_LCD_COM_SEG +.set USBFS_Dp__LCD_EN, CYREG_PRT15_LCD_EN +.set USBFS_Dp__MASK, 0x40 +.set USBFS_Dp__PORT, 15 +.set USBFS_Dp__PRT, CYREG_PRT15_PRT +.set USBFS_Dp__PRTDSI__CAPS_SEL, CYREG_PRT15_CAPS_SEL +.set USBFS_Dp__PRTDSI__DBL_SYNC_IN, CYREG_PRT15_DBL_SYNC_IN +.set USBFS_Dp__PRTDSI__OE_SEL0, CYREG_PRT15_OE_SEL0 +.set USBFS_Dp__PRTDSI__OE_SEL1, CYREG_PRT15_OE_SEL1 +.set USBFS_Dp__PRTDSI__OUT_SEL0, CYREG_PRT15_OUT_SEL0 +.set USBFS_Dp__PRTDSI__OUT_SEL1, CYREG_PRT15_OUT_SEL1 +.set USBFS_Dp__PRTDSI__SYNC_OUT, CYREG_PRT15_SYNC_OUT +.set USBFS_Dp__PS, CYREG_PRT15_PS +.set USBFS_Dp__SHIFT, 6 +.set USBFS_Dp__SLW, CYREG_PRT15_SLW +.set USBFS_Dp__SNAP, CYREG_PICU_15_SNAP_15 + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +.set CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO, 0 +.set CYDEV_DEBUGGING_DPS_SWD_SWV, 6 +.set CYDEV_CONFIG_UNUSED_IO_AllowButWarn, 0 +.set CYDEV_CONFIGURATION_MODE_COMPRESSED, 0 +.set CYDEV_CONFIG_FASTBOOT_ENABLED, 1 +.set CYDEV_CHIP_REV_PSOC5LP_PRODUCTION, 0 +.set CYDEV_CHIP_REVISION_5B_PRODUCTION, 0 +.set CYDEV_CHIP_MEMBER_5B, 4 +.set CYDEV_CHIP_FAMILY_PSOC5, 3 +.set CYDEV_CHIP_DIE_PSOC5LP, 4 +.set CYDEV_CHIP_DIE_EXPECT, CYDEV_CHIP_DIE_PSOC5LP +.set CYDEV_BOOTLOADER_IO_COMP_USBFS, 1 +.set BCLK__BUS_CLK__HZ, 64000000 +.set BCLK__BUS_CLK__KHZ, 64000 +.set BCLK__BUS_CLK__MHZ, 64 +.set CYDEV_BOOTLOADER_APPLICATIONS, 1 +.set CYDEV_BOOTLOADER_CHECKSUM_BASIC, 0 +.set CYDEV_BOOTLOADER_CHECKSUM_CRC, 1 +.set CYDEV_BOOTLOADER_IO_COMP, CYDEV_BOOTLOADER_IO_COMP_USBFS +.set CYDEV_CHIP_DIE_ACTUAL, CYDEV_CHIP_DIE_EXPECT +.set CYDEV_CHIP_DIE_LEOPARD, 1 +.set CYDEV_CHIP_DIE_PANTHER, 3 +.set CYDEV_CHIP_DIE_PSOC4A, 2 +.set CYDEV_CHIP_DIE_UNKNOWN, 0 +.set CYDEV_CHIP_FAMILY_PSOC3, 1 +.set CYDEV_CHIP_FAMILY_PSOC4, 2 +.set CYDEV_CHIP_FAMILY_UNKNOWN, 0 +.set CYDEV_CHIP_FAMILY_USED, CYDEV_CHIP_FAMILY_PSOC5 +.set CYDEV_CHIP_JTAG_ID, 0x2E133069 +.set CYDEV_CHIP_MEMBER_3A, 1 +.set CYDEV_CHIP_MEMBER_4A, 2 +.set CYDEV_CHIP_MEMBER_5A, 3 +.set CYDEV_CHIP_MEMBER_UNKNOWN, 0 +.set CYDEV_CHIP_MEMBER_USED, CYDEV_CHIP_MEMBER_5B +.set CYDEV_CHIP_REVISION_3A_ES1, 0 +.set CYDEV_CHIP_REVISION_3A_ES2, 1 +.set CYDEV_CHIP_REVISION_3A_ES3, 3 +.set CYDEV_CHIP_REVISION_3A_PRODUCTION, 3 +.set CYDEV_CHIP_REVISION_4A_ES0, 17 +.set CYDEV_CHIP_REVISION_4A_PRODUCTION, 17 +.set CYDEV_CHIP_REVISION_5A_ES0, 0 +.set CYDEV_CHIP_REVISION_5A_ES1, 1 +.set CYDEV_CHIP_REVISION_5A_PRODUCTION, 1 +.set CYDEV_CHIP_REVISION_5B_ES0, 0 +.set CYDEV_CHIP_REVISION_USED, CYDEV_CHIP_REVISION_5B_PRODUCTION +.set CYDEV_CHIP_REV_EXPECT, CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +.set CYDEV_CHIP_REV_LEOPARD_ES1, 0 +.set CYDEV_CHIP_REV_LEOPARD_ES2, 1 +.set CYDEV_CHIP_REV_LEOPARD_ES3, 3 +.set CYDEV_CHIP_REV_LEOPARD_PRODUCTION, 3 +.set CYDEV_CHIP_REV_PANTHER_ES0, 0 +.set CYDEV_CHIP_REV_PANTHER_ES1, 1 +.set CYDEV_CHIP_REV_PANTHER_PRODUCTION, 1 +.set CYDEV_CHIP_REV_PSOC4A_ES0, 17 +.set CYDEV_CHIP_REV_PSOC4A_PRODUCTION, 17 +.set CYDEV_CHIP_REV_PSOC5LP_ES0, 0 +.set CYDEV_CONFIGURATION_COMPRESSED, 1 +.set CYDEV_CONFIGURATION_DMA, 0 +.set CYDEV_CONFIGURATION_ECC, 0 +.set CYDEV_CONFIGURATION_IMOENABLED, CYDEV_CONFIG_FASTBOOT_ENABLED +.set CYDEV_CONFIGURATION_MODE, CYDEV_CONFIGURATION_MODE_COMPRESSED +.set CYDEV_CONFIGURATION_MODE_DMA, 2 +.set CYDEV_CONFIGURATION_MODE_UNCOMPRESSED, 1 +.set CYDEV_CONFIG_UNUSED_IO, CYDEV_CONFIG_UNUSED_IO_AllowButWarn +.set CYDEV_CONFIG_UNUSED_IO_AllowWithInfo, 1 +.set CYDEV_CONFIG_UNUSED_IO_Disallowed, 2 +.set CYDEV_DEBUGGING_DPS, CYDEV_DEBUGGING_DPS_SWD_SWV +.set CYDEV_DEBUGGING_DPS_Disable, 3 +.set CYDEV_DEBUGGING_DPS_JTAG_4, 1 +.set CYDEV_DEBUGGING_DPS_JTAG_5, 0 +.set CYDEV_DEBUGGING_DPS_SWD, 2 +.set CYDEV_DEBUGGING_ENABLE, 1 +.set CYDEV_DEBUGGING_XRES, 0 +.set CYDEV_DEBUG_ENABLE_MASK, 0x20 +.set CYDEV_DEBUG_ENABLE_REGISTER, CYREG_MLOGIC_DEBUG +.set CYDEV_DMA_CHANNELS_AVAILABLE, 24 +.set CYDEV_ECC_ENABLE, 0 +.set CYDEV_HEAP_SIZE, 0x0800 +.set CYDEV_INSTRUCT_CACHE_ENABLED, 1 +.set CYDEV_INTR_RISING, 0x00000000 +.set CYDEV_PROJ_TYPE, 1 +.set CYDEV_PROJ_TYPE_BOOTLOADER, 1 +.set CYDEV_PROJ_TYPE_LOADABLE, 2 +.set CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER, 3 +.set CYDEV_PROJ_TYPE_STANDARD, 0 +.set CYDEV_PROTECTION_ENABLE, 0 +.set CYDEV_STACK_SIZE, 0x2000 +.set CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP, 1 +.set CYDEV_USE_BUNDLED_CMSIS, 1 +.set CYDEV_VARIABLE_VDDA, 0 +.set CYDEV_VDDA_MV, 5000 +.set CYDEV_VDDD_MV, 5000 +.set CYDEV_VDDIO0_MV, 5000 +.set CYDEV_VDDIO1_MV, 5000 +.set CYDEV_VDDIO2_MV, 5000 +.set CYDEV_VDDIO3_MV, 5000 +.set CYDEV_VIO0, 5 +.set CYDEV_VIO0_MV, 5000 +.set CYDEV_VIO1, 5 +.set CYDEV_VIO1_MV, 5000 +.set CYDEV_VIO2, 5 +.set CYDEV_VIO2_MV, 5000 +.set CYDEV_VIO3, 5 +.set CYDEV_VIO3_MV, 5000 +.set CyBtldr_Custom_Interface, CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +.set CyBtldr_USBFS, CYDEV_BOOTLOADER_IO_COMP_USBFS +.set DMA_CHANNELS_USED__MASK0, 0x00000000 +.set CYDEV_BOOTLOADER_ENABLE, 1 +.endif diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitteriar.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitteriar.inc new file mode 100644 index 0000000..d0d0f63 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitteriar.inc @@ -0,0 +1,1355 @@ +#ifndef INCLUDED_CYFITTERIAR_INC +#define INCLUDED_CYFITTERIAR_INC + INCLUDE cydeviceiar.inc + INCLUDE cydeviceiar_trm.inc + +/* USBFS_bus_reset */ +USBFS_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_bus_reset__INTC_MASK EQU 0x800000 +USBFS_bus_reset__INTC_NUMBER EQU 23 +USBFS_bus_reset__INTC_PRIOR_NUM EQU 7 +USBFS_bus_reset__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_23 +USBFS_bus_reset__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_arb_int */ +USBFS_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_arb_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_arb_int__INTC_MASK EQU 0x400000 +USBFS_arb_int__INTC_NUMBER EQU 22 +USBFS_arb_int__INTC_PRIOR_NUM EQU 7 +USBFS_arb_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_22 +USBFS_arb_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_arb_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_sof_int */ +USBFS_sof_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_sof_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_sof_int__INTC_MASK EQU 0x200000 +USBFS_sof_int__INTC_NUMBER EQU 21 +USBFS_sof_int__INTC_PRIOR_NUM EQU 7 +USBFS_sof_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_21 +USBFS_sof_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__0__MASK EQU 0x08 +SCSI_Out_DBx__0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__0__PORT EQU 6 +SCSI_Out_DBx__0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__0__SHIFT EQU 3 +SCSI_Out_DBx__0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__1__MASK EQU 0x04 +SCSI_Out_DBx__1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__1__PORT EQU 6 +SCSI_Out_DBx__1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__1__SHIFT EQU 2 +SCSI_Out_DBx__1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__2__MASK EQU 0x02 +SCSI_Out_DBx__2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__2__PORT EQU 6 +SCSI_Out_DBx__2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__2__SHIFT EQU 1 +SCSI_Out_DBx__2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__3__MASK EQU 0x01 +SCSI_Out_DBx__3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__3__PORT EQU 6 +SCSI_Out_DBx__3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__3__SHIFT EQU 0 +SCSI_Out_DBx__3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__4__MASK EQU 0x80 +SCSI_Out_DBx__4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__4__PORT EQU 4 +SCSI_Out_DBx__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__4__SHIFT EQU 7 +SCSI_Out_DBx__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__5__MASK EQU 0x40 +SCSI_Out_DBx__5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__5__PORT EQU 4 +SCSI_Out_DBx__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__5__SHIFT EQU 6 +SCSI_Out_DBx__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__6__MASK EQU 0x20 +SCSI_Out_DBx__6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__6__PORT EQU 4 +SCSI_Out_DBx__6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__6__SHIFT EQU 5 +SCSI_Out_DBx__6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__7__MASK EQU 0x10 +SCSI_Out_DBx__7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__7__PORT EQU 4 +SCSI_Out_DBx__7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__7__SHIFT EQU 4 +SCSI_Out_DBx__7__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB0__MASK EQU 0x08 +SCSI_Out_DBx__DB0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__DB0__PORT EQU 6 +SCSI_Out_DBx__DB0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB0__SHIFT EQU 3 +SCSI_Out_DBx__DB0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB1__MASK EQU 0x04 +SCSI_Out_DBx__DB1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__DB1__PORT EQU 6 +SCSI_Out_DBx__DB1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB1__SHIFT EQU 2 +SCSI_Out_DBx__DB1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB2__MASK EQU 0x02 +SCSI_Out_DBx__DB2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__DB2__PORT EQU 6 +SCSI_Out_DBx__DB2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB2__SHIFT EQU 1 +SCSI_Out_DBx__DB2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB3__MASK EQU 0x01 +SCSI_Out_DBx__DB3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__DB3__PORT EQU 6 +SCSI_Out_DBx__DB3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB3__SHIFT EQU 0 +SCSI_Out_DBx__DB3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB4__MASK EQU 0x80 +SCSI_Out_DBx__DB4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__DB4__PORT EQU 4 +SCSI_Out_DBx__DB4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB4__SHIFT EQU 7 +SCSI_Out_DBx__DB4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB5__MASK EQU 0x40 +SCSI_Out_DBx__DB5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__DB5__PORT EQU 4 +SCSI_Out_DBx__DB5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB5__SHIFT EQU 6 +SCSI_Out_DBx__DB5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB6__MASK EQU 0x20 +SCSI_Out_DBx__DB6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__DB6__PORT EQU 4 +SCSI_Out_DBx__DB6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB6__SHIFT EQU 5 +SCSI_Out_DBx__DB6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB7__MASK EQU 0x10 +SCSI_Out_DBx__DB7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__DB7__PORT EQU 4 +SCSI_Out_DBx__DB7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB7__SHIFT EQU 4 +SCSI_Out_DBx__DB7__SLW EQU CYREG_PRT4_SLW + +/* USBFS_dp_int */ +USBFS_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_dp_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_dp_int__INTC_MASK EQU 0x1000 +USBFS_dp_int__INTC_NUMBER EQU 12 +USBFS_dp_int__INTC_PRIOR_NUM EQU 7 +USBFS_dp_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_12 +USBFS_dp_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_dp_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_ep_0 */ +USBFS_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_0__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_0__INTC_MASK EQU 0x1000000 +USBFS_ep_0__INTC_NUMBER EQU 24 +USBFS_ep_0__INTC_PRIOR_NUM EQU 7 +USBFS_ep_0__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_24 +USBFS_ep_0__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_0__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_ep_1 */ +USBFS_ep_1__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_1__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_1__INTC_MASK EQU 0x01 +USBFS_ep_1__INTC_NUMBER EQU 0 +USBFS_ep_1__INTC_PRIOR_NUM EQU 7 +USBFS_ep_1__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +USBFS_ep_1__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_1__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_ep_2 */ +USBFS_ep_2__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_2__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_2__INTC_MASK EQU 0x02 +USBFS_ep_2__INTC_NUMBER EQU 1 +USBFS_ep_2__INTC_PRIOR_NUM EQU 7 +USBFS_ep_2__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_1 +USBFS_ep_2__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_2__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +/* USBFS_USB */ +USBFS_USB__ARB_CFG EQU CYREG_USB_ARB_CFG +USBFS_USB__ARB_EP1_CFG EQU CYREG_USB_ARB_EP1_CFG +USBFS_USB__ARB_EP1_INT_EN EQU CYREG_USB_ARB_EP1_INT_EN +USBFS_USB__ARB_EP1_SR EQU CYREG_USB_ARB_EP1_SR +USBFS_USB__ARB_EP2_CFG EQU CYREG_USB_ARB_EP2_CFG +USBFS_USB__ARB_EP2_INT_EN EQU CYREG_USB_ARB_EP2_INT_EN +USBFS_USB__ARB_EP2_SR EQU CYREG_USB_ARB_EP2_SR +USBFS_USB__ARB_EP3_CFG EQU CYREG_USB_ARB_EP3_CFG +USBFS_USB__ARB_EP3_INT_EN EQU CYREG_USB_ARB_EP3_INT_EN +USBFS_USB__ARB_EP3_SR EQU CYREG_USB_ARB_EP3_SR +USBFS_USB__ARB_EP4_CFG EQU CYREG_USB_ARB_EP4_CFG +USBFS_USB__ARB_EP4_INT_EN EQU CYREG_USB_ARB_EP4_INT_EN +USBFS_USB__ARB_EP4_SR EQU CYREG_USB_ARB_EP4_SR +USBFS_USB__ARB_EP5_CFG EQU CYREG_USB_ARB_EP5_CFG +USBFS_USB__ARB_EP5_INT_EN EQU CYREG_USB_ARB_EP5_INT_EN +USBFS_USB__ARB_EP5_SR EQU CYREG_USB_ARB_EP5_SR +USBFS_USB__ARB_EP6_CFG EQU CYREG_USB_ARB_EP6_CFG +USBFS_USB__ARB_EP6_INT_EN EQU CYREG_USB_ARB_EP6_INT_EN +USBFS_USB__ARB_EP6_SR EQU CYREG_USB_ARB_EP6_SR +USBFS_USB__ARB_EP7_CFG EQU CYREG_USB_ARB_EP7_CFG +USBFS_USB__ARB_EP7_INT_EN EQU CYREG_USB_ARB_EP7_INT_EN +USBFS_USB__ARB_EP7_SR EQU CYREG_USB_ARB_EP7_SR +USBFS_USB__ARB_EP8_CFG EQU CYREG_USB_ARB_EP8_CFG +USBFS_USB__ARB_EP8_INT_EN EQU CYREG_USB_ARB_EP8_INT_EN +USBFS_USB__ARB_EP8_SR EQU CYREG_USB_ARB_EP8_SR +USBFS_USB__ARB_INT_EN EQU CYREG_USB_ARB_INT_EN +USBFS_USB__ARB_INT_SR EQU CYREG_USB_ARB_INT_SR +USBFS_USB__ARB_RW1_DR EQU CYREG_USB_ARB_RW1_DR +USBFS_USB__ARB_RW1_RA EQU CYREG_USB_ARB_RW1_RA +USBFS_USB__ARB_RW1_RA_MSB EQU CYREG_USB_ARB_RW1_RA_MSB +USBFS_USB__ARB_RW1_WA EQU CYREG_USB_ARB_RW1_WA +USBFS_USB__ARB_RW1_WA_MSB EQU CYREG_USB_ARB_RW1_WA_MSB +USBFS_USB__ARB_RW2_DR EQU CYREG_USB_ARB_RW2_DR +USBFS_USB__ARB_RW2_RA EQU CYREG_USB_ARB_RW2_RA +USBFS_USB__ARB_RW2_RA_MSB EQU CYREG_USB_ARB_RW2_RA_MSB +USBFS_USB__ARB_RW2_WA EQU CYREG_USB_ARB_RW2_WA +USBFS_USB__ARB_RW2_WA_MSB EQU CYREG_USB_ARB_RW2_WA_MSB +USBFS_USB__ARB_RW3_DR EQU CYREG_USB_ARB_RW3_DR +USBFS_USB__ARB_RW3_RA EQU CYREG_USB_ARB_RW3_RA +USBFS_USB__ARB_RW3_RA_MSB EQU CYREG_USB_ARB_RW3_RA_MSB +USBFS_USB__ARB_RW3_WA EQU CYREG_USB_ARB_RW3_WA +USBFS_USB__ARB_RW3_WA_MSB EQU CYREG_USB_ARB_RW3_WA_MSB +USBFS_USB__ARB_RW4_DR EQU CYREG_USB_ARB_RW4_DR +USBFS_USB__ARB_RW4_RA EQU CYREG_USB_ARB_RW4_RA +USBFS_USB__ARB_RW4_RA_MSB EQU CYREG_USB_ARB_RW4_RA_MSB +USBFS_USB__ARB_RW4_WA EQU CYREG_USB_ARB_RW4_WA +USBFS_USB__ARB_RW4_WA_MSB EQU CYREG_USB_ARB_RW4_WA_MSB +USBFS_USB__ARB_RW5_DR EQU CYREG_USB_ARB_RW5_DR +USBFS_USB__ARB_RW5_RA EQU CYREG_USB_ARB_RW5_RA +USBFS_USB__ARB_RW5_RA_MSB EQU CYREG_USB_ARB_RW5_RA_MSB +USBFS_USB__ARB_RW5_WA EQU CYREG_USB_ARB_RW5_WA +USBFS_USB__ARB_RW5_WA_MSB EQU CYREG_USB_ARB_RW5_WA_MSB +USBFS_USB__ARB_RW6_DR EQU CYREG_USB_ARB_RW6_DR +USBFS_USB__ARB_RW6_RA EQU CYREG_USB_ARB_RW6_RA +USBFS_USB__ARB_RW6_RA_MSB EQU CYREG_USB_ARB_RW6_RA_MSB +USBFS_USB__ARB_RW6_WA EQU CYREG_USB_ARB_RW6_WA +USBFS_USB__ARB_RW6_WA_MSB EQU CYREG_USB_ARB_RW6_WA_MSB +USBFS_USB__ARB_RW7_DR EQU CYREG_USB_ARB_RW7_DR +USBFS_USB__ARB_RW7_RA EQU CYREG_USB_ARB_RW7_RA +USBFS_USB__ARB_RW7_RA_MSB EQU CYREG_USB_ARB_RW7_RA_MSB +USBFS_USB__ARB_RW7_WA EQU CYREG_USB_ARB_RW7_WA +USBFS_USB__ARB_RW7_WA_MSB EQU CYREG_USB_ARB_RW7_WA_MSB +USBFS_USB__ARB_RW8_DR EQU CYREG_USB_ARB_RW8_DR +USBFS_USB__ARB_RW8_RA EQU CYREG_USB_ARB_RW8_RA +USBFS_USB__ARB_RW8_RA_MSB EQU CYREG_USB_ARB_RW8_RA_MSB +USBFS_USB__ARB_RW8_WA EQU CYREG_USB_ARB_RW8_WA +USBFS_USB__ARB_RW8_WA_MSB EQU CYREG_USB_ARB_RW8_WA_MSB +USBFS_USB__BUF_SIZE EQU CYREG_USB_BUF_SIZE +USBFS_USB__BUS_RST_CNT EQU CYREG_USB_BUS_RST_CNT +USBFS_USB__CR0 EQU CYREG_USB_CR0 +USBFS_USB__CR1 EQU CYREG_USB_CR1 +USBFS_USB__CWA EQU CYREG_USB_CWA +USBFS_USB__CWA_MSB EQU CYREG_USB_CWA_MSB +USBFS_USB__DMA_THRES EQU CYREG_USB_DMA_THRES +USBFS_USB__DMA_THRES_MSB EQU CYREG_USB_DMA_THRES_MSB +USBFS_USB__DYN_RECONFIG EQU CYREG_USB_DYN_RECONFIG +USBFS_USB__EP0_CNT EQU CYREG_USB_EP0_CNT +USBFS_USB__EP0_CR EQU CYREG_USB_EP0_CR +USBFS_USB__EP0_DR0 EQU CYREG_USB_EP0_DR0 +USBFS_USB__EP0_DR1 EQU CYREG_USB_EP0_DR1 +USBFS_USB__EP0_DR2 EQU CYREG_USB_EP0_DR2 +USBFS_USB__EP0_DR3 EQU CYREG_USB_EP0_DR3 +USBFS_USB__EP0_DR4 EQU CYREG_USB_EP0_DR4 +USBFS_USB__EP0_DR5 EQU CYREG_USB_EP0_DR5 +USBFS_USB__EP0_DR6 EQU CYREG_USB_EP0_DR6 +USBFS_USB__EP0_DR7 EQU CYREG_USB_EP0_DR7 +USBFS_USB__EP_ACTIVE EQU CYREG_USB_EP_ACTIVE +USBFS_USB__EP_TYPE EQU CYREG_USB_EP_TYPE +USBFS_USB__MEM_DATA EQU CYREG_USB_MEM_DATA_MBASE +USBFS_USB__PM_ACT_CFG EQU CYREG_PM_ACT_CFG5 +USBFS_USB__PM_ACT_MSK EQU 0x01 +USBFS_USB__PM_STBY_CFG EQU CYREG_PM_STBY_CFG5 +USBFS_USB__PM_STBY_MSK EQU 0x01 +USBFS_USB__SIE_EP1_CNT0 EQU CYREG_USB_SIE_EP1_CNT0 +USBFS_USB__SIE_EP1_CNT1 EQU CYREG_USB_SIE_EP1_CNT1 +USBFS_USB__SIE_EP1_CR0 EQU CYREG_USB_SIE_EP1_CR0 +USBFS_USB__SIE_EP2_CNT0 EQU CYREG_USB_SIE_EP2_CNT0 +USBFS_USB__SIE_EP2_CNT1 EQU CYREG_USB_SIE_EP2_CNT1 +USBFS_USB__SIE_EP2_CR0 EQU CYREG_USB_SIE_EP2_CR0 +USBFS_USB__SIE_EP3_CNT0 EQU CYREG_USB_SIE_EP3_CNT0 +USBFS_USB__SIE_EP3_CNT1 EQU CYREG_USB_SIE_EP3_CNT1 +USBFS_USB__SIE_EP3_CR0 EQU CYREG_USB_SIE_EP3_CR0 +USBFS_USB__SIE_EP4_CNT0 EQU CYREG_USB_SIE_EP4_CNT0 +USBFS_USB__SIE_EP4_CNT1 EQU CYREG_USB_SIE_EP4_CNT1 +USBFS_USB__SIE_EP4_CR0 EQU CYREG_USB_SIE_EP4_CR0 +USBFS_USB__SIE_EP5_CNT0 EQU CYREG_USB_SIE_EP5_CNT0 +USBFS_USB__SIE_EP5_CNT1 EQU CYREG_USB_SIE_EP5_CNT1 +USBFS_USB__SIE_EP5_CR0 EQU CYREG_USB_SIE_EP5_CR0 +USBFS_USB__SIE_EP6_CNT0 EQU CYREG_USB_SIE_EP6_CNT0 +USBFS_USB__SIE_EP6_CNT1 EQU CYREG_USB_SIE_EP6_CNT1 +USBFS_USB__SIE_EP6_CR0 EQU CYREG_USB_SIE_EP6_CR0 +USBFS_USB__SIE_EP7_CNT0 EQU CYREG_USB_SIE_EP7_CNT0 +USBFS_USB__SIE_EP7_CNT1 EQU CYREG_USB_SIE_EP7_CNT1 +USBFS_USB__SIE_EP7_CR0 EQU CYREG_USB_SIE_EP7_CR0 +USBFS_USB__SIE_EP8_CNT0 EQU CYREG_USB_SIE_EP8_CNT0 +USBFS_USB__SIE_EP8_CNT1 EQU CYREG_USB_SIE_EP8_CNT1 +USBFS_USB__SIE_EP8_CR0 EQU CYREG_USB_SIE_EP8_CR0 +USBFS_USB__SIE_EP_INT_EN EQU CYREG_USB_SIE_EP_INT_EN +USBFS_USB__SIE_EP_INT_SR EQU CYREG_USB_SIE_EP_INT_SR +USBFS_USB__SOF0 EQU CYREG_USB_SOF0 +USBFS_USB__SOF1 EQU CYREG_USB_SOF1 +USBFS_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 +USBFS_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 +USBFS_USB__USB_CLK_EN EQU CYREG_USB_USB_CLK_EN + +/* SCSI_Out */ +SCSI_Out__0__AG EQU CYREG_PRT4_AG +SCSI_Out__0__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__0__BIE EQU CYREG_PRT4_BIE +SCSI_Out__0__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__0__BYP EQU CYREG_PRT4_BYP +SCSI_Out__0__CTL EQU CYREG_PRT4_CTL +SCSI_Out__0__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__0__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__0__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__0__DR EQU CYREG_PRT4_DR +SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__0__MASK EQU 0x08 +SCSI_Out__0__PC EQU CYREG_PRT4_PC3 +SCSI_Out__0__PORT EQU 4 +SCSI_Out__0__PRT EQU CYREG_PRT4_PRT +SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__0__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__0__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__0__PS EQU CYREG_PRT4_PS +SCSI_Out__0__SHIFT EQU 3 +SCSI_Out__0__SLW EQU CYREG_PRT4_SLW +SCSI_Out__1__AG EQU CYREG_PRT4_AG +SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__1__BIE EQU CYREG_PRT4_BIE +SCSI_Out__1__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__1__BYP EQU CYREG_PRT4_BYP +SCSI_Out__1__CTL EQU CYREG_PRT4_CTL +SCSI_Out__1__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__1__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__1__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__1__DR EQU CYREG_PRT4_DR +SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__1__MASK EQU 0x04 +SCSI_Out__1__PC EQU CYREG_PRT4_PC2 +SCSI_Out__1__PORT EQU 4 +SCSI_Out__1__PRT EQU CYREG_PRT4_PRT +SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__1__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__1__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__1__PS EQU CYREG_PRT4_PS +SCSI_Out__1__SHIFT EQU 2 +SCSI_Out__1__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT0_AG +SCSI_Out__2__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT0_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT0_BYP +SCSI_Out__2__CTL EQU CYREG_PRT0_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__2__DR EQU CYREG_PRT0_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__2__MASK EQU 0x80 +SCSI_Out__2__PC EQU CYREG_PRT0_PC7 +SCSI_Out__2__PORT EQU 0 +SCSI_Out__2__PRT EQU CYREG_PRT0_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT0_PS +SCSI_Out__2__SHIFT EQU 7 +SCSI_Out__2__SLW EQU CYREG_PRT0_SLW +SCSI_Out__3__AG EQU CYREG_PRT0_AG +SCSI_Out__3__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT0_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT0_BYP +SCSI_Out__3__CTL EQU CYREG_PRT0_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__3__DR EQU CYREG_PRT0_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__3__MASK EQU 0x40 +SCSI_Out__3__PC EQU CYREG_PRT0_PC6 +SCSI_Out__3__PORT EQU 0 +SCSI_Out__3__PRT EQU CYREG_PRT0_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT0_PS +SCSI_Out__3__SHIFT EQU 6 +SCSI_Out__3__SLW EQU CYREG_PRT0_SLW +SCSI_Out__4__AG EQU CYREG_PRT0_AG +SCSI_Out__4__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT0_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT0_BYP +SCSI_Out__4__CTL EQU CYREG_PRT0_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__4__DR EQU CYREG_PRT0_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__4__MASK EQU 0x20 +SCSI_Out__4__PC EQU CYREG_PRT0_PC5 +SCSI_Out__4__PORT EQU 0 +SCSI_Out__4__PRT EQU CYREG_PRT0_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT0_PS +SCSI_Out__4__SHIFT EQU 5 +SCSI_Out__4__SLW EQU CYREG_PRT0_SLW +SCSI_Out__5__AG EQU CYREG_PRT0_AG +SCSI_Out__5__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT0_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT0_BYP +SCSI_Out__5__CTL EQU CYREG_PRT0_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__5__DR EQU CYREG_PRT0_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__5__MASK EQU 0x10 +SCSI_Out__5__PC EQU CYREG_PRT0_PC4 +SCSI_Out__5__PORT EQU 0 +SCSI_Out__5__PRT EQU CYREG_PRT0_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT0_PS +SCSI_Out__5__SHIFT EQU 4 +SCSI_Out__5__SLW EQU CYREG_PRT0_SLW +SCSI_Out__6__AG EQU CYREG_PRT0_AG +SCSI_Out__6__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT0_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT0_BYP +SCSI_Out__6__CTL EQU CYREG_PRT0_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__6__DR EQU CYREG_PRT0_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__6__MASK EQU 0x08 +SCSI_Out__6__PC EQU CYREG_PRT0_PC3 +SCSI_Out__6__PORT EQU 0 +SCSI_Out__6__PRT EQU CYREG_PRT0_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT0_PS +SCSI_Out__6__SHIFT EQU 3 +SCSI_Out__6__SLW EQU CYREG_PRT0_SLW +SCSI_Out__7__AG EQU CYREG_PRT0_AG +SCSI_Out__7__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT0_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT0_BYP +SCSI_Out__7__CTL EQU CYREG_PRT0_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__7__DR EQU CYREG_PRT0_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__7__MASK EQU 0x04 +SCSI_Out__7__PC EQU CYREG_PRT0_PC2 +SCSI_Out__7__PORT EQU 0 +SCSI_Out__7__PRT EQU CYREG_PRT0_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT0_PS +SCSI_Out__7__SHIFT EQU 2 +SCSI_Out__7__SLW EQU CYREG_PRT0_SLW +SCSI_Out__8__AG EQU CYREG_PRT0_AG +SCSI_Out__8__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT0_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT0_BYP +SCSI_Out__8__CTL EQU CYREG_PRT0_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__8__DR EQU CYREG_PRT0_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__8__MASK EQU 0x02 +SCSI_Out__8__PC EQU CYREG_PRT0_PC1 +SCSI_Out__8__PORT EQU 0 +SCSI_Out__8__PRT EQU CYREG_PRT0_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT0_PS +SCSI_Out__8__SHIFT EQU 1 +SCSI_Out__8__SLW EQU CYREG_PRT0_SLW +SCSI_Out__9__AG EQU CYREG_PRT0_AG +SCSI_Out__9__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT0_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT0_BYP +SCSI_Out__9__CTL EQU CYREG_PRT0_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__9__DR EQU CYREG_PRT0_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__9__MASK EQU 0x01 +SCSI_Out__9__PC EQU CYREG_PRT0_PC0 +SCSI_Out__9__PORT EQU 0 +SCSI_Out__9__PRT EQU CYREG_PRT0_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT0_PS +SCSI_Out__9__SHIFT EQU 0 +SCSI_Out__9__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT0_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT0_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT0_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT0_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT0_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__ACK__MASK EQU 0x40 +SCSI_Out__ACK__PC EQU CYREG_PRT0_PC6 +SCSI_Out__ACK__PORT EQU 0 +SCSI_Out__ACK__PRT EQU CYREG_PRT0_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT0_PS +SCSI_Out__ACK__SHIFT EQU 6 +SCSI_Out__ACK__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ATN__AG EQU CYREG_PRT4_AG +SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE +SCSI_Out__ATN__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__ATN__BYP EQU CYREG_PRT4_BYP +SCSI_Out__ATN__CTL EQU CYREG_PRT4_CTL +SCSI_Out__ATN__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__ATN__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__ATN__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__ATN__DR EQU CYREG_PRT4_DR +SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__ATN__MASK EQU 0x04 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC2 +SCSI_Out__ATN__PORT EQU 4 +SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT +SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__ATN__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__ATN__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__ATN__PS EQU CYREG_PRT4_PS +SCSI_Out__ATN__SHIFT EQU 2 +SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT0_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT0_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT0_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT0_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT0_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__BSY__MASK EQU 0x80 +SCSI_Out__BSY__PC EQU CYREG_PRT0_PC7 +SCSI_Out__BSY__PORT EQU 0 +SCSI_Out__BSY__PRT EQU CYREG_PRT0_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT0_PS +SCSI_Out__BSY__SHIFT EQU 7 +SCSI_Out__BSY__SLW EQU CYREG_PRT0_SLW +SCSI_Out__CD__AG EQU CYREG_PRT0_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT0_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT0_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT0_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT0_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__CD__MASK EQU 0x04 +SCSI_Out__CD__PC EQU CYREG_PRT0_PC2 +SCSI_Out__CD__PORT EQU 0 +SCSI_Out__CD__PRT EQU CYREG_PRT0_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT0_PS +SCSI_Out__CD__SHIFT EQU 2 +SCSI_Out__CD__SLW EQU CYREG_PRT0_SLW +SCSI_Out__DBP_raw__AG EQU CYREG_PRT4_AG +SCSI_Out__DBP_raw__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__DBP_raw__BIE EQU CYREG_PRT4_BIE +SCSI_Out__DBP_raw__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__DBP_raw__BYP EQU CYREG_PRT4_BYP +SCSI_Out__DBP_raw__CTL EQU CYREG_PRT4_CTL +SCSI_Out__DBP_raw__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__DBP_raw__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__DBP_raw__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__DBP_raw__DR EQU CYREG_PRT4_DR +SCSI_Out__DBP_raw__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__DBP_raw__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__DBP_raw__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__DBP_raw__MASK EQU 0x08 +SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC3 +SCSI_Out__DBP_raw__PORT EQU 4 +SCSI_Out__DBP_raw__PRT EQU CYREG_PRT4_PRT +SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__DBP_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__DBP_raw__PS EQU CYREG_PRT4_PS +SCSI_Out__DBP_raw__SHIFT EQU 3 +SCSI_Out__DBP_raw__SLW EQU CYREG_PRT4_SLW +SCSI_Out__IO_raw__AG EQU CYREG_PRT0_AG +SCSI_Out__IO_raw__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__IO_raw__BIE EQU CYREG_PRT0_BIE +SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__IO_raw__BYP EQU CYREG_PRT0_BYP +SCSI_Out__IO_raw__CTL EQU CYREG_PRT0_CTL +SCSI_Out__IO_raw__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__IO_raw__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__IO_raw__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__IO_raw__DR EQU CYREG_PRT0_DR +SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__IO_raw__MASK EQU 0x01 +SCSI_Out__IO_raw__PC EQU CYREG_PRT0_PC0 +SCSI_Out__IO_raw__PORT EQU 0 +SCSI_Out__IO_raw__PRT EQU CYREG_PRT0_PRT +SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__IO_raw__PS EQU CYREG_PRT0_PS +SCSI_Out__IO_raw__SHIFT EQU 0 +SCSI_Out__IO_raw__SLW EQU CYREG_PRT0_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT0_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT0_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT0_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT0_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT0_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__MSG__MASK EQU 0x10 +SCSI_Out__MSG__PC EQU CYREG_PRT0_PC4 +SCSI_Out__MSG__PORT EQU 0 +SCSI_Out__MSG__PRT EQU CYREG_PRT0_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT0_PS +SCSI_Out__MSG__SHIFT EQU 4 +SCSI_Out__MSG__SLW EQU CYREG_PRT0_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT0_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT0_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT0_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT0_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT0_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__REQ__MASK EQU 0x02 +SCSI_Out__REQ__PC EQU CYREG_PRT0_PC1 +SCSI_Out__REQ__PORT EQU 0 +SCSI_Out__REQ__PRT EQU CYREG_PRT0_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT0_PS +SCSI_Out__REQ__SHIFT EQU 1 +SCSI_Out__REQ__SLW EQU CYREG_PRT0_SLW +SCSI_Out__RST__AG EQU CYREG_PRT0_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT0_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT0_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT0_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT0_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__RST__MASK EQU 0x20 +SCSI_Out__RST__PC EQU CYREG_PRT0_PC5 +SCSI_Out__RST__PORT EQU 0 +SCSI_Out__RST__PRT EQU CYREG_PRT0_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT0_PS +SCSI_Out__RST__SHIFT EQU 5 +SCSI_Out__RST__SLW EQU CYREG_PRT0_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT0_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT0_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT0_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT0_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT0_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__SEL__MASK EQU 0x08 +SCSI_Out__SEL__PC EQU CYREG_PRT0_PC3 +SCSI_Out__SEL__PORT EQU 0 +SCSI_Out__SEL__PRT EQU CYREG_PRT0_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT0_PS +SCSI_Out__SEL__SHIFT EQU 3 +SCSI_Out__SEL__SLW EQU CYREG_PRT0_SLW + +/* USBFS_Dm */ +USBFS_Dm__0__MASK EQU 0x80 +USBFS_Dm__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC1 +USBFS_Dm__0__PORT EQU 15 +USBFS_Dm__0__SHIFT EQU 7 +USBFS_Dm__AG EQU CYREG_PRT15_AG +USBFS_Dm__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dm__BIE EQU CYREG_PRT15_BIE +USBFS_Dm__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dm__BYP EQU CYREG_PRT15_BYP +USBFS_Dm__CTL EQU CYREG_PRT15_CTL +USBFS_Dm__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dm__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dm__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dm__DR EQU CYREG_PRT15_DR +USBFS_Dm__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dm__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dm__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dm__MASK EQU 0x80 +USBFS_Dm__PORT EQU 15 +USBFS_Dm__PRT EQU CYREG_PRT15_PRT +USBFS_Dm__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dm__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dm__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dm__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dm__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dm__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dm__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dm__PS EQU CYREG_PRT15_PS +USBFS_Dm__SHIFT EQU 7 +USBFS_Dm__SLW EQU CYREG_PRT15_SLW + +/* USBFS_Dp */ +USBFS_Dp__0__MASK EQU 0x40 +USBFS_Dp__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC0 +USBFS_Dp__0__PORT EQU 15 +USBFS_Dp__0__SHIFT EQU 6 +USBFS_Dp__AG EQU CYREG_PRT15_AG +USBFS_Dp__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dp__BIE EQU CYREG_PRT15_BIE +USBFS_Dp__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dp__BYP EQU CYREG_PRT15_BYP +USBFS_Dp__CTL EQU CYREG_PRT15_CTL +USBFS_Dp__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dp__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dp__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dp__DR EQU CYREG_PRT15_DR +USBFS_Dp__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dp__INTSTAT EQU CYREG_PICU15_INTSTAT +USBFS_Dp__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dp__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dp__MASK EQU 0x40 +USBFS_Dp__PORT EQU 15 +USBFS_Dp__PRT EQU CYREG_PRT15_PRT +USBFS_Dp__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dp__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dp__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dp__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dp__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dp__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dp__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dp__PS EQU CYREG_PRT15_PS +USBFS_Dp__SHIFT EQU 6 +USBFS_Dp__SLW EQU CYREG_PRT15_SLW +USBFS_Dp__SNAP EQU CYREG_PICU_15_SNAP_15 + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO EQU 0 +CYDEV_DEBUGGING_DPS_SWD_SWV EQU 6 +CYDEV_CONFIG_UNUSED_IO_AllowButWarn EQU 0 +CYDEV_CONFIGURATION_MODE_COMPRESSED EQU 0 +CYDEV_CONFIG_FASTBOOT_ENABLED EQU 1 +CYDEV_CHIP_REV_PSOC5LP_PRODUCTION EQU 0 +CYDEV_CHIP_REVISION_5B_PRODUCTION EQU 0 +CYDEV_CHIP_MEMBER_5B EQU 4 +CYDEV_CHIP_FAMILY_PSOC5 EQU 3 +CYDEV_CHIP_DIE_PSOC5LP EQU 4 +CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP +CYDEV_BOOTLOADER_IO_COMP_USBFS EQU 1 +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 +CYDEV_BOOTLOADER_APPLICATIONS EQU 1 +CYDEV_BOOTLOADER_CHECKSUM_BASIC EQU 0 +CYDEV_BOOTLOADER_CHECKSUM_CRC EQU 1 +CYDEV_BOOTLOADER_IO_COMP EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT +CYDEV_CHIP_DIE_LEOPARD EQU 1 +CYDEV_CHIP_DIE_PANTHER EQU 3 +CYDEV_CHIP_DIE_PSOC4A EQU 2 +CYDEV_CHIP_DIE_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_PSOC3 EQU 1 +CYDEV_CHIP_FAMILY_PSOC4 EQU 2 +CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 +CYDEV_CHIP_JTAG_ID EQU 0x2E133069 +CYDEV_CHIP_MEMBER_3A EQU 1 +CYDEV_CHIP_MEMBER_4A EQU 2 +CYDEV_CHIP_MEMBER_5A EQU 3 +CYDEV_CHIP_MEMBER_UNKNOWN EQU 0 +CYDEV_CHIP_MEMBER_USED EQU CYDEV_CHIP_MEMBER_5B +CYDEV_CHIP_REVISION_3A_ES1 EQU 0 +CYDEV_CHIP_REVISION_3A_ES2 EQU 1 +CYDEV_CHIP_REVISION_3A_ES3 EQU 3 +CYDEV_CHIP_REVISION_3A_PRODUCTION EQU 3 +CYDEV_CHIP_REVISION_4A_ES0 EQU 17 +CYDEV_CHIP_REVISION_4A_PRODUCTION EQU 17 +CYDEV_CHIP_REVISION_5A_ES0 EQU 0 +CYDEV_CHIP_REVISION_5A_ES1 EQU 1 +CYDEV_CHIP_REVISION_5A_PRODUCTION EQU 1 +CYDEV_CHIP_REVISION_5B_ES0 EQU 0 +CYDEV_CHIP_REVISION_USED EQU CYDEV_CHIP_REVISION_5B_PRODUCTION +CYDEV_CHIP_REV_EXPECT EQU CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +CYDEV_CHIP_REV_LEOPARD_ES1 EQU 0 +CYDEV_CHIP_REV_LEOPARD_ES2 EQU 1 +CYDEV_CHIP_REV_LEOPARD_ES3 EQU 3 +CYDEV_CHIP_REV_LEOPARD_PRODUCTION EQU 3 +CYDEV_CHIP_REV_PANTHER_ES0 EQU 0 +CYDEV_CHIP_REV_PANTHER_ES1 EQU 1 +CYDEV_CHIP_REV_PANTHER_PRODUCTION EQU 1 +CYDEV_CHIP_REV_PSOC4A_ES0 EQU 17 +CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 +CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 +CYDEV_CONFIGURATION_COMPRESSED EQU 1 +CYDEV_CONFIGURATION_DMA EQU 0 +CYDEV_CONFIGURATION_ECC EQU 0 +CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED +CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED +CYDEV_CONFIGURATION_MODE_DMA EQU 2 +CYDEV_CONFIGURATION_MODE_UNCOMPRESSED EQU 1 +CYDEV_CONFIG_UNUSED_IO EQU CYDEV_CONFIG_UNUSED_IO_AllowButWarn +CYDEV_CONFIG_UNUSED_IO_AllowWithInfo EQU 1 +CYDEV_CONFIG_UNUSED_IO_Disallowed EQU 2 +CYDEV_DEBUGGING_DPS EQU CYDEV_DEBUGGING_DPS_SWD_SWV +CYDEV_DEBUGGING_DPS_Disable EQU 3 +CYDEV_DEBUGGING_DPS_JTAG_4 EQU 1 +CYDEV_DEBUGGING_DPS_JTAG_5 EQU 0 +CYDEV_DEBUGGING_DPS_SWD EQU 2 +CYDEV_DEBUGGING_ENABLE EQU 1 +CYDEV_DEBUGGING_XRES EQU 0 +CYDEV_DEBUG_ENABLE_MASK EQU 0x20 +CYDEV_DEBUG_ENABLE_REGISTER EQU CYREG_MLOGIC_DEBUG +CYDEV_DMA_CHANNELS_AVAILABLE EQU 24 +CYDEV_ECC_ENABLE EQU 0 +CYDEV_HEAP_SIZE EQU 0x0800 +CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 +CYDEV_INTR_RISING EQU 0x00000000 +CYDEV_PROJ_TYPE EQU 1 +CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 +CYDEV_PROJ_TYPE_LOADABLE EQU 2 +CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 +CYDEV_PROJ_TYPE_STANDARD EQU 0 +CYDEV_PROTECTION_ENABLE EQU 0 +CYDEV_STACK_SIZE EQU 0x2000 +CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP EQU 1 +CYDEV_USE_BUNDLED_CMSIS EQU 1 +CYDEV_VARIABLE_VDDA EQU 0 +CYDEV_VDDA_MV EQU 5000 +CYDEV_VDDD_MV EQU 5000 +CYDEV_VDDIO0_MV EQU 5000 +CYDEV_VDDIO1_MV EQU 5000 +CYDEV_VDDIO2_MV EQU 5000 +CYDEV_VDDIO3_MV EQU 5000 +CYDEV_VIO0 EQU 5 +CYDEV_VIO0_MV EQU 5000 +CYDEV_VIO1 EQU 5 +CYDEV_VIO1_MV EQU 5000 +CYDEV_VIO2 EQU 5 +CYDEV_VIO2_MV EQU 5000 +CYDEV_VIO3 EQU 5 +CYDEV_VIO3_MV EQU 5000 +CyBtldr_Custom_Interface EQU CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +CyBtldr_USBFS EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +DMA_CHANNELS_USED__MASK0 EQU 0x00000000 +CYDEV_BOOTLOADER_ENABLE EQU 1 + +#endif /* INCLUDED_CYFITTERIAR_INC */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitterrv.inc b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitterrv.inc new file mode 100644 index 0000000..bc000e2 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitterrv.inc @@ -0,0 +1,1355 @@ + IF :LNOT::DEF:INCLUDED_CYFITTERRV_INC +INCLUDED_CYFITTERRV_INC EQU 1 + GET cydevicerv.inc + GET cydevicerv_trm.inc + +; USBFS_bus_reset +USBFS_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_bus_reset__INTC_MASK EQU 0x800000 +USBFS_bus_reset__INTC_NUMBER EQU 23 +USBFS_bus_reset__INTC_PRIOR_NUM EQU 7 +USBFS_bus_reset__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_23 +USBFS_bus_reset__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_arb_int +USBFS_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_arb_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_arb_int__INTC_MASK EQU 0x400000 +USBFS_arb_int__INTC_NUMBER EQU 22 +USBFS_arb_int__INTC_PRIOR_NUM EQU 7 +USBFS_arb_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_22 +USBFS_arb_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_arb_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_sof_int +USBFS_sof_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_sof_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_sof_int__INTC_MASK EQU 0x200000 +USBFS_sof_int__INTC_NUMBER EQU 21 +USBFS_sof_int__INTC_PRIOR_NUM EQU 7 +USBFS_sof_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_21 +USBFS_sof_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; SCSI_Out_DBx +SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__0__MASK EQU 0x08 +SCSI_Out_DBx__0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__0__PORT EQU 6 +SCSI_Out_DBx__0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__0__SHIFT EQU 3 +SCSI_Out_DBx__0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__1__MASK EQU 0x04 +SCSI_Out_DBx__1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__1__PORT EQU 6 +SCSI_Out_DBx__1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__1__SHIFT EQU 2 +SCSI_Out_DBx__1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__2__MASK EQU 0x02 +SCSI_Out_DBx__2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__2__PORT EQU 6 +SCSI_Out_DBx__2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__2__SHIFT EQU 1 +SCSI_Out_DBx__2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__3__MASK EQU 0x01 +SCSI_Out_DBx__3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__3__PORT EQU 6 +SCSI_Out_DBx__3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__3__SHIFT EQU 0 +SCSI_Out_DBx__3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__4__MASK EQU 0x80 +SCSI_Out_DBx__4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__4__PORT EQU 4 +SCSI_Out_DBx__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__4__SHIFT EQU 7 +SCSI_Out_DBx__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__5__MASK EQU 0x40 +SCSI_Out_DBx__5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__5__PORT EQU 4 +SCSI_Out_DBx__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__5__SHIFT EQU 6 +SCSI_Out_DBx__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__6__MASK EQU 0x20 +SCSI_Out_DBx__6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__6__PORT EQU 4 +SCSI_Out_DBx__6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__6__SHIFT EQU 5 +SCSI_Out_DBx__6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__7__MASK EQU 0x10 +SCSI_Out_DBx__7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__7__PORT EQU 4 +SCSI_Out_DBx__7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__7__SHIFT EQU 4 +SCSI_Out_DBx__7__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB0__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB0__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB0__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB0__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB0__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB0__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB0__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB0__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB0__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB0__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB0__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB0__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB0__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB0__MASK EQU 0x08 +SCSI_Out_DBx__DB0__PC EQU CYREG_PRT6_PC3 +SCSI_Out_DBx__DB0__PORT EQU 6 +SCSI_Out_DBx__DB0__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB0__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB0__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB0__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB0__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB0__SHIFT EQU 3 +SCSI_Out_DBx__DB0__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB1__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB1__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB1__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB1__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB1__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB1__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB1__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB1__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB1__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB1__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB1__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB1__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB1__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB1__MASK EQU 0x04 +SCSI_Out_DBx__DB1__PC EQU CYREG_PRT6_PC2 +SCSI_Out_DBx__DB1__PORT EQU 6 +SCSI_Out_DBx__DB1__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB1__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB1__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB1__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB1__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB1__SHIFT EQU 2 +SCSI_Out_DBx__DB1__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB2__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB2__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB2__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB2__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB2__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB2__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB2__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB2__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB2__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB2__MASK EQU 0x02 +SCSI_Out_DBx__DB2__PC EQU CYREG_PRT6_PC1 +SCSI_Out_DBx__DB2__PORT EQU 6 +SCSI_Out_DBx__DB2__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB2__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB2__SHIFT EQU 1 +SCSI_Out_DBx__DB2__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB3__AG EQU CYREG_PRT6_AG +SCSI_Out_DBx__DB3__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out_DBx__DB3__BIE EQU CYREG_PRT6_BIE +SCSI_Out_DBx__DB3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out_DBx__DB3__BYP EQU CYREG_PRT6_BYP +SCSI_Out_DBx__DB3__CTL EQU CYREG_PRT6_CTL +SCSI_Out_DBx__DB3__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out_DBx__DB3__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out_DBx__DB3__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out_DBx__DB3__DR EQU CYREG_PRT6_DR +SCSI_Out_DBx__DB3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out_DBx__DB3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out_DBx__DB3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out_DBx__DB3__MASK EQU 0x01 +SCSI_Out_DBx__DB3__PC EQU CYREG_PRT6_PC0 +SCSI_Out_DBx__DB3__PORT EQU 6 +SCSI_Out_DBx__DB3__PRT EQU CYREG_PRT6_PRT +SCSI_Out_DBx__DB3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out_DBx__DB3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out_DBx__DB3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out_DBx__DB3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out_DBx__DB3__PS EQU CYREG_PRT6_PS +SCSI_Out_DBx__DB3__SHIFT EQU 0 +SCSI_Out_DBx__DB3__SLW EQU CYREG_PRT6_SLW +SCSI_Out_DBx__DB4__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB4__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB4__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB4__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB4__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB4__MASK EQU 0x80 +SCSI_Out_DBx__DB4__PC EQU CYREG_PRT4_PC7 +SCSI_Out_DBx__DB4__PORT EQU 4 +SCSI_Out_DBx__DB4__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB4__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB4__SHIFT EQU 7 +SCSI_Out_DBx__DB4__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB5__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB5__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB5__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB5__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB5__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB5__MASK EQU 0x40 +SCSI_Out_DBx__DB5__PC EQU CYREG_PRT4_PC6 +SCSI_Out_DBx__DB5__PORT EQU 4 +SCSI_Out_DBx__DB5__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB5__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB5__SHIFT EQU 6 +SCSI_Out_DBx__DB5__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB6__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB6__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB6__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB6__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB6__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB6__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB6__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB6__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB6__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB6__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB6__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB6__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB6__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB6__MASK EQU 0x20 +SCSI_Out_DBx__DB6__PC EQU CYREG_PRT4_PC5 +SCSI_Out_DBx__DB6__PORT EQU 4 +SCSI_Out_DBx__DB6__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB6__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB6__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB6__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB6__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB6__SHIFT EQU 5 +SCSI_Out_DBx__DB6__SLW EQU CYREG_PRT4_SLW +SCSI_Out_DBx__DB7__AG EQU CYREG_PRT4_AG +SCSI_Out_DBx__DB7__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out_DBx__DB7__BIE EQU CYREG_PRT4_BIE +SCSI_Out_DBx__DB7__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out_DBx__DB7__BYP EQU CYREG_PRT4_BYP +SCSI_Out_DBx__DB7__CTL EQU CYREG_PRT4_CTL +SCSI_Out_DBx__DB7__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out_DBx__DB7__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out_DBx__DB7__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out_DBx__DB7__DR EQU CYREG_PRT4_DR +SCSI_Out_DBx__DB7__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out_DBx__DB7__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out_DBx__DB7__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out_DBx__DB7__MASK EQU 0x10 +SCSI_Out_DBx__DB7__PC EQU CYREG_PRT4_PC4 +SCSI_Out_DBx__DB7__PORT EQU 4 +SCSI_Out_DBx__DB7__PRT EQU CYREG_PRT4_PRT +SCSI_Out_DBx__DB7__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out_DBx__DB7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out_DBx__DB7__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out_DBx__DB7__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out_DBx__DB7__PS EQU CYREG_PRT4_PS +SCSI_Out_DBx__DB7__SHIFT EQU 4 +SCSI_Out_DBx__DB7__SLW EQU CYREG_PRT4_SLW + +; USBFS_dp_int +USBFS_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_dp_int__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_dp_int__INTC_MASK EQU 0x1000 +USBFS_dp_int__INTC_NUMBER EQU 12 +USBFS_dp_int__INTC_PRIOR_NUM EQU 7 +USBFS_dp_int__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_12 +USBFS_dp_int__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_dp_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_ep_0 +USBFS_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_0__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_0__INTC_MASK EQU 0x1000000 +USBFS_ep_0__INTC_NUMBER EQU 24 +USBFS_ep_0__INTC_PRIOR_NUM EQU 7 +USBFS_ep_0__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_24 +USBFS_ep_0__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_0__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_ep_1 +USBFS_ep_1__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_1__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_1__INTC_MASK EQU 0x01 +USBFS_ep_1__INTC_NUMBER EQU 0 +USBFS_ep_1__INTC_PRIOR_NUM EQU 7 +USBFS_ep_1__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +USBFS_ep_1__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_1__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_ep_2 +USBFS_ep_2__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +USBFS_ep_2__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +USBFS_ep_2__INTC_MASK EQU 0x02 +USBFS_ep_2__INTC_NUMBER EQU 1 +USBFS_ep_2__INTC_PRIOR_NUM EQU 7 +USBFS_ep_2__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_1 +USBFS_ep_2__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +USBFS_ep_2__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; USBFS_USB +USBFS_USB__ARB_CFG EQU CYREG_USB_ARB_CFG +USBFS_USB__ARB_EP1_CFG EQU CYREG_USB_ARB_EP1_CFG +USBFS_USB__ARB_EP1_INT_EN EQU CYREG_USB_ARB_EP1_INT_EN +USBFS_USB__ARB_EP1_SR EQU CYREG_USB_ARB_EP1_SR +USBFS_USB__ARB_EP2_CFG EQU CYREG_USB_ARB_EP2_CFG +USBFS_USB__ARB_EP2_INT_EN EQU CYREG_USB_ARB_EP2_INT_EN +USBFS_USB__ARB_EP2_SR EQU CYREG_USB_ARB_EP2_SR +USBFS_USB__ARB_EP3_CFG EQU CYREG_USB_ARB_EP3_CFG +USBFS_USB__ARB_EP3_INT_EN EQU CYREG_USB_ARB_EP3_INT_EN +USBFS_USB__ARB_EP3_SR EQU CYREG_USB_ARB_EP3_SR +USBFS_USB__ARB_EP4_CFG EQU CYREG_USB_ARB_EP4_CFG +USBFS_USB__ARB_EP4_INT_EN EQU CYREG_USB_ARB_EP4_INT_EN +USBFS_USB__ARB_EP4_SR EQU CYREG_USB_ARB_EP4_SR +USBFS_USB__ARB_EP5_CFG EQU CYREG_USB_ARB_EP5_CFG +USBFS_USB__ARB_EP5_INT_EN EQU CYREG_USB_ARB_EP5_INT_EN +USBFS_USB__ARB_EP5_SR EQU CYREG_USB_ARB_EP5_SR +USBFS_USB__ARB_EP6_CFG EQU CYREG_USB_ARB_EP6_CFG +USBFS_USB__ARB_EP6_INT_EN EQU CYREG_USB_ARB_EP6_INT_EN +USBFS_USB__ARB_EP6_SR EQU CYREG_USB_ARB_EP6_SR +USBFS_USB__ARB_EP7_CFG EQU CYREG_USB_ARB_EP7_CFG +USBFS_USB__ARB_EP7_INT_EN EQU CYREG_USB_ARB_EP7_INT_EN +USBFS_USB__ARB_EP7_SR EQU CYREG_USB_ARB_EP7_SR +USBFS_USB__ARB_EP8_CFG EQU CYREG_USB_ARB_EP8_CFG +USBFS_USB__ARB_EP8_INT_EN EQU CYREG_USB_ARB_EP8_INT_EN +USBFS_USB__ARB_EP8_SR EQU CYREG_USB_ARB_EP8_SR +USBFS_USB__ARB_INT_EN EQU CYREG_USB_ARB_INT_EN +USBFS_USB__ARB_INT_SR EQU CYREG_USB_ARB_INT_SR +USBFS_USB__ARB_RW1_DR EQU CYREG_USB_ARB_RW1_DR +USBFS_USB__ARB_RW1_RA EQU CYREG_USB_ARB_RW1_RA +USBFS_USB__ARB_RW1_RA_MSB EQU CYREG_USB_ARB_RW1_RA_MSB +USBFS_USB__ARB_RW1_WA EQU CYREG_USB_ARB_RW1_WA +USBFS_USB__ARB_RW1_WA_MSB EQU CYREG_USB_ARB_RW1_WA_MSB +USBFS_USB__ARB_RW2_DR EQU CYREG_USB_ARB_RW2_DR +USBFS_USB__ARB_RW2_RA EQU CYREG_USB_ARB_RW2_RA +USBFS_USB__ARB_RW2_RA_MSB EQU CYREG_USB_ARB_RW2_RA_MSB +USBFS_USB__ARB_RW2_WA EQU CYREG_USB_ARB_RW2_WA +USBFS_USB__ARB_RW2_WA_MSB EQU CYREG_USB_ARB_RW2_WA_MSB +USBFS_USB__ARB_RW3_DR EQU CYREG_USB_ARB_RW3_DR +USBFS_USB__ARB_RW3_RA EQU CYREG_USB_ARB_RW3_RA +USBFS_USB__ARB_RW3_RA_MSB EQU CYREG_USB_ARB_RW3_RA_MSB +USBFS_USB__ARB_RW3_WA EQU CYREG_USB_ARB_RW3_WA +USBFS_USB__ARB_RW3_WA_MSB EQU CYREG_USB_ARB_RW3_WA_MSB +USBFS_USB__ARB_RW4_DR EQU CYREG_USB_ARB_RW4_DR +USBFS_USB__ARB_RW4_RA EQU CYREG_USB_ARB_RW4_RA +USBFS_USB__ARB_RW4_RA_MSB EQU CYREG_USB_ARB_RW4_RA_MSB +USBFS_USB__ARB_RW4_WA EQU CYREG_USB_ARB_RW4_WA +USBFS_USB__ARB_RW4_WA_MSB EQU CYREG_USB_ARB_RW4_WA_MSB +USBFS_USB__ARB_RW5_DR EQU CYREG_USB_ARB_RW5_DR +USBFS_USB__ARB_RW5_RA EQU CYREG_USB_ARB_RW5_RA +USBFS_USB__ARB_RW5_RA_MSB EQU CYREG_USB_ARB_RW5_RA_MSB +USBFS_USB__ARB_RW5_WA EQU CYREG_USB_ARB_RW5_WA +USBFS_USB__ARB_RW5_WA_MSB EQU CYREG_USB_ARB_RW5_WA_MSB +USBFS_USB__ARB_RW6_DR EQU CYREG_USB_ARB_RW6_DR +USBFS_USB__ARB_RW6_RA EQU CYREG_USB_ARB_RW6_RA +USBFS_USB__ARB_RW6_RA_MSB EQU CYREG_USB_ARB_RW6_RA_MSB +USBFS_USB__ARB_RW6_WA EQU CYREG_USB_ARB_RW6_WA +USBFS_USB__ARB_RW6_WA_MSB EQU CYREG_USB_ARB_RW6_WA_MSB +USBFS_USB__ARB_RW7_DR EQU CYREG_USB_ARB_RW7_DR +USBFS_USB__ARB_RW7_RA EQU CYREG_USB_ARB_RW7_RA +USBFS_USB__ARB_RW7_RA_MSB EQU CYREG_USB_ARB_RW7_RA_MSB +USBFS_USB__ARB_RW7_WA EQU CYREG_USB_ARB_RW7_WA +USBFS_USB__ARB_RW7_WA_MSB EQU CYREG_USB_ARB_RW7_WA_MSB +USBFS_USB__ARB_RW8_DR EQU CYREG_USB_ARB_RW8_DR +USBFS_USB__ARB_RW8_RA EQU CYREG_USB_ARB_RW8_RA +USBFS_USB__ARB_RW8_RA_MSB EQU CYREG_USB_ARB_RW8_RA_MSB +USBFS_USB__ARB_RW8_WA EQU CYREG_USB_ARB_RW8_WA +USBFS_USB__ARB_RW8_WA_MSB EQU CYREG_USB_ARB_RW8_WA_MSB +USBFS_USB__BUF_SIZE EQU CYREG_USB_BUF_SIZE +USBFS_USB__BUS_RST_CNT EQU CYREG_USB_BUS_RST_CNT +USBFS_USB__CR0 EQU CYREG_USB_CR0 +USBFS_USB__CR1 EQU CYREG_USB_CR1 +USBFS_USB__CWA EQU CYREG_USB_CWA +USBFS_USB__CWA_MSB EQU CYREG_USB_CWA_MSB +USBFS_USB__DMA_THRES EQU CYREG_USB_DMA_THRES +USBFS_USB__DMA_THRES_MSB EQU CYREG_USB_DMA_THRES_MSB +USBFS_USB__DYN_RECONFIG EQU CYREG_USB_DYN_RECONFIG +USBFS_USB__EP0_CNT EQU CYREG_USB_EP0_CNT +USBFS_USB__EP0_CR EQU CYREG_USB_EP0_CR +USBFS_USB__EP0_DR0 EQU CYREG_USB_EP0_DR0 +USBFS_USB__EP0_DR1 EQU CYREG_USB_EP0_DR1 +USBFS_USB__EP0_DR2 EQU CYREG_USB_EP0_DR2 +USBFS_USB__EP0_DR3 EQU CYREG_USB_EP0_DR3 +USBFS_USB__EP0_DR4 EQU CYREG_USB_EP0_DR4 +USBFS_USB__EP0_DR5 EQU CYREG_USB_EP0_DR5 +USBFS_USB__EP0_DR6 EQU CYREG_USB_EP0_DR6 +USBFS_USB__EP0_DR7 EQU CYREG_USB_EP0_DR7 +USBFS_USB__EP_ACTIVE EQU CYREG_USB_EP_ACTIVE +USBFS_USB__EP_TYPE EQU CYREG_USB_EP_TYPE +USBFS_USB__MEM_DATA EQU CYREG_USB_MEM_DATA_MBASE +USBFS_USB__PM_ACT_CFG EQU CYREG_PM_ACT_CFG5 +USBFS_USB__PM_ACT_MSK EQU 0x01 +USBFS_USB__PM_STBY_CFG EQU CYREG_PM_STBY_CFG5 +USBFS_USB__PM_STBY_MSK EQU 0x01 +USBFS_USB__SIE_EP1_CNT0 EQU CYREG_USB_SIE_EP1_CNT0 +USBFS_USB__SIE_EP1_CNT1 EQU CYREG_USB_SIE_EP1_CNT1 +USBFS_USB__SIE_EP1_CR0 EQU CYREG_USB_SIE_EP1_CR0 +USBFS_USB__SIE_EP2_CNT0 EQU CYREG_USB_SIE_EP2_CNT0 +USBFS_USB__SIE_EP2_CNT1 EQU CYREG_USB_SIE_EP2_CNT1 +USBFS_USB__SIE_EP2_CR0 EQU CYREG_USB_SIE_EP2_CR0 +USBFS_USB__SIE_EP3_CNT0 EQU CYREG_USB_SIE_EP3_CNT0 +USBFS_USB__SIE_EP3_CNT1 EQU CYREG_USB_SIE_EP3_CNT1 +USBFS_USB__SIE_EP3_CR0 EQU CYREG_USB_SIE_EP3_CR0 +USBFS_USB__SIE_EP4_CNT0 EQU CYREG_USB_SIE_EP4_CNT0 +USBFS_USB__SIE_EP4_CNT1 EQU CYREG_USB_SIE_EP4_CNT1 +USBFS_USB__SIE_EP4_CR0 EQU CYREG_USB_SIE_EP4_CR0 +USBFS_USB__SIE_EP5_CNT0 EQU CYREG_USB_SIE_EP5_CNT0 +USBFS_USB__SIE_EP5_CNT1 EQU CYREG_USB_SIE_EP5_CNT1 +USBFS_USB__SIE_EP5_CR0 EQU CYREG_USB_SIE_EP5_CR0 +USBFS_USB__SIE_EP6_CNT0 EQU CYREG_USB_SIE_EP6_CNT0 +USBFS_USB__SIE_EP6_CNT1 EQU CYREG_USB_SIE_EP6_CNT1 +USBFS_USB__SIE_EP6_CR0 EQU CYREG_USB_SIE_EP6_CR0 +USBFS_USB__SIE_EP7_CNT0 EQU CYREG_USB_SIE_EP7_CNT0 +USBFS_USB__SIE_EP7_CNT1 EQU CYREG_USB_SIE_EP7_CNT1 +USBFS_USB__SIE_EP7_CR0 EQU CYREG_USB_SIE_EP7_CR0 +USBFS_USB__SIE_EP8_CNT0 EQU CYREG_USB_SIE_EP8_CNT0 +USBFS_USB__SIE_EP8_CNT1 EQU CYREG_USB_SIE_EP8_CNT1 +USBFS_USB__SIE_EP8_CR0 EQU CYREG_USB_SIE_EP8_CR0 +USBFS_USB__SIE_EP_INT_EN EQU CYREG_USB_SIE_EP_INT_EN +USBFS_USB__SIE_EP_INT_SR EQU CYREG_USB_SIE_EP_INT_SR +USBFS_USB__SOF0 EQU CYREG_USB_SOF0 +USBFS_USB__SOF1 EQU CYREG_USB_SOF1 +USBFS_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 +USBFS_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 +USBFS_USB__USB_CLK_EN EQU CYREG_USB_USB_CLK_EN + +; SCSI_Out +SCSI_Out__0__AG EQU CYREG_PRT4_AG +SCSI_Out__0__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__0__BIE EQU CYREG_PRT4_BIE +SCSI_Out__0__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__0__BYP EQU CYREG_PRT4_BYP +SCSI_Out__0__CTL EQU CYREG_PRT4_CTL +SCSI_Out__0__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__0__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__0__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__0__DR EQU CYREG_PRT4_DR +SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__0__MASK EQU 0x08 +SCSI_Out__0__PC EQU CYREG_PRT4_PC3 +SCSI_Out__0__PORT EQU 4 +SCSI_Out__0__PRT EQU CYREG_PRT4_PRT +SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__0__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__0__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__0__PS EQU CYREG_PRT4_PS +SCSI_Out__0__SHIFT EQU 3 +SCSI_Out__0__SLW EQU CYREG_PRT4_SLW +SCSI_Out__1__AG EQU CYREG_PRT4_AG +SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__1__BIE EQU CYREG_PRT4_BIE +SCSI_Out__1__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__1__BYP EQU CYREG_PRT4_BYP +SCSI_Out__1__CTL EQU CYREG_PRT4_CTL +SCSI_Out__1__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__1__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__1__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__1__DR EQU CYREG_PRT4_DR +SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__1__MASK EQU 0x04 +SCSI_Out__1__PC EQU CYREG_PRT4_PC2 +SCSI_Out__1__PORT EQU 4 +SCSI_Out__1__PRT EQU CYREG_PRT4_PRT +SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__1__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__1__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__1__PS EQU CYREG_PRT4_PS +SCSI_Out__1__SHIFT EQU 2 +SCSI_Out__1__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT0_AG +SCSI_Out__2__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT0_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT0_BYP +SCSI_Out__2__CTL EQU CYREG_PRT0_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__2__DR EQU CYREG_PRT0_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__2__MASK EQU 0x80 +SCSI_Out__2__PC EQU CYREG_PRT0_PC7 +SCSI_Out__2__PORT EQU 0 +SCSI_Out__2__PRT EQU CYREG_PRT0_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT0_PS +SCSI_Out__2__SHIFT EQU 7 +SCSI_Out__2__SLW EQU CYREG_PRT0_SLW +SCSI_Out__3__AG EQU CYREG_PRT0_AG +SCSI_Out__3__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT0_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT0_BYP +SCSI_Out__3__CTL EQU CYREG_PRT0_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__3__DR EQU CYREG_PRT0_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__3__MASK EQU 0x40 +SCSI_Out__3__PC EQU CYREG_PRT0_PC6 +SCSI_Out__3__PORT EQU 0 +SCSI_Out__3__PRT EQU CYREG_PRT0_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT0_PS +SCSI_Out__3__SHIFT EQU 6 +SCSI_Out__3__SLW EQU CYREG_PRT0_SLW +SCSI_Out__4__AG EQU CYREG_PRT0_AG +SCSI_Out__4__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT0_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT0_BYP +SCSI_Out__4__CTL EQU CYREG_PRT0_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__4__DR EQU CYREG_PRT0_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__4__MASK EQU 0x20 +SCSI_Out__4__PC EQU CYREG_PRT0_PC5 +SCSI_Out__4__PORT EQU 0 +SCSI_Out__4__PRT EQU CYREG_PRT0_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT0_PS +SCSI_Out__4__SHIFT EQU 5 +SCSI_Out__4__SLW EQU CYREG_PRT0_SLW +SCSI_Out__5__AG EQU CYREG_PRT0_AG +SCSI_Out__5__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT0_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT0_BYP +SCSI_Out__5__CTL EQU CYREG_PRT0_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__5__DR EQU CYREG_PRT0_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__5__MASK EQU 0x10 +SCSI_Out__5__PC EQU CYREG_PRT0_PC4 +SCSI_Out__5__PORT EQU 0 +SCSI_Out__5__PRT EQU CYREG_PRT0_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT0_PS +SCSI_Out__5__SHIFT EQU 4 +SCSI_Out__5__SLW EQU CYREG_PRT0_SLW +SCSI_Out__6__AG EQU CYREG_PRT0_AG +SCSI_Out__6__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT0_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT0_BYP +SCSI_Out__6__CTL EQU CYREG_PRT0_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__6__DR EQU CYREG_PRT0_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__6__MASK EQU 0x08 +SCSI_Out__6__PC EQU CYREG_PRT0_PC3 +SCSI_Out__6__PORT EQU 0 +SCSI_Out__6__PRT EQU CYREG_PRT0_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT0_PS +SCSI_Out__6__SHIFT EQU 3 +SCSI_Out__6__SLW EQU CYREG_PRT0_SLW +SCSI_Out__7__AG EQU CYREG_PRT0_AG +SCSI_Out__7__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT0_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT0_BYP +SCSI_Out__7__CTL EQU CYREG_PRT0_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__7__DR EQU CYREG_PRT0_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__7__MASK EQU 0x04 +SCSI_Out__7__PC EQU CYREG_PRT0_PC2 +SCSI_Out__7__PORT EQU 0 +SCSI_Out__7__PRT EQU CYREG_PRT0_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT0_PS +SCSI_Out__7__SHIFT EQU 2 +SCSI_Out__7__SLW EQU CYREG_PRT0_SLW +SCSI_Out__8__AG EQU CYREG_PRT0_AG +SCSI_Out__8__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT0_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT0_BYP +SCSI_Out__8__CTL EQU CYREG_PRT0_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__8__DR EQU CYREG_PRT0_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__8__MASK EQU 0x02 +SCSI_Out__8__PC EQU CYREG_PRT0_PC1 +SCSI_Out__8__PORT EQU 0 +SCSI_Out__8__PRT EQU CYREG_PRT0_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT0_PS +SCSI_Out__8__SHIFT EQU 1 +SCSI_Out__8__SLW EQU CYREG_PRT0_SLW +SCSI_Out__9__AG EQU CYREG_PRT0_AG +SCSI_Out__9__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT0_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT0_BYP +SCSI_Out__9__CTL EQU CYREG_PRT0_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__9__DR EQU CYREG_PRT0_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__9__MASK EQU 0x01 +SCSI_Out__9__PC EQU CYREG_PRT0_PC0 +SCSI_Out__9__PORT EQU 0 +SCSI_Out__9__PRT EQU CYREG_PRT0_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT0_PS +SCSI_Out__9__SHIFT EQU 0 +SCSI_Out__9__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT0_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT0_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT0_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT0_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT0_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__ACK__MASK EQU 0x40 +SCSI_Out__ACK__PC EQU CYREG_PRT0_PC6 +SCSI_Out__ACK__PORT EQU 0 +SCSI_Out__ACK__PRT EQU CYREG_PRT0_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT0_PS +SCSI_Out__ACK__SHIFT EQU 6 +SCSI_Out__ACK__SLW EQU CYREG_PRT0_SLW +SCSI_Out__ATN__AG EQU CYREG_PRT4_AG +SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE +SCSI_Out__ATN__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__ATN__BYP EQU CYREG_PRT4_BYP +SCSI_Out__ATN__CTL EQU CYREG_PRT4_CTL +SCSI_Out__ATN__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__ATN__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__ATN__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__ATN__DR EQU CYREG_PRT4_DR +SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__ATN__MASK EQU 0x04 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC2 +SCSI_Out__ATN__PORT EQU 4 +SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT +SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__ATN__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__ATN__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__ATN__PS EQU CYREG_PRT4_PS +SCSI_Out__ATN__SHIFT EQU 2 +SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT0_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT0_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT0_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT0_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT0_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__BSY__MASK EQU 0x80 +SCSI_Out__BSY__PC EQU CYREG_PRT0_PC7 +SCSI_Out__BSY__PORT EQU 0 +SCSI_Out__BSY__PRT EQU CYREG_PRT0_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT0_PS +SCSI_Out__BSY__SHIFT EQU 7 +SCSI_Out__BSY__SLW EQU CYREG_PRT0_SLW +SCSI_Out__CD__AG EQU CYREG_PRT0_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT0_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT0_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT0_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT0_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__CD__MASK EQU 0x04 +SCSI_Out__CD__PC EQU CYREG_PRT0_PC2 +SCSI_Out__CD__PORT EQU 0 +SCSI_Out__CD__PRT EQU CYREG_PRT0_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT0_PS +SCSI_Out__CD__SHIFT EQU 2 +SCSI_Out__CD__SLW EQU CYREG_PRT0_SLW +SCSI_Out__DBP_raw__AG EQU CYREG_PRT4_AG +SCSI_Out__DBP_raw__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__DBP_raw__BIE EQU CYREG_PRT4_BIE +SCSI_Out__DBP_raw__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__DBP_raw__BYP EQU CYREG_PRT4_BYP +SCSI_Out__DBP_raw__CTL EQU CYREG_PRT4_CTL +SCSI_Out__DBP_raw__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__DBP_raw__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__DBP_raw__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__DBP_raw__DR EQU CYREG_PRT4_DR +SCSI_Out__DBP_raw__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__DBP_raw__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__DBP_raw__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__DBP_raw__MASK EQU 0x08 +SCSI_Out__DBP_raw__PC EQU CYREG_PRT4_PC3 +SCSI_Out__DBP_raw__PORT EQU 4 +SCSI_Out__DBP_raw__PRT EQU CYREG_PRT4_PRT +SCSI_Out__DBP_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__DBP_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__DBP_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__DBP_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__DBP_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__DBP_raw__PS EQU CYREG_PRT4_PS +SCSI_Out__DBP_raw__SHIFT EQU 3 +SCSI_Out__DBP_raw__SLW EQU CYREG_PRT4_SLW +SCSI_Out__IO_raw__AG EQU CYREG_PRT0_AG +SCSI_Out__IO_raw__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__IO_raw__BIE EQU CYREG_PRT0_BIE +SCSI_Out__IO_raw__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__IO_raw__BYP EQU CYREG_PRT0_BYP +SCSI_Out__IO_raw__CTL EQU CYREG_PRT0_CTL +SCSI_Out__IO_raw__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__IO_raw__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__IO_raw__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__IO_raw__DR EQU CYREG_PRT0_DR +SCSI_Out__IO_raw__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__IO_raw__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__IO_raw__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__IO_raw__MASK EQU 0x01 +SCSI_Out__IO_raw__PC EQU CYREG_PRT0_PC0 +SCSI_Out__IO_raw__PORT EQU 0 +SCSI_Out__IO_raw__PRT EQU CYREG_PRT0_PRT +SCSI_Out__IO_raw__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__IO_raw__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__IO_raw__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__IO_raw__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__IO_raw__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__IO_raw__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__IO_raw__PS EQU CYREG_PRT0_PS +SCSI_Out__IO_raw__SHIFT EQU 0 +SCSI_Out__IO_raw__SLW EQU CYREG_PRT0_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT0_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT0_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT0_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT0_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT0_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__MSG__MASK EQU 0x10 +SCSI_Out__MSG__PC EQU CYREG_PRT0_PC4 +SCSI_Out__MSG__PORT EQU 0 +SCSI_Out__MSG__PRT EQU CYREG_PRT0_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT0_PS +SCSI_Out__MSG__SHIFT EQU 4 +SCSI_Out__MSG__SLW EQU CYREG_PRT0_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT0_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT0_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT0_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT0_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT0_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__REQ__MASK EQU 0x02 +SCSI_Out__REQ__PC EQU CYREG_PRT0_PC1 +SCSI_Out__REQ__PORT EQU 0 +SCSI_Out__REQ__PRT EQU CYREG_PRT0_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT0_PS +SCSI_Out__REQ__SHIFT EQU 1 +SCSI_Out__REQ__SLW EQU CYREG_PRT0_SLW +SCSI_Out__RST__AG EQU CYREG_PRT0_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT0_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT0_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT0_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT0_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__RST__MASK EQU 0x20 +SCSI_Out__RST__PC EQU CYREG_PRT0_PC5 +SCSI_Out__RST__PORT EQU 0 +SCSI_Out__RST__PRT EQU CYREG_PRT0_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT0_PS +SCSI_Out__RST__SHIFT EQU 5 +SCSI_Out__RST__SLW EQU CYREG_PRT0_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT0_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT0_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT0_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT0_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT0_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out__SEL__MASK EQU 0x08 +SCSI_Out__SEL__PC EQU CYREG_PRT0_PC3 +SCSI_Out__SEL__PORT EQU 0 +SCSI_Out__SEL__PRT EQU CYREG_PRT0_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT0_PS +SCSI_Out__SEL__SHIFT EQU 3 +SCSI_Out__SEL__SLW EQU CYREG_PRT0_SLW + +; USBFS_Dm +USBFS_Dm__0__MASK EQU 0x80 +USBFS_Dm__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC1 +USBFS_Dm__0__PORT EQU 15 +USBFS_Dm__0__SHIFT EQU 7 +USBFS_Dm__AG EQU CYREG_PRT15_AG +USBFS_Dm__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dm__BIE EQU CYREG_PRT15_BIE +USBFS_Dm__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dm__BYP EQU CYREG_PRT15_BYP +USBFS_Dm__CTL EQU CYREG_PRT15_CTL +USBFS_Dm__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dm__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dm__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dm__DR EQU CYREG_PRT15_DR +USBFS_Dm__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dm__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dm__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dm__MASK EQU 0x80 +USBFS_Dm__PORT EQU 15 +USBFS_Dm__PRT EQU CYREG_PRT15_PRT +USBFS_Dm__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dm__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dm__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dm__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dm__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dm__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dm__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dm__PS EQU CYREG_PRT15_PS +USBFS_Dm__SHIFT EQU 7 +USBFS_Dm__SLW EQU CYREG_PRT15_SLW + +; USBFS_Dp +USBFS_Dp__0__MASK EQU 0x40 +USBFS_Dp__0__PC EQU CYREG_IO_PC_PRT15_7_6_PC0 +USBFS_Dp__0__PORT EQU 15 +USBFS_Dp__0__SHIFT EQU 6 +USBFS_Dp__AG EQU CYREG_PRT15_AG +USBFS_Dp__AMUX EQU CYREG_PRT15_AMUX +USBFS_Dp__BIE EQU CYREG_PRT15_BIE +USBFS_Dp__BIT_MASK EQU CYREG_PRT15_BIT_MASK +USBFS_Dp__BYP EQU CYREG_PRT15_BYP +USBFS_Dp__CTL EQU CYREG_PRT15_CTL +USBFS_Dp__DM0 EQU CYREG_PRT15_DM0 +USBFS_Dp__DM1 EQU CYREG_PRT15_DM1 +USBFS_Dp__DM2 EQU CYREG_PRT15_DM2 +USBFS_Dp__DR EQU CYREG_PRT15_DR +USBFS_Dp__INP_DIS EQU CYREG_PRT15_INP_DIS +USBFS_Dp__INTSTAT EQU CYREG_PICU15_INTSTAT +USBFS_Dp__LCD_COM_SEG EQU CYREG_PRT15_LCD_COM_SEG +USBFS_Dp__LCD_EN EQU CYREG_PRT15_LCD_EN +USBFS_Dp__MASK EQU 0x40 +USBFS_Dp__PORT EQU 15 +USBFS_Dp__PRT EQU CYREG_PRT15_PRT +USBFS_Dp__PRTDSI__CAPS_SEL EQU CYREG_PRT15_CAPS_SEL +USBFS_Dp__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT15_DBL_SYNC_IN +USBFS_Dp__PRTDSI__OE_SEL0 EQU CYREG_PRT15_OE_SEL0 +USBFS_Dp__PRTDSI__OE_SEL1 EQU CYREG_PRT15_OE_SEL1 +USBFS_Dp__PRTDSI__OUT_SEL0 EQU CYREG_PRT15_OUT_SEL0 +USBFS_Dp__PRTDSI__OUT_SEL1 EQU CYREG_PRT15_OUT_SEL1 +USBFS_Dp__PRTDSI__SYNC_OUT EQU CYREG_PRT15_SYNC_OUT +USBFS_Dp__PS EQU CYREG_PRT15_PS +USBFS_Dp__SHIFT EQU 6 +USBFS_Dp__SLW EQU CYREG_PRT15_SLW +USBFS_Dp__SNAP EQU CYREG_PICU_15_SNAP_15 + +; Miscellaneous +; -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release +CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO EQU 0 +CYDEV_DEBUGGING_DPS_SWD_SWV EQU 6 +CYDEV_CONFIG_UNUSED_IO_AllowButWarn EQU 0 +CYDEV_CONFIGURATION_MODE_COMPRESSED EQU 0 +CYDEV_CONFIG_FASTBOOT_ENABLED EQU 1 +CYDEV_CHIP_REV_PSOC5LP_PRODUCTION EQU 0 +CYDEV_CHIP_REVISION_5B_PRODUCTION EQU 0 +CYDEV_CHIP_MEMBER_5B EQU 4 +CYDEV_CHIP_FAMILY_PSOC5 EQU 3 +CYDEV_CHIP_DIE_PSOC5LP EQU 4 +CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP +CYDEV_BOOTLOADER_IO_COMP_USBFS EQU 1 +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 +CYDEV_BOOTLOADER_APPLICATIONS EQU 1 +CYDEV_BOOTLOADER_CHECKSUM_BASIC EQU 0 +CYDEV_BOOTLOADER_CHECKSUM_CRC EQU 1 +CYDEV_BOOTLOADER_IO_COMP EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT +CYDEV_CHIP_DIE_LEOPARD EQU 1 +CYDEV_CHIP_DIE_PANTHER EQU 3 +CYDEV_CHIP_DIE_PSOC4A EQU 2 +CYDEV_CHIP_DIE_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_PSOC3 EQU 1 +CYDEV_CHIP_FAMILY_PSOC4 EQU 2 +CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 +CYDEV_CHIP_JTAG_ID EQU 0x2E133069 +CYDEV_CHIP_MEMBER_3A EQU 1 +CYDEV_CHIP_MEMBER_4A EQU 2 +CYDEV_CHIP_MEMBER_5A EQU 3 +CYDEV_CHIP_MEMBER_UNKNOWN EQU 0 +CYDEV_CHIP_MEMBER_USED EQU CYDEV_CHIP_MEMBER_5B +CYDEV_CHIP_REVISION_3A_ES1 EQU 0 +CYDEV_CHIP_REVISION_3A_ES2 EQU 1 +CYDEV_CHIP_REVISION_3A_ES3 EQU 3 +CYDEV_CHIP_REVISION_3A_PRODUCTION EQU 3 +CYDEV_CHIP_REVISION_4A_ES0 EQU 17 +CYDEV_CHIP_REVISION_4A_PRODUCTION EQU 17 +CYDEV_CHIP_REVISION_5A_ES0 EQU 0 +CYDEV_CHIP_REVISION_5A_ES1 EQU 1 +CYDEV_CHIP_REVISION_5A_PRODUCTION EQU 1 +CYDEV_CHIP_REVISION_5B_ES0 EQU 0 +CYDEV_CHIP_REVISION_USED EQU CYDEV_CHIP_REVISION_5B_PRODUCTION +CYDEV_CHIP_REV_EXPECT EQU CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +CYDEV_CHIP_REV_LEOPARD_ES1 EQU 0 +CYDEV_CHIP_REV_LEOPARD_ES2 EQU 1 +CYDEV_CHIP_REV_LEOPARD_ES3 EQU 3 +CYDEV_CHIP_REV_LEOPARD_PRODUCTION EQU 3 +CYDEV_CHIP_REV_PANTHER_ES0 EQU 0 +CYDEV_CHIP_REV_PANTHER_ES1 EQU 1 +CYDEV_CHIP_REV_PANTHER_PRODUCTION EQU 1 +CYDEV_CHIP_REV_PSOC4A_ES0 EQU 17 +CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 +CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 +CYDEV_CONFIGURATION_COMPRESSED EQU 1 +CYDEV_CONFIGURATION_DMA EQU 0 +CYDEV_CONFIGURATION_ECC EQU 0 +CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED +CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED +CYDEV_CONFIGURATION_MODE_DMA EQU 2 +CYDEV_CONFIGURATION_MODE_UNCOMPRESSED EQU 1 +CYDEV_CONFIG_UNUSED_IO EQU CYDEV_CONFIG_UNUSED_IO_AllowButWarn +CYDEV_CONFIG_UNUSED_IO_AllowWithInfo EQU 1 +CYDEV_CONFIG_UNUSED_IO_Disallowed EQU 2 +CYDEV_DEBUGGING_DPS EQU CYDEV_DEBUGGING_DPS_SWD_SWV +CYDEV_DEBUGGING_DPS_Disable EQU 3 +CYDEV_DEBUGGING_DPS_JTAG_4 EQU 1 +CYDEV_DEBUGGING_DPS_JTAG_5 EQU 0 +CYDEV_DEBUGGING_DPS_SWD EQU 2 +CYDEV_DEBUGGING_ENABLE EQU 1 +CYDEV_DEBUGGING_XRES EQU 0 +CYDEV_DEBUG_ENABLE_MASK EQU 0x20 +CYDEV_DEBUG_ENABLE_REGISTER EQU CYREG_MLOGIC_DEBUG +CYDEV_DMA_CHANNELS_AVAILABLE EQU 24 +CYDEV_ECC_ENABLE EQU 0 +CYDEV_HEAP_SIZE EQU 0x0800 +CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 +CYDEV_INTR_RISING EQU 0x00000000 +CYDEV_PROJ_TYPE EQU 1 +CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 +CYDEV_PROJ_TYPE_LOADABLE EQU 2 +CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 +CYDEV_PROJ_TYPE_STANDARD EQU 0 +CYDEV_PROTECTION_ENABLE EQU 0 +CYDEV_STACK_SIZE EQU 0x2000 +CYDEV_USB_CLK_OSC_LOCKING_ENABLED_AT_PWR_UP EQU 1 +CYDEV_USE_BUNDLED_CMSIS EQU 1 +CYDEV_VARIABLE_VDDA EQU 0 +CYDEV_VDDA_MV EQU 5000 +CYDEV_VDDD_MV EQU 5000 +CYDEV_VDDIO0_MV EQU 5000 +CYDEV_VDDIO1_MV EQU 5000 +CYDEV_VDDIO2_MV EQU 5000 +CYDEV_VDDIO3_MV EQU 5000 +CYDEV_VIO0 EQU 5 +CYDEV_VIO0_MV EQU 5000 +CYDEV_VIO1 EQU 5 +CYDEV_VIO1_MV EQU 5000 +CYDEV_VIO2 EQU 5 +CYDEV_VIO2_MV EQU 5000 +CYDEV_VIO3 EQU 5 +CYDEV_VIO3_MV EQU 5000 +CyBtldr_Custom_Interface EQU CYDEV_BOOTLOADER_IO_COMP_CUSTOM_IO +CyBtldr_USBFS EQU CYDEV_BOOTLOADER_IO_COMP_USBFS +DMA_CHANNELS_USED__MASK0 EQU 0x00000000 +CYDEV_BOOTLOADER_ENABLE EQU 1 + ENDIF + END diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cymetadata.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cymetadata.c new file mode 100644 index 0000000..8310348 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cymetadata.c @@ -0,0 +1,108 @@ +/******************************************************************************* +* FILENAME: cymetadata.c +* +* PSoC Creator 3.0 +* +* DESCRIPTION: +* This file defines all extra memory spaces that need to be included. +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + + +#include "cytypes.h" + + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyloadermeta"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyloadermeta" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_loader[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, 0x00u, 0x01u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyconfigecc"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyconfigecc" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_configecc[] = { + 0x00u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cycustnvl"), used)) +#elif defined(__ICCARM__) +#pragma location=".cycustnvl" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_custnvl[] = { + 0x00u, 0x00u, 0x40u, 0x05u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cywolatch"), used)) +#elif defined(__ICCARM__) +#pragma location=".cywolatch" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_wonvl[] = { + 0xBCu, 0x90u, 0xACu, 0xAFu +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cyflashprotect"), used)) +#elif defined(__ICCARM__) +#pragma location=".cyflashprotect" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_meta_flashprotect[] = { + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u +}; + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((__section__(".cymeta"), used)) +#elif defined(__ICCARM__) +#pragma location=".cymeta" +#else +#error "Unsupported toolchain" +#endif +const uint8 cy_metadata[] = { + 0x00u, 0x01u, 0x2Eu, 0x13u, 0x30u, 0x69u, 0x00u, 0x01u, + 0x00u, 0x00u, 0x00u, 0x00u +}; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h new file mode 100644 index 0000000..3af7484 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h @@ -0,0 +1,295 @@ +/******************************************************************************* +* File Name: cypins.h +* Version 4.0 +* +* Description: +* This file contains the function prototypes and constants used for port/pin +* in access and control. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYPINS_H) +#define CY_BOOT_CYPINS_H + +#include "cyfitter.h" +#include "cytypes.h" + + +/************************************** +* API Parameter Constants +**************************************/ + +#define CY_PINS_PC_DRIVE_MODE_SHIFT (0x01u) +#define CY_PINS_PC_DRIVE_MODE_MASK ((uint8)(0x07u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_0 ((uint8)(0x00u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_1 ((uint8)(0x01u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_2 ((uint8)(0x02u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_3 ((uint8)(0x03u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_4 ((uint8)(0x04u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_5 ((uint8)(0x05u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_6 ((uint8)(0x06u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_7 ((uint8)(0x07u << CY_PINS_PC_DRIVE_MODE_SHIFT)) + + +/* SetPinDriveMode */ +#define CY_PINS_DM_ALG_HIZ (CY_PINS_PC_DRIVE_MODE_0) +#define CY_PINS_DM_DIG_HIZ (CY_PINS_PC_DRIVE_MODE_1) +#define CY_PINS_DM_RES_UP (CY_PINS_PC_DRIVE_MODE_2) +#define CY_PINS_DM_RES_DWN (CY_PINS_PC_DRIVE_MODE_3) +#define CY_PINS_DM_OD_LO (CY_PINS_PC_DRIVE_MODE_4) +#define CY_PINS_DM_OD_HI (CY_PINS_PC_DRIVE_MODE_5) +#define CY_PINS_DM_STRONG (CY_PINS_PC_DRIVE_MODE_6) +#define CY_PINS_DM_RES_UPDWN (CY_PINS_PC_DRIVE_MODE_7) + + +/************************************** +* Register Constants +**************************************/ + +/* Port Pin Configuration Register */ +#define CY_PINS_PC_DATAOUT (0x01u) +#define CY_PINS_PC_PIN_FASTSLEW (0xBFu) +#define CY_PINS_PC_PIN_SLOWSLEW (0x40u) +#define CY_PINS_PC_PIN_STATE (0x10u) +#define CY_PINS_PC_BIDIR_EN (0x20u) +#define CY_PINS_PC_SLEW (0x40u) +#define CY_PINS_PC_BYPASS (0x80u) + + +/************************************** +* Pin API Macros +**************************************/ + +/******************************************************************************* +* Macro Name: CyPins_ReadPin +******************************************************************************** +* +* Summary: +* Reads the current value on the pin (pin state, PS). +* +* Parameters: +* pinPC: Port pin configuration register (uint16). +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* Pin state +* 0: Logic low value +* Non-0: Logic high value +* +*******************************************************************************/ +#define CyPins_ReadPin(pinPC) ( *(reg8 *)(pinPC) & CY_PINS_PC_PIN_STATE ) + + +/******************************************************************************* +* Macro Name: CyPins_SetPin +******************************************************************************** +* +* Summary: +* Set the output value for the pin (data register, DR) to a logic high. +* +* Note that this only has an effect for pins configured as software pins that +* are not driven by hardware. +* +* Parameters: +* pinPC: Port pin configuration register (uint16). +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SetPin(pinPC) ( *(reg8 *)(pinPC) |= CY_PINS_PC_DATAOUT) + + +/******************************************************************************* +* Macro Name: CyPins_ClearPin +******************************************************************************** +* +* Summary: +* This macro sets the state of the specified pin to 0 +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_ClearPin(pinPC) ( *(reg8 *)(pinPC) &= ((uint8)(~CY_PINS_PC_DATAOUT))) + + +/******************************************************************************* +* Macro Name: CyPins_SetPinDriveMode +******************************************************************************** +* +* Summary: +* Sets the drive mode for the pin (DM). +* +* Parameters: +* pinPC: Port pin configuration register (uint16) +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* mode: Desired drive mode +* +* Define Source +* PIN_DM_ALG_HIZ Analog HiZ +* PIN_DM_DIG_HIZ Digital HiZ +* PIN_DM_RES_UP Resistive pull up +* PIN_DM_RES_DWN Resistive pull down +* PIN_DM_OD_LO Open drain - drive low +* PIN_DM_OD_HI Open drain - drive high +* PIN_DM_STRONG Strong CMOS Output +* PIN_DM_RES_UPDWN Resistive pull up/down +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SetPinDriveMode(pinPC, mode) \ + ( *(reg8 *)(pinPC) = (*(reg8 *)(pinPC) & ((uint8)(~CY_PINS_PC_DRIVE_MODE_MASK))) | \ + ((mode) & CY_PINS_PC_DRIVE_MODE_MASK)) + + +/******************************************************************************* +* Macro Name: CyPins_ReadPinDriveMode +******************************************************************************** +* +* Summary: +* Reads the drive mode for the pin (DM). +* +* Parameters: +* pinPC: Port pin configuration register (uint16) +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* +* Return: +* mode: Current drive mode for the pin +* +* Define Source +* PIN_DM_ALG_HIZ Analog HiZ +* PIN_DM_DIG_HIZ Digital HiZ +* PIN_DM_RES_UP Resistive pull up +* PIN_DM_RES_DWN Resistive pull down +* PIN_DM_OD_LO Open drain - drive low +* PIN_DM_OD_HI Open drain - drive high +* PIN_DM_STRONG Strong CMOS Output +* PIN_DM_RES_UPDWN Resistive pull up/down +* +*******************************************************************************/ +#define CyPins_ReadPinDriveMode(pinPC) (*(reg8 *)(pinPC) & CY_PINS_PC_DRIVE_MODE_MASK) + + +/******************************************************************************* +* Macro Name: CyPins_FastSlew +******************************************************************************** +* +* Summary: +* Set the slew rate for the pin to fast edge rate. +* Note that this only applies for pins in strong output drive modes, +* not to resistive drive modes. +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_FastSlew(pinPC) (*(reg8 *)(pinPC) = (*(reg8 *)(pinPC) & CY_PINS_PC_PIN_FASTSLEW)) + + +/******************************************************************************* +* Macro Name: CyPins_SlowSlew +******************************************************************************** +* +* Summary: +* Set the slew rate for the pin to slow edge rate. +* Note that this only applies for pins in strong output drive modes, +* not to resistive drive modes. +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SlowSlew(pinPC) (*(reg8 *)(pinPC) = (*(reg8 *)(pinPC) | CY_PINS_PC_PIN_SLOWSLEW)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define PC_DRIVE_MODE_SHIFT (CY_PINS_PC_DRIVE_MODE_SHIFT) +#define PC_DRIVE_MODE_MASK (CY_PINS_PC_DRIVE_MODE_MASK) +#define PC_DRIVE_MODE_0 (CY_PINS_PC_DRIVE_MODE_0) +#define PC_DRIVE_MODE_1 (CY_PINS_PC_DRIVE_MODE_1) +#define PC_DRIVE_MODE_2 (CY_PINS_PC_DRIVE_MODE_2) +#define PC_DRIVE_MODE_3 (CY_PINS_PC_DRIVE_MODE_3) +#define PC_DRIVE_MODE_4 (CY_PINS_PC_DRIVE_MODE_4) +#define PC_DRIVE_MODE_5 (CY_PINS_PC_DRIVE_MODE_5) +#define PC_DRIVE_MODE_6 (CY_PINS_PC_DRIVE_MODE_6) +#define PC_DRIVE_MODE_7 (CY_PINS_PC_DRIVE_MODE_7) + +#define PIN_DM_ALG_HIZ (CY_PINS_DM_ALG_HIZ) +#define PIN_DM_DIG_HIZ (CY_PINS_DM_DIG_HIZ) +#define PIN_DM_RES_UP (CY_PINS_DM_RES_UP) +#define PIN_DM_RES_DWN (CY_PINS_DM_RES_DWN) +#define PIN_DM_OD_LO (CY_PINS_DM_OD_LO) +#define PIN_DM_OD_HI (CY_PINS_DM_OD_HI) +#define PIN_DM_STRONG (CY_PINS_DM_STRONG) +#define PIN_DM_RES_UPDWN (CY_PINS_DM_RES_UPDWN) + +#define PC_DATAOUT (CY_PINS_PC_DATAOUT) +#define PC_PIN_FASTSLEW (CY_PINS_PC_PIN_FASTSLEW) +#define PC_PIN_SLOWSLEW (CY_PINS_PC_PIN_SLOWSLEW) +#define PC_PIN_STATE (CY_PINS_PC_PIN_STATE) +#define PC_BIDIR_EN (CY_PINS_PC_BIDIR_EN) +#define PC_SLEW (CY_PINS_PC_SLEW) +#define PC_BYPASS (CY_PINS_PC_BYPASS) + +#endif /* (CY_BOOT_CYPINS_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h new file mode 100644 index 0000000..c2a20ad --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h @@ -0,0 +1,438 @@ +/******************************************************************************* +* FILENAME: cytypes.h +* Version 4.0 +* +* Description: +* CyTypes provides register access macros and approved types for use in +* firmware. +* +* Note: +* Due to endiannesses of the hardware and some compilers, the register +* access macros for big endian compilers use some library calls to arrange +* data the correct way. +* +* Register Access macros and functions perform their operations on an +* input of type pointer to void. The arguments passed to it should be +* pointers to the type associated with the register size. +* (i.e. a "uint8 *" shouldn't be passed to obtain a 16-bit register value) +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYTYPES_H) +#define CY_BOOT_CYTYPES_H + +#if defined(__C51__) + #include +#endif /* (__C51__) */ + +/* ARM and C99 or later */ +#if defined(__GNUC__) || defined(__ARMCC_VERSION) || (defined(__STDC_VERSION__) && __STDC_VERSION__ >= 199901L) + #include +#endif /* (__GNUC__) || defined(__ARMCC_VERSION) || (defined(__STDC_VERSION__) && __STDC_VERSION__ >= 199901L) */ + +#include "cyfitter.h" + + +#if defined( __ICCARM__ ) + /* Suppress warning for multiple volatile variables in an expression. */ + /* This is common in component code and the usage is not order dependent. */ + #pragma diag_suppress=Pa082 +#endif /* defined( __ICCARM__ ) */ + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + + +/******************************************************************************* +* FAMILY encodes the overall architectural family +*******************************************************************************/ +#define CY_PSOC3 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) +#define CY_PSOC4 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) +#define CY_PSOC5 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5) + + +/******************************************************************************* +* MEMBER encodes both the family and the detailed architecture +*******************************************************************************/ +#define CY_PSOC4A (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_4A) +#ifdef CYDEV_CHIP_MEMBER_4D + #define CY_PSOC4D (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_4D) + #define CY_PSOC4SF (CY_PSOC4D) +#else + #define CY_PSOC4D (0u != 0u) + #define CY_PSOC4SF (CY_PSOC4D) +#endif /* CYDEV_CHIP_MEMBER_4D */ + +#define CY_PSOC5A (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_5A) +#ifdef CYDEV_CHIP_MEMBER_5B + #define CY_PSOC5LP (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_5B) +#else + #define CY_PSOC5LP (0u != 0u) +#endif /* CYDEV_CHIP_MEMBER_5B */ + + +/******************************************************************************* +* UDB revisions +*******************************************************************************/ +#define CY_UDB_V0 (CY_PSOC5A) +#define CY_UDB_V1 (!CY_UDB_V0) + + +/******************************************************************************* +* Base Types. Acceptable types from MISRA-C specifying signedness and size. +*******************************************************************************/ +typedef unsigned char uint8; +typedef unsigned short uint16; +typedef unsigned long uint32; +typedef signed char int8; +typedef signed short int16; +typedef signed long int32; +typedef float float32; + +#if(!CY_PSOC3) + + typedef double float64; + typedef long long int64; + typedef unsigned long long uint64; + +#endif /* (!CY_PSOC3) */ + +/* Signed or unsigned depending on the compiler selection */ +typedef char char8; + + +/******************************************************************************* +* Memory address functions prototypes +*******************************************************************************/ +#if(CY_PSOC3) + + /*************************************************************************** + * Prototypes for absolute memory address functions (cymem.a51) with built-in + * endian conversion. These functions should be called through the + * CY_GET_XTND_REGxx and CY_SET_XTND_REGxx macros. + ***************************************************************************/ + extern uint8 cyread8 (const volatile void far *addr); + extern void cywrite8 (volatile void far *addr, uint8 value); + + extern uint16 cyread16 (const volatile void far *addr); + extern uint16 cyread16_nodpx(const volatile void far *addr); + + extern void cywrite16 (volatile void far *addr, uint16 value); + extern void cywrite16_nodpx(volatile void far *addr, uint16 value); + + extern uint32 cyread24 (const volatile void far *addr); + extern uint32 cyread24_nodpx(const volatile void far *addr); + + extern void cywrite24 (volatile void far *addr, uint32 value); + extern void cywrite24_nodpx(volatile void far *addr, uint32 value); + + extern uint32 cyread32 (const volatile void far *addr); + extern uint32 cyread32_nodpx(const volatile void far *addr); + + extern void cywrite32 (volatile void far *addr, uint32 value); + extern void cywrite32_nodpx(volatile void far *addr, uint32 value); + + + /*************************************************************************** + * Memory access routines from cymem.a51 for the generated device + * configuration code. These functions may be subject to change in future + * revisions of the cy_boot component and they are not available for all + * devices. Most code should use memset or memcpy instead. + ***************************************************************************/ + void cymemzero(void far *addr, uint16 size); + void cyconfigcpy(uint16 size, const void far *src, void far *dest) large; + void cyconfigcpycode(uint16 size, const void code *src, void far *dest); + + #define CYCONFIGCPY_DECLARED (1) + +#else + + /* Prototype for function to set a 24-bit register. Located at cyutils.c */ + extern void CySetReg24(uint32 volatile * addr, uint32 value); + + #if(CY_PSOC4) + + extern uint32 CyGetReg24(uint32 const volatile * addr); + + #endif /* (CY_PSOC4) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Memory model definitions. To allow code to be 8051-ARM agnostic. +*******************************************************************************/ +#if(CY_PSOC3) + + #define CYBDATA bdata + #define CYBIT bit + #define CYCODE code + #define CYCOMPACT compact + #define CYDATA data + #define CYFAR far + #define CYIDATA idata + #define CYLARGE large + #define CYPDATA pdata + #define CYREENTRANT reentrant + #define CYSMALL small + #define CYXDATA xdata + #define XDATA xdata + + #define CY_NOINIT + +#else + + #define CYBDATA + #define CYBIT uint8 + #define CYCODE + #define CYCOMPACT + #define CYDATA + #define CYFAR + #define CYIDATA + #define CYLARGE + #define CYPDATA + #define CYREENTRANT + #define CYSMALL + #define CYXDATA + #define XDATA + + #if defined(__ARMCC_VERSION) + #define CY_NOINIT __attribute__ ((section(".noinit"), zero_init)) + #define CY_NORETURN __attribute__ ((noreturn)) + #define CY_SECTION(name) __attribute__ ((section(name))) + #define CY_ALIGN(align) __align(align) + #elif defined (__GNUC__) + #define CY_NOINIT __attribute__ ((section(".noinit"))) + #define CY_NORETURN __attribute__ ((noreturn)) + #define CY_SECTION(name) __attribute__ ((section(name))) + #define CY_ALIGN(align) __attribute__ ((aligned(align))) + #elif defined (__ICCARM__) + #define CY_NOINIT __no_init + #define CY_NORETURN __noreturn + #endif /* (__ARMCC_VERSION) */ + +#endif /* (CY_PSOC3) */ + + +#if(CY_PSOC3) + + /* 8051 naturally returns an 8 bit value. */ + typedef unsigned char cystatus; + +#else + + /* ARM naturally returns a 32 bit value. */ + typedef unsigned long cystatus; + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Hardware Register Types. +*******************************************************************************/ +typedef volatile uint8 CYXDATA reg8; +typedef volatile uint16 CYXDATA reg16; +typedef volatile uint32 CYXDATA reg32; + + +/******************************************************************************* +* Interrupt Types and Macros +*******************************************************************************/ +#if(CY_PSOC3) + + #define CY_ISR(FuncName) void FuncName (void) interrupt 0 + #define CY_ISR_PROTO(FuncName) void FuncName (void) + typedef void (CYCODE * cyisraddress)(void); + +#else + + #define CY_ISR(FuncName) void FuncName (void) + #define CY_ISR_PROTO(FuncName) void FuncName (void) + typedef void (* cyisraddress)(void); + + #if defined (__ICCARM__) + typedef union { cyisraddress __fun; void * __ptr; } intvec_elem; + #endif /* defined (__ICCARM__) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Register Access +*******************************************************************************/ +#if(CY_PSOC3) + + + /******************************************************************************* + * KEIL for the 8051 is a big endian compiler This causes problems as the on chip + * registers are little endian. Byte swapping for two and four byte registers is + * implemented in the functions below. This will require conditional compilation + * of function prototypes in code. + *******************************************************************************/ + + /* Access macros for 8, 16, 24 and 32-bit registers, IN THE FIRST 64K OF XDATA */ + + #define CY_GET_REG8(addr) (*((const reg8 *)(addr))) + #define CY_SET_REG8(addr, value) (*((reg8 *)(addr)) = (uint8)(value)) + + #define CY_GET_REG16(addr) cyread16_nodpx ((const volatile void far *)(const reg16 *)(addr)) + #define CY_SET_REG16(addr, value) cywrite16_nodpx((volatile void far *)(reg16 *)(addr), value) + + #define CY_GET_REG24(addr) cyread24_nodpx ((const volatile void far *)(const reg32 *)(addr)) + #define CY_SET_REG24(addr, value) cywrite24_nodpx((volatile void far *)(reg32 *)(addr),value) + + #define CY_GET_REG32(addr) cyread32_nodpx ((const volatile void far *)(const reg32 *)(addr)) + #define CY_SET_REG32(addr, value) cywrite32_nodpx((volatile void far *)(reg32 *)(addr), value) + + /* Access 8, 16, 24 and 32-bit registers, ABOVE THE FIRST 64K OF XDATA */ + #define CY_GET_XTND_REG8(addr) cyread8((const volatile void far *)(addr)) + #define CY_SET_XTND_REG8(addr, value) cywrite8((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG16(addr) cyread16((const volatile void far *)(addr)) + #define CY_SET_XTND_REG16(addr, value) cywrite16((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG24(addr) cyread24((const volatile void far *)(addr)) + #define CY_SET_XTND_REG24(addr, value) cywrite24((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG32(addr) cyread32((const volatile void far *)(addr)) + #define CY_SET_XTND_REG32(addr, value) cywrite32((volatile void far *)(addr), value) + +#else + + /* 8, 16, 24 and 32-bit register access macros */ + #define CY_GET_REG8(addr) (*((const reg8 *)(addr))) + #define CY_SET_REG8(addr, value) (*((reg8 *)(addr)) = (uint8)(value)) + + #define CY_GET_REG16(addr) (*((const reg16 *)(addr))) + #define CY_SET_REG16(addr, value) (*((reg16 *)(addr)) = (uint16)(value)) + + + #define CY_SET_REG24(addr, value) CySetReg24((reg32 *) (addr), (value)) + #if(CY_PSOC4) + #define CY_GET_REG24(addr) CyGetReg24((const reg32 *) (addr)) + #else + #define CY_GET_REG24(addr) (*((const reg32 *)(addr)) & 0x00FFFFFFu) + #endif /* (CY_PSOC4) */ + + + #define CY_GET_REG32(addr) (*((const reg32 *)(addr))) + #define CY_SET_REG32(addr, value) (*((reg32 *)(addr)) = (uint32)(value)) + + + /* To allow code to be 8051-ARM agnostic. */ + #define CY_GET_XTND_REG8(addr) CY_GET_REG8(addr) + #define CY_SET_XTND_REG8(addr, value) CY_SET_REG8(addr, value) + + #define CY_GET_XTND_REG16(addr) CY_GET_REG16(addr) + #define CY_SET_XTND_REG16(addr, value) CY_SET_REG16(addr, value) + + #define CY_GET_XTND_REG24(addr) CY_GET_REG24(addr) + #define CY_SET_XTND_REG24(addr, value) CY_SET_REG24(addr, value) + + #define CY_GET_XTND_REG32(addr) CY_GET_REG32(addr) + #define CY_SET_XTND_REG32(addr, value) CY_SET_REG32(addr, value) + +#endif /* (CY_PSOC3) */ + + + +/******************************************************************************* +* Data manipulation defines +*******************************************************************************/ + +/* Get 8 bits of a 16 bit value. */ +#define LO8(x) ((uint8) ((x) & 0xFFu)) +#define HI8(x) ((uint8) ((uint16)(x) >> 8)) + +/* Get 16 bits of a 32 bit value. */ +#define LO16(x) ((uint16) ((x) & 0xFFFFu)) +#define HI16(x) ((uint16) ((uint32)(x) >> 16)) + +/* Swap the byte ordering of a 32 bit value */ +#define CYSWAP_ENDIAN32(x) \ + ((uint32)(((x) >> 24) | (((x) & 0x00FF0000u) >> 8) | (((x) & 0x0000FF00u) << 8) | ((x) << 24))) + +/* Swap the byte ordering of a 16 bit value */ +#define CYSWAP_ENDIAN16(x) ((uint16)(((x) << 8) | ((x) >> 8))) + + +/******************************************************************************* +* Defines the standard return values used PSoC content. A function is +* not limited to these return values but can use them when returning standard +* error values. Return values can be overloaded if documented in the function +* header. On the 8051 a function can use a larger return type but still use the +* defined return codes. +* +* Zero is successful, all other values indicate some form of failure. 1 - 0x7F - +* standard defined values; 0x80 - ... - user or content defined values. +*******************************************************************************/ +#define CYRET_SUCCESS (0x00u) /* Successful */ +#define CYRET_BAD_PARAM (0x01u) /* One or more invalid parameters */ +#define CYRET_INVALID_OBJECT (0x02u) /* Invalid object specified */ +#define CYRET_MEMORY (0x03u) /* Memory related failure */ +#define CYRET_LOCKED (0x04u) /* Resource lock failure */ +#define CYRET_EMPTY (0x05u) /* No more objects available */ +#define CYRET_BAD_DATA (0x06u) /* Bad data received (CRC or other error check) */ +#define CYRET_STARTED (0x07u) /* Operation started, but not necessarily completed yet */ +#define CYRET_FINISHED (0x08u) /* Operation completed */ +#define CYRET_CANCELED (0x09u) /* Operation canceled */ +#define CYRET_TIMEOUT (0x10u) /* Operation timed out */ +#define CYRET_INVALID_STATE (0x11u) /* Operation not setup or is in an improper state */ +#define CYRET_UNKNOWN ((cystatus) 0xFFFFFFFFu) /* Unknown failure */ + + +/******************************************************************************* +* Intrinsic Defines: Processor NOP instruction +*******************************************************************************/ +#if(CY_PSOC3) + + #define CY_NOP _nop_() + +#else + + #if defined(__ARMCC_VERSION) + + /* RealView */ + #define CY_NOP __nop() + + #else + + /* GCC */ + #define CY_NOP __asm("NOP\n") + + #endif /* defined(__ARMCC_VERSION) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.10 +*******************************************************************************/ + +/* Device is PSoC 3 and the revision is ES2 or earlier */ +#define CY_PSOC3_ES2 ((CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_3A) && \ + (CYDEV_CHIP_REVISION_USED <= CYDEV_CHIP_REVISION_3A_ES2)) + +/* Device is PSoC 3 and the revision is ES3 or later */ +#define CY_PSOC3_ES3 ((CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_3A) && \ + (CYDEV_CHIP_REVISION_USED >= CYDEV_CHIP_REVISION_3A_ES3)) + +/* Device is PSoC 5 and the revision is ES1 or earlier */ +#define CY_PSOC5_ES1 (CY_PSOC5A && \ + (CYDEV_CHIP_REVISION_USED <= CYDEV_CHIP_REVISION_5A_ES1)) + +/* Device is PSoC 5 and the revision is ES2 or later */ +#define CY_PSOC5_ES2 (CY_PSOC5A && \ + (CYDEV_CHIP_REVISION_USED > CYDEV_CHIP_REVISION_5A_ES1)) + +#endif /* CY_BOOT_CYTYPES_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyutils.c b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyutils.c new file mode 100644 index 0000000..0a11231 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyutils.c @@ -0,0 +1,87 @@ +/******************************************************************************* +* FILENAME: cyutils.c +* Version 4.0 +* +* Description: +* CyUtils provides function to handle 24-bit value writes. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" + +#if (!CY_PSOC3) + + /*************************************************************************** + * Function Name: CySetReg24 + **************************************************************************** + * + * Summary: + * Writes the 24-bit value to the specified register. + * + * Parameters: + * addr : adress where data must be written + * value: data that must be written + * + * Return: + * None + * + * Reentrant: + * No + * + ***************************************************************************/ + void CySetReg24(uint32 volatile * addr, uint32 value) + { + uint8 volatile *tmpAddr; + + tmpAddr = (uint8 volatile *) addr; + + tmpAddr[0u] = (uint8) value; + tmpAddr[1u] = (uint8) (value >> 8u); + tmpAddr[2u] = (uint8) (value >> 16u); + } + + + #if(CY_PSOC4) + + /*************************************************************************** + * Function Name: CyGetReg24 + **************************************************************************** + * + * Summary: + * Reads the 24-bit value from the specified register. + * + * Parameters: + * addr : adress where data must be read + * + * Return: + * None + * + * Reentrant: + * No + * + ***************************************************************************/ + uint32 CyGetReg24(uint32 const volatile * addr) + { + uint8 const volatile *tmpAddr; + uint32 value; + + tmpAddr = (uint8 const volatile *) addr; + + value = (uint32) tmpAddr[0u]; + value |= ((uint32) tmpAddr[1u] << 8u ); + value |= ((uint32) tmpAddr[2u] << 16u); + + return(value); + } + + #endif /*(CY_PSOC4)*/ + +#endif /* (!CY_PSOC3) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/eeprom.hex b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/eeprom.hex new file mode 100644 index 0000000..e69de29 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h new file mode 100644 index 0000000..c454b9a --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h @@ -0,0 +1,49 @@ +/******************************************************************************* + * File Name: project.h + * PSoC Creator 3.0 + * + * Description: + * This file is automatically generated by PSoC Creator and should not + * be edited by hand. + * + * + ******************************************************************************** + * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + * You may use this file only in accordance with the license, terms, conditions, + * disclaimers, and limitations in the end user license agreement accompanying + * the software package with which this file was provided. + ********************************************************************************/ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +/*[]*/ + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/protect.hex b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/protect.hex new file mode 100644 index 0000000..8a6ef43 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/protect.hex @@ -0,0 +1,3 @@ +:4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000C0 +:400040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080 +:00000001FF diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoCCreatorExportIDE.xml b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoCCreatorExportIDE.xml new file mode 100755 index 0000000..c060023 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoCCreatorExportIDE.xml @@ -0,0 +1,149 @@ + + + + + + + + + + + + + + + + + + + + + + USB_Bootloader.svd + + + .\Generated_Source\PSoC5\cm3gcc.ld + .\Generated_Source\PSoC5\Cm3RealView.scat + .\Generated_Source\PSoC5\Cm3Iar.icf + + + + + .\main.c + + + + + .\Generated_Source\PSoC5\cyfitter_cfg.h + .\Generated_Source\PSoC5\cyfitter_cfg.c + .\Generated_Source\PSoC5\cymetadata.c + .\Generated_Source\PSoC5\cydevice.h + .\Generated_Source\PSoC5\cydevicegnu.inc + .\Generated_Source\PSoC5\cydevicerv.inc + .\Generated_Source\PSoC5\cydeviceiar.inc + .\Generated_Source\PSoC5\cydevice_trm.h + .\Generated_Source\PSoC5\cydevicegnu_trm.inc + .\Generated_Source\PSoC5\cydevicerv_trm.inc + .\Generated_Source\PSoC5\cydeviceiar_trm.inc + .\Generated_Source\PSoC5\cyfittergnu.inc + .\Generated_Source\PSoC5\cyfitterrv.inc + .\Generated_Source\PSoC5\cyfitteriar.inc + .\Generated_Source\PSoC5\cyfitter.h + .\Generated_Source\PSoC5\cydisabledsheets.h + .\Generated_Source\PSoC5\USBFS.c + .\Generated_Source\PSoC5\USBFS.h + .\Generated_Source\PSoC5\USBFS_audio.c + .\Generated_Source\PSoC5\USBFS_audio.h + .\Generated_Source\PSoC5\USBFS_boot.c + .\Generated_Source\PSoC5\USBFS_cdc.c + .\Generated_Source\PSoC5\USBFS_cdc.h + .\Generated_Source\PSoC5\USBFS_cls.c + .\Generated_Source\PSoC5\USBFS_descr.c + .\Generated_Source\PSoC5\USBFS_drv.c + .\Generated_Source\PSoC5\USBFS_episr.c + .\Generated_Source\PSoC5\USBFS_hid.c + .\Generated_Source\PSoC5\USBFS_hid.h + .\Generated_Source\PSoC5\USBFS_pm.c + .\Generated_Source\PSoC5\USBFS_std.c + .\Generated_Source\PSoC5\USBFS_vnd.c + .\Generated_Source\PSoC5\USBFS_midi.c + .\Generated_Source\PSoC5\USBFS_midi.h + .\Generated_Source\PSoC5\USBFS_pvt.h + .\Generated_Source\PSoC5\BL.c + .\Generated_Source\PSoC5\BL.h + .\Generated_Source\PSoC5\BL_PVT.h + .\Generated_Source\PSoC5\SCSI_Out_DBx_aliases.h + .\Generated_Source\PSoC5\SCSI_Out_aliases.h + .\Generated_Source\PSoC5\USBFS_Dm_aliases.h + .\Generated_Source\PSoC5\USBFS_Dm.c + .\Generated_Source\PSoC5\USBFS_Dm.h + .\Generated_Source\PSoC5\USBFS_Dp_aliases.h + .\Generated_Source\PSoC5\USBFS_Dp.c + .\Generated_Source\PSoC5\USBFS_Dp.h + .\Generated_Source\PSoC5\Cm3Start.c + .\Generated_Source\PSoC5\core_cm3_psoc5.h + .\Generated_Source\PSoC5\core_cm3.h + .\Generated_Source\PSoC5\CyBootAsmGnu.s + .\Generated_Source\PSoC5\CyBootAsmRv.s + .\Generated_Source\PSoC5\CyDmac.c + .\Generated_Source\PSoC5\CyDmac.h + .\Generated_Source\PSoC5\CyFlash.c + .\Generated_Source\PSoC5\CyFlash.h + .\Generated_Source\PSoC5\CyLib.c + .\Generated_Source\PSoC5\CyLib.h + .\Generated_Source\PSoC5\cypins.h + .\Generated_Source\PSoC5\cyPm.c + .\Generated_Source\PSoC5\cyPm.h + .\Generated_Source\PSoC5\CySpc.c + .\Generated_Source\PSoC5\CySpc.h + .\Generated_Source\PSoC5\cytypes.h + .\Generated_Source\PSoC5\cyutils.c + .\Generated_Source\PSoC5\core_cmFunc.h + .\Generated_Source\PSoC5\core_cmInstr.h + .\Generated_Source\PSoC5\CyBootAsmIar.s + .\Generated_Source\PSoC5\project.h + .\Generated_Source\PSoC5\prebuild.bat + .\Generated_Source\PSoC5\postbuild.bat + .\Generated_Source\PSoC5\CyElfTool.exe + .\Generated_Source\PSoC5\libelf.dll + + + + + .\Generated_Source\PSoC5\ARM_GCC\CyComponentLibrary.a + + + + + .\Generated_Source\PSoC5\ARM_Keil_MDK\CyComponentLibrary.a + + + + + .\Generated_Source\PSoC5\IAR\CyComponentLibrary.a + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/TopDesign/TopDesign.cysch b/software/SCSI2SD/USB_Bootloader.cydsn/TopDesign/TopDesign.cysch new file mode 100755 index 0000000000000000000000000000000000000000..0e7873ac9f26407bb35d924b99d9851632deffae GIT binary patch literal 104999 zcmeI536P~%b>CkP8jz8NF>%adj9c?QBmpzhO!ur}7}T>$Fe6Q-M*_q$>TN~?vsHJG zq_Kmy*oJs3Vu&3tDPtF*T#k#19XlrF*hbhX+Z9Y4FGS*dmLTQAc!}c>V(d{E^8elQ zo%h{uc}p*1UXNaPy>GeSx!bwto^$TG=id80FTbu4|6LjJx0{|^f0>u-p60s#GT}+O z&Y!>Y#xIQAqnCY+OO5@FxyC}{bmLUxoSxBZ(jY*X)ep_f9QRQb<$}^3-be#&%^B^Nh*N41r zu)M&>4yChGFk`A4c-W-+&j}Md13tFvzB%Aymr|JtVOs^aQ_nkvqdCO@J2Oi9c}i{l z<*XXtpchaw6I$*<;~qsiEAWvkH{SRKRr4k7@ALYys4ukfMWJm`NqtR7N?^BUV6$q_ zg~kQdXi7D{OK%XyRJ-XRm?Zr=LErd=W((wI&2n6+UMNtMzBNXlsw2!cF)FF{^LHwt zHz-O!ZBiu6`&5}(Wq+4`3#!)LLI5?WAxcPcDi9)#wKbr5mr%PsJk2O%PEWh^zeE2< zvfG9Bog&hc`Z}#xW4end+b!@46`B?Rad)dIUYrRPf?`}!TikHN7o)ZS(n#mDQU%4c z!o>5HP5}GHEI~TuqpHun%J!W~de$i2Y5TgTpDRG8?d!uc*jxf0V`}b9+Yvom!)N__ zT77#iAQR+l68(ZEC>yHY6{r|QLfe}Ju}%NG^fsm@m<#=Xt3sWgzt)QGm7f;o&MS#` zRVey}0yK(uie3<6n(b1m{@)e$&bwb~qkLXcGoKGFT2Cuu5v^=q6)Kqy3~x#Zo6!%V*d=tr4(Zjq zL^~T-*c-q|5!(aSE9e2JCorrj{cj5Nvn8W5xc;odptCK3&SnGr4x#6yVvQ+$Okd#S z5ZL<5#*1T8>R0dT#89dz%(@7g#hi+ZAc4Emc_|JBrr2mwn-o5$aJtBx3c6E2T6<1k zcZ9Apo_CR@sRRE=^$p5~DcSJ(EJxqIcsL)?XG$BpFF8h$yB+;&UCyB1vx) zWQg+eN|Z@OMgc?;%qy3&tPaIP()e(NXn9{LG=g5EK$ zX9NJcFuczM1=1I01Jh~u6Yu>XWlsN#QgTn#*6i>q)GW>+tI;mi1NH|$hS^c(ld2gE zk)DqRWBncdhq~FIYMa6dK^=v0wrk|$O&=srssGpY^v8!iz1`DSMzLm$0MCP>9n|rF zSg4~=uU4HiI^HfCMC(O|oeGo+U7~?l&qPKU9q$NX48PD`&`XeG$Upi*n~q0SMkLsR zMhcAX85zvVpmR?D2V_kF-w~^NSqA>F0CO`70*@YFWmI?ciGt#={g4WCS}A6gMg%h` zM+Kv^nuxY1P_a3LF`nEkC=h`yg(@S;8K|JG?#e2i50Y(Q4DVilnc=IASd{5XwXsRH z5{&Mw3V@n~?EC6KFdcbmwYo^On7Yv?3q5oK6~DuMz+ zH$XjMoU&Pj$=H;^J{G7*0eQ8$1Z-|r)Vmy(#paLBJC=7qEULi$Ritk*Up zJEu;{qxi7jX>ri%DP z-qumX%LjrYs%2dpw6StQUT&j|N-%wG4zd@+H536OLeby}GZ~bFfMt@)4B)d0<;U29 zQ3xZeHn+H0R9wfPjw_RSeL+fh8e?o65E>~fJ>{~m4Vrn!3i(M@`77fgqaiGbrjc(E z$6!o~@y(`0FwLP0BIL$ISqM2(g13mK5RNl~fX*W8btj4QCQ%Re)jIw%7!t=zxHc$c zr4q+$o6!NP3!DP`2~)hALC}B3k!4rmeqz!5imPu?H%ulv?$qO=G0?+8A zY5eiBc!n$g!?_Ee`FHJMa45HGmu%Z4l60R)BS|6PHnsR5FSpHg)JKvOO~0!md?)~5 z`Dkb0^R|nk+^(W5NtxyEn*19B07k-g@=ooKbmDSJiW<;0*?R*3GY^(*v&9rmV+7o& zmtyRzdNJgN1ob6FG;;zG&6C824H5E}Jc?)=BywvbDBLe*z<_1s%FQ=FLHRzZJXfLu z=hM+|4dd6VKPBzWfGf+*PeeE@541qN|9OKX>6;a_{_=IYnj48X2$uFJF9~phB+;hxDEoNm83NFfx*Cd?^S2Kv9eB$_}V2fQYkk68}2gK*Xuy?8q!gNnTckpYwEqd>Ir;^Q5 z$MusrSEf8*F^mYa5A+;tdG zPpeZfaY`q^%M$sD>jDlAgqd3x1{T~HFw!B7G1YC?H=itRwxH{B$EYg(=L8jX3|@Qa znCAn?g>cPv%p^S#Lb@xIf4!<*XQ)szuCF7h!Rbm}m98o&O-Dr3Y(cJ7bq`fe8*He)cJd5*=PCCkQfJ<7$X7tPelP4 zZQ}IBBrq-o483qgW$SN^v?hdbR03CY2ekZ51q@E=>1yFuas$vu$|sp%@Fx zu%F<>W!j~R=4+=IUe5%}46a}%w~O?gq&S#as!957;rUTrqw4aSq?f|A?@}_lY_>8V zHk1cjD{xyVjv0l{iRPIHKdVBP3-$FOHyfg2HddXU-)ASQldv`(jYm_y@M~>aSl=GE z&vzSsuuZTM3R?k#Asi@eK!g(&tP%qx%RGvoBP1H0ua?R<}u)QFinZD}}3!*hWd#aYCU4ly@s|op-A^ziCCY z`X%WP2ud-T?DOj29gK3?e}OB-CGWhrao&5C_lPoNn(K&KA4ifYwcqCO-{uLO3r{N+ z`GFQzD6x{z9ThVAu`?}=AM}%A(d=v!c$ni)2lDa3<<&NEvJf=l;{PgO@SlaNadAE7 z0BW$kdS7|^HkvI7MTv1pu#HFf3<4VNMe4?V;oQz}4>=@}@uW15}v zdz7;G-z5Fs!rS*1-riGqdvAC{bGzY-N&3&jTVXaYNq;;9{zSOe*@j>w#t4)2$Mmdv zKA>Aa2H>I(5q_CDqM6{B3*>_S5x=Li z*u-OwA4Y0is4H{q%xzGfM~d7cNq49QF}JYRjSyOHY@3_6dESvL&wJkAH(Lw<{>_KK z>5kWY@DJYGW?GoUo#V1~0%{k#Xy6v?EBwp6H%Wh3u>y}Lx--qgS@iM-0fBuc=?4V5 zq-^1uaYHUK+knR;{nC8`Gt5EM20kh~hOon6i-6G!Om0RsBdXUdpV&k`Pqd>d7H!>bH{v(~>$4kMes*INa*5fEFF(8SN_MdX z&li<=Yj;3n+>`T_0 zt0~fL5pJ_Z>!?N0KqmtOh*xh#eL5yatS_`VecRf6L=BqvW!#IrFFSpl6XaPbF3^+Z znxwxcxF`#vIot3o?unumGl@BFo{0^SozhDg#2h!zAln>BNPwAn_-J5n*`Pd@WyU6B zEFsik!gFnoQOY-#WmP1j(pdC1GRj@3Jj74Zn^n|ENb_1Z*#_-gAHMZ7r}oJ{yJcCa zw}o+K-`HzwPOWJCSS!q>Zte=2m<}{yHn3(3@~Tdb!4hJ=oup4FBqBOqJ7s`S=`{zo zXI+0%ph#|XP|SF1-*stilGGs1azU!)S*~AN7Bd@Cm$C8JX|R!RzV+4GP>JnDE(Okk zsCN6kZQh86VOmC6I>N?cMkPu9@ntpsF^TYNbCzjsvYJTNd^WW4yTi3e8$KWN?ctk= zv?TqG@McPGnO-o3d#uld=O=ZIGUK(L5RJ^5Es|gyquV_qnnex9U!~{Kr0Mw3&F8u`w6Q5^J^?vLD;~0p;Ge5K)=1 zY+a4CC_zDZN&4ryMuf#{*Y!W24*-7=t~urTB8~?XZeHe}($ngqDOMw{=28X6FSv}{ zWRkRu8f4FP*)R5VAbkC0qp`Rth#xgYIk_Z#hXA5JZrjT;JdU=V@s$kuM>qetX+KH< zE@8A--J^slt$rHtkVtf{aY)4Xs7AD>MY_Jxucw{SH_J0w`pr~@?bFNRT%XNn{|fUl z#j}JZ;dr1j`e&&pi#D0S!1KiLU#f*|58!xcw!kiU&cKRGCpP@4o1{ViEsrZnT-62p zX5Ch&(y!5;Kp3vszst7#$O)q`4Cb_4`zobx^KY{P5G8Vymlj3 zZn=dWyh1u?*Om?jOW#4HCKX!}_J}YvuPp0?)yI@(KP^%m@?Dz_??s(#tt?op-vO|& zuQo5q1L4cQ6gJ
    3*m%30QmwQrygGvTRJhVMZ7rA zVLkgPftRLXYtpFV_MXri&#JiWXVyD~YF#E(S#M5QNk6GL%Xm280Wm@f5fQl8)wgEM zB9?n)HRq*5Op=-n^l8J@O4T-By`HOY5ktLEt&))nj2k~nCfwO@j!g(y$7uePFnHUb z0`1M*g<$D6Ezd?4Y*jI?G>lZ*%bH!R+m_XAR&X+FrV?_qV)Vl@J4x;%YI^cHEs35b zhXWIWi=Cp_`kh*#o%$v&crVuL~3&KMZmz@18Qq~!h&&_=FoI#mwp$u}FE4|AChV1_rL&hP!+Dma!_d(H z@Y8apez|}Q1gMaqHKA&F;o~n?gb&n0Q~T>%>PZ%`+KD@Kn(A z-L^NFPB(2;%m*pBY38ygNv{N24BjR}4E7fkHix=blHjD^vHFi(x&Hbu3C4$A4#O@j(6FL z^(aYS7?Ah%F$#Tx1pnAs<;j@9s?coFWtuItH~oEO^eHoFg#pSzWkn0|#|O|nf@Yp; zp0_+sfeX;LJPry29M1ZNJS8x3%i|zn1oxqR8OO2l?CVt4a!;nC71c)S74_tDHH%v5 z1Bx!2d`wEs7HN(?rHjJ9Dd4AkRUnxFc=Hq2e~H)UX20vd)M$L?>-CcT@%;bxH5!NY zBaRzilT?6u52HPqpOpp!yiPeU!Z@%B9JP)o)zBFn7_5C5``Kzmv?{k)v@AoLr ze+c=O$>dvK|tw0;I2 zom}(tw3XOhN(@^WW&*tKS)IU6!tC|P6J~z~Xl7op;TdKW{OlQqFeJmkz!`7NZ7d5v%)_FH5g~0<@iD^nUQ3@n)6>Qed#(ycuXix(#demES1jd z;efV5kF9g?RuOzA74Ba(+B#m!E2A}5Xs}PH4eD(o70P4E36mXWDl8oAD+|?`)z>yx zw^NNUX*sPmTXeg8V0&6`K~K-B1M^*-u7bMst*X*%!r6!jlLhFQ?t^6lTb%8ahIxs1 zI}(B2TYRe{%sNzwjf|);Rb_C>JX>tjV0h}><+`U4Ve2oC>gwEuN+v1I90{Rvt0(DC z3M}er(=~=$x|pC$TPhpC5wGDaM;KQfNZv2*%}z75SJNy*S~9Bb3eFV6nk;f6%EDG%#I){fK=8VD4<$%hV?w4wEAt4tpF{ zWafqu1kfmqp19cM+K6$Av9ljwB<6uuZT3BVHhZxyN3+rKVqOQ#I9T!7{|Ngb23$MD zjymiLKR4=PAZ6zSOhD8;p9rck>Yf$0eD6MOrD`(#Jt}rITmR~;6`3AFG|!6oSUQHu z^NifjIj|LeP>Thv1PF}yoiRK1aYUZt14@O}wyq3|sB<8fkj{dth~B*{M00qHL*iKdZ`)wp>TSou{0Ktvwy(RD&g8zVYUEz7av$r?<2}HCtro zf3l|pH2jeQ{L2SF)@Xe2W8d}-AK6nbXdyH%H{cIN zz`qcH>r(y*z^cT@bl(>iP}@EM=w>YT8i`*s-?%USEVSFy+9%(h>Mq ztHA%UBk-?Pfq%Ut@NepinlmoOV;zBi%ToS80La!@BCUMUDE^8Z%4h>Xybdu78_B9+ zu}$Y(c(kGgMr%q*)z_4oQpuA0ALyZo-)~%v^ z)LE}<^LZSNL7iwB_xHh)LqDL*K;HRc&%Gm8i8yMAX~!KgaquPzinJUuTu3R zC5l>aCx_6o=KI#hv-Mm624l>C;`TQ`xhP2Gf|CeC_vp4Vs(1CzZDkqSF)lJ7VLr@eEEu?$8}3$xu-~A~ zn6YYvrofP6?#Fz^{ExS(k&P0Ce}T2!Qq~Du&6c&zzg3MH0sLC1d9JqPEon6Ont-2} z=KfGIBAVkhN&hHZV{PF3LPVoEl@P_IB*Qj{B)~!U3K+1WTm~FO5JL9vyL>+hkd~zEBdrP!hvfl}aepSU1E|_ZLcrPXxFdT1^gkx&KmYp!~Ll|x=bR%YqIQf-@H7qzm8e*{Xslz0VC$@b8 z;GN*PR5KUHLJ6C@#stbz0JMxL!7+)8 zQPs4GBt0I8FjJZIOeGx^3t^mz$?=r1=0aQ#nacFI;QOg!QS^2i-#^>O^M>ca8PDTl z*YOFV$~D*k{sgv>EB*zLFSdTXUYN~iL6a?Vf}B~|hI-5#0X_(< zOm8d7-s^3T#C$`$eC@e$l+TKeZ+V;^KC(fVH)%J{V_Fl=9-ME{bwX}D$8|cv3H8MZ ztr0&EO|_VsVRp2bF@0W1MvRHqB>jtUHN6?Rpn4;gU`&`qy_>KFX=(RvpaJvU9uFx3 z{srgS?7=x%vqfQ)8I`J^c{8CBm-erUrRBAUS@5p|$o~vitI+i!*AwCDa?^&QWzGmP z`XL?1hiy{OiERwcg84QM*j)yVjZ6iK=%9X8$!Izvpk@nFt(pbR8XXhx--)E6?#62m zvtTu6)70id{*Y|l$xcJF;3X*~Oh%fC52pj)rXL61b9(EC;}43I8$`1p)Lq{1?i0&m znL{H7V#bUdgT@xS8ac|$Nv+@TU)9Jl7Fbr!4y9HMjU0@Ka0z+4X2{;!m%6c*_*NwA zt^`@v)yPpMrzIFU%2CTkj`2WyCOW?yO7gU>QSo@~VdN<1;YJQi8$Gt1t4)366rZG| z5#?G<(u(+=P+U__)lFWgSGQC9gsKm7*D*F|wk#`CrvH~R(W0mjUTcBbrU*8qSg*n* z>EDJ@WeT|kVTf_PrrFAQ{W@w((*DC!$S^=eFNh`bT!nNk$kuj2UQjKF1udf>MD&7K zB45|5AZ)a67j&?zgR10E3%P0sDy&#szJ>3g}cfU8y{pEs) zeSV|ZRc?OXAvwE49(kL?qO)a`?=4aJc-9#h-OGnj$jkR&xqL}#LCYuz5gk#M$W*U_ zjDir+3u1}9vt1Bd65MQ=pTK`bY?Q_1Hih}bJZ`Q@`Z`@BG0AHWL(iT7 zf_uGNjQcnAd`^WL8hSXco%xN`(@694Vm3AgWs&JrppXt`o+=Sd2XIrwnk@*mYUbG< zU_|gDJtN!?_-e0`-F!NM%HbtZ=*=^QASPP-s7$qSH8< z6+&zv5;w=yTpVxmtl0vC1@xB@f@t->GWvJwLs7&YR7iw=Ub~|IPyk?R*9EK|Yta8G zg{}nsxlcV4VdwNeXbk}_3k^|5O}B>F6_6J9gEuH7Y6xDtHpCkP0Mqu}8{%_ zNe0EjNLE@68v4Ot0sUo!bVL7Z1KMKrA5%z#eqMWw0m=+{U>du0J43j)<4n9wUHi&i#x4jw|7%lkIQ>0L})D zG-G}~C~H5U?D8bX|BhVSD7gx!C9xuuR6hNW;$^s@w(^eD%0!oTrY=S z?0(>l`PSOopz*6nzP&%lx2}`BWl~zgK*+xyWIIvGXwMX4)Ol4Bn-JiOVq zhacw-u(>iF#yL_L%~>X3-n~?vLl@Y)Xs4c8B8Tfc z{=Pu7r6m8Hc#&`T2mL7NZ`3U(vJ>*09TPfm8Mcj%nyn3j_`thQ?QJyPr{4=d{(*OY z%g6J@6!ZFeOSG7Rq3B8)eNt6}ARxg@LF;et56E&q_AaBr*-ecd0ND$W-J8re8gFQ} zv|v#oq`@vuEC67Nfi1bF_06}YD=wqB?rBEP>o4D_Yf-EINp-QPSMi#pKNYSv;J&CR zLL|%gpd@qtV|~P&yrCbBb|~?U%}lSC2Smv0imqDfXER6tI|Lbk(sU>73#y~-FYM>Z zKzy(Kbk=@kdqFM7X^bpqhmW7J}b`SKF)byqx8y8}Dp$lV%J`L(F{7@2693O0zJ;CEfe8A}#PBksi*%m%Xuvs<$h?~I6fFl-ef zzL!;q4?;1bjmR!Zf1}->o2|WygpXomxmHrYEVeYH%R1>Y*mPMp%2RWyjn(AgS2bJM z;EeBWv&C;OtNE{8v&C9vQ_*1Iw?m(=nkdk+UJ=^<+r!mI1m6+8mDL7wLaq*h=3M3)IWLJ>|Nyn1{H!r&E16t+7(q>pm$rh)Kc^_1&u&H&xK-0Ko^TP)_ zi#MuQMDDBxKj(w`x*!J4$%_|)7@k*p%J;*HzFWJ|J}Mb=rZE~8Y4XX&MXzgYP`Yd` zxIy1ozR5n0B#C~>S7bU1cjrPCpuJ$68Qh_S{P0t1(lGb#dECmTZ=f(9<^=OvTQiGPnq&#Wm`?F{_M!GRiPzA(;8_}mTn)^WYlr} zAJBsCeEGKe>6jY*K~d@XU}D~+8Z*wsKy+XSlKT5x<$f{lQKE3tXzk>91yOtp!8IT z%hu?NO6d*>klVEZGXJ;f$DY4*pHm7&9I^}B?b`YMh^(}n;lSo@cx-J7REpSj7SXvb zhOy~dqCB3}XOF%fP@V5qcR3(Yf4g*(x;o|Xj;ZQ>x8fdFIq608oIR?;&MeJhcr~tv z>{k6AQLU-n#lRAfO?}J)3>|YEs?aPPP24nudq$7PIPH zQihrRZJiC)yQSp$RqvFbTwdQ-dR$b<`7P&#Kh8g~ljY|_J*%;*X?qBHU>ZW#q-Wft z);Oz{w)U`18MkDqc82rRs&}XcigOmaPkCU9Ur=jr4(WFOUQNwI%BfDO)YGEz?rB${ zJiY3@oP)Ik>M%<6nGU6|LRC}t5ORRN9#tE&bCpRc){}F()8;6L?oggy^-gb?4HAyc z+~Gg;S@_S^tUr{$R@3khORG$c>^q6gc1JtCGK9yk-l;$Hjxlvu`8XbES4JCEh-yk6 zLK!>vSfk=@5S~u;PRiw)jb(K%hgV~J2&r`LL)II*L3ldVJGiu-Ywp)4l@k-PSke4E zAbOnPpg-7ZE*@f(i^PJaE(zzS^t@Q0*t)MCzj`mHIH4Y9r#j_a;)r^M=NNylrbY-{ zH<$Hw+J-^7b^&(kQ!=$Or@Yi?C_8I3l(>!S%2m}+ES0OFp*T)y z;kvTsn#aB{u3=1dNTY#c8UY;7#yTt0TjCNF^%UnZ#ZZUue5W5jB58xuMqN*Hn(YPE zIS2o;TlpMUKInsIO4=803Sg!)gs0N^p7RZcrWlUrGp!6;MVVjJ!*ovFzmQ93Y~}Rs zcF(Y*#E$#VGwC%6x<2M)9HLC2iF45tHoVA zvvjLpI;X@JV#QeR1?_yUJCF2K`ZwcM=?T}9-aInTDX#^*5?7Y$c&?fYW*PH`r&rZ+ zr78#Yw67))&FkHZmrjURm|coZ1$i<`sjF+wfj#}+cj&RmHC>l4hW1X$A)uQ0{w@2sFtD%Th62RJNi&HWygE{x8A9iA+Rac<S{$^4!_gq zi~5k+$;zP)zw|p=6N?Vasd=xSIM2mK2l<2>wd@SH^jtkgk*7Tz#;Ez2G4IFz#p5mg zPEKWdosjgH)p(0>mW{NmHG9D=J$H{mDLReeTwZqi?rALjjt#&^cvU;`9*vmHn%f;} z>G=|4Wt`OWj5+F3^m&i(C8^_3&R4rx@8Wdg=l(~ko#z{OY0TOGh&9gLYE>S=)^X~) z#I5%0zv@47t?_58=~tU8ua*YytqY&Ps}S9m#a(d1S-n3RS=2gx_cWG%hfj1$bz3&C z+8JW$`FRX#%Pftxo!iukVGg_WJE>#AW9$@>De62b%@OkO#mpJayp2?|9uhlWbxb!#Up}k<2 zmU|3MNji-ShU119Q^n^&95+BKKIT~Jd_jNC=S3V;-Y8Qm7I)V6ap%i`iVM+D1S4vUqf ze_nQoo(rRl+@5|!ph_I4Y#vX@^$0ft+Z3>!4X)JHm`6P30U66q1oOi=6_4rCQp`t{ zAoiwn0-MoiR_qmgwOJI;&?g7;1u`W-D@hTMaZ?ElTv~c>PRNRkWOPQMMeE+J{e0N~2>|@Z6 zeQ+&!0$Lr5)O|vu2IlvV^kMPn)Z*Tv*7{|7_c2I66jn^hTVS+pn}Ta>)o)Z~&R3Q& zcAp3*tYe+OSGiMN z$kMowr!eG|);eXmA9LCM{7kpW2fcUy81hQi0N0PM)}H>!CLgvHNUVdh%I2{C4@g(_ z^)|JkCUtKSW<^`KOA1t+iErBmDdulWZ$y#jQU|&GY_dh?9*)e z;ozvoy3V`hC2*g9y(z@|S4=7WXe@cW&ep%NXPK4Je7E6G!@2By7QDatneAH3)0 zXHUO84G*|p(sanvt|c9f;{>Z*ja}W{OPOT|cQ+nTNN?J3J`&SNFM9Gg+`DlZN@}}t ztdz_2%E@;BTWKysURL%zh`nICQN?Qb8gB2p3c+bErqiK*w$}BtE%M;5+UJVA3~!qI zG~zsd)w$W$Y{%@C@|j-T%y*kvX+A@Kc6IsLa6L-SwR?F!UmNRD;Ahn}De$l3($2Up zMI$RyZ|(=k%B%SS_Ws+tN~6nOoYL0MU41^eS00({t~s>Ku^MXWmbu|7lV=;JtZIGv z%DvU$k@pQ(naDRJpZgtpN_!tG-`A3-G-mph`*UrRdLj^IVrZN7y14Ry3@Nk<#b%AU&ha>z%V z!&%uXAG4nCULFhL1Gjhe-@u|+wgbl&wr*TzHD+aZ3|CC3jqLx~qMr?CWjWc`cBaX> z*>G0Y`$74>wsf=Mss?YrXAeK)eAu!dFsm4zO>?qiUnTFA;he1Zukw9u@yX$832(n= z51-r>*8CNBRJF4?PH6qt%67Hty<4#3JHB`KFQ&YVkgmQ52qQW)#-Rtuay&qG1h1)X zV_9foXfeCCEM`M)!)X+~sNT6@yi@11liR>sbIVyB-j-@D>zrc0DFL@iCzx;=34@YJ zB{-ow2dtmaeL~Bu59o-FAB|5VNd$aWUZ9T(gk5y)=nu}Loe@nfD3rrQ&T_^G$8~Ip zkL!4a0{p0xI@@A6q~k*)4Kdu;D}%GzXmYgiu#%k*TTdeB*nE9Ufbc#h^ji>bW`WA0{L*l&5_UubLI0~UKoglb~~YVoDSG7 z9r^MCfp7xkqCgh(bh4bra|LiztuyPV=^PZY$MtKU0>j}l^J>8p!8v(acO%SFP87z# zW(7PYur11~aMVr}WUM#HcyExcy+OA12HD;lWJhn1on;UX5puj-=!85@`Y|AmJ_W-I zdOsnmFJZY8Ah@oa9aNVh81DXi*J z>JaQIN4zBaaFEb!i?UzRtuC#)0ZZM{NpbT&5Msq_fx~m}Ut8&XbnT9=%WKO+>t2*v*H4BNdP=PNA#np1fzi%o%8lE! z_`F+E;GFu59b~jeOT{Lo^B!(O(Uav(pNy?;qi>@m-DFFUG!bzXgKTs>^Bp3bXJozZnly@S#8v!icOOV^>w zqwxNnoBEf5lj3Z1;$No&_lY@IRa1*4cAXZtlw4_1%d?tK&f#+Y#`YCMIcriTmwb+? z>bxnqDSSp{KPk;? zmm;&-;U<-4r^3ecv{mKX6=o;U#Kxs9Y|?LsddW_G!K{5UypJhvlHRY>nytOMjy^S_ ztedTM`}Gp%UkJpu$gkOIo5pX`>vW9*$U*ZLvLO$+x1-}3f|V@doUq<4fp~642oOF4 z@+qfYM|GRg_-n3@^Mi)!peMKF4) z!)R$*fnr`zF=ra@3XQ!_bb|K&E)|>!%zdKR8ReB1Q+0c}B0Zu=?7DJLMVilw$9xiv zUm|8EOS^#|S3(@Bu9V?}Fs_TjK*so9qM%7-z@~~Pwfi*U`tHcHy?>#%w_`~1d+i1M+vBqzI_ha>J@R7gOhTA3SwzyY}RY31nqhAQLaaZF_fgH~%1WKkm&}&HKhePc$w!F2Hh!Iz>WYn~v zcXMN7mtVUBLz(--(3B~BrrPKAZ(X=jB<7`ZV4qH|fH6UPmnyZWPC>`IP^}y#1Sk81 z%6CcbKmyZxo{J`_kHsjZlM;bGZNuoiATD<>SC){7$jEU|W1ZB$$-_8Tm_h;j@Q^&B z%Ycqm1~XOgVsVnx80f=sy*wZ52}~DWPz^igV_qQV#5}0OV#pI5o)0{+#0MS8^}tlW z6rnElW6BAQ0FGYavQ*hqH|p$In9&SFoxp2~2u_8vt3yDM z8w>y2#744?M!dtJLz|0(BVX~vg2dt4kZ{_2)I?{4uLFHHgV~wGQ0RL_(Rne;bXLG3 zNdoQ^RwNlEKNCGHn~m#NUL_s(Z=?5LN$SbK+~c9_UIGh8ivVzOLia2?&H~g zYj)q3-M44=9eFO0ndRam_mG3JV>9&>sn88T?Q+r%M@7GnsC4tf*|~_0ayF+LB8}!W znSw*uQPIynv4Vs8F%8sC4ZJfzGF={t15?h25ywL=!3~TuQuEHgcB+7SsxW|@Ex zr+}?gBlHP;Os%x2aV+>aTjoKdQOZVOJqtts^uokZI^<9V?^+-^S}66q)pCba-t&Q- zSNe?sA?zMe^U@qOk_#*!SKG~pkF?<#DPvuFBP4F-Ke|HRFr~(TaO%Kn_-{2ut9vO$ zx@n$bjMEYzbWjgilX+dL#q9SeIrRiOU0DG)#XCtK4WjlYL70)@&YyX~zQ(Q7dmBgp z(_@YAeSQZc!#^lilHM(ywVix!WY{9bx4V8#bVWqJX5IfuaTeA|&q;Yjm!8qj%nUme zwpn32^&MlvreIoN&tr@Y+Z4jI{IuS?>ep~Tey$fQZ(6RZU*iULL?W%-lk3570^8pk z3>UE1_68dl*jR5c+`nGc8w}^K(cWN;NM76<49Bk*^aca}>wAOk64+-&Gy^keNzxxQ zQiM7Vikxh=-I`yrJdk;=^(N{23>>Ao`|!bs7M|4mD@py!O305@LVi4iQWC=Ss-$gpfF| z->bm9xlz{xl5QKNP3#X}4>bOX?nqKk%QO3Lmf7{ntf)UF=^uxZ{Yg}^|8BsLbZ6Bj zR(m{`Plu#pM6@a)PgX)cQwjMWm5@KHgnTZ9#LfBnO2}VULjJmv&fio*{EUp#g5OaFzc&CL Htp)%8vCNgu literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cycdx b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cycdx new file mode 100755 index 0000000..3b663c7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cycdx @@ -0,0 +1,84 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cydwr b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cydwr new file mode 100755 index 0000000000000000000000000000000000000000..292d3100868d01a2d46779258095cf62c32e957f GIT binary patch literal 74823 zcmeI5&u<;qb;n1xmAbK=rb(Lyg;CJqSa4HQmPnBz4aX>dNoFmXtYlk>9hc=#nKmUk zlKevf3Is(K1&S6yQ3MTO^hZ`L5THSK?IMdV3Utv;fh?R6qW{4Nx^Mxb-_O18&fNFN zM?KT?P3z|AVfbe5n>lmtx#xS%x#yl4ef#12iu~V)N7B(FKRx;Z2lxFqe~*4Zds*F+ z_P_Pe`$wM9!F+M6SSYrN8^um>xwxvm>&0=kUMsHXb4@MBi@9P=zi);1Tg3}%+te@1 z#d`5tv81!!D0Yj{+r^O&bpzPxklrpfbjnsSUYsnpi^*b4WKI;P^mj~u*Y&-ry>+!s z7c<)1Qp>i^ozNB2`rgnnXFc$<4&#sfw5&v4M|3Ie)1}xk-FHO?gLG*{-Ml9D&#Ti{ ziks@%LUF0M(CK2_U(mg8YE#$B>S(yj{rcPK-K2P)5q~H3Iia)0#P_C-*F*27iYfJH zOlM5%n>Nne)V8L+PiWiJXF_L{)h`9o`UB|)^>w9K)sOM!M?M^_@2{WlQEvz7U-ytL zXmB^wmz^-6YwG*0;twU|m-V@*{TupiOSe6)qnrA5M?-wMc)S=<+YMcLO>MhsT@P(9 z>fGx=VNj2+h|Y0IAZ=VVU%aXFZ|d)Lo%epMv^SaCH z+JoYHaax}>>CA?Ud9gDvgTGT#yJuI_p{9N*ID z1A}XU>kaKMiWhjk6*%6|_hv?iv8E@z(wL|vaa?>)itm|l-p7^6Rq?f}&nxcI4;>!04g(b6tB) zEraMo+5;5SwKrjen;&4O54*#dLw~ zy?sQT=(QWaqPA!;%Ie;*59;c!mlcO)^-%cxnedlOGU=4nXG8O^hQ9##=m$_zCqAby z0E{l9)wg6Gw`3b1dg%S^SIp^k>0$(VSv{buY6dv3Rh<8haGl?8i2F}8?kA--IMg-i zIwlchV<@9z#Z-cfbs+_`V->c9qLVt_5Na?;6FPTNDn6icOCi6|QR}yK<*rQ8R;}7) z_3J_NM{i?7M(*^Y?el8wM%! z`@|sT4ISf!uInD4?9rd7H{6EH_;E)+-4H;J9eWS_{_&xZx6&ar^r}QmpGMCzZ%yh+SUj$ciPBiu zBl;mmA{xRIVC~0c>9PM)!RD{)@0MCO^*t^RGO+z8i2CKuHhR0;z#sj9DPL~jrJ|oY zlo2HWXHvK zHc_iLbl#+XomBg@zPEHtDq&y^t^f_eTD` zaEO_LIL8$D>uKKkfSMsGtyw}!~ch78uh?7m8Vhn@gc>RXLmGa9+*RuZ4@3^}~uzT@*tX z^>?A+k{jmK7j-nRyDaJ+vr2EB(_T%DKA(|J?glleIXvD&;~)+r{YbH_p3;>yndu%f zOJd=oI(I?coYyy9pVjt^Sn6hw{&WU`G}QA7TM5H!%1`!C&KX=)k7mPt<|Mr3aG$*M ziFR4d=gdBSAjucR`U@RVuZGd786=pWl>`X{-UhG%_l^V;g(5D#q#KLeP(eFf``_Zx=g5 z(Ic*7Jm7SzFXx!@G>b4ktg`jT|N7Edoz=r$vVay4McM{!?;V}_LL z{v{Dv6*DiYSNkhikH+@)3SC9S{q(cv@?N!EmH-m_vw?@Nc0h}8fbEiqgZBtwRKADg z@Ah=*Ad=68?)E3#rSH*@G*;+Eal@z9YnYh~3BG!m_P=Jf){tFYQlH!_%NNzr3+iw( zLaQ1UZrEJjWjugYK9=!h>>|n>CDnDm{uf}wKhg!`AN7Ld3d*XBrCv*)4AMFEVpd&W zlt7|2iCzGsUdx~4+I%oN&+GK_ofB*Ej`zrCf7;QwVk0h!)cJ-wmVZu6V1DM+-0`-( zCVPLX$-pv%^kGRR_iMGxx`weA68jUpBus2KaqH6DQv>z9AqfJ{i_coJ z=t8uMb-xE2sn}aYhR3eyH5MCto!Q#nF~Z`n$POgbCojJr-Lm>iu~{?NYufseTFRu> zMWELM^{Q49whT2ej~*!YC;hFN`BKjaV875^bOCEd6qCuD5RTc}32EAh_D1B{CW|K& zTaD{mZFg$Q#LaOH&&#F0p-)_|iLyU1@*;X;%OWq7em*$r4dtu{ zpg{!3meKeN9d3t7<*5*!6Rb1sWQ8kEN@G%=q$F7t+Sc_ed()b*&gfi1d=@C4kVqy% zdXsNboMUQ1I5E8$1Ane3(I1yJpu!ofu`%goc_SG(jopaY!==v&k{1J>h)d}1s+NV; zCCnSSyn(_>km&%@VDigmlSWM9vKq#M2NR^Y-bmV)l|&{sq@b$;#by7(>Q{q%=Cg2i`ZsD8u`t&e~Snnt0yS5sO$S z#5>DXr}SN0mA$;b(eTd1k_qCrsIbnuCd#BnwnZzY#!rWP&*+#nX=Dj~U3{(~cw){of1wIN`E7m$g5awXbCD7qa%}v-bSHm+E$P z7NP{*3k3^g1m7dYW1+(=finXf(d>owfi3NkiNID|*8W;Z4UB|E%|7ja)TjMh zb$iD+vo?-jqv(X#k9BuM%32PKz!7L=_3ivZoOeudQ`|735qwjZU(qG0&>>y+C-r6B z@``n12Bwf}Y4kM#dGYyZCXGps+T!^TSw zg!Fwp)8|CxTyT9TH}qbY++&vxv>HcrAzNcLei)9h8V6oTYK<*jr~yBr!>bylD;n5M z4fhQV^oG7SJ4mBYWmPSt-zXg6I7z)3B@y~f5!z568dr|aP{_ok@e-Ye9Nv;quC4X` z83T#%KdG%g0h`aAZ;JR%P)rsWBgZw_QYU>qbEHpZlt|@P!sA)I!J>9&k zS1hz*YA``>>3dad#2SM7)^wc26#+bn1E$O`YKxPnx*OaOp;Gx0Db^H-0kfE#4s!;w z!`Lw_+hOk;9XSd(8vmN~eiyu{zU|aw)e$@uF!fc$&}p<8IN~o@tJ%W(;|J&EBk|1B z+QU=gA!7l^rrPkHB!Q>YVPZqF1&i{uGy0xW>luAcH+O~C-n;G@O=Lx?CWeRSG&iid zCQ_%u4HLy+TnnQ|DbrP{AI7QUI}vKuTkO*n^^d}xgRU&AmLy$67ASX2vU59X39;HpD)HBNBk2*gZ{L%0v4M$Zl;CPZ_hOV-&h6rh zS~VtFHD3rIAF2JXE~_2guqy(iLksFoQ#^SoDD+ma1^sPn7ZFf#Q8IvA^yy%;yw9ag z1bFbJtRmlor%^Yu<-A%9pl?R_VM%c+m=>bgIWa_TgD94mn%Etb&8ijjEvo&b&LLi3 z42UKAjsic>HDKu2GS~394wGSs^;4@sVb-N4QE~ROXSq|Cx`2d!M0PaJ*A%%Y2bv?H ztR4#r1)9t1%e8Wq)#G)$$YUwu^ohhwV=2n&iTbp%`m^lviLAXb^$NV}_6}JL(2_`! zoFSDGqk<>v)5_|&Zl2nouG@7vP7ZsSew@vJ#4s_XiS!oppBQsexo33sL>TG0Fsj&J zmX4V_vTV$lQ_IR+b6jVm5pzLP=7pp=otG?bSuN!^K$@hQk{Kwg^YzcUQz@(8@6-N# z)}D*+Qr6zsi?VvDPx~u%yX1vUIV({wi+8fnNjPiuNx4>))n?uv_M<1RZj;cCP_lX;)U2 zVqUr%@eWnaM=Mw5yuDH7viiqNI)3f_&v3WHg#E`POWZt>MhpPDZF!@^DG11WJc!=@ zIFm%Kw|V>j8NDTOw;N}TVd=DAG>w(1$HkotxF?Vym5hu}N{&n{qLm=wNWu=uvq$QAQh#xO z*a_SrR}2u=U^CcKSTkT)K@7T};PJAySVBogBR-SEWIYXFquA=&-iug8-g{B5^|`BT zB9Q*px|#PW%U7fS5Y}29tb5UDtHL0KC#iQ1^~^h*8`QkrVNi3y<>3JBDIDmiCrkR6 z16&mG_n7wiCc>uZ33r7ti1T8c7$w{b+AtX`MKNbit5pZa75hZp2;!ZN(oqtfrn(!N zki@wK3b-nhluxO8QzE%Ws9R5W5zS{;^M@uoAe2DgSLLyZ>qaD_aU~j_DZW`NSr>%z zlUKvZ&+6ot>XX}tj)X(>317!GvW#<5|0e3uNkr|eq4!4Z-G~_?DN3k=B(g$t0YwCa zpoZ`;t`mYJ<}ieVNS(defGQjVD^)zTH!U2UlGyJW<1o);ONL$?oYG-~r2X*Iji5a# zGj6&fn2||g-1pGT6Nu#K(z6aRUqjNAoFTYPQB-5*M2z`k!(g0JlN(|$rQgY(lg}X| zkuo~@WJqL)b(!HX9XF38N&_$6RO)e@~_{J@j)sJdjEGvr#f@{{j z)6E;tQ&#V0^QdON?RPtkr7Ek#CwJPL5r2dLj+7?_7c5lF2e(5qbV3^e9AyFIBUrSU zmDow9&FRdka2)d&Jj*dq+H{}9(8C(c9pUC$zzqQ8h}=A;G+{)#O0YwMkqS^MEKc-9 zMt7fOiwHg{5=qLFYwr7fG`GQLp7?Z6JN!zU_iGTP&ChRt*3lWBIJRkcn#o7UTv1?? zW*~ZFLm08UhCApETMBmuv2=QhEmMbFP4f2Kz~$mO{Oq*TPwc>74%V?KaalxM-V3$}-jEe-T!7H85reGRN zNXM0%xLO!r9YGARH&Pl*E%Oo0)iQ5yUoFe(-!nK2qLF!SB-hBi{TD|gyVgEGE2UTo zPL4%WQfEmW4$OZD%3YN#QZFiCnK{KcxK=5hnT(`PVtx5cxE&$$Mcpz^VbnGpB71W7{#5mNHtY{v`R8Y@2!&jfk~XH*!5t2 zmx7?WjlSPSo0y8V*!>O?2&p`D2a5W8!P_5GW%c)l;fk}|^hi&80~YDFj;nde%QIT3 zG`wvQkXdnPZOa%dtA93W7Y`pfM9@45((aJ)0xb`}Eu$<&o$YH^ETVJJ^`MM5rFn*| zWt4S%&bXE<9Q=}n_t0(Gmv!X8QkjR}mZ4Vj+pJ@o@Mp$>%kju z-S_b8!5eRmaq!D?ZXUWGyz#nB55FF~Q3&PX*Mm1IgFXCu@J4O3hhGogc!!aLU!JG% z(DmSrr#d|Rdho_OlRW%-@WxxRJp6j_#yc%q@$AANuMle0 zd}br)!XOWTx_EYBkVofSJi9RHC^w1v>?k-%lwE$17lwL9Fg|4Dup5r*lO$&s1|1bB ziDwrE9aSiiXGa}MqU`d6j#`w&vkQa#Zz~>j^Og)3Wful{SCETm7Y2D-jE84OZA#Q< zM|Db~?DB(-3YEmO3xkd-mBh0PgRNJp)RB372_F2nJx_6ztVB6GidGV3mmhQ#t|Xpa zez5i8m0Ig~qSj-d9aStz&MrU5>-=5PaQQ(;HA|GUqn;&EcKJd6mtfBb#%HqOzis>M zC~iq|cKJa^flK1qg+WJ=OXS&6=8`D8{2>3GhX*N+VwWThS1jr%cu73FFz6_HNj$qS z=qP-NJUdEX5@i<#9px{HXO|yzl)xmOU9qU63?}jHibWlzFo|bZEb1tSi99Y|~ z#{ojw13oBw93YfE;DfTq0kVMJemy9Nj<0cdOxK|7!XU5Bb@A-NVEli-99Gm-Aj1f{ zFz70ffoB&6!M8{2;Mzsmg+W(=3~!NfVbE0|1J5o$=qiwbXO|yz7094-E({L%f3$t1 zhis#Vbrr}U4VNEu70AG|D;9OUv?Yz8%MUtU+>&^9`9W8K40hk;2b=#hz3n-yt3U>6 zxcs22Kn9*&e$Z7Q1J5o$=qivQj&b=x{^KuCEb1zdK^iVU=qiwbXO|yz70AG|%MZE= zWZ>E52VDg+1OLYthloWTuY8H|hO0mZX}J8Lt3U>xU4GD2AOp`XKj*ULezX zSW;Ag+W)H3_9n+ zpsP*>o?RGp)ycrK3xlpY8F+SK&{Zb`&n^tQ>SVCaE)2TrWZ>C_L06p&Ji9RHs*{0d z7Y1E*GVtuepsP-XIL3uRSDg$zyD;dglYwU!23>VB@a)2%t4;=?82a{P6nP`7SW;Ag+W)H3_QCq=&F-}XBP%t zbu#em!l0{8hAff`gRVLmcy?jXRVM?_E)2TrWZ>C_L06p&JbN%mR@AdL0c8&cq3o%X zfwBjKQ1+}3LfL~sD0|iiq3ppRls)T%PyHS1}j%C$}S9&WpwfE!XPt356{H8F3K(pVnVB@a)2%t4;=VBL>n#)y6R-$*@Zz@oeVs?FzBk2foB&6 zU3D_>?82a{PKLPLg+W)H3_QCq=&F-}XBP%tbu#em!l0{82A*9Qbk)f)gLPrhRVM?_ zE)2TrWZ>C_L06p&Ji9RHs*{0d4+hD|c=Do9_Fxdop1dfOJs5hf`9an{?$IS| zqql1+pV8q$u~yvF_H40L-0INL4T_PXI5YeVB`}o0Py#~<3?(p>z)%812@EALl)z8| zLkSEeFqFVh0z(N5B`}o0Py#~<3?(p>z)%812@EALl)z8|LkSEeFqFV2UIKNUz!y~~ zuvA7g!JL=X>v8%njVxX*^(m7dy!u>j2(TP_FD?++Yr?aKvgtGdVaK!)HF?yS_ z1!&(JzK^}97V03<|GCU}bKz4uJjCOms(JW==&Tgiit8$f7*RLbyAsMHB0Zf#w|Lvf z|$%Gi>-efjIB@WG`5c|#2&Vg$0^BbqSlPEIzAXn zX>1#ox;mUFk7tzsPU>-pf!~Mc6P@vm04S?nDPVh)8KOk_hpqBFnNeOo91QwM<5WiZ z-Bu~*y77Zn<(%urf3zy+lbJMr+$!Z0qTFc5zYc~Kj1pUel|$OVeFlHZ245)WL)0F8 rp_~s!aL)1QeF_XBIM7cfqLOC}%?ZFqyxyfk{zEH;G#Lxc#YBje6 literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyfit b/software/SCSI2SD/USB_Bootloader.cydsn/USB_Bootloader.cyfit new file mode 100755 index 0000000000000000000000000000000000000000..f632ee63ab0ee58dec7c9f7af44b806218ae5006 GIT binary patch literal 157426 zcmbTdbyOW+vn`5ykl^kR+}+)sjk~+MySuv++}+)RI|OG3_uvrVlHd28^WHi4+;_)# ze|7I#wW?;#S*v%CUfqf^;1FmaARsUxM`>Cj{g=vnS9%~INd90T;Gb4eb>)j5{}jAc z*PV56*eH{zG-0YJTFV2BGD$@YP(-KxaWMm)x z)K2^3nl&}RX=>>ef#xXS@fyDqnRSa}&axw#eWMgwP12KKWn#u3l>B9`R|TvQTouhr zKY^>2DldTU)*|0AG(veEm#!}0?X>6hva4^~_i^iGjYn?_=0lI*xBAt~%u0H@$Ngj5 zar-^_C8edG-@yuI_;#7LO6+9p$*8h%i({u_qocezO)P$=cdw(`$C0bKgmEKN*-rZA z+hnYStG!Ym-hz3HV}ql{0X^#sYuGA|2tySti1I=B9ox^fQi-wq%tg{J+>QJ7)r?jA z8H_v4g?0Y7tN9N%b*Juz&9x1zMaMR2`pUSiSnb|2#O@!bzGb~L>}HUcm0A4h!7Ri? zAi&0g_Jg!5*q}Bj@_3jvF}|ki*`%4IyYRaznuoM%EMI@UH?B%Ef$~aB!2C)LL(dtH zROQz}(zWJWGT#~==xnQFLtoe6G3>pE?o)me*?fQuPslTBtTDFUMb3^|ziE=4t8Mqd zPmo+=VN#3r++5q$n``JCD zI40m%5x)on($U4)5%$|=-L{;$T)Ea6va!I|Q2(SpcIKJoQkboTX zJ`)wr?WC#vLzmhVU%7O;nuf;90MOn`rbBxolb>5VZDU)oXd-Odma}0FW6VI`8-Vo119)5 zN)iun1-@WwXh^xB7E23ulyoO^Ip1;+W8r){C;HD_B|_%%gwHoi{fLB=lFaXfXbVh* z5B)TjbCK%zAQ-& zGQZyl`n4UE9CFX>#+uMT>YG>#1LeZuL*3`@N_bF@_I_-!4<8p+ItpbXtYG!nB3UT)lN7j*GcA(QcU^22B;;J^W{lUS4x9 zz_ZI;UMB=f!%f(!39Zs|)YX?dn*vH>ItV_zY$nBo*ua z=8Kr&AX<)!LX%tUIB(W!i=SeY2eL?{M~qB|Bear2Q|07L3-V>b^W!Ok%oLV%k8KNW zFsriCad%YU4x+=!q@6N0y5lF;# zSe+izRp_k9kVC)}C0H{Zw=C(u&qMS20(Hx(-+r6T)fFN9p~~*SQQ2X$jQFitn{wcL z`o~mfy=A686Jb*(1{CrwBLWF);BQ&wZst;HyXnGx=Q&Ha8v1qJ zJVT)v`B&fRu#j&nEU4d$8?ziG5hWiEDc5xz$WQd-N}AG2nIz83f$FSyMQ(s0qRG!AwO~ zqk>A-pyH$|Gx5TRFzh&vwS}#gi^v&|U8veKOA4A>oSiQyq}+|}UTYN&v|b|=m1O#c zt^W2Bcd@R#3Gs3j>~vE*lE6jcK(yl81FccTvz8s-YD!aZqi90)A1_?rKytTYxUjh{=@{8BSYW=c#1 z4M_nb#6^o7SLHmmG)?8Zcn|A~2wTD&a7T#?(My2xY!r6HwxeCa1>vB^m*sFF3#TBV z%VT)tyCvmC0`YJe2jHikBb-*`I}@jbMsCbQhPoLMpo9Qo3J~YiMwB`QXePob38hoA zhBQ^)Iso7HGU}I@ivScp9HcL^XBKLwAgKjc1M)4qc67_i_}XX7)gWc@i*fwlx90F1 z>+xs9ih^o+X!-@GRBr;_v5%ltN1C~h2{C!FKXT@5y?lk6^WAIU(3+>mg>)cULW(tH z9NYl`+=bF+kWz4k2a9!ZC<1A6&Y|r!idmru?$^v$DU?oW$aPg;uru$l~*T zNAxCUtuibe*uP1-4yX~1YZx3C(->p~Sk=9Ok6-5zU|rmU*1;t;Lns3lyaVqNyqF4W z36X_mvobIQ%a5MS!2}LMW=N_1$Y?T(-J65*UpnR5Nl4?WDoMpIB;Tb#1D`S%E@a05 zVs5@Qdi~5HcYF1sm#ADb(nA`ikuPuxFiAs3;PGLko#I%}jx|&DGX8>4e0+bvs zvqGEXXwSYbT&gp=Zz9G=_vl}{NUW=jLx%Gc@ve2QT6}bSq+YVYSfH)g+U?Q1_nvG=cCCGxc>>!6?QjA z7hz;DsSSGyLpQ^d@9Kx*SKyzoHfh{ z_0`piJ3TT)gb1cxGm?{56CqVfvzTke0vno#jtOzDSd4ntqOfjT38{sJLi8LtY52Zf3reEe z_<~OBd);zcHUosyfX$fE$uh8_7{a1bjXzH>`Of$9w1wNuXy$eLCabPfYR+h7nar1y z0q>`Ylvnlin?&$y=%Dlh<h_Q1OFZ(N5<-~ES?EX1;Md84H9_Pkgo| zv88BiFZHdQKc3ki1-@<~@jS8&ywO~4COpowKl;Oj`LLJ%E4jD?{-o_6*#mF0Egc^lwwM0=?H`Z9UcFeGA4xOddSf}%%`WOW zdc~P`Ds)I(%g~N0J1m3Vm|Av{NWZB{M%A~_m5YnppW&(d#{|kj3FpZj{UPpYt=iSu z=HOo_rqJX`VJy@nHtmO(9BqlzzHiv8h{2zV6#gj0CTveXL%6=K4soKd0sRTSOY=^; zH3?62Yx!J6O{s(7@dK$GHxiByJp=s_3aFBSu7KV`Qk$;BHw>}hzT+X}U6~%LCi;cs zSkrg5(i5I^+*@?aQOB?!$Z7E7PX<4`=Z`L-V4_q;sVb&AP+Z3AqB%q90G_Y_Lrphx z!XJS-^G`>BRXztPU!L6fR4=goNR!F@!LWzo>3&0hP%Xq2Vst?yc`1pa%*Rs%xyZ0) ztOT(w5pN^YxT>MGFb{Y{N&lr4B)Y z4JKClb4&SaeMzHbkDrKA6chM#^ssdZxd`E;g2hL{qYp&kkjHIe1>L;nbK}WNr2RgkH=0f?i?ZPB`JXqpMZA9m0Smz zFY`w!srwR^yz8dnO!Ukq3W=@nSA~W30zzWB@W<6M%jeS|uNBIDW+t>d8jLTemc)8G z2;QQV-gqd+WakSBU!9O{_SFJB9#07j?>EHS|BRxdzL@=vznKg3K$&jW)dVp2xnu&3 z6P!4;h^fLK;g#hV{P7GZ`S0H&t-qkG)7)=Ym@D3D;Fy7=n0g8gZNtELZ+_YGxH|#IHOa{IwR)tOk9nhqgq`B6%2jrHk+zfd>z6>Dmt=5Ql`G6}tUuIxvP;b3p>H zps2pD?JUQh)+Q)VQ5^<68?$&A<|umwI&nZ5&Dktupfjms^t4w2dr5y~;1E}0s>U~3 z-ZMCX^1-WF_{V(L5WH%I-JgYtra64im}>EGhAmBDc0T%8{HAf(4oQaJfMngm$#Kz) zFnc&tBHEN8$r(cih(WG16nN@|SQ-^ZM|XNR^LB;4y7Ha6z@agCFQIzvbV$nO8->hZ zEgiF|G_zqd4`r;!G;R@S5`ON!)qy{3oN_nVgKgVU)WbQ{8%khv-EIDuu{^#$HnAjh+!DFRq_jk9y(oVp#C18P1a)kB^9}Z z5wqT87gBy7bZQP=qi7bye3}_l$h$0P2&4G!U5Qev* zWkV(f?d2~I7je3ZaN-?wr%$)9vK|cycfIyH~ zG;ATh`OgyRxGw8k{rdD`nzqm97ZH~ImN#E=j1b1rdp$)fj!@>QM-dUlNdzNFuq!mg z&t@9|k)C(u!>9_99d$}R9esq#b_4l^K$eGQWFKL?3(u>r5bL{Gw9FERsfXIvt& zGBmAu2RACnk@`o!`>@njO5?L4ODD+HbXF2&XeoY@3{)>lO9WA6j3!mu9*nBN(^Vm| z3s%9d;cJGG;v|`R^nI9Qmh2#|72-xA_>vNfg+2N^d~0lecos()iBWN)9RzaU{+gR- zp;L1^%h!vE?2ZT+nPTPPq@+r{6IKq@(C0tS8ZzOprLd(ApTD5g?qQF~e#pS_lYgkO z-E17?Stb2C$g#__`$m7pY#}#0uqSdFB^M%$%nTb9xtqAFPUvm-O-kS>28=*(&*Xlr6$Ow@YuaeD|zX=(;GCJ>%y3p?=K1cD%P`}I)L9uqBddG)aVv;m)rNp z+A+SW)CvuM!xpN%9iv47i<<4)>UZkf)}sotw{VoONx^$gZ2zO}g;5Svb17^n&I0TU@_M&im25Hr?MPqk$ZV zeGNUdP~F3o!xf+BC`LHY+nj$!gBvyB+%NG?>jGz9wD8b|=dEml;=t|k)Ntd6t#oUH-L6n3swsaTjPx^L z175Z2*mFdUVAIN8;jH3*a#o8cIDe+8$FamP?(d@fT9sHugxLL=hI5Vc`a!eG)Mr3% zh4XrJk3;!z>@U(pE()mbbLVIkdptidlwH^HfpBDf zDKPn*^*ZbF*OdEdiur3g`!r4YWT9zHUrXz+bC+{rASIfot2j@6REwW=?+9^^eJF(^|31%BS7{wu?!au`| zMhG)y7!MadWf`9gU^RRr_?$4lp|faQhh^5`pkUpT_SCVuJN@S>jA1GVE@`6rT`sx0Lzf(F9a-7myw~*VCzb_ z(dN&V!AQ%psgF{ehuO`iyE40epQoStpG8;!@0um}O3xmd?flpHMD(^qER5szI>D89!-rb@X22cI*|xQ z<|kD&f>3|6Yk)HMe^T`67FFlm_i_sRbacMSKGuCbUt?f{Y^#ls=t4%lD5%nf( zFpez*uXQ*Yh>wj{{#`#&$;8qW{~SU0|6jp5|5fw8NkPZ|WDWS&)W1FO7thK62;?6P z9RCBQ!PxMpB>(yIzk&X@@4xiQ`ImhEC*Obc`VSWV6X<_~#Gw3NNcpc=Kt3rSrol0{ z4ax#u6S0j&CKn!Z@_ur@@^1lh?*C1^xo^VXbzRMgtw!;;7yeJ_{!xSzd*%9nb85t8 z5dQ=9nP2FEV>swvycxFe{EPBW{>E|a@qQW{P=5;Kfy`k1_wq-?GRVnjeDse%BohCu zzE7DI?f<8W6vh81>woQxV1(Gu{@pkEZxsC-^FPhKZ&LqHum4}_JOBNE?}jjJoBvNp zpVX70jxk98tHYnf{?+n1EwKM;_5Tz_GrQp5?Nw*-d3`p{k-ZH)gdei@mye`PIXJz4 z;V$^d9Bmk#A5FAd5okR|;UBX0q!Xx~JQ>vi7V#sor{SbGc`XSRuUp{Z{Xt@6?C%Ew z2S-5o|9>JEAIK}eTN8!KxyiZ8S%MXKv|O_o%fby$3YFv{ZW;s%8LW1P9%=7u)6>(dykt-x|>pNaH)=EQ$NkTj#NjZ2;`@t??9P!(6%< z+q!`ho`5K&ta_J3hw|sec~y4i6n!(+w?Ygr_dl1JMo1iU{#e6gij=-#dyMz3;6mg2 zXH-o7V=X-kh3ny?_KK`RvLUeqg4WLW#Pu>qW0Va2@g(AqKyMKiBS@FxMBn9Tjb7@7 zl-sT=3)3UJ>)FkHI0+`tH@xe4=a&FERds5Kdj~N7)Z;8#>c!68H9PLPSa~QO<~x;` zlKdpMR_cwj^5JY!OvLB5uip1zP5jvtD`oMKO20k+QUwkS6Q>-vzL|*biV~79xkSRw9S`WC2HSEmWzq*g|mz9 zm7j1?9Tqdg%#4#^OWp@c-MJXLQJ#e7a5c`(Ymb8JU3daE(6Kl z9MzLENdT2*JE_wYNq0fkk2dS<`;Bq9tI$vcxO&B)j#=+Hb&i#e(iBsn7?+&@Na{^j zv9?o6LY6GaoK<_CVV*M}mOk0B~43;Z0#WUN5|xaTsTYsZ0~A?MKxtyDfL$*!kR zONsx2RRW@kD4V@KtqlAXhPwT#<9bVr?&tnzkask9+*y0MKIT0{MHD7$1>=P!vlO6M zbe7%ho{Nfz0=+rvVEI7RlD6ydea_#qyhD z?(`XfrCl4mfDZ$Abqs8mG51F462V-+JXivHSq3vRpFNrWiRAbW8EChm#Tq>rK z!pWH^aC=mxD4WU`v8n~=bzL!9{F0{{np{+NTNzYA*0@g5!EUORnsfQR1Qbx@5%JM3 z`lmAZ-lkYl@TE{u5X@0*^?q0{&vLeW>_q< zW)V@Hon!VGo7${VmirWvgW%Prg_d^R0^8KsyfM(dOFWE;vDPK{YKujV+~$8m9QDzx+I#!$iH=xrzl+C2FG84q@Qer{L|8?}D*P8|?j{)%>~L4v zADDS{vH?hrc9=X|rbREUCkt25?H40S4#FN{1i*+oh3fd5cpAHt1PMVaeB@*&!8|s$ z-NYRzVWQZN8F9R;ep?t+$$%*d3RTsUTx@9FanXYGbqXSe2tUX1+{Gskwu10&P0w-w z6vatYhW_YR3^{;RkJ4k3OE!<+l!<7aGCZoO?9^hkkkM?2tTs&xzmjB)siEz*VN9Fw zIl9^7DPkDZn)r9(szcYDMFHk7o}sG6DO5(P^_Y8R-I|ZtY!T15h~z21>Cf^p8M&A! zsM7II*rYzPXns4o568|$n{%I3MO5F)hOZGuObr%a#vURI08l>nSRFj=hP7cqSR*87#rgh!me*6v_?>#ky3AYpc$w6ajFD}5CqjV46=O)-h zeqirBNvI0ndb)b?4UK6cYu((V#wv%(pqebq^s>iQq`5Uu!i|W5=XF;2kBy!R5u?1o z#hvr=(9cA)o@BJ6%6$Eh+U0_WN?q+zZMIr!*{u&b=!uVVV@1&P8va$+e$<01#Hv?c zZU!H@=H}_Ks0b+v*R9yP3cO`U!Nf+{9s-xRV6iKB{N-PP>9I@=+6$_|kz*O((RsFp zjiuD#?e+&a-pMH3JrX6G`HIXlTN8|?6?lL2l0x*aq`wEnnR>&cLBmuT@k%LF26V!4 zUw6{B7kr`cH+KospT`RoLdo%)oRL^j4mrlT-O5QT`xSJWku0!lh(DWlCj6$9V6=i2 zlU(BAX8)`=w-%KLzZwukGF=@90QDL$#Pi>_G8UfZKf)O`O<6srY+v55re`|PL4*g! zL-a<^*})=ZguX!POF**_8ADC3er#%?6+T{bF7F6g&3 zJhj^`CvV*^g+hm<%Loe#^5wHUU7w4TPQFlP-bPT2Z-|u^3wL@7ABCj&c7_`p3H8~p zvMt4dgZqEmt`f_=0<78!!=J&{RqtbgG7&k01#pr?Bg09~FRnLH3nR}P3C6kl4c5V| z-eb}6#7@Ti`EiFD#(jL4xw!OtmvA>K_#qUMT!JSihIAGkIN~TvOW;`m_3ek##b&qa_Gwrk^^a`~nCj&XBbi;|b>Bi+H=PwvZHxZu>X`wLSAhGK z(OoJ~wL>$~iiKN{Xr&VTS2XTd3-A|`eLP$DzNxyiz9$XL5Vx~vKMPeviHEM$4S%s zQPW(GfKj66J+>;ab4r11GCw?biT|kN7jri!$=~r~!>v0bE(Obk^JP zGlRvY=9ocPz`I+0{X-8b(Y-BI-Rw*+44EG%lw3*DdK2%zFgb10kfqzjfxDs zMgt6F*W_LIdb><%+1x?USe|=4Qh^$rnrhy!n)Q9Bpk3BEefU}U9J;5fpVLQ z#n^mHS%p+*;?f87@v(#OOBuEYUGWz<`K%>Q z=?XuZg2pCS3{S~I-V}2|B^Uh1 zkUizY41K-H<1{tP_{0r`GP-|7fXH(d#SyNAJI?}HPPtRO2W}EB4y>e;axjeAgW}hD z?YY3z&dQ&$p$n(iKBE6p%g9{A5IsOzgzCPhxh%4_tb%hwE^$KXYWipcg>;|qf@O4+ z20KWsZYkbw?#k4?66mn*KM-DXI9CQ@$hcD$m}9|9^tgl729iD}1G7+)%P@CXvGq=s z(~X}eY>21cU8=&w^BX>-%@aLRkhhos!?nL;<;or2O2yn|5jk@x78od~6VW zkQqVmdfapCUQ}g4PBKp~tc3Ont9fw5=)RvPRIs&>I@0I386)lS5hgnERI_kag1f;V z#E6dp#-IwBA3zV0M%1x{m?Ys9@+$x)(}2V%ORkrHA13FU^5Q~##Ci^IVmaNwwGR)L zVn9#KRB>ER7S02FlD=OPRmi=E*)c-JsPq{jtQG@o@&ti4!(yntQmP4^)o|jG)C&vu z%Y5Jdr32dDb`^tx#GedYqyd8>*q+e5dc7L<%S1{no#Khh1y3nuV4%P^5>Dy|zmkM( z!sUxzHePirPAPqcCWiDm0nBDqBlchV47ztW6WgrK^0UhmoB2pTyNhng4!$)PL3mrD zmIRsE1|unIpX@P|FKFr5HM7|s+3)ui(3f zasZ3W>8U?Aq>>b(A{YT`fVq*&rtWfT-!;0Vz^8AdM}Nu#$oN3TL0%DAzt*D_d!oB9 z+Y%Ogimp^;A@{wm>O9b_B1;_GX!RGiCC1O58P{`+Xz3BK5IG|XBuk#ka9Dv0cGpt>ext!a7MCXq_dK;UK4Ej*6WaafOX_w1pYMOWI6&$S zfF#Q$5g2t+n3Vd5Ypb&6wl<6V?H6uK(92C;YnGRPmu`>ikjm0V93$eSXocm$tq_gB z{*lxVC9Do@eLxIaO+sRz=eOWlwAs^{O|U(PFkkVG&X*$VskJAWTBbOwb7EiDI&K1# zQ)Ed!e{HRZ@0^G)oE5JkP9VR)qS(2!E&!n90o#@4;=^rnnwxTTH1QM2yI*XKJ1OnZ z7rm@Ij-jc<8znGJ@MnI=H}%LhHR`yZd4(9b1I~j;E89z1O6g=1u2VQSX{Rc0e=x_D zNAypy7wbAAEgc}7JTmu*O-RjL5`l=NvYS(aI8mur^$l!i85Nl=?|jqD7Q zmGa;A4)2Ov6@0&D^LDG4u|Sp;11IT!ZBEkuFgjIKU|EG%$3CjhO^($Z<#0gU;94&P zKdMKXX?6Yz!BXdao@2~Incd}~&d8n+N;1Gz=|Tp?HjDS;_Z1z4YO)Ye}BPMW1ZC#6c)i#`(;a0HIZNN11W;qO^z|pDiFW?A1q#U)aiHI>b~(0Wk6{HVu4p`^u!t zZhu@#nf{D~#>q-*fl@cIY_nl?i*>peu1sBRd?ePif10dXON5g2dG#KfWH(#u z&_a^o^HW062=kt^Cg#R`gQ<4N1>W6FG%m@r`b^QEfa(eE-^~x=O|u@(rYb=+l|p27 z1anolcHS*NL__}&zD+|Y=PKKXXFEBw~CGQ!Gv*d=T=o8om zy<~m}hk09VMrdP8`8axFiEDO57FZweSP&fd*O4GN6XflqGz#oMQ{veB-(s$@cEBk9 zqwf_lGu{Uq`O5;@^w+ny8&a5j{Z{;o64|MzA(^>W9~#=t5855*;EK?mEAuFYxjl41 z;WPSNAr%|VhD|kNVb;g?QDQ-QqOWd(h6s?i&%SXO(^B81DVO_RbF%n>7VQikG7B-GQ5^@`_s`~WlWE%2IG&vPe-V>o#V&$xiFSIb+ z0fl5Yk-hz=+FB{JI1JxZRaR@m)o_~^CSL4~ zhJ%C_=!IX25;3vOHdMn+QCe*XCZjsl@(DX*dBoUzc7-U@kzo_VhAJ8X7YP#(?S_I+ z2WAROHMEa3t6$t*hqVPe*TAaG`w{f#m~k0wU&)y)cR>b*u-U=QZ{rkgswhq%Z`6`6 zV4RHkY!w0-{s0K{r5EwuoesO!?-vttlEV! z#*sHx>6%{%dO2T(850$-fuyJ*-?QIvh5XX+ZV2|J3azOVO2XB9j1R4bvoo>TB$~vm z(+h^i<0ok^NmoYcb#Pj{5jg8+xLllN0BoSgP$42U3o8E6&dvxDa2`qxav0alPxd$ai1f{V2IxX zNYS2W=s%?v@}0zQ6vDqVC2N>umy(WScDb3i(NSlT*TF_|%A^InMb{heHkb|}wy{2| z>lzCXvU6=KyXX3L&;RT>CdPn#E0@sy(RWynofJ82~C${B&~Iy2`j=@k3rucCO*skO+gbpM>%5T($>_dDoI_xclqmMNq-Ef(sV zyVxBG@ct#W(P<@0<5(55U0Fg7wGV6lC&x(%W+^^|Mbf(hU4p8G*=or_N1_k6WcjiA z)bHwx9bwSC9K0pv^#qrZ5hAWiXIK7D(kqS+W056dl^8PvzLSp2f z@)=mRVQd4h!Mgh|J>)}}g=0tPjBG!Xo2|?ga92_F+G`uOtQ4(?m6p+HAS3#1{UXVv zKH_k5cN`?5MX6tb^LX0&gF!T}K*tlP@@g*+y6_mNoWn~R&z-J^8#DgLlQf()F>iUj zSMm#&mM>Y7TlZ(Cy7y^UE#CgyWp~zmln)yU8VP3OP1`gU+rlm|S2Fd!lh^_*fx#>F zmKjq?i*SU!a=+@;r^dRpQ>>orA5LH?>}6xzD`~g6?5B_Mc}{<~R_%I5IOe6r`3n-Z z5>R({8kmy?8KP664qT;02FO4LLpPi7Tx^9w4MT-}C}n=-pQ2CrwsvD8pY1%brdMR~ z0o`A*iF+>>K*&Ns^Gpx8g=}fLppFKu1-HrfSIjR~KK~I{(pPa+EwB0-D1`DL($aSY zWhGu$hkZ3?u8WBMa0bGuI?Ltl*VGGBaOaidUk>^s0&{ujoz6!C`J0|n2&EavHY956 zA&=PFOB>gIVSn;a(Q*qZNk|tPPb7D){pGGV4ll% z-`7QXMT7Fkx3++K3L)h2tTRv1%axRfud<3E^KMW(s#_S+_`Crjtiz(xUDN!#SEMte zJ@usC!wOUigG^0`qX&4y%nzf~&Y}#vUnw;l-_N0I`B1kk+5m!`ADbu!U*I!s#Gc74 zBSX)_zrwHA$kUqCxwQU#M_Tqk91~yu zw5sD6lU<4U(x9fy(|lq}RBhU4168q!hB3Fgg{2C6?CP(D(MPC8b&0+HR3(pQ4Eiq4 z^zox5P+y=RqcVF(8->@xKm6hKii}T9gshdSz=TZJ-istNN|0Qzlscz2tzmo z^WAS`ju`Iu8iL(QR9BnT@fW!F7VBN0>FHl|1Nb7IgTZy4a!LGJB1lsQG%uF7@UafQ zci#&m?K>SS;Jze{hr*3jGbv!aSE6dJvEx<&E3JdYS_LgsS2w%o>Y@F0MH5ThHuXh_ z+AHk2!EWG(L+G|xA%0(IfqC9PihyBzJuh!E7T)0V^#dqRcT*pGgV+Y2H_&jD9#VeRkaz zZF21mJ6DohUAx_yO@9YDKk2_MV_jDD5QDwF)ObPdp0oEBFnPjy!doWwqN_d>{u7y9 z^dphIZ~k|V--cVNltZ+f0yP#ejG;UMui)}2q-yHYe`2cvxOmy?j9YQuv9QciS|!t2 zIfJ5+P|G+D4LDDoAS$FJpsOchaYB_7`A8-$$64uz-Zyo##IX`c(HOFGHHvL0XvNe` z&R=FS_(E^3IdsatQjlh&8Hfu({9fT5r2J9!Q7(*cG2AY zi@Z(u0qwCze4pXw91HiJ_bk4WFX2#+u5R=mThy3W2e0W z(7a&4YdvKLyh`Rkaz$xIH;Iz+$CcmW_>R^QweYD^obW71lD$DYG``zG07FAo>3~A~ zt3NypyHsj>+hfLXVOnyIqm)|Fm$Qhmejz9Mao zs2f^l1GQRH5(u!c4HZVb!jxgft)Ws;xTV|?gkUCcD?yTgdWvM4l<6MIgp6FUV3-RnnGn$Cr7;Vax8@ErN^=e!X zGyFw02Y7`Q)f79+b`q;e+O^*RZ3E*$7y1lpxoVhyOU)7#zhO)WW4SL+_Y|#Vv?#{cZY&}UP zp{NHr%~kA{k^);!Fx9ZeBgKTHBKPp`dV5=iEJf55#{Pn ztj@|s>x=rCV6KAm6iwvDHRU+hxmpahSD~+5DlkFj)#Fivx0Ao^lK&+8($USDOFk&( zEI4;FrR#D->r94G7ilBGfO%s&%Ft=*|4~fz3oGz8>S5rNjJUH)uNvB5@N=8lb8Ui9^8MuVzaS`4JGcSG=Y6zc1tVBc-PX6 z@qVmwe7o2p)C%Hn9_YgA+oNUXakGXsD%GhX$7u5v;SR< z`}=5f-X^EBWu0)fACQ*E?+;FgJ!`d${PvW#EPi)&*kAxg`mDYgu}W*uGxMdTraBFy z{ycmEEN$zwIY7*&ULWx_Une>J@85RgOg&Cx=g3cLTa?jFN0c8dNj{kOOLbl5{?iQt z(pPf!(hL5Vwe@Jd?{CD9QVX*u1nMJ=D_8BxFaWI&8$EE;#hq#Yq4W=hO#oADr*)rq zx#Ox|N62l`((gW1>0VNrXT;P$5~WEW%`W%uGV1=vwZ~uTedcx7P0nXsE-8(rto+hn z0kzE7U5Pv|h+Sfj1}^^Uq-4Rz3H_|$Bz|aahlVcuQpB1O&mT9%^+1%XzEEeNHTJ5& z1d-%TzmpCVx=O0*))MB=d!Jand!xY(bKzw*?^6~IMUSl~ODv;>u*w;nb>96-d3rQs z4>1vJ{ayD_fcyq6eR5@fBpR%=WNwH)@=vpUQ$#lePoEgP%%Fw|IP;-ynyb~;uvLRI zdAFbGxx15UC0R{EqV_gBF#dn(RSqvYXv%NaGTSTobL!-39bOUgS(15zuJW(C8 z&7x)VT>l|OhWAG_Px$q7`=YPE-ms^Q(Z;67wJ&c|G;BHsAv;`{?5!ao1=gS4ujw(S zomi$LB2yR+n#E?^*6ggB7CVt6Zc48k305V7&mxU@<~5_O;;a&<*=X%LXvExfdlw!4 z!7|v(Zp58`XP;Sap8$SLptoQXyK;~O+4(MK^ zf15OvQKb@dtloa?pqn>2$p9H@6N{;p!C%6|$<1V`U|G7{pqBdTL@ zC4(fo{P`)>9EQb2k%XW0cTA72$74KtvJrY6NqR0BEa5ax3L-3<2Q`+J2)Bx^@4A}f z0M0sK;09in3s(l8Ni+-l*WmRbspsNIHe)IU9aEmiKK;27hb+hv;AsD5GO5Di&7 zcu|^O>pPnoX!5>0h3qainztv~dfn#jF~;?3n+C{sshJ|Tdh?|SVf8loY0S3J1RISy z7V-k080^MBrtmjrIVr?AgR#ft$S}a~pDB8_7^JMt@Y>piYBC+ykV>(`w@z7s8E{rz zpNfA(l!I}$%bqSMX>2tft7d7n>K@D_OmoIrh$Vy6kH&H&Z(ReU+4zZnMpofb%?DY_p3dR(k5~{IAsF|1X8Ai6Yz@h+f6jhm_?A;wl~=4ni8$8=sQg@(=pEatc?)hDQ*r_>tQ>|%53;c-39I9 zcxfM)7nu_-j=5=k3u`Q*_;U{N6t#t1S8*Q3DD);#$s+|HT2i^Gk7X{cPdkHVbf!k! zx&vOn#>u>*|T z|3%d~24@m=?K-w56Whtewr$(CZQHh!iEZ1q{ls=M;mLcxI`y6RN3UJg-M@DAzH9Z~ z_qtZ&bI8o^kbHu}7-4p>RP{`UnOk{c3VT_5(Khydhpj;n5qo*l+A8^m`!}`}3{DaX zTXdp29ytkl|3S(&Ys`KY1E&g_z*6vTNL)i3kd|GbuTvKxI?TF>BE6_FP;jEVZ-1f# zXX>ZCzvmZ2jK3JrpOD#L2}Mf+#EFFmge~RCgWs3> z(3JzzF6~Kda^#MV6sq4E&n}*{2!+X)`jgKnxlQ$_nh=>>60;H~ai=n34QFPEp!-+d z*TavBx!Fw{n#!04w{A0k$ts0kQ2d^Q_U@8KVm61867!VjO!Xc*S*F}!DH8zaEeDb3 zW(CIvl^BSN3Rso|U=)tgkpBtL+%kd~%`mBIaglqy!uuTH>n~jziA1t0EIud#ELqIJ zs0v<1uZqoKA;7C96I75s0rgq!k<&eRbH563Iy}TT*`$(Kujp#=$3bBgf5)?4bXM&I zLQeWcRYIhli35LgQN8B5Ijw3u4Va}|zhn4I?A(P;mbvc-c0bW*H#WX7GLW0$xK++Z zlgR3LVT$uY&|8ZONhLZpS{C2L|CWR#6^vTbbqAB~!g%VVeTB`ZT?@V9={k;4+fGLe zC>!Sif4(5xUH2O^*yRu~)sPSHj?))I0pZ{V?urhD24*GMuM>hKByx)c%`bGZ=iTrd zyV|yq=jwvIVS<66yB3+eff>1C!7~kI;vEBe{iz{6iU;_@mz&HhNRfC1xj`vJ{7&|F zB?GsHVd}b>&L_WNu4`aQ%6EGWk^pAcn=?ye`j}g@PWcO}EU`Y zy@d+P1~B$PRmW{tRzb)Hdszbo6nKl3mwSvZ$VW?M6MgU=ffzAiH5eD@FX*v91A5~v zzA?7ali?ZW46hi(->M5!dtR!oHHb$T;?1Hvkq}hGgl)JoF%Us=1cX!QQM!V<0@7WB zzn~!*GSX80J&e;ZeTFv$r#pQ56om9)Dp`;w(*q=QBhRi{Y4&{Gr|p-WPdqyYB2_ve zpPLj0BNAh3Ckvx08}kR>su^KBazDPL5!A2NKCKyCyQbhIrn|tWgJ{jT zZBz#_4sZlIjkFC-1*Z>>MWND5Ou%^FgC-~$chCs{W>sew7N$jsAMDG8fFf{C>sd$$ z>`sAbYle;JWC0Y(bbjuEB>vRuqypr0pu&Z*sQvkbX|?Ma@gx5(x<*^mNW!ZJ<|~+) z(L=%Xc>tKj&OaIU%gG;asg>JlTRZi(&D}t%SBd-CU0v`nUyinzDW|%nguS$Zp{tPbu4B;OP-A&k(DN>;f*F2LCfeG z?s6*)3kP=GxvSKMZ0A4{XCWBk=}sACF{d&9Lp(J6yMWG*b58RFKpB$a&l1fvjoGOj zwhrN3ho==VR}SD5vKQnsH3fw($Vm^NHpN}ykkjYBn`s2qecPbwzExDua(0yznJSk6 z(I0bH-2GhE^=R3z=ghJWB1wKn$P0TN*#6;qDC zzI4t3C_sSzj@cSDZZ*v3hnvP-YL*!*fjK3B0a5B(6TtQGpCE*R!*>D&OlB`laIBde`4Xvvu z$4fHROnJ3nyc4Pc2m3yUUwUWfpbZ!f02&y)Fy-H@wbk8^F6IXdh3`0@B!CBM=r$GK z(r45w`XpStcZDx^q0CV9?bobK*yQL7L-*rDf#nbs#-mLWL~*^+>P1Nmg$SbzL`?^v z+{{5X)9Q!mw0#Hdd4DNlug+#@{k=lE5-=l_JLI6H>=DGyL)Kd+?}k$j2CDnY_x}OMaP&}3%IH+w%+P#a*!^kTfimeusc0AudiXNwHx&!Yk!Fi0~ zFdoBE0l~sDngOSK)dhu&^uwf{fN_mVUD=!$Rpc(EbsvVX88FynDu7>7`>Nw@HCDtQ zMLp>~4=8pq+5FB)$I|z5dZ+yC)CLQ33)8lD{46}|_LEYo+#!fC`r5Ssg<}mT-aA+mK-!mw3_aAYfTUqQgs0WBhtWM{2W5G}?UQYwF z8JVu@qi1_FyvKT}yHOqZ0v6s`K&0U>2jMc-DUQZ~`fR-p%u}&mteR4tV><)5p_BFT zdFg_}F7TIX(@;} zEj`E1a&PBp5$JE!KRDJlOTyT{mAIa_FROw`WEXawM9#6QWNGnSL43lvzFKkfY=6{ThAQ8SG^4Yh-Bb5Y#}S&|sBt?6CH~_F9ciQnVF`DF-9T zV~Kh`hXRLF_E|36l}^s@uii2jyqfF@8W3g=y#yWX!c=gnpxZ1mGCq3pD-3VTa%to` zdh-?AHJ1?ZbzGOSf9(3a|AuuCkg`siyZ~3<%d6|-UdFouO}WaDjVbt38k_u$TjJ9V z8KzKK(5WOoaY^AJBR}vZermlWEEz?#qV6bjcX-k{?}7>}Q*F#gnM6y=VgP=!3TT=` ze-j0gmmHiFj+-}?goY@>>InX7qS#GM=8QCcO^px=DBH3GTZ)ItegPBw7S45UK-PK6 zaS2RXh;0+>EFD|{OeP(elu)M2M-)6JAjiX&z%8xVYGPiemiu!t0|LU)4N&uvHLcRt z4tHHKTJ8t&5`S1a^!FXERt5g5_mFyHU@p~OXEWZO^ryO+7(Z<}V;*9_mB1SEKf!LO z!+vl4m3$_uZg#wneFouyXzN1r7Qs|%O$R2cOH|BNr2^mSN@G*c#ph$N;XQbA#U#GygNSogK)9#Lb- z<<&N~KEPif)B&`LP2>r}bx=HfYD+DsYfh!;XEGpJs&5MyO&(2!Pe)DM4Wu-LY}H|i zF5p#!wv{&4^x*Hjnb7M~0*l!pUK?@row(-|jvY3@#|1)1ZOXJSEgQ94*Y1F3 zMgmkti(jG2v$x2wXB^0x%rhJ{pe@yb|KWS(mwd``1PAT!rtW1ziRm0OH5E$_?)()$ zzYR9_NAVJ%6*nBOvGAL*9$a?RV&#ZiDEVRhuh5B*l0cx z7r!oDm$t=Mlw}{09*tC3G~@HweQK4F5HQS6Tg4m zADF)NGgx3rH7Ghq=-JUYdIj}Ca*cN_v#H+_u$YTHS(t75sXpU^6*`n;M8g1~b zv)uTX?i$L9_=tTQV^yqlA1l(qI&(7U%P z$b4SiciZkwaf_x;uYwQ)+XhH%*s3VVm~$--DLHu71!b2S6)gXdV;9-x#YmK1+qu(p zUBuLK|64072L6-#ERL$diqX<3cNT3m`nys7PWa4 zlzX7sLPZjp5=OIux}j0smno4VGnZIwCAdcX(quY5kfJu$vP1=ZSrK}jPJ9!@`H;3{ zNcj+idvA|K4}CViD)KA7pKNwi(JUuN*~Ixfzk)ynW2|17w^)&Q5ufWt{6SPt0=GrXte687 z9n*O$pQm9U0V?d$P#fEE>}?3sVsVYgT;hDKTTGL4l6@5ExO~Z#D_#6*Bvt^q40p~! z&<1on(P|yJ`^vR0Nnpqhp4hPEuuTjv%bgMRz~ zK6cE{a%pr#M($&zW-k`5-1@c&Zof_}ffKznQXCS;&^9ssIWYP~ig6uXCp83`hnw#A zQdW)@bK2EMu-_p6S;Rhzkf)NIT2diVTx*vMAA!^QpCNK_h&XXUjD$XWGBV6&U>u4OAB!OSlHn|2k z5ZTIFBu_$@K))}c;2ZZ-o6ux06HIIcCH(Q0Th=7f)G}LDAZ+F#7~u_ul5R=9$qcV> z4Y#n}vJJEQj=k7HwbdDg)8uQLtBsCYkjU%kB*?1ujM0L3%hPPWn&Pwt=@;nkVF_dQ zId&{U1?|_dGLB@zdGQom5`US1P&`3vz~>QVO5+eno&LFUzlKmNrXbPc0|ZJFphAHJ z<18V3K=&YpMC<>}(OGtdV`Ak!94&Tb4E#DpBwB@DN3!dzyyEBJQlYX~pl&DQ7A}$BFrb8KX%mvl?&q1~!iw@^w`|zR ztGDD5x1tB{t>?q(Drmw)y&XAK;8GUkGHz>PwlyboTuUfixU*c#yR+mP1N9teJ%c^< z_q2da0T=1}Qxv%6jO$LCN0y9$&ty>>J)3LEwTHb9^t6_R!hYWaHi+F2vT>Et*c1*i zh$ASo_}e@B{F0?$hGQ~b(Vi7_~$rB2oUI(8f&cgMcWAnA| zeT8*|m=XJrY#@PT@+nqV^DW}h6mnz?=g>mb-#6o52;P8> zuwT6JEh6_I)IhWGH0x#uxE;-h(LFj|vB)h19-NlBlr#LNKWES*?$mOTt4LxO}YyPMAyU!Ma$9LkSWI8mGZzD9I`Nm z`4Sez5B^ovY(wDyiY>tK1miJSPXBw7WMp_nV1mh|ALu7NvW`=^kcNgAGAOenWY81{ zKE$0^zn^B3)1TKT+aW`SOR~NUL)Neacwnbn#byoOO@F)G09gbLO*VYgR0*AZwT8T3(sxKQat9gn8|Dc{V5tN z7Uxk4`CMXr)AWoQT1|_6>0;P)!&H+80c+6QRtF7buBJd*K<>0r0Deqlc9CAY2z_bI zL+mC~Mbl7PpD=cx6&ix$gf*yptOqQ>K$ZClV^oXNJ}GxkKsi)IzNxWs3jw2KcZ$Xa zCT8Rrje84*WiaCrIm0LsXC2zD;4U7G<|;aMvw)j(h=ibM%4v-8cI0B{*f})#*~z{P zxI*AP0915BW@GYmUBwm>CTKC9fE#hhoE973YZ~)vPU;Xu*+2NN$@R|$Skb}{`HeaT z(GeV1A9`iq$?|r6em=hms&@|2{1->W6mu51M}V!hMJXfiEl3C04YVsMQWh@*0*yA? zV?Q;HInV`~`yRGpcWaXpCcZUGG0ihgwx#WFsV+Oa=t7&I42D5Z{=|7mYPO1Q5M0=pGCuoqyHXQp_t^P<#$sDf zla7Vr(lfc&WDeZKK=M71Noe>Rc1u{T@@V+fd<%V1w0}@+2E*7gbf<1bd}Sd_rUj2f zBq+!r+{$l?n3xp9Rbrk9h=IQ>3sLV?7Wt^j1~F6-KLV+P5c)tzC8<&z0%Gd}=Lq)j zzJxo3I-3UUnn0!=C#cDf!S#VRSkG!y({&y+#f%WW;tV4otcT`1SWiH|&FL=Y8-hSE zE$bHbX8M}PovP&A3l~;oJ&fGVjLK<_C6RnQ{Ih~H-(ar#rZ2(R^fD=nH(YdyL_*%JsE@35k_b5@fb_vDayr`rLl{#N{3jf2w zEB=j(&wZ*|I}ZlW$F5Us@Q2|$ToQlSpL6=8Fq<#IxqVTTd-0gNsf+(qRqST;^mcmP zRV(J{gW|@0T~Cs8_N4Ir)=t8+b5Zn_<0bKy&hutOL!yAU8b~mZ;8XY#7FF+4@e}8B zu0Hn-y-&RS+A#M2?%>moyei)yq8EKg|3rZI3?5mGG5N~N&?_NTtXG$Imoyr9lHTQY zfZ=Q2#ZMgL+u8;lrvG+!Lqrks`r8Q^x&JY_%_9%DuXpzIc@8Z$2uTvtWebf zzu{DGR~>wq{qqI8G9RN=&bBJh5a%1RQoooAX9>1K&Za361kul`NChSRH6=z>VeeqI zINo#iE%2*oSLqiRj*A3~ORojy(jN(83tB{sxsmuAZ_ ze5!1^>eR)Cv{dwx2xe6Jq_l22#la(7^_+Z{xrr!&4K=16By(3i zKPcS^K*;SxJMD@Ff9kM))nggemBlW}^lL_vMmJu>M}6MdH)57ZcMcz6r^z;I;R8f^d|am}EIJayPKoX*`1%M-vqluhLbrROtMQ zN_&V|oEaz1pdrFs5|Lh_UPCX7JVCH0lB&%@A#no@T@NKosHF)b? zuAGV86LSeX5(~%2e=U_l)@&m)EKk0*?-nA)>7R#gq*@dGls2@7ETm_+H6aGLL8k52 z+d}xvusVpf3bQSh{)|I-!(yn`db!Mv?F8eGzYwSAGFp(7k+1i1>q)t*Ke3#09s0Oy;-Z{zBBO5I2i2*9477`qxJbJ zT!txC(Vx617?^B{u}20z!|UZ$^C;!PX53>EDg_H_nEgKNi$@Y1(wBw~=B z`dL*EVy0Qbh-Zi#-4PH~_ahX^%dy^u>;|Cf&nLWa!%=&bCfsNtRwF?)tY~-rY;rWGZl>EG=r`XX9F-JW2=cDAET2|9g;i>h7G}l@ zT5?ccb^-L><7kJW?}*4p(ZD1&2YicJfc$Fz- zN3x7MT1%cz(icw=90nwiRD&5Hj{P#YZ9_tGm_Z=#9!(#CLP}h^u?>&r8@336|CR9`hbp{T5gqqGH&(VGOIDae?A{mqX?1+;O(8zJ#eiT1rRd#pSyFds|-VZ%mU z=1OjTwxKW`xWT2`imoceqxL4V23NnKt5DnXmWxPLzzyfL%JR$FA~NmiG(@v3R8?K^ z#Pc*Xaspn*rJF@r5B9?I;?%N?uG)tujisd8i;H=%aK~$Z$F2zuji7^+r)3~hy@zO| zb3f2r58)=bb!giN*P6Eg$2E>k_fl7EUKdDvFza@mH18t!)0`WHM+ zL9IvuCClfArxB*g({(zAJFP_q3&WR@nf6O*Pb4yrGCBC%_U?QU#lM8@n`NJ-F^U2V z(lH`Q*-f6`^B=sRLl<<9{Ga@|ubZ%GkF0K=O%V)MB2lh?1SzJ!_mjx>o z3i(AvB){fGF}$PVvX)G98FPzH+RN;o8b;9VTpjMMe@RO(#M|UJR*FH2xaouQFC!z} zOEZSRPb;#M#`>jcN?lvOaob8)5p%OUi|A`A&G7BZ86yVU5=&fTCifFW$5Wx=b-NiT z46=Z$=k(cqKI*>kbs-U`vvfCgU7s4yMTAce|KBTkfcH3%FBP-nW_;_P;`Z**inN-X zPs3n~{foTOh6cA=5n=E5!lne$m}y(qqou5dADGmeOcW-!r)yT^b``X$`a+4uZ0t49 zvg;E`A+4sO^x^D+o`*VfO51H$IZ7-q7h3EN%J2GgccB$WrA$XiwN`93;!Pyd-UV7K zGrg4{Cc(vn(GGq<0y$)BD2H|7bmmU#(FC*_)wxjg#$0U)D}t>{GYdk$^&vYG;+oti z{(N(%mBX!*gTLCaucPV43bn=qJM+m4hX&^|g+n@TdFECHg{Z{%wFq5o05zghm=ckt z>NuAAFPNoeY%60G-{d`eEG-?e>aJ$g7V1hm$36D~5u}|jS%FhYcZrvIYW#{f)#>|e z)9W#)N9SdcltCG}#uw`FdsKPI-gIEss&Z96GKWBdt^u5Iy-v?x3^>eM zE!+>IbZ8@v44R8vQU-C|x?GWJV~LkxiI3m3uBOv`iidq$$ZS<$x&pk~K~8Oz=D0e| z^<3;(shSPaAm#w$KmYg?;{C(~#`r&eKNj{eZGsxwxQpu5|3*#OY$1*<@a=%0hj`|2 zj|b!Dnp2Kj)~W)YOd9o-gIr^A$@}%jQ8aqs!f{kwXr{-tw;;x(tyWn9{+as*V)aNE zGrxR#9K%sN6X|rx#R^Jw@cio!XD@uSbF>~wEF>`7HDsM+{cGrZ4ZyTv%Bt~TD=zhL zU{1ij(lW?s5NhjSvM!EhIp}Z64pc$-%$;{^p^I^>*F@1#SN3vryx<6e^q|!4>i~qK z3C5_{zK6JJ`|xFj@Ea^V`TX*n6VYm#=`c%}SD@l0TYGtAZq&i--L$N~Wg!&30HeP) z+K1{e&6@6NEy8LXit#qfbauIo-qO@7R<3wYVQb4oZk0XvAP=;#9QncbSpXf`Em^gC z$h%^|yAzD3+tQJaMq1^0>|Y$hur^rZ!iUMs7@f!Vb%E!s%jz3Pv?Tq>4+0=niR7T< z^!5l#)qzUD1kvp$$OE92@#M2#URHx#;-qp!#lKu@FX2Pwz$#j^lX9XaIi*(>-WJOI7$w;=Og_ZKV zc#L&B$5gr_b!gE5%D#hQeQ37R=HdX3!NKGEf;P@PzGr2As^v zF@k;LKV0T_q?>)O)=M9bkB8+N!^ujLmq18%;)QqpLwz`Xc(?5u<9s6*6G{5!K$DfV z#rq@yke!@#ga$NhKWLl<=0#Nrp+)D^Cqf#bA^va_^E4sF);qdKJ5Eaey8nLcu%boG znPFm0-DBXv!X@Eh?^$u)B7VUnmBUuKx%Tbl=B|q<%@5VR%_I4mCFKn&Ti7i3G_R!W z5v;mcoXeDbJ9LytuUVJKs5(`_B^sX+8E-sCC)MgO>lbDHGk<3e!OM)IG;o#(rS4q< z@3M}oK+b_h3M=YI5C3U6u>!cF63w@K=`I?J_sL7vi)HO#I{sx=SDI%NGT>s?=;5gIXTx#w7n%$OQuY-c{kgaHA;Lj*1OD zXp!{hNZQAEaAy4zPz3QnCl5F){g}{YB+A1P0aMA%2A5QmM7f~S!6zkTv>`4vN`x(8?(9nmFg!s{=*zvsI5?AwbxESbf%Pi6`OZ}?lAy# zeT@KA5o5d-M?60F9sXKbD=0GU<&hG{r>&23H4FVC|#rqctnLT2Scss;0~pm zn;BU;H?KyuMcg1X~Lx!tec8m0_Z1RWsWt-vSnYK`@(!cfy7q60IOefET;eB;qT#F0S6zCieHg?HQv+V}(_-)~I4lMRRM zAq9!Dq)M*b)tu3chwnTmvR>Uz!$QBX`a0)Ng|5E?DSpW#S{1GRR0dyZcjWavFb}4? z)ae!LtQV%!%wsGv6V#ccjS^Xo98O^^xc3iBHn-LbbS6C{G?|=#Syo$iRh;tPbb2&P zS2NphOxOOtATZISZ<0Ca8-`JfPn!L4`&XV3m_ayAZ?a)5ljyyXl4zQ_tD%`hE0e|H zsG);h*JgV^<%J-EK>9K=Gd8iKgG?j@}J zLO$Dhw}IF&1sWH=*D}+*Qc3(n)bG}vkR*ls~qws|}$bAG;* z8hxI6wpqLr=NjdpXwB@sY=k#1nb`SQnW;6U;nHBs=(ZhGFW!DQLY-x2zU`*<6`>vU zMJmi9w9D;u@=FK8;TS0&j8n=tgyE}}N{?fq1O|J$VID+_rjX7f7tUp&gxW4-3x{ZI zj#xtC(+r6}W`+7mLzB{6iFyawdL-{OVjV9g5ltcwuZ?*Am-}=>KlbA#MH>7F#gAto zEw=NKX5qQ)CjQB@!|Hiz6-OzC!D~eSxt9*LsVM(5fRoyVhJBBPecBFqb{-ST-UO%# zT-egzv6N2S3D>`5E|c5mM7y_9u(@CJoSTaKGr6=#?^F~X_s!0Df$S1_v+6b#XpZ_a z0gRC)w<~Ck87YfQt?=@!3yxj*kmVeVF5weycXQ7rEO>3y28DV4lNfMk&HRBt`+np8 zc>4C}7+;XEp7>AWNZq*REzZ$ZIwbYwApeiH1m+G+K|13}#RW?*I`H~gfx2JQZ0odw zNjUqJyV#5&1aD@L3+2){JQ9;fX#)^`L~F&ZLI9qK@387QQ&iwu-=;Oj`B)j#DCH44 zd?v!SsYV-jdSsFOd^Lsg5S3lYSQbeNdezl9ekvr5pXlybr>xkS>epz)KbRYD=rjNq zPTHCQ_fE&x7(`Q@$x`gnNo_}$Td*uH2SwzV5mDSITo0+HU*K5(`vq&f+b)OM&6Y)O z#qZ!58i1@@W;PBNIHHMgf|Frpk!);!RpJnlo%#v27K&Z>d06hAnD090N8MCi;=Rre zr=rgudr{!r$VhHO^b+*jj)QgQ5R?pAbHE7xIY9aZFcc75{xav>!n@TN@OD)7k zK@|=6LC5X?sR*Sh{fx!D1~G4oxU+QZ+j?ac2#EWf7J<{|Rho4Ak~ z1M~!>V=}vewXv^#h0*%Ows)Gh3ad^#*H>Q0!^%2(R?IB5OD(YLq-8!yseKrdD?8Tk zPVZUwy{`pS?IT>U4}|(2Tv5AiByqxL$?-0r8IYruaOP4M23jLEo7N{ZTtV`mPkR_%gr!j=IO8yG9*x*oZMdaA0}oF4T_zEx&gd z;JcR=QX?1Z9jl{!CjJml12B0(o`=3GQ}Xmly5wDdxR1^Rh1 zfE}cJ;5ThinO%&8ev=SK(H%KU=-Y0<`r7x`2Sgv+`!ti+@yvo|Fi)u8ESY97-)efk z=enJOH?y}4-~1@FPq`HnrOs;GGlgM7}j>FVG{BO>XEbU(Xb1P~P%9}}R zQqZ$6^fBZ9mj|Ra$b(4Jzrc>xe;sdt8@>Nk^~)bs&s+Q#?fuhgPwhw2e>NI`By62c z935Tkov+e%Eq^N@jgW7M{1p|s#YdBv)&#Q=Z;{x5c`8Iz=&F0Y5e>Q~%R-i3OcW+` z(|r}@^+)IRe{qp|N9eDF>fgU64VnM({8ssXp(#|?(Y^(+*rQlUUqJI)Bng3H6%);;#I6AQ`tr+jya7it!92={f%X^kK~`!xdBK zSGnQGiAE%)Dr9%iU!o+v->P!^iI)xjJG zwdf#4P2&}xoTm#K;s6wEE+NDQpm4F<-Womut^5PN+(E9Iv3)T*UGFb;05$kf>|Eq> z%}>MyV%m^b#o7GX{6>(SA9084bhY0|e~!gAiEoQl5ZI;1`D?8~8W*1;Sh7`Id$~m7 zi+p3HC$0&(u)3r^xMWB^HD!I~xJ_2DYqqm2kk7D*Cz?W`K-#2Q>~9t3g^Lm9qTo{G zVoh6PfJvZBf6E(gxkBGoDKzV^PMEuqvmUt**TkETBSgg+_(2zvjaWLo$r7wl42E7X zj6+hc2yUtXlY<{$j$8s-m@F6-%F3gOZxd1q$`zwn1|l*pbMyF2Ax1eLDmEBTEOESu zUvT_Apm-mx;Rv~`)iILKKSzz4?fTe1|$Sy>riTV^><_Cclop`FHYKM|S z4o4|u9eO3g%&@#3;Ci)}Xdy<`iuUpNyf;@7OLzE(eAuL2$}6gXO@c5?)vwpt*?x%z zg-dpR9lPF;=-e-dH8p8)M(vrSHQg-*jOfLQ&uz6agzd1Vw17RO^1aY2IUMcUlVDzD zC72ej_rB<9?4Yb=>DukTmuQ0i^@JeEPm4?_p#N!#DI;)|B4@S4kJ6{tBv2sSA4HC* z#fc_>y>V$;8Rl7she8KF97UaJ+-P$4^{2BkJ`0jB4ZpuLwrSVk4#nW^g=|{U>vyE5 zd8qVG*UNEt#<-m+@3uxlM{Gj$1%*kAodu7KANj(JP zVCDp*EA`N1hD`Zf@jxCo&!=lCGA7GrvRCwbSt1W1j|lGJ)J3*pmcfnD3w}It(Cy@0 zBWKX2^2KgfOqNd3O;TA3tw}Ynt8uRnv!&nOZg&$2ERKP?JkJ)Tw5DWqb!hjI=3(Mk z3@NyhL=5dl7LzU9i6u=xWf?+;2n;iE9~?Yp?jqk$ZCnjsI$hwsDujDsynw|dTBX=@ z$1Dl*hVfz}vK*r3xz;*6#w$1-?DC5bH}y!o6)2zJzf_fc+`Y=$f$e&4N6VChG`vC{ z7ynt3@x*8gT44RzAI&NT89gw-Q(k>W4-yV|OzM1EaEZ*sCBM@4H zcTnN&Y23r~wYQ@xxcuT+(%jUc4(bQriEJAa0JeR1a6^&q0O3ix|Ng{;^RV*FesX)- z-#|b=1CWrlosrd5`v0W#aZ<#1giz{rK*5TRSp)$=R~Hwfg;8tu<-#hI6o}LNP%1V1S~Q;P&qWy3_Fh-8iTO$HN_*$lvMX6o?7jc)U- zH)*TP`5u(M?XS3O*(@aaAs|C(&lhvbTpIU2B z@$G$P_0;8J1JCZMBpQG1r&5TETaW){Vb$!Kv6{zo71L#wx52}`b80KJ6}T19RqHKM zO>40l-)J3jC)b$y3<`L0zoCD|Dtens%pO<`*J|RTZM4c;;Hujc??fbxz^%M5POWn_ zM5WT)Hl$kAs>6EJG2Y6UK&%`~w1ZGb1B5A5j&E#=_r{T7S1pM=m(=#0yt!;s)jy>Z z`pj^jcc=h!MBh|jmds`RgL$_-QzZIrGN&0HEGABN;VXL++;X@$l4GuJ@| zGrBRdxeZkm>HZx=KoSQwl)M4?!qxgRv*nyDovcI@*gPAiy}$IBX(VI}sbd+{NZCW> z_EPpuHZeg$=fdOKlRJ{gScOaw+0c=%06}761y-U99HsCY@8^)MrSMu`uYL7e;c~LO z`{n3od<;>(i(kYxq5DASpWORzkSeZFS~)pDc_%;>Ml552U}9&FC)C+oG^3BNS&%r# z37=ld(#9iXRktxTu%IN&=NQ;#`5CvkcjmOR_dvv=%HmwR)GCcyOWV8b;E5+wR!L*# zc(uA9KdSrOW4H49HJ4kC67JO|k!b(pj{13qLdlf|heF{P5gk7^2?Qt7BVdp`4>!-$ zO)VSsT_C1%o;gOKCR;vCib`t?ZNlP9W}ySk7Pxk6d|{zyl0EKFZPYR7k-`gmrVS^| zG`SlvID?lN%6aD9Xiv|5tXEnP6^PtnNE3U{Qe}-a-%{O%=uEl*UMBDjv&H?~Qr9+? z4x($$P!xe4+0qn8KPfRNcM0)lL4P2o(W+dUIYUJ_@c1Z0BcL=Yg;ta0hcm7vfL^^U zEDno%;r&-At2FYvreO+`$@y3+Wb#+vD!m&V8O_X57P&nmW+U?3OwE>e_Q|Y;mkT%XA%v1;=Vs$MJO}a!c zw_xC6ar4YjPbHNI9U{m%6OR1_irbaoa`|!U?3I+kI z5UoE&bAMhpV4H+tE22wHI)u}Hm4jK{QjA%KQCOh=kwv3%BMGPMocEx}psbzj{j*LP zX3jw<{5=}4)Fix_QyMSlES@A`!)X-Uj9J6~hj~G-U_q8t=Lln4MKW3ui`g9Z8af%t zX@;=ZUpPQYMTm^*dE?7d-oWW{PzAxoUGc=lJPHQg?o&?G%X^mBbRWY)Fs_ET0|nZt zh)Su9HIX^8m{ot6EmXc;PeZj4&arq#h%}^f=z}y5@P|{yN!mdU$wOySm)9uwCkW zcD@$Qsq{PJaVxwd=w`J1ZnDpQ2bCOruD19QWOy%qttMCNlzAJkp~mU4ie;LBofn7c zow}NX zwVM9RLS@ib{|oO1_jpHNHLr!8Jlbl+<_-5`y_qimdXuM(ruvDv)Kw9ddH+G~eWO4wNG7C>5kNLz<04*erFMr)|H+B}X!k=?l`ycm_C0iZiJ* zl#VNA9e-s|6$8M7!pC&zR5O%JOn4{PPgK4u8Tx}YPwY8INOW(#b+l?Chn5xhJ|_3LZN1}{7rh1|5>+NdKZs}2p47BF3ImsT=TvJ)-UeGlOr2b5^cQ2z zmqs=&($2QtpxI>O@30f z>&C3B8VSo!8mwY}`ShS_-Q-CHQfkMxv)r$dd(rWG0o16)`2InJ*)E+C-E%7Y1wHKS1qjc+NaNOf2WB7PWw;SOR-!(amc07kT^ZMe|BZ4f!Fq zQWOqy5pg#+$!%sZ>fkt)4M%IW1dnKn@nuwJ# zzQzmlBGazacUjA-C#FfcANT#oM^Lh+iLgqlU6b_gf?yt%+K8tQq@iQ09YplHl!58R z_mDUfbKtzfCx{ofQCG>JmLc-Z{kl|NM3qQiY?T;XwJ=*FuF*69mO!48ao8JPPvq^~C0U+wa`)Q2 zeXz^Z%3jxV)b0L=_}|)_a%=V+4gmzj%Jn}#TJjdQS3krdBNh~wQ0P|V8j#>N6BMNY zQ^mw2D^gK$rXXczvRzO-9t?%Od)diKnod+lkZc*>Ox zSx0YV&x(@j%mP^y{LRhLG#f7uul0ZbswXEtag0R^s1O@LA!xsa*tqn&Skpwm@*LkY z`0lP{mX%tSi(Qv8J4#viH&vy=w-vEpZ3#^!w&e*A6NZ?cGA%20+LA-Xca?U_`Ku4! zNk6#aMcmz>3v|R+T=F7^?eJ41I~Zy0X>yy>IAAsqXHk&Ipg6zpQRxkp?Od*P#Y9L>R) z@RYA20Ew!wZZGb z_Dyv&8*qTQr-7GUG$fS~glU4L;%=&EnHm3)(^zTtP)(!`$FBVdc2&M_Can4nH(aUT z4Hlk?6po-stJ5^1h@EQN9!LKt)<*eAq}ca{jyY!2L>(-dS6J$Ik1M z6f2zD&MgV~t2sZGm8aH49dw*Jp}Q zZ}JbP<%yF5AF<`jp4A7=z3xfh3lFl`NJufOHNcm@3zgd)vjD*|B&@-PK;u^d6t|no zDf$8}u=gZ^DYep$Xa`m?%MjaLG!pH;#|YR+c*H4!N4O4(`8ax0kTefG@SYp>dC|Mog?CV=m~cPX(G)}|||JVqoH@y@=nb|nl$=H5$l zT=x7Ds?lN&wFGXOF6ROcMyZf%9tQYp&odR&I>w955dGY%g11dP4zLfsxOhtkX@{!l zNBq)1wzYkfeU<<>xz{-n)Q0)34f>!cCN#ISn#8Xos^FdeDrM>TbJicBTU@Vf-A~Y4KCN<Kt(Z}5>0Tv<8+Ep{_Qp5!i!}s zgfYqXgbVWMVL@Ckgm*_A6pvn=!rN%GPxFg>1E z>rYwC9!0AyKaJVVeVuw}Ur%4v$zEL?;63_1gMf+E1!0lMzknqCB-tmRv%iRQR8%#;yd;qgP zie`<9@ZoWiyYzeF_(OK@#fODqpFW0~b-|!Ne&g|u6rUnh<7OvUq6u$*6vj!x`$ZCA2w|sk_UU%g zd|UvL#)9|fFZDIA8H+{V^xMDSv`lk>kr~?4Mi8`jlrGckaF1IK`f|2ghh-UfhCQR} zif-gkgV16M>{4_vx&5`stFsX$yfc`f^oa?bv-bm<0${c+-zJe}$-2ND{v6U7es>Z_ zX}Ynp25!7l%(>?4K1)V(^X__8H&NP3=jrFplNM$wekq`bGsB5SYedclxE>sfYZ}>R zf*(zyPH&prI_FXvquB|{qIP8I^hFp%ifZPADvYCc(H+>coYE$ckLQdh;!{+e<@Usx zr-Sje5~54$qHkR!d8{R8HEsqfX5(jIoE#jp*!McH@h6?GgfCAC#xcf~ zn~J$m(TD{P_<72-pY~h5w_{bCX9dTt9aekDvN_in1cm<0XSvQEX6l3`q{pQ{Rnw5& z;KM&w7QvmBRu+Yc`KyJb(v=x#ehGmS%nUsl+qcWZ{GQmavR$*)N;$QeAhGjfV1S`0 zverJ0evT4WfMkxYA$_OEt>(w#^;A@42YAs6jkkHKT(M6-Dqa!gPz#Qh{(x$SMx%G^ zN&9Lv#S2YG>Jop2KrT1)Ri;L$re!~I^l26;USt{nb0ib@_qB#-8BVJo3=G_3mQ9>xiS<*C~+{flAc}5vI5K+JNh?DCtoPHA565cTXZ7{^EWqTO0U$J zZWC4vx3Z^KX);;67nrYT-byQHV3`vc$%N3zc3#!+ws;{3Mm9>a9#MX!4=MAHCi&)s zT7(wp1TsHp+1M0+3tG)A{gx+GByqH|RQ9A1354Y3%kwcKKRuux4Oz*|I9KUeW=ed_ zTS9Xo9{#u4a>9@NFdXOQc#C6aQc^rtC=+4N>Wp6sI-&U>nhdZw`JX4#o^9xe2Cgbi zTjff+AJC_NQwgi+_FB*-7J5CbpBp9(9RTbdi$SidxJeiLnAAOv@dW573| zPy7_>_hAy@V%f|_!kJg-{%ty|q(ZbWl(8t@;{|B>6o;xBePULs>lY{b6!kv5S*)JA z5vK10ZPv|=>9mOz29tF*#<ebm$yZq)rFsl@YIgqc}>ST6&dhTA%IA z=t}Wi5T9R;zR`li#-rv{^RC7`N3nE)3AYx=GWJb)eCnWPsP{tk8^`(Q6YB-vF;>oY zsQPE0P3rdX9)m~LKUHs*uv&DKY6sO2P=n0gjA%Jqo#9K`OM(ighTns}y| zhL>~Vt|?CpP|UC!Cb>@!YL@T+n6JcoeVG*Vnr;?Jz4!3}!&KG$XU&u<8h5HHowRvAz2wioFQUpNU} za-Z9h4<=?Q&b)Md#w0Cm^iabjU7$quyIWN!qMj&rk|@neb-L zOidXHFB8EmO;7LH&k7$bUafptdu%;9_h8c=c9u+07|%dy{nd_L1TT)Oo!KHb zUsndQbw}o^_&Od#g0F|LP3A~`M?6WhkEiLGhyTMg*>+E59J*Jz;V_z;1o&Q|2%GTG zesK9omFzA*;)AWhw~O&c`x_6{%h@!s8Q0kJx!I|QRog7O3&1&ud2_uYRAGF%nnU;o z?OE-$&`l3#!W+G|FdJig0|mYkqby`|H9F%5f|BU@)QNLYkivF9c)xgF8%k_|l%X;5 zGm5c^m~~l*G9dW?%uF9zphAGND01Gnw_WVNGwDVHZfYyZk?wec#jYV9Xe=A_;oI&5 zP>otol(oSaK`y)p+95iQ`ytX*7ns**(nlf;YL^_A)Zze>A-UaVGPaWxRhla9Zm z`Wn&y!wr)FHP}ww&)ca3no$XyTl0L(-7WtP1$!})RJ1PTWVng zZU;AXd!*)4iuo4lJCQJYtSQFoV^XnNM38_~tRby% zmXCLp-h<9MPl`_H6vuw#X4D1B1diu*qYO$|j)7_=^yVb%9QfHwFB_3u8dZJ$96}1<{sKR_0IA34?o-un0Z zX0Q25$vn-Y2$O$l0h&f(DI)@KpyA$aA|GMwG6n2gi43fiVC zZYpANFi|Vn$LDE(i3UP+){w#A z!QCPR1iL(V~uB5teR3zqR_+}d~y4FAF_vgH&9`n-o zZfM|c;hvj|Ct8RXT$pcU5JlxwU$rT-VF>ww48GhxI%`OgWjS&JUuuG{`%cdsShkke zkJeu~SpVd`rFIu5I`$Uz_V`@KFy`Yfw)jE6<+($K_hB{5A`IAyKi$T9uU`ekAvn9{ zsDzfDaH~JZQb*U4j=8$%sCI+o9IV~Ev8tFLc3x`xaNB|Ofl(T^TE6~O9@O>Ntyai~ z>WfOBMDsbF58bmVoSe6p>$;lFcopX^97JCvTSkdYFZpB+^OB$-K&9vGZ0!0>GCN4YBTK0{WC={GiMjnR2^px zxlMnIj(}Eq+4W=I7mK&iS3&PwMNe;7ac_i>E-ZUG%dRelZ+3`kP@enW9+)xV|42vj zR8QTEzPf2f5WpO~&Az&#aL2u!JUTnEtQ1W76s);unGkS54<3^T|J*i2D|VXxk`Q_0 zs63$T44ZnKdbLe}>!c^=;QVG}R`T^U^OsKaxxIcL1QZvsOcU_;Lb?U?OjP4MGH=th zsDy|6Rt7H8O#Tb26;oxc`uHa`SG!J2a0&>D>c;pS9f2k9`qH1~`VgCyFS?NL@x`io zQVOsQYTEH$L;EYXoa=*H+P{k5L}7uiKbTitF=~Ss7RFhu8sMS#%CCsx=aDx*pd`8M zO0f_T3C^|I8avg_`0gZC8V-ja@uuzu2?bm;B1}0P5kX&zgU2Yqn0_k+<>w|~0v`cJ zAJJM*^OIhS#Y@MA*!1)74i|xj)eF28*P>tj_>V-n8`G(I?R8sHoF$WXzla>jd*?*>6Vs) zSIfjX_RW*FX%AL4!F_3m+Y9?GF>eXAj*WjUlpB?h95(zo~e8gRTY63oS(kz0} z#41+Rq}dTog_hDpV?|vvq7ERTt)ipF8h7@$Fcjm-1J1f!{5<%;Zydl$ZXRZ_oxc?`$`Lr{CsG(^plrk zUeuAUG9c0zTfxt^d}xH)5JW*(eMIpFc%B#aYp#|LtWO@knAmcXMh$Y8X3^IPvbq8U;y^`IE72+1J zs!o^HVUyj`FI;q2zE?M+kMhy3be=vHNjxiH@?a?L^8?L&zac6%nJeS?{)BKOEKtnK zFU;t%A*a}_Y051zn?T%c3!@JMd@Bs@b#sI}X*Q9JhO3o>%-l|aRQt@?n!b(o?Jm{F zv(PJ#N1+Q(`E5cp^KOYx;%#dglUrAZ)@MKNMqc42+k9y;>TEbVOVLgPaolQoUnJ!W zMHy?8JUTyhCew*8XF3;WwhA@m+K{0<1bti)HRAPfc2#%$j`@V=>k_`d|Ik8BYi~l!TVF(I?Xq?~xX^bS<#|zB zs~aj7qKPnputMFsI3_i>wDHM6Ml1076FX!l>QTLKsa)|s8$|j^(Bsy1hdwQ%DFiH?BU~JWWR+(D_1S8f%&!e_NAElsW9-X_c5j-~ zx3V}s`h2U48w|Ud)u|$6JsjN5l|M1*OK-=i5^Woe*r3Yf0l9<Pf^*s#Dyy{AOCj+fg?Zrr*NUZf*?vaoezlXm*I7mWJX(5#bY zw(4qX2JNu#c+IvRB~x^egf?dBR@~;(CN@%?aT4KgrbhCAZ&xHCOtGF}3Q45GT#qK- zA^LEuGMbWLfZ!rs`=qJT2^n9)W!2`>THk{}-o%&SEO()`;OA&r+oe|oB#+MEHjH@( z4WvX~)X90DAnr_Z91v5>UxHxMTV@uz&bK$QYja_O zfYW^9h?zf3eNoAfiq|^YSNFayvAM=h9m$Z|3EvsNHpb@lek^v|xN^I>bB;NCfBcrl&8&^1xV1SbVuFY%fz*}WOXr>Tt%Q!fIQ@0Do zu(T`kWs1mm_;h;6hob(ymP3*vVg>uv67<(H;)oX4p-U~UU6imrL_75o zZZl?{UetCAPYF^4x9ftjas^q0_-0+7`}9aTIZrJY-;kxg`SMJan~T-k?CLy)(MJa^ z3C6B)P;_0eAU2%^ZrBhmmGwcJbSMw&fTIEkb|65qsBo?zo5=9(Nyc-8&Um5g{X)M= z?T032$LgwITee;}?ji6}s(mo@c{Q?kJMKG0)Zm{dSmYlqW&lOFySR*_s#IqubRF`; z@A+d?)PAGKDrv5tlnAvKXK+Io#1B(M2~M-*Z0)uS!20r?Ya|>PvUACCh*nJ0lk-xF z{Y{V3e=aZMCCt^z)1cNL);m}$ysI$U-Q5C(l%Eo$V z=W2&t>8M51;>A}VNo((g8BhJ!S3)?A6fHLzH){yK^K#j~V~pVwjV`h)+~&{)_WFVQo^Bw6pW%FgfvNSp(xCs`B7M2p=3WxKKDK_h z+X<_e(t*kd2CLXXh#YnsK{JWt%#(HjAN}}idh_;+NggTu7Z(wi?UPaGE8SA;qi)LR zgyWu7^I>Ss3?VYINdqsQ0dKIHVdk@J4EG8lX?%Ns(Hv zh2X6ajh4P2o7RBV^!q&*qU**3E4UR6C)bR581dFG?Zb--sSknUNg$cYcU_ak=3xaH z9UJ=efQgRHnYARY6->sLO?A4Ovc^QByoH#9ZrgHIUxkcu2i-1fyLwOK#YXm}nvZo@ zFiqLR!Y4$}Of!78W-`-GQoEYcutaw?ho^Py4&UV;o34HC+24rt?pcw)x?cQohA7!W z#^2eZ&vP*^m434>wcD_4Q#*F45=5ryD(UT`e08v3b$b)<+W96%fcSYMCAj<2p1Osy z#akVJmtE5j1QNX7TVMFHKiRoSrCSJ3vf<{DqRzb?sO;qe+zIMyX?UZu?&`x`=B}+F z*E@NGf9~RfLhj)j=cfYWr5^6B8UF%%Dx-mLWx18?-TvdLz$e({Ha=5(sbh+BNobc$ z2lbZdID7O3hl3OhFbf#IUBZH4NEe`rTO@hbQf)?MEUZbsg94;Z|D+zC2RaM@FkG~{ z?wQg%XC56x?Uel1+gE?3Ba{$q+pY2tGl;~$t8$dLUZ68=-#X9NhpJ(d{EiuER^3MP zG|cE=BekNt=atw*IjqMv*6MNIdNNl4~T9}*|9tCd8s)#bdaCS{AL zj{dG>Vl+C%8}|BCVx4c;k-PP#qUjaurB?LNAKx5EEhQVfZrPJ?p0{yq>q{-V!0)cZ ztu4&YWeyx-O1D1UNQB=V_Vsbu5Q+(Ih;(sE{?xb-9kD`?^{g=*cFTpF*@KcBnUElP zJp~^3?CLVPl%WaYM4-SY?s-H!8>Jm=qEKD@kK9*SWi$Ca@)$h-5 z$;)p6t>vSxF8E~8UN;Emer;bAJ#>1T*!Pf1SQk4c-dg@d7H8B)XI$poRl=hVeyAzs+z9qo#g=RJ=xlXs^?Tzx!iDMTcw2lmvIa|i!=y9FyD7r z-v}k=Ei^GE-_RqON49MXjT-ic`j1{wB3sONX?H1Xi;Nl`Jkpj9Z=*umgs{O$tr^Al zA7(YQ%@|$7;R7piEe;A)!sYZ1G%#}^-?2!B@+=TC_hx;-pVgI;M^rIZe+i7o9KfuI zdFro-Gn!z_XwJ+9k%oxr7aOaYNaT7ra(cLYl0jxy0;#c?{qA^7_*}63vv2qZ6Q935 zch+9Rdc2D=Rb#`#MxElX~@7h^$lCn;>7oUm;m;y_Gm3CNJal*72BmrTb})wF>V zK`9jHe$F>x(PZu=lVD`K*|uvjrwIe7KK6bcrxAsmz9yB6deCMxbNwwSDEH3gRS=~h z-V(i6D!(K^5&23=V7iC{HQN*{IS%Z`u7cwHXg=!jE6OEnm;v4+KCd{5eNtbRMMz-+cR!rKB?wxtrJw01g%|p{W)zgUyT%qklYXLM zfQ1(UHL^Tit|b(1+Vgm^^X%=@;nAmw%hNAGuZh#UROost&254^daI&5pyc3Y-qBg!3G7GyA@4I4g> zUzx6|9-aLJ58)4$=Q;uZN~=;=U*I*ujGlsMV!Lz{mRflm!rRc@5z;$}0A74ce-p<> z=MQmA#)_t3AnflX$pjxUi;kSyKWkqdB`>ekgdsv20#Sxgw9 z`$)wVQvuMaf&Q0HowNf6Gd=uJRj%=HOkI@%P|1u$tO4e;J9~hEqfPF_sd9BLehRTM zG}j?NQ#rv*0K`!~kMA9o2daZjw>brzp!uxz$?ye~S+nN=VA|9mrodQ2T0jsW3ix={ z5X}yE?p?jCIRow5d)shi#VieHb+1U+a@4$f@xs%E60amOsHIJ94raYgU zKb!afzd2Q+KF7&47C0q`lQ*n##l|$&b$^M^!Zen9f62$lG`9AOfSYN|z!Df{%2VY6 zwy{a`xroQb8pzl~p3X2>dSmAOhW3ICoQ}E@Uc+?laU>mrx+=KhQGQUkcD5!zweJ@F zaN)EZfMq6N7tX@WTWo#YpUtpvp3FFU*u^u@3-8rY=@!njPUSw*7(LtB>7gdpa+i`$mD^7=u;>-2`rGvK>tDKFi^E)gT(ms@W=jb9@ zDdwNV0g8VT2a7=C2f4hZH8i*7lEnCfq9Fz*c!^*!ED;7}p;0aPY8&InLe+QXvcM(j zR&K4nP>_%DZg|}e=`xBeQI*^3c_jlOKl-8d$hy+%aJ?(JcxQufie#MN_OcQd`aYZ$ zq3%s_xTJE9)`GiTa6b?|ThLeWHuE%90%!f?7Z*ftan-(R zKM^Sw=C_^X_&Ka|nfjFd_U23LLhQgkqw&OMe`T4Cz_j<}Pi5RJo<$;#cAIdAxZ+{7 zHmiXf&j!--WHlYSPnB*T#doX_sRhpFhAf1>Y48tKCX0_2Q+`EJ1AY@B)k2QROKFCC z`u4oV07W0IUDr>YL!D08c;m8&UAT!_B!RwMkfn=Z)?r#C*uKGA{JL5lV(&EuDXivhgz@%x$DQA)4-L(+p`G<1kXJwi>30gIQ)Qo|*xvdpWIZ^#ozW5D&vc@E!O==8NB}8zO1LV8+KSrTVc;YWRXzDZ2!`dW)t=S7Atv2HUMJ5rWu#pn!8PaEm)t zFz*E(%j(U#=>=3~5}eM0CpnDG7K|OP4x9jnS82^G3snDsSqgqpt+!2436kgYE2Q_p*B|na5y{!EnkG~bK@W5q|(^5N2b0?^lxtV6Z+5>larb%Oa$+=zcPW_E{tayq>yLP9yDmFn zM7t1!>O5{$y)X0CZN1#|?86Vc_zztZw+iGO7lI?VukbM7RX?o-D;^WIXPwz>s1O_| zcbI>suz5U%2d(mwgPp-$rb%h230qV-XW7i}GoFp}7(>?W zr`;pL6{Z;)X;cmV>6-p|(piuEOZ&f}I%)eFBz>DN{LCH1|Fp7@BLkjq6#lAB7P(f# zi&`Qum}@=p{nBSI2DrNH?X=oub2cQD6+R12-eaQ$}#!s6WL{N+J??;9c-vUD`DHsmPDLYq$r9a2#+Q~DPxIQ#REOi z54a8LaMiNn-f^RPRt09E(0jm%hIh~MaPwu0;wJ?!8Bkc-DPq8={tP&cb}hwg53|AP z*RFv-FN}>NS~$~%7ev`zW3jbkTu7i&eV#0WD~O_iZBH`kv;Q?Z$&{ zqm$6#;Q&P%^LGV(U*8rmjo_%%XyG~%5AQ}8P-yL=G%#!+#60Ps@3Urv=7bfJrdJLB zV5NiV^mA4B8>bk^ZfXyOHtI+PiUJ1YO3&qqMlHrzK(4+hY8-h7JNp%(w8+ zQ0&Q%Gc7g9ElfsE2J>f)j*{>*3x$~3U?bL^HJ@oh6jlLqBlBs+jeGn&K&xH1^l4BeD^kI@qq9DS5e*=U5ClxpE>?eG#9q31x{}9URna@jrjobvsaF zV8xcnaqH$z6E~yxa5j*TtsQ6~zRG8;+Z*EJr+>s!BHm91lFLB-Z-(6qO>X5eywEEmwV9c+Qr3b^!On1mgFI} z<-_{y@8~zKXqBx#no~=qHt8NQZ$F;3o!INLJ$aiTHuiR37D12g8|d47J&s+XbHNz0#k_`@<)Hn#rFu|R zXWzmFZ&~tS(Z*L4heAb?ElrH-2jYPKn?|I^2M8xO6XF>*(sb13XMAz57#G{0U9VMk zJR_Veg;F7Y0UKpHA3~BazQOP@YgJa?t4ejL)U(aC{$Nh)$6iA`yB>*PrL%|wO=a|A zJk=wqWm&33bp6+v6nR3&K{=zGHCs$zC!ke_MgqpyiJx)R~ z@;MN}8(@g*(U$e0D_UpE$g)h#9FWEmu+E(^*1wJOH>fobn?r9$>CUdMFiEYMt3Xgz z_Zw?`@${+qob_^640S_M>6s4ehxx#nAp*6jmt$3h!L$n4+ivyhu`Cf#WS zjw=MJYHiE6_sR049hhJ7^00eqm0GS2ZwM``bxk1lFFHwq%(F+T1z($cpn#9ZaY^IAKx0uLz@;OWo# z6OCQC-?N}Cv+2KMv0T5LI5C;B1q&XM(0B9FZvLs#(~S*0c($tfy9(787mka*?b)NW zd>)SG^PtB6;OeP9!Cdk$0qPSY&Aplm6yEP!9)Fkwt??>4S$dY?FZ@3L^Ip)I9tLJ! zBhZYd{OGJ5T31td<}dvzCSBTe7PAqxuQ!@;Cz`rC=qz1f<&F)rNuR?d_@9c@B$y&9 zMqEt(Qx{MZUp640YK)Cd`qyMnU#tD;ZF#`D8@suCt0%QXm;{*WHg8J$#_#8+bV#G( zp)B1UXYAE)3RQ^Mw%k*ltX|_$bd=MXrPUv;cgIY_nVg<0Dt*)Ml>Q^Jwnn`v$LWNU zhq<(}PF;60ew*(rvz=9$tMv93WExY4vieebz>P-BGkUu*6Tha>bJEoY)a>c3ZW^U1 zDdPMZYL_+Z^uy_1-+F7%mO)$xfs`Id8lT&Gn}4h$hsAi(GuOd(Pf~p2oR|V zy!fdh@G6s~gR1zj$!VCA$@Vn^PRsdLv&Srh^{QS|~T;`-;wkA=ZtD zI_Vn06wKPe6eJS{(jiV77={G#otMKrbdSnWWJQWQV$ilflXu>Cmvzku;sXHsztILH z8uen7VA8X@nVFJ$T3O*%DAC0;3ZoiDf_9?IaQsEpJPp%DQ|6 ziLw3&Z*aa6OJ}; z`%xqc3)uF>E7UU-%>uHFFb8~R$*?$^N7}gJh#m_u9YI|~*Z?)?`lAV7Mrtj~6v>Ww!N=TR3Bn!E zv8uc5XIFwE#j-ULyx|VMX2qI+-$8rS+{77ew{7U@QTFR`khY`h$!?8~s#gP+y6S>;iz@KZkm{|6 zByT?7j8p&H1%YQt7TtShx|<&nsRuDl- zTw6co7TB|1E(8W+i>_2(3%g!+U>;ZqTcWJ=50v{N(k5K-4P0Bm!ft*XOsdd;9iGNO z%tJ=i6vIYppXJP{Z_clY>!-UP1Fxs~XaHM-2?>!7Cx~15MqfKjeKmc>Z_Z5TeUBqH zXIZ}wXid>&RS|o267dK$X(DVBwz0He-M4U<-!Zs0h=m(o*4nLJej|JM4Q84Q8`QG! zKBJkzhbOLOVakH%eT(i?pMJbg6SBZelf%76*Kc3aUKs71sKf1*;tkl*!*I-E@Oy1-2VmfXiWQpCKwiV;WU#6NeatQGAr&Hx z;-&DC6T>h@lq{H%gp!-h99yxezyj*oA#a@M6U6MSxSYh*kxxp}5X$tmk&WC=vLwG% zpoL{ek4hcM84T)wjJY2oO7t23;_cc9ynU1q%fsF*yE9=CIi4(34HU0do-Dh4;~9t; z9?Q`ES&X0YpG}761xkvbF(6BanTJc-|3ECpya2wkQ&iOAixG{DM~T9v4r;{`lx7B4 zjVX%A*_-i6k&sZPjbLk{yrYsMSALJ5Y`i2(7u;Pb5EjT-uJ8l6Ztg71Vl2nMz}ODx zHhMW)O3Zysq1GqQ(rFSex+2YKvU#wWnv>`#qW_xv82AWi*xbpbfcedihZ932EzB^! zi^hu*Rp4K|UmFQ7#(bu$v7U}oaPc)n{H2@>!q-<4B61FBF`~Q{lBcj*J=9N|+wIM& zp2wiYD*T|O3zl#o?R`QXED=Te2oSr>mCDiQGO057GRkX|)$CKQ*dyw^)x#G%8nuG2 zM3^aLgqYW+f(>Qj-v0L5@FcV|i}83rGdxlxA}VM9!+|cCvO1%!n;g zOY{1fsaudieoeU902)cR<+63IAcJzhsZrV#|zo6p5V~ehaJ@GdE(DY>1Qiph+Q% zYHtRz(%xaTiSgNs#B$)-14~9KJv3ALM-O@Erl*odCcSU+sziM@#?3C=$BrNNL5h+2zB{$B+5W1acRZUJXFMBSJg1!zgq--l}vYDaXuCE z3AtYzee!rult02gQ9g2|cK+BIA{smA|IIsy%7Cr&E@&Vj@cY65l-&$v_!e^)zd$tq z<)pu@9)PGI7TV&^)p95P>WFKetl1%C^{gDF1^|wv9+dASqv14H%#>m}jQr;E#ZM{> zaHN-W}`5)i2XTZk&KJxDh9PoD}NO{I&YV zx$g~LI+T@&L6Mng?}XAd^m<#67q}dQ>x!d(&=to=7?wuOygWhsX>6P0Fe`c zo#CIsLBc3lp4G|*GKO*{%MD;79B^x6E z)+GHa@j`6Ni^3m+!jnHAqSeEGL4?U$Cnl(NmWrpe&D9m6MP*I^Tyz2#1_Vm7c5r8w zc*5JT$LQ5hCY={HD+(IXNe@frwG=I7Y zkiBx!&cDPSuu3Haxafk+-C-eL1#O~N#Z?Vi#S;q4+QZAV?@;e@`p%N`e~gb|YCs+Z zcs;6|#pm~fbl-giy7{HEPx$>N4l4v_EIEj5tb2VpIZ!z#*Km7-?KSP49lAXdKRs&O z)XB0@VNHYlON32dOVdS{`r70eV`*=h{_Ps=f@P5IIDEPqoZdoXOI;;c%KO^U!RDuB zzie8r0>_Y5>0_|kd0}Gq)pqsZ?jR^I>nB=)zB%dV&gi^k2?q-TG96?F**BVtPDspo zQ_@l0Pk5D_<;r78-_bae?j{;i$v;!x5u0W1QYd80n+mOUA|7@n?BzF$CLPyHXNB#} zQr=zT2Ar!bFb*$Kq>U7u9^o6l9Fe=5Rsk{}3b6RPX;s=wI=LZxUX>$2 z5}n*k8j?lMG>ZOWkp z{)|-*o-(jEfGBK`fXJJ#X=H}z-!#v*;*y|A1DZ|eJemrNQ>vwRC-Z2x8oei0x+i|! z1R-|DJMBgr!wzq0K&ZwbUB zAd&n79lS)oOErHrT0Fpj7-1l%uN}teKv0PCeMSag+dZ$lW|Niug>2y;=<3foAV~&d zcV$KZj=XvdBr+LQ01eb$5d-l7N_l+>3*^^4;@>vAyKG1174+m!Q1%aO_A3h(FisAo z5)HKp{sCU@GW!GOKR{Iq)}0tE@{k62O8J8Kx@Gl^HP$E@?WbJ)%RkY|lxF>n6jE0l z;0+oj=rEcdf%^;|>Gk(5Q*!oy^^Q2qpVIyTAy?4Rmdc3%mpoH9gd|r9N*hu_?kwp| z;@<$w=Fo43&8;Vk zSL=mB7J+5f0(=*fZ&GGcY;1;Hqns~3P|op3vW@p8DW|wLe*nrW%NDjxvlPH%-EvIi z$}uki$P(HQAe9>cvcx0+NCgRBd54_IC@hUjBX}~x|NWf*Q<|6Z3*4f>jx~Oqc85>K zTu^|`TN(HivWc*JnWDuy+ZAr|1}8l6gU|x$=AIUSDZh86Q|JLE%whm>e&Una|Cv}= zZU(a;Zy#R|f;TRPNGKl;sz9a%5Cyvz0G1TY8mGwT7E?qa8BjI>Ai*I8I4c9)`zg&* z?}h=J8NdZV%K6F7tRd1*ol}-1tl=Rf|5V7TAsT=}V=hBa003l+01~(E%$ldHWA1`L zj zC@e))mF?V#X3aaFXgHdiIQtTSCyq4hHRJC{DCdi7OPm1ol9V^A#~Czr*m!fwy76L5 z@Kky@Gb?`7`~GYa8F+aH^XL9|cgFwwRvC&xh9Yl|3hYdJFQgz716Tifpm#5Y9Oyf` z1M=SiCwYi6DGY#>*h3*=Ncb0a3hkG-n02Tzu6aDTCXU2^N*xvO& zruZ8pa#6q$FKDCxt2!~;b5~B2C;|iC|EntZAHL?vBB&-y_zKKDhx~ih;WzAZ4;}f= ze)v3G4`>iuwk%3h0H6ME(B73p6Vg*tm?Y2Cwl#D zNkNKVqWNUMO*!U#U-fE(q$kJ`AqlX~KaL2$!v9y80}T>TY7?~({|}_cY(;b*<|Sf( z50C$%+zfF37CEO2KvmlhLjOf@FXxV{9;7U6d#%2agUI|TS10XP_;2Cgqj&X<7dw_U zH z#J2;e->M~$f+m|e+Ou`YigA5*x5nnGlOToj(b23e&cn#LqRe6v;NAjTJ0GM34utP- z1sjP15wBm&eK;8#xWvp;t#LM|^UM znE)UC<751C{hlU=AJF7D3|M_6 zWQI0hc&RgKm9gid`&bR+RPWO^*S&dHsY%I`9D#h52-I1mx9461WfyXnO!9%qQE$vz z`B9*B1JqfF@9QjskU9&{#R0nZTlMllmw@rI`*kJQ)j{^Aq1>&uj$sm|%=<9q$Od>< z1)m&;zd72T^a1yArWmN7_~w7`1^zu2c%p?sjK1Lf+$`VhP~OnXd?@&oBIp9F=6dkK z5B)MLYn$dK?iK!-P4#5kGpCJKpEwqFy+3+a-0TWi>^Ct@e)Di z9!%WR{)sLA=u%q!Kla`_uBxtU8F$v3mQHCzKuS`&ySt>MBqSxJ zr9nXi1og}fsCeJE&-=XReBX24^Zm{rYwxwzo^!1^)-}eM*SrSoT@5bMi4Gbk>d9M3 z{IM~RYh*GLnt@zHZ4co=K-T^X@%gA1W#elN;V)DSTA+#k6L4kepL8buj6Q)94xlM# zlQ1AJq*Q7XmH~uy#FGDDQ02DBIn#lx`6J0@aO*Gv9bYVWVnb-k^K<5*2AIeB#CT&7wKUV6Y@@My-Z1|XwTc#<~fiXX&JU@$z&uv&6f*xGqVk0Va5=q!8O45su7q_p(C$N+y#knOZ6;+dGE6--q#(w;ZUlG{7^P$s zx{;O zB+H1N7f&7lC5q=sVp|7qq%pZkByiG%PoG);DN~!c`CO7RXiNZ}ULY*aa`>;eGju9^ ze_N!RHk{LxGcC)EKVwDU-<=?fgfDsAPXvEXknaKdKl#%?wLF_3HATP}Z8$~$slnw5 za(^b{yBgkl0HGPpU|Z^0VC>POoXwC)cZO~(UeqT3xga5i_pi`!O-HV}aMHg}=U)yg zvXSZaw}M3SI;Q$5Wb&)!qlT3A#O<&?iuOuPVco)%Y@|3KE+>W58uV{Op^xB(ojvqV z3%^2&ff&S7(zD-hIgN8Zk_$+m$Hh=ka_wQ-KM0H$WuXiHF5eFGw=(Kbrl3jOSvk-2 zEXHi#8j+LafrW5j{#*y|qY|I;00_TC*oUZ_U~whLaQR8}xBWdbmIp8XQrX&EG0zT3OMWUNUb}zCXyosFgj%4B$KarZ}5DUxAqU^Ks{e++n3Pv0c z!crlJ`rxoPZN{;n4qdvl@v?fnjQjz_XPp=g}Oje$Yc;T5YEWUEZ2 z{)u1H5z`Lu_U9qos6X_BOTT zPHVoLsze`Cn5A^X-spj6!|D1EGvCPbPI+70S)#4_d?%Q&@L7#g1LnI$?}8=Ev0}d( zuJ0Sp8dY@jce;YE)lWr#sD8#rH0X8KW;{Zrbvj=Q(R#DHVE(MJ!?MzcYBQC#X`VgW z^In5Lds%4zbDITAVi%moqO(gkB7xx`IbY-YD5wGkF&+`PjePkdac7MO583^ZR-x|`Y@>eQTb=V;yU!Oum#&ehoa&dc(t`R>-W>UJye z)k&!LhaC0o?A-KiHLkaeAu@7|5=PGPd|9|7xQgb5I0p5yY|Q5Ie)q{on9VM@MBNBz zv{;8#`;~pK{V!K$uxFsU_dL8-B?eB5|dhs52(7p`MAt{$#; zz@s(`L7-DPA+-gw*8D`&YbJalf1sxg?^KeBznbd%EJa@}d?TZiOMW%$80pNoR@C~! z^SL>Bx>#?clA~!vIMvlvy|Sy&gpWN*=}Usc?p`0Kt^W>wTTClU|0GIrScU{`|EC#<`i%F zLb526v&E|;ZfWpW@Z{5nN=?R`$#470b`$(^{JV29n9-Kk@jR%8T#a0$W0XFkEGs!3G^wyG zK6qrs7di2?isPAIdHo4YFyVKT{T=z*E{Zibmi8U`gb$vP8jeX(i_M&c$}nm5gJZ>c z+5KxaM>=q?ba7+bqWbApbiVU9jQL~KoQ!Z?nf}U6>@o6tZ@=}?p;ee1=CG@+ehbM*rm#B9i9o43u@m>r{<==dz5Q*}Kk(34dAm7@ z2!8uph~!um|Nhf<`l+v`QsZ^}85?a+roWm}c5Z)5lwUM`%!6p%!0)iI@HJkk!J0Zy zuz{aK!C`x_agiz5@v(Z8!_HuCJ=3D&V}kqg4g5KKCQ~WWA4hg%w49wE8;$Ku_vpUa z8SGZnI$Pw$Z88=8eVa;a@shv%^ejt<&Uc-71O&`ZR@Mt}~WJ=`;>pxnunzi<= zQE_|FKg@Ld?QZ>t58wLoXKSL`Q)g2m^6EbuKeUaz-@sqg!*gh?sD)?Sz<+!5q(ZZM z`yqx_@#h@38*{}aHF_$NnIR9s%2j6ZT|oaENh$@z9bn~$Z5hJ_XId6O3g39EMc zjvL-=MPPrjR8oA*(TC)Iy5jAX4Q4R?0pE}?ATx6X0uq3zUfN29NAEcHO&B@G%NKV@zUNM~A2D;IDtdS4~%gSBSEv_6`1u zLO(?B`zXP2H(lagwg>Ky@TQjnmINvX!T{p7HO7Xs#U?}^rC|t+JY*$CB zV|dnGuV@6NUjuQg;TX2LmCD=mkgfW@-FQl~aLx;FLlJh28DpIwBYcz#E9`OqvPh0W``Bx`_delS*@+{*19LNn0>l3DK!lfMHF@z z4L9T3lkb{3m1zX7kUL5+1>W)KjCpWP=4SoeJ5Pb@H#9i-(=|x$Ncw)vY;zZvOCGrs zZH)D{=EfHfQeP#)`GxL51GD|5-Iok7JIL8D(p!(#KJ4B=J(eVSI8XMv&Df;(gGQV4 zm+)_kt8Ceah`HDgr=_(Yl0I1(*5)|!-9|8%6fJ2y7ap0HNG#8y^!%Q9Hie;R z5B79J^j33}rD)HGjVoXixU_9GV-&bKIBF}fXBs#=c!4eTVso5(Flsq58w-m{ga442 z6l}L38)C6EH~#GP)pVHoX7}bdYd2fgA8Vs&HmIY^G2=bpf#-kjd-P>UtROki zS2-!DY-)3Fdy1t2p`cG!1U&Q6}@ZkB4bbXAl{X4bisd0Ul7U6S-;nA z$X(&>*U;_duvfBHRI&jcTfq;b?1FZj@#UWpLhGxu`Rpb#ABl8Ny>>R zv%+EKS%dlzR`IU*Ci!M2F}H%iB=Q6(5<7|4qK7g znb(^2vK_hcEs(c9rMI~5Wwv^!x}y*Ep`_R6s&cMt)h}OI>(gp@kUV4UCDPpNL|$KLx-rd*f0araiVsnayS;Ir zG$YO7@tbHvsoH(5L^RF@Z^40pM6Vm7&^T}G$ymCNhz5%h(Ux1|c!-Ce<6{+9ps-fL zpelgP4s20is{q?bB~1H!M2lYJ7}SL#rq8zvO}H1@u5g+P$9dM?nIh@geZxJ+kmUKX z`czTNlCt*NiWiHz=1X#Zj>T@38h#e5ESqXROq83Ja3l_nrF8AM4;ffC?R;%p4I0s4 z6?F+=SkhIGKkAFA*kDo|;RoW4qo%Af%}E8Vv|K49V0`&u5s$*|_Dr(HHC5wY=(#O# z5!Dnl`u1y1fp{dUISx7WhV8O8Sa-{8vQ2sM^a|>vo{*m=g|pjXr%o zdePPtx5GrhoQH7!QAXV!bX_EV{ghm=&SpDCbZO{3$Ly_2Eyz= zsNY%aSm_saGK?n?D=zWz8t;-{SYjl&t?z*4XyMI*x7+BqE_+%}KB0pyNYW(BC^f~5 zeSFny=5@296l`>+g4pRt34uYsJ(%O$iZs%!3&F8A#~<9ynVqP%JY^rq@oQnj8n=Tl zI+(4aVx^eV$|cH4lksoGnp4IKVX36dWehRpT)7fIKR zH-t4BhB?uLd-QzFbdCZG&%(aef0_5rbwZAqe-k*Znze(pOdV#Zeh_HLE%6Gj>!lN0 zog5Cg?9|rnD?-HXm3RPm8^m6w*~Yr6L}ZG*-o z&^Akz%7yBxf{8ANk_iBh9R23ZU=CHt(0@6I@U7-lBt!ocRG9L-mSMrbM zA%(}qK+iF3>x%QmKJ3o!MK|V&!}gu&ktNX$x8>zs7jQ8kNc-UPEYC{W(m&XrCAwm$ z_sWa|&o!r2!A_q+1^j4*w9pr~am>;}Z`>Yc^i4FprOMQodgmHb3+~AxJf=tK`yxKZ zfpFZxc5$R#K5bzXFNB>niK}6{2ac(_$ENe`MGw1NU-bxFy)qNZiqS5sSc-7J_Pv|c zbUHmLfw90qu7m6e|E%NN=YqlNuZ2?KZ9d|f8LG}h-G(kXnHAZuTtN;Gxk_}vv_$q` z@{38la*Zc5$4-D_3A_%0-BL8Es<6&p!!-Fo?!asKc=*Ru$U2D#4KTYsL%zJ=OB24e z1hVvf$e8sG;|p!@>qQl^#dP>$TJ`$%*N>=jhmArv3PPnU-bwUD#R^&-F;Y(#+Uhn) zX~4=z5+RJ>G8aDpU(*?9+oh)-;zO3wd)Jk`b+9qMEe-9Za;V0Y_R5uqbIy7SGsNvJ zz1<{KT1O;&tLj!xadw8X7Jj>rWW8nhIV8EDJQ=;pTJq5}8QWP#Nbz)=Gdg?;l?pBF zW^k8!SmWy9TPd)d!lJ7Z3Fb7XqpR%00x%n?3242`q4Az*^h^u25LaJ$xuvX`u%N-D zDD_Hj1by`l?dt&VLD(0q`=1;q-wTdwMIaff)sBiLwPmqvU^5=b=Gf%<@c2t?kANR@ zLs*@jbT_|OJ3WQIQ_bPmP=vZ*b#_=QyY}1h#mAu%-shiRZaz`wNOSdlZPNsn97~lJ z)F_6CkSt4;3)9_zd6bgYd9@rm**(X#?~>n66u;ebtEQ7jl4-0Ma$)j6B{~#4x{^iU zMNKE^I};}Uyb@vXNKxzNSWDPP0j#7-BMOIj+{v3ews-firXuBZn2J4if`dlab96_p z@(y+e;2)?m@8(R?jIE1L$u(=g)yjQajej5i=%XWh_}j0u9H@djVS)3d(8+BHGI|m_ zK0XEZQF-AuK}M8f-P<3SVU*A`bCj_it{8QBQI}W0zdsyrChJnRR<|u-Ayk6v5hv?a zxn5&-d7j&1>)LwlH zZ|#DAeh|1p`oh z@=?aiElT{654vH3kWPeO52(dBoL}^i5^CHJ2>mAXoRY9{&LBLrh`e3o@%^Xm+5M(l zzB2uZQ;D6*;kxNf^1TZ~@7Y!?v+flv<~^}1d+3y4p#MTiE0*J4JNT-VX}0$4d6K97 zD{#5K<`p8+Fr;)_Y`kQMCE$V;9P5eM2al%E*F_P&=*!flW=o8Gh zd*ZCKO|50U#v_Ws+eX5MjM`!|W(scW-`@Jr^ZC3vp1<#ZG=t^(EaYVNC1+^a?M5`u z84l_2&(=gr6iX2+EUZi7u1>7mC2ts6XE5^Z9+M=VYy}u6tV1in;jI|QQTLX(zdh{+ ze-?^eBk@?84=ryJoj>D%4xCou4o+FB9y;KC(~q^H(!81QYS5(?f5k~RMEkhd0GEID z*!+3QM}o+;FVVYGh9B)nFuJ7St(=*B?`;_{3Ed09XJz8jCF|@%Kw>uP3!jJe!(*i& z>WE|Z^~OTd>kAP;*Y8Aukw$;Q%=$1DTVJ`kFdl1O+^`_~ITXmDMn zA5q9`Gpj+6h}KCsQm2nRFnyjP=aXcsZ2p!{r*J3Xd!lR$+fXIDVz_NgK>Q*-YtNdW z+T6gDh)uy5mjne(Xhk1o_8ofSz-JX~n4?^;B_pTWhs6|^=tB+I2Uwy?KbP7^j)R7_ zkcK69=#yYS@7p|Ch8L}f3{55znRv3d1dmE>AbgAuMbR5Z$e5^uv107^^cKHWs!f~l z^Arrq^wV0hHbitePmxf@rS6#5sF!D{Q_$<{q;GL*5lRHl%&W$Di{) zbdNRv-L03BvoBWP${ojiE??+d%y?^z}IXXC3rJRE0l|Hw1fSXKXBcst#C9% zeRFGl08dSg&I+%)_YOOpB$iZhc)q(vIK*PR=#rYGs?aw|$JO+q*c<~au^sQ#c+iOF z%0$QsjpHQ@_vw=iI0lAAjhE={5G|9lqJ}%w2*fNC4H=92E9^0+2iuur2uVkxt%b6=1~-zivX;Z zJ~*2X~wJw$k({KGhA5cDqUcCX!nlr z*&=pjm-0APJqGtFM;jpt#O>wTzP@&O&o2F%A|H&z1Vj&DR(@6B`VsQZeSU}cxg8# zmJ&s!xjF%54)eQ8t~-=*>YnARw|Z&O(2(9gkW4Oghv4X-)XX5-E~y+)L^EH$l?xyh zL4ts$cPD48+D&C;x||Jj64*csv7y5DCEGCMNC+HdR@%mh$V1wi1AsfmdmE>TU!xMQ z_twCh_=N(D-mK-in|uC5Q8ikje-Hsj&T~d!!4F_aAu4=qd(RJxH2pL(+Pdkqu9uS# znwNHtK&KpxH~wP<1Q05^IpxHjlHYb2O2!C_-7VSin{VDNmOX|Z5CCA8yb_{=;{m-> z<%W}(Y3G#r)Q-)N;;1&xy|fTTL!reGJhE!i%K!p^4NWP_ZDZ;bIR=NtjFvo2Gb_N6 zAID(1XzsNo0B$A(+;ISIP*Jo?4Q~t~&oJaEA`k*YQis5UKMnDM`0RD*(o))-gcJ-~ z5Rcw%h*%&V!yyigKrJAAe3Nd@_1G@jngjNidoCIO^x7!x-*+E&+|@juGGlRxTPs^M2>d%Z5t*s~NQps@h46?BlO zJiVh8ZT)&!)Br?Yo&|`!zRs_Ih(mfzGY@OSciYca0-o7}Xku#Yv+TF+r78d0Q8S`9S8O{ zftz~)^Kp!mulx6o|Q-gk88if`}3Zduu6kHC(9v*O6 zn*~_pg7Vydkx^s$|C&*8-7;xUF2eE@5@Y8P3faAvhw%}5!}!I6Y5p|D$E7aK$Nt~o zO2!Y*ocHyY!vAgIIV@%hrqKUTaH{Pp8fu@3a|_r|ax zAlcU1`1mVvkDZ3dLXr`-blj6YRv%#y!NOcnA7-xe_q(?MCuI2Qls}8dn(?HfZR^|pD@bAvv8r97 zbOWrR8Y?2l`0s=Ecx!a`>^7!vy);NrFVSX{s?t)Jp0Y9o>_k$*+_R32{dEb26iDtP zqsobO{Jg`X1Uf8IAm^VZvavg|*tGkqwRcLxQ?LPs2ji>I)ES2_NY)vGkD2I&u5aY$ zi&vr9pMdNreGBkCXu%M*V)cGzJ0F3Nc)7gJxRs4jBGw%7P-a%MQM`(oRa?8h#4l`} zeYw*5eP}^qX$tY+at@{;lQ`t8IaD6^7}^IUY$Nl<5AGVsNb%Kq;kt$8vp-K>#O3my zQoJq4_OVLUM66LE1z2`-0^fMZsb7CQ_sf3xxB%dN5gIvw${0Nb)Ggzi<2Xkf_p$I5 zB7xZr$ka$+94{v6rpnV}3RppErdyvv)ApHRF`U!8#eZaj?uB+GFU$Q)T~RD!X^F9sD09$JN;dCKf*itRl+ePYL16AIV5TjRR-cldvyWtHEK8@4QNEaxUSumpEFMSzwqdt7yq6|gFLmr zsd&bS9yJ$1Qj+I-{@n)^=|*(TxX4BG>yQL4BIeZD-K+l5V5NXYCn2{0GLIUSvsg$$ z#Ts&lk2Vo#OHlYjBC{-c#B6$YTLHr7FEOK-Kjvdkl4s{(ySZj`PK<6zWW$S`W#NB^ z`n`MiZ&RZ?syTaAV+KbtM=ym|khx&M915`z8^Xl?rG*b5NlJbKP&>>`?xO11hBH9B z0ig1e0MPi*lRpz%<@d8Ys#a^FDu9|$SOBKrD{|&E&=3Nl$<^AHpJ?VOk_%bLAW=&K zGA{@r^W=$FFjis<-8WYTm6|8fCw@}ckQ6Xmos-$`Lnp}rBet#aJ#O9TP3ymu)Yzh|h| z4(!t!DjKdeIo!RsSQ_!b|;pB^BxA^6Rk_P!Ie-xxpkx=H>9weMyeR(qaeIXlc zo%DxdGa!Ajfb_L74APgeja}a`JB_$eQ=*~-ZWC2ibO#pluA``bdWoV3oX+!yU&O20 zHs{|WMcGTK`?5Y|Y|1wEM{QqYe?c|CD)m5W3Yx7CYb92Ur>Urb!2=xcv0@H_8^i}R~sajrA}!)E4oFDzKSK6!Ri4PDP)rc4h$-26Z$|~ZKgL0$B2*QXy-EM{(# zmr#lGo*6uY>u+<#EN|Lo-xNZ5o9Q*I1zH0DF%K^Qykl(PufU%73%-oijs&hK?RqLp zD1snk0LZ~7O)#Ajvzxvi6y*nSAwuBt5&8ND@aQnj`ioY3x4#(#1R6k`iz91AL~!*`U}bF+1X$v6rI7+GVF8v*^RV7{6^c^ox1F=8`r&JTf_9rD+kBWn zGoQ-R-vzkmh0T0M>j|HR3E1eG-0TiC^-t?o}M{!vwYW`du95QT z0CRbP^=S1F09b0$H6?-n(lzIQ!qhmSN*|G1eaRy)S=Y{PCa)ouXsQ;qkbo^)Z$7N-Onj=o zm<;h?k)f>BkRqFWs<952?DbqR4X*%~Pl>8n#(Ti483ZUkhU~r0Oing8(`W#uALFk> zoD~XW5BWE_-$9WI9HD|E%ptJ$#|hngO_|~1Db17rztJ^&38?e8fBETp^o4bTcQvD+ z#hpMZD)H5pm>GdQ*jx3V63cL;$1)SR2H8MxA-`b?OU5!^l=K9y0B1s(48R#3v6Hei zcLJWvPw^F4OPLBRKq5Hcr$7YuWTt^5asmKrI%sPE0rJ6tX5DN`--qx*$n!G+c)H zST$w!G6j1M%FeNp>Hv~J35trv6v{k(hLxf=&J&EHRK7Rs(_#+eQU7-KyqjQtD5n|& z9%^c*CjmSZwEN9N4W#n-S%)E$1vE&Jep&wl!>RYZUm)p47;=Y;^kOC-`YXLydSo#F zA-&j0ekQoaNX z%M6<>TIA?a%EfQc$m`GSBTnxg<^8t}BhY)#HK;*^D z0grq$z0W~%qZlD)kMf>##xVq1=41_iwxBb?eHuz=*4JHiiW~9~iz>U5>(E zt4mNm_{a70|Ldzu)y@Ky$8w%Pqi+ut_1>T5S!f z0PEI2C?Nl1ee@6ZT@phK`3s`Inu}mO|MGk{Dl-yAC2=Mw;jj|%rtHUW9}2nDvPhc7h~%o?(*t7dyS z&P0&YN2X>VG!oQdB(w91xa=M~X6AuXYQhvKrE;5^Z5hl5T&)NQO+qsK&JSM z08p2}etql5GOI$fDnb7}SVXdB$OENgERWkrI+{S=Xf&7EsBUoSeH{0JDNt>bI^&2j zqlA8{9(2MGsu!RhkQBQ}sTA!Qsf;DVc%}1Qh8b#BPOZOED#w@vwiHBg$J`yw0Tct# zu~V%`Yd?-X{~KQ>J31ga%j0=J%JI1`L2=qf`W^JutFkq&fWbWn3=VSyFt|q$;+G4E zA0~4-2SWUGA;hl;5WhtT@p}k}AL7=zOldU#=^V-(D`1LFnE8vx@vsK0S~t|DC@*sBtRZ>knx8 z$>WSpe=)sFRYAz^wIBTFTgg9{#QtF6f2U&oS8e@2RIg4^IBqt=7R-BS{B>3#cju$U z$>jT?&oW1j3s+yPzR=FR`z0ufS8jhJrMvhxL5Pp^cVS1mL^V3XmoeXX$E-m3cc|$) zekZ@;pXvJ8ikPAZEWfRy3D5qX==R&O#Q)nGZOiQF8WAu4U&Kna;z#}deAJ|1sSP9{ zdX);0gnkHKb!O~ceTdK?fo2it#}p`l$`LI)s2s`Z_dQDK0-Ei{2*_kS5X}}7qS;CU z&9)w*+2YR-RoF{Cja0i$J$6s71ju_IJK7qE<5#k)8hj@gJ2G0$%*u1t{a@ z`Z_)1WDEkJ?0L5$A_HrF=tSo>|FaAx^FdN70oCT0>}3}BQ+0r-mX|alB1^CTbz z$SA3-s$owc=2f*(eog%UHQN928ZGvXuS7eu5S1O5pP*O_DysqLxIkjRxdTD}&l3Af zdq_FqynL`;2Y3Uo*>FrsgeOp9G2Z|s7B2KlN`xOc8ipKU0K#!eV0SH^*^x1F799sV z@OfeP9#Bl1-H&_9bI*9n7fVvaeUR}&!0`h^^QW?ij_lo?$RD}y=9 z{|P##+LCaU)~{+%Q~8B;-GTo}fqnnG@$Q}Z9j<)e-LB5`THTO^EI7a2dL0R&CUlgE z$WVVnHP!N4o!!G3db;cdlaGWD!~M|3MG+7w8!5>sDgDr0r4Sg<&Dtb<79KL)X!0XK z=s-Uj_@0>5P|?8J;I!j0-rX_0J7BlF)3+7Rnll(b$@uDCx{Nv;BRPQxp<1jD*(7z* zGkS7t$N_npAgSNi7aQH=i#nAN4m2nP!mTD0!3aJ>BI8+jCg{)^q4Yh}MbgesWfExT zBRz&`ZN%=HHrYCrGlQ!@$1X>W6FPC08>CbsX-3MZThGjPhq(H_`)um^ZTfRw|K8#o ztvy;f_Zk=l-uA!&6*?Ii%P5hCw&>ls!^S)AC&{jEIgMrZ)1#g)Tb{>m0(Y;rkI677 zS-XFbFRFJln;X5aa9wn;P}Dj>oxe){Zo$g5A&Vk>z4*>M(#MBu3Y@Rr^>bS}VH_II z?2uEvs-3<6=?J_$Uj;90 z72l>3dXP6OyhdI10zdu56KE-as`Mgd)I8^d(WyLCh3nEC>$F0% zOZGTvA8hNN${ur5yip3)lUXMhdO)KEjK~T`n9a1uNQ-^WNNyiFeBbY`e0>~L{S(n< z>|5mWB}~FWh?*Q#auzelHy<)ZoNDk<9?S7ql6`AaoxEFRXfj3;moWaJFFUWv1zW#h zM(U|vCa|vnV&4SBK1*Oow6wEbX~6uiDVz>=NXpT^Qc&>Ue7ac zL*3=JKzOA3XqFMV#x1A#n##+!rC-Q~QZ%Ls@lH?Rj(L~)zg`V=(R+8>u7lR1G&0TX z`>4ahbeHK3PCsh`A}-;#2=pOLM^DnHyz?kn+SYBVcsDbiAtcoJ)4draYvm&$5E~3^ zAHy3W%PYO4jOAT9~Nd9$Wj5N~M`TK?%$7ULgH%pmv4-*j?>5w&W zaa2hHvmk@bI?hWjfm5>MRzD$?!Sna1Sghjv0f=Vi&-zSBkL40%yj+s+LcKS=v_;5a z=_J4Z`$iJa@q5FwPVc;2w29g9=5ky&yy!>z^`6{7G)H^60c9)0(KVv6%em#CJ{tmn z$g<`O3P_wt{|>Y0vTgBbf`nshu7p6rKxHEz-E+!in8s>ZX#wNeR8hoCh?99H*U~IO z(13G|NImPjqKHo+j=>=;WUNcGjsl1R(@;0xSYCZDP(v&WJxe9;6BHJ)#EjP+*IO-) z!4@s$CtoCI6<N?e)P8 zy0fpqM~haKU{iInRQ zqil>9A16()N`Px+ffmD{g(+xJ30h2n7Te$gj^G04A6rrHd@Bbn)If_u&|)04*aR&c z+Us9~TNr{1Tzotoq}|*ITD$`-c0mg;+}Ge5E1<<5xPS||z{STLennkjbj51{4k}=z zbl@^LpwUy%2>h>r&9^y4g-6feFPq0mRhw8T3s zkPZ;MFFItNjqNWTGVVC~@cWP}t$rV}9QO}f33-SgLvheB>)B&d-W18S|22rB z={P*wm%T$*k(Zg^tC{RnjqLZ$8s&ViJmWNr%W%$sU%7TK-F%9j$?5%aFX0*?s10~G)Ceul0p z1YKx}b97;2&e27rzd%>@0^QOJbXy|N(e=K0jxMysIl6!82tgM%27+!&IJ%`7wDc19iR*Dc;+5w7dS^(2OppdhIo$d&mmr*d)5)4+rn~=?r%c|=>9eW zfbNB@5OlYhX}k>~=$2lfdw#9yxE6V-kSC3<^$B=oCZin)w9k_8FwFVn^g7m(s7#`s zOJf1&tlvhMf`u3N<`6v0vVYMcKr>6V*8JQGt8W~<*lYhW3NQSv^pEe<%h3>5MFNos zZX)b?6FA?c2!J>;Bzhqcpl5Hwo8}9NHPxR%N{)LeNPp`%-Qx$Y74pmnba-y{tAph~ zbigB?l4d%))350M5h@5D{}$bXAY*i_K_XFf{XEuw3=xtR?C)KQv7xkW*zwe`H4no|HvJGc%o~hkUTjq{o_eKdG*&P`JA&2| zoBj78|1GsYg7_(9PT;WT`DXU;+z)szMm_vv-jEJaWl`7bh?K*k$mMd>6E6HH49s&% zNR^W3krIV?4VcyNZUK-Wa6rR=kV-v~ua{!dP^g!B;{k#z&WC1}BR9_s_}Q$ZP!;4! zmpZmx%uIiNPYPGL?3%|Qwl2Houjc|DEO1RfG>AEuCLUGEU-QTB9jUQm{^>zhUd&WK z5cm$z^E@|i>_ z&hk{<)SUF1qfg+!@+9e07l&YVSi}OSB!Xs|%@77j`t%M?|5XENPKj6M(IRM@d{+ym1<<6o zV`)#?Y~)v5*SAx{TiF+AWv34wxmh1^JV?{LooOda>a$32YuPnV;8nM8>c>sEiFws$ z(J#O<2&xrTh<?`OolO>SMu;5-x7u%lmt&(Ja9Qu#qrA)1pq@hRK?S z4;|^A?`JcjBXN^D)p{CiJUVU?WUjK@*vRu&Q0e_H=c;ydpHC(Q?lpztR`2of^*-~a zk|=FA|LIl9{+NOXe)!cv@5;V(I~7|i-txwyPv)!=of;VAN6toIDtY5dcRzIp^UK}L zH_15}_u#fvp628@JhBcRP5Um9`IdsEyook$?fQW)W`nqE!y~h%nLDIKx+5-oua}2O zS_$rK$GFMvdu}tJtO{KlIA(=jh@nXw>}PpzdQ6|BPD-K8R;8+w$X}(|tbOx)#kW^H zC$B60gag+FnzG2 zT-2aeY!Ta_v2y!c{?MV=+$L%WO({kG7IahWq~R=qb*@@U3Tkclm#}f9SaU@Fq)&Ic zGeb!SbEb)=H9SuK6 zjHmq9ybVs@5DM%I`Q7CYyFFq}-8mg8`M}EftC!@po-+N+@{>>SNDH z`Gtdng(;teje9lIJYF!6d&IHJ<5V_5kt(+Gq`~8E^Ofe$8hBtD+$T-B^_VH^5FuDk z+1LYSUX?XN(z9f=UhO;dv0IgLm@A!xCOdHGG5i% z!gxN*ZQQ{266K7-OZS-$Wqpc1xA)1&QJsp1ldSqlTB*`jf~6U`WN<{OlxM$6ySIJP zir!&gH28&JHL|(t-a{Pn#Ih%-*2^6oRl;<{2Ia)-FsB}&1g?d)hTiC&@+Q&uCy~M zrWwv!)R12h!NbwI57%vTw=@#n?F@g~0U;8VKQC zU-DvzmuC^J-VntnTS`g^E1-3diA3!E5*vil_eG=f^k&^+&;w7SuP5fF&-mLW9qeYp zj-wBDv$GuRx?wliAJOm~Y#Klx_BOdJV%|=u7Y%TiZH{ff65E^mx#znj3)*U-c0u_Y zxND~bB#m#UM?NChs>C97;)(^KC(zAFx#Qn241c%Un`F3mow@Ta!Up;9b%gs~lgE2+ zZ|RLQY-Y;DW7|N%SSv$$hNAdbn|wdmShx`muXOk5f$ldZmfm*82Fn6AWgA)XQFs|{ z7W+A?7Z^US7E3IGpQ8+*pA_EME(^_K*>5IxA3hv7szet~>v0t0GR{H?5Rgtna1`5T z!U!3}pc~7Mi&=fhbo!pO8?KwYmFb01smznfJ{)nOIMOk(O+ySgjtTlIoyZjyFC5zG zx@t8I3cf&}kDn5u5Cm~>dynNmhO^npl!QH&paQdL@b`-O9RD?H_ zA{Fb)`<)@tyeeHy!e*@B?#n-})3cHDhEi>-Ba>93heYSpHA+B}lZ8y(ylDUN zlZH1VdP={|N}S$6nRUwm`2vFbmC{8f|GX>NsW+TW{YR5;zg(5La}-E+JNl6*-D?fz z`%JJ8rzpNlp?BII^l9Lz*mgg%(3Ng|FtT?&8H$y@J7EQ-Nl@y;waO08BSb8?#JwAd z40NRjPi|FzMhIX!B)aoTw?HC!b%s`zkhqP=Z5r08TRUVFj=Ly+!AR5e?if-lgMs&` zI{k#+5+RrG#=K$JxJcJK93gXF!!nqA2{^-AsPdbuzD-UJGrc6;nq9GA+@W@7V(?6PW$v!UOzY3hOUBh!EMg5$bMl=eMBF?fYQ8VUo&+4PD3q@eozu^R!?n^6B%4K723y`iOG{RPtd z;T<8**I5W!h(*E#Vb*MK!A=EU#qD7)q>z8dN8>JS|LMK^?$Fp1E`FkYR55MqcZ zGz>IFMd&9Cd0ZHdH8V4x%?xQBU{DE4|opKJ#vTIqbym z>L71*i@&}2VL7g~m$N_UIVql>mDfot${ZrJ0M_*Kb*GQ0^H>ImAGIVkZ})QMz_HT3 zQq~Q+D^14P$Mx_7f4g&@5Nik0FjSu`i&bWi(JTL=?RJ`pnu%8(4u~RThC?JB0z=u! zTTh091r5_8eW91W!8i8tHI07645aQtDaUpRy6Npm3NMZ~Fj;M+O)6H6+$C^(`Chn= zS4UY3`2@knbG)Xbn8&OStx#Qq1f%rfUU<9+L6;V4XR-QpD~)X}@CyCgYGjh|yE^`& zJe$x|(I2s5uvNKv+wQkOec!TNPW7#UzUoifQ>NQ0gyuxr(On;C3&VoD?tKjv4fi$Y zQMZLM6SPAsZ{-0wvJ~55X_?hVIsCi2DiccBe%b66j5Pua%tG`nh5aiZb@~E3H-zR0 zv|Blaf#!1G;HhGw{y@lZH(6GsA?ne@;{sVxoM%K>Z(v<<^96hoPVJ}gl3pK`O{4IB zKyXA>zQgA~25l6;LKzSQgXv}({Bo8cF1MYz!*6mjq<{IQt;k4SA9v#Xa=+{@b~&4MBMpzL%87WA$_&vn?xP(G zTAto9xylfVGWk%)&@o&=SuC0Xm9M8wM!%Zj2r2L9LDU}Oj)wI?hD$NM3+{LFwoJETvLY}~wkaJ$Bmb~9_RjT*N zP@*c4DtQ1LANwg*#uZG$)}-q%LWf#B)!-Zli>KFW;8BmzmooyNE?B#>Z;89x1rM;g z>S?}j&HB(ZeS86v&Hna33EG%0D4nGF-U~}VIOcKysWl(j5 zBk;xWg-iG8hAQ>8XVbp%8f*IbT9>2g=48SGdjj%(#+~Q+wzO-Wq1j0Ho?l@ z8nwJjdyJ!VMF!@p0Bj(IsKr;GUFY?AR8y}?qG7=}WMzrK5!9vKWaM6Sl+_!%k@yCM zqK{9}L^{^$22L~Z>65$Ix1hH~w{A6K)Zsn5TS%-@P-W1-h9-8ptOG+;|Mr=!$)iF6 zWvy3u4+UW@>^;DfTk#V~5>SIbu7|}H-?m#Q1 zI^$8@8Lw(#Ui#}Oc!sH1sxSS~!ZTGBI`{%Vp?@wP7d52R(@0EryG@58_6+rP0Y&Q# z;#P5@h{wU}ZHR9W%UjuCj@7zh`D7&$TeF4q(8`$kO>UOy)!kcOs#Ki4$pO>sj1@RT zpo%E?T;JaTg^lXVV53Aj+MByG-q~L2;b^M3sxcj9rCCpo=1a(p?*#MEGrxV3Xflj9 zE78XSdoMxYaEUVwPEvcGSNDE8Luo4BopP*~iEO0ya1nGi&~S2YqF7}^H)70eJzUH~ z-UX80xTAa{BVbU9WsxqtxML0fWzSU`*gNQkSFUB&s-2=G?=9b(FsoOrPaMaSB!ZdM zkb>k;o#RwOi!2fiL{u+(Hu|_?*xN@#vM_cseXYxsw(;^?EL&P7QD)okz_cPA?7wrW zYK0R(gskeNicGcL0$mvx2f!9T;b$4NG}72|1Yw>GANEF zY8Q8h;I6^l9Rk5M*y8T)kl+x4ySux)yDh<81It42uy}&peZQ~j-XHhJR`vAsOwG>e zbI#Mxd8&uoNu3l4OQk;Jvy_>td)Jk{MfsDTw}MBUQ!+_@#!WHiGzkoyTZkN>2!CK$ z?uocA$DF%}xw*E8_*NQ^yQMEwz{NO@APIxpVo|Y-CTn<*o)kp;{9CuRW`Wy}zK+F0 z$2?s|Z{x6F2gOiFo{o%O(%qN(b2H_4h4GnkxP<^%yMm|3`@92@&M|0A8*xbVH}8;l z!4;NAKOyK~`~q8{QYk}r9NNqXXN`GE&mo%kGw>W7tJ|y@MLh|_r!IzPZyu0J!Zd<_ zl=q2&GV#pkfc=eYE*Hw#UWL+jBmVhi}ju#Xu3 z%$kIV^Pj-%~)`s(n$TugMkPY%zNHg`5_(vr~3Uj@(|LLr##`EXw$+|AH z6+xFrj5Kzt?=5u46JGJSe=x?gJ4w{Zbf>x7?GG<^=;C3B` zbOP+{Wb=$uB~8G3$wq?Y0>7V|9knjTA`pjCp3n*d9i`1K}Zk~SObQo%x{zKwK_&6Xt;lXwp1L$GNxZn?hbwYaSdTSR{WD<-^6L6hOmj#yN>*B`|hRP-q|j zspR(gInE-{lnv)1g(i(=np^s1!UtvJ;q&dhkrjMkZaY^U^C5+uuFx25kQ?S;v^xej zZo+$`kl=iXnG z0H4j4c)xZOCPD~x=#8N>SgHjcpVazqJnK{Nz_m!i3rTJbFJ^9bUHBnPs(BE~XW@0_ zi=&p9NW;qLtM1|NmI~Mxgf0078Mxu9Keq&lhqk$dr8)$kxc#F$BQdBa^FJ05RY$vz zN)$&6QS6ZJ{Q56PvcvoYF-tQlNLNy22e&akz_wzUay{C?PsDjkv38Z{5RzvtE($*H zT@~E2=%IFPkLTXdOnp{I%2MRzN&Deq=tU@oGu`%^@y^+w$1Gfk@eR=fkELkN?athh zL_Ow$Ty5Nl86{48SbIz~_u05FC~pu0ohdR2)o>`y5{cxyHv(r?y8_JGVYhoQzGQTj7_B3mVOB6sH8MGt`OMRp*DtY z{!jkN==uvA@xSKk(J{Gkmx&M&YRNuY9oJVd8bM>vDO_*TS8Cm$B$TIQ{Z+R(YXV~9 zAw!=WR9rJR={Pj8LvzgL#X0WtPrhTq75^|q3oXCud&HS(@;=7l?4x1MY&;`6sD!PvgnFzwr(Ik$4MUP)_pPHO48c2`9&A0|DMfY35VhArV6s zX0v0~!!V18z#h-dQw8;p@V#L>ynhh)n&yU!Gd(-R6o|-Iz{u(pwqlnFLzjULE z7i;xYOkN7pkEnSw`MNkTd?1pM7;4kBp;5as%+^L}df=`d)t|lgW*O1vv_OKv` zGJc`E^#f#nT*|MrR}FPs*!nPMX^bfDV%sFfmR@#o( zDjCrj_%V1H54&p45f3 zh-)D$FJ}`eC0v(DqdFTTduBEsuFi&E_wB-jljm3809OYG9x0sw&TWWxsqASM6!cn# z;&S5w{YRC?$pjLkR3vGo7ch7TVrWV2-Z(978TQuBzw?3WT386H`ivp`Ico`8rQxSS ze+mmNhhFe#^T&FIVD{Osu`!69_3vieur;k);D4l9q?;%DuVvj{)eyUBvRHWi?6uRn zR}rCH18(V%#E_Hud2|%I0OajiZc-o?4IeXdM56?OTDQaNIWZ7ot?oqp${#h~6RUT0LpPhTL-8tZsyyxD!pg?*@A!o_Yq%oFizFf zU!MqnSGSgxoNuk7@2h)MsrM)LzHi(u2$=nr2G7~|^Q%h<$=}kxW;T1!c_sZqgxp=? z>C)d}A`psHhv7{jcy zH6(P;!W|}laM6a_;kDuvgkSb=$!8`HFP4BXp&gG@Y#h;b+8%>GHRBjC#6id4H_2iw7p8-Y)JH0KCuLMKl^c$gyvb}y;*2+6QW-i znRUx+j3Ruz!gzFF;1b<58h$_U8?{GvLfYe8ZJs=%+uJ&beq}nbocJljZh?_)H(bRh z2yeMXsLiH{`FCPgUXJG<0(%jz(fvWzXqyvq^7`j(2KH^AhiodAl1~3y^ zH8@SgpT_V|R`m5J*ekdWmxM;!;xsKh4XGS6+l<6~6@XcfLZ}fgB)CXa!=L?#rmbj* z+``=B4!@@q`=l)Dd&uQ)^gST?erU=2=BWca+XE)(z8dVOIltD|)`Ec&@9TErU1FVK+`4RwdtaaSm3~&vCbMvOCzPyFqPNL2AYEj6_kHSsD$*IV= zY^02}&IUEJamAzi9X(^_8Yss`CLn!ZNyqugk1B zIV*vZ@|NLw{oGFO$o9$ioJ%cAE~XT*J3Zg@b{AD#?zMLf(7>uWpWkacNcWc>2*Aaa z4)(ept}qm9;KF{G1APW2x_TyM)_Is?TX=1o*R$3w)+B6b2(rEtty^{llk)?o+AC+P1s&Pe9X5eD$AMJ2nz}m65(u)E<5y zdPVWvbaap6=q|dkSZA=-bCZHoEeEp$VUx7R;R+4X;&6vC!g(xCg|gkxzrW{NSOv~A zw81%K1B-NNU`>ns1%YT?LX=Ep;CCfLT{{@;)UQ#hkasu|oXQ|l$J;Bc!{R3{U%f9> zqfoVBC3AbOk@v_Z^WV!QvsUspHz|`y?;Ur^4CH--E$S_UA>rf7R-0Yg^}N?98zRb4 z_f_OO7z;{97~nZ>`PR26RcsZ5K4RNs6^`4ws1MhtI##fhOA;D2Y4?TH?aF8}lx~1M zmqH|3tTR@H4rOIM^#Lyk3#0E##B&HC2Cf?ip<8sUz7Tq2_yP>2b@H6dAN)alWuny> zwBv9F;2~VD>L2I0-kQ5nF`L&(W|o5b<*r&4N$7OxZRb;VX%O%a`Q8u5%IoNm)|>(w zC4_6zB@q^AK)17S(5K~J|D)-|9I1gyj>NGMX0um+AR9({`y_R3V4!Zum(yFu6<|`pPY4D!-p`J5sX_Q~G_3hfxJ_ROnnQWn{tf6)m z9;mTeLv$9W|;}v2U`Y2pZ12I8t(_7JDwK8af+ImF9*Uu^WG(I+}$4BUkG7_R4 zmZFSk66JK0f_l^XJ}$Re5=Q#bhp?fs5hr}g{ZU!*L7tUt%<~Q4A{$Ph^dZ7OgqpAV zb;qzC$$H^>_T2>?5-v2NX%X4_8G518Q9s6=@P;7Yb*z!uP?*?eo5JeEeX6v&`w3O4 zy>i6m>ijTi(z zz8gzB#ruoOaQy>oe-ZaMPwy|tm6ANN=guifmMEoFN>xu};P`mCqRV=0BkF{|W1&+E z(UOJ*7?Dt{1E~!*dgWIFeqA>7!}{fZyX9_m%@~9n5do{_dQep;WYufSN#3DN0&-r{ z80QafM(jz2ocO99+xHd$uBnyJRtZI3Yoo8$X12SZCP{e>8D1r|B*@Cb`Qha7`Fzvl zGp8wgF(E`!`yWI88qcRUw7%tn&n|LX-_E@~_Gqos5#JI!9-K$}wSbne&|x1w z@Cu|KFkm`!RyTTUooF_=0 zJpGA7s%Dv*tJI@6j~25@(juMCj*y}6M+<5J30R&pR-uGAk9Miu=jaB@ybBik_+E&> zWn+nRU8hh2Q0CR<+XGQq-C+ujQg**<5`dBenz$3~?7R9jauGHHRVIG^EzHp~zutrC zI!i73+n5!B9p#^$gi$y||J7jffU%h4#8pdy)@ygID>-wUR1o4}2$J_{n{d}+ZUy-g z$nGyg3;}Q7GfXpEl*Ar&l>U&oSFS*!zeC@jBA|koc6Z%AB>Ud$6}xOdq`}o}jOwG1 zJLw-4cHCqOvw9u6a~|$4xTuVK!pR2i!$fd426*s(Q!=?FMmZzpn>@j&U#StA=uorX z1ZDEyH=8Bz!)>>6zw8;Xh2<5b^0zHqtk}7QKjCvxhh>hO>Dt)_@@K}5+X#bc%bUU> z(kmMDN&4Zg{>lgn;|cw>$;b2tZpT^l1I%uQS5Du2O$lSTRsecsj>I2zlpRX8$7QmR z0g?KmMR9;ICmScu*ip4wC&P)+ z_z)Rz3m8Ht=Dca@qcChzqRCZ%_J9xI+PyF>Nmbp?_UCx`SFWz>cRB4+R2g*~SnX1J z<-J86+FvA4-u(z`rG7m&o0UnNmHsKzppp%R642-Mz{m5;V%Aafe&?GbcyLB#9B%dvb7GM^zpnOPZ3-fxtcd3ZHrof-C#EEwLn@XBR)R?K~aw z)0vCzJf%R-a1R#eGk`3EJOe>bsfD7b9si9~$Lf`Lb#W!HyzFcjJf0RB_TGA17pD8^ z*>$ttH(~Jp&GGc?72vWAS#RH|2Ya@EEzNLUgA}&EBxN)%czn+otOlE~7dCRBv@aMLdtPDLn|MHB)WymVvEj?qf1WaZBOv-Lr4pw6i zRtBE8eGSbBZUl>#*ENtP_vjm>rU7`H9IQnDIiAZ?W$rNyc{PiLt8(TY%9OC!>TEm{ za8#zY;^<2sUg(N46nX|Jn1ji^)a0m3Q{%BYDz$sc9EtpFm8YeDP#dtAJ^R6`9{QR2@+J+JZ4skH1}g zQ9flRWOoJ^;Nl%N6;N&93NvtqKRuEtn5>SeZZ*2}=OhXrRdoe?V0ruj-vB-FLwvdO zq8~A(qmiDAk$rI)sVH8x1XzT0q|~mOzdI%l=kA{DgeyQs{JJ<8oIGRELE0SbZZI#IZJy(KFavE zEz*BwI&=<>1G%I;|6UZw!VrBc@CnnT^frA%5vc%TU@% z<&36_EJG|2YcdoshtD5UHq7j~b}WMtP`NK!Eo)J7(S*h(?UolA!Z^;q|JI6AEeFCc zp>45gmP&cH`0nd|SK<$V|MR8tQ^M2D$D8%hv<>Q`pbC8ab=`Q6n)(~%W5PQPIz~rw zri#pf_()^U(pm$+p5hD(Nj^vP?@zW31X@QQ*%<5Pl_t^Yg_(fA_0=k9Y7(|E@f)Td+kHlD$qfk7P#(Hj{?^1@l2dau2y*vpOc`T|K;@*u*6e zBqTiOYy!`@kqU}0JP;Q?-KAIS7f?_w}6z7OG5;uPM z93qREo#YMEZ2UPQruEsph$9-BW3T(%1*Z)gSO^LrHx*MtCctY}iy-ASd(+z--b``o z_2_M_@g`SBxMhX23CF;{#mNN~HMv!b8eFCudRKo-F}n9V!ZoMF6-m6*7t%fvouiA_ z>aBM#MO|2X+N$_%U@ zajbX@WZbspm4YppYn?Le8yQ&T3C=O}xogLQ`WqVjGEgyu!;`0C%SR4Ej!T-~^JRVF zhA-||>qt#95o*nyOI?I~NKSI^_GE6pqy$XM^){%iLCh+NANcmH=Pk;eU14g@*kEr1 z*xcv2l=+Bxuvfc(w2l!WSP72uL+l)1#?$NLK_ma9J!7D;3>WQ$g`G30MM=?ih56K> zo3C4ZHbHq$y;(1%og>}#AHym3dlh3J6|)AoO)eyo-WZE;+%ml6BC_2205g7b+To?$ z@&~hUjQ>EJ`-mZu%(@!Z^}|pVirRukWlHaiPNGr}8(Se^o`2e*xEe!n!y%C2wh?o7 zJ{wMEd?Am-HOKNFNBZWbNV{fNrvPgrhfSn5im*%`#hyWPix)SI|jB;j7(v=7=Qr*t(S=m+^o7K?F za)Y_HxGtY;T#ZLeX@iDDY4nkYy@^y5{S_ko*GwB_A7n}mf@NQqKHMvl)n?IZ-)_|#JXU*TkKnYWC0ChQ1uoa4zNZJ?;Ucyp~w)2@Tx<$t>>_$jsR2;(Pl za^yhV5jNXd|BbRVwOjl0#So18z!60D&tmMsdO*n)2m@e11Gv8k zF#t$>2HHA4lK~{OXKH>+7-ltkihk8J_8RNR`qWC?=@QJG1G0OR_z3a%CDncZ>0~C=!b#6=@*s-i5&vKtj7i{*< zWyl=&@wfD%A_WNf3quy(@a2G6GXHu0f{WwM$2RDi1MRY7BL087Iwma9E=z8RWqR$z zq841pJpb<~Q}Fa|&X_ColACSPOoq2YR|t5`+Tq7M|g{3{eFPr~wDpAg=8% zWbCHZU{eKy^nciRZ}n5JK=9JhO*8GAR&0Nt@~z`Af4c@I|ex=wDFEbL2b?F1W@x;3|$>C`k z`f$otUR3~BIMT+bZdSFG2nEEtaK7nK`zihEk4fWBmx3COVF7A5)HZ&XFK|#>{%@CA z{NxunsNvG27X2_776gv}|528vs4FY#hM>YZ>5|^8f5>Ub$bcXjU~bz>UPj?Mq!kbZ z00yr^N&!Kdz(mJqPC$@85L?M$mF^@O<@cvVg6rt|)8s}J@@~olD_1dC6YQ~rHUytP zSWc@6@%I@rG68JJRZ0G1-^ab+?)v13D2_%X9y}UYy)+6o(kr{mMMPg)>C?as8<;&l zKYw%=W8kd^G)e*RPkr^#v4Dx5$<7e|&EIneEvq6NitCN|u^>?x?C&ram{}9%802Px zGpMn(M`D%fkS|0_!-F>jqv#_sum(d+MZ^R3Rs=*k`*tz%o%{uymTq^ZmXwZhN@%e) zxnK`IMkBrqbH(nLcmwvofLsNe_xi5V_ zRV|o30L%mkGM%ZxNKWcmxupKuXCjmruH=Nv8<^k(qJ9W+3}cwzaIs2K@+XV=9y z;%ksIwd#Z2A#&zwE>mB`wV8P5rsFA1q0>BZo^-N0AidK8z{|Wjr7puvlooLok4c#bO{C>Z20-FBH&S(XPGmbv1HeB3uD{Cw4R z5P5v{RmH^m!bHf3?!6pNUF|K2vzq6~{njPA+riDUO7_hOzUftierY53a>|?mTEH*7 zggJ62f~AaNFz&_qF-TQE5JXy|V<38o`MbG*biDhNlD`nI!bac#g8IAs`|nw)pOUG; zvX<^yQhzZ08%`N~$L2GSl;V4~dY*Nga_io?zP&udjx^yIOwXhML^e}#C!T2?proQ^ zJUK_&o2Q3a{P)b@jX7+qUs~BtbZ{OV>@&8x_{{@Jp$|xAT7PdAH;DDDhQOQYbMQU# zd*~s!5shui1IgJ&{E=!I!?gIA8=5NldoX#c_7RlP>}GwG;^YcPlA8>_)0U%d*WS+x`_{GOS}|5Ca41(1dorh6@?{}4b=l+6 zm#7?3TlkHCd6LUc^zN7@s{&WrsIzk@YdyIu-kcnFswC^(je`Foe0-)sJF#JJOleUP zzCBNCmFD;vaXRr&mKMtTQj?sq?eUBb&}m6*8Y8eb{|=d>^^JBci)_gabM1;nNZT+C z6}2;vn?n^dEy`@j@AZ<3Ao#2@iLh*b8pE!YP6|uKc3xPef49@J2XnV-{ej6LV5OZ$ z#TX&@8R2e||8JR)_cy!rW!q5ML1@Ylv0wA!JFEH8*})XNf!=HN`YJMI47ghMNKDg# zGo~i4$ zFVk&6%nP?5CXD`{-eN|I8gDG5v#zhwf!>6oRW2wb(y*r7=fT=;`Y8%fPQF2V==G@P zGc0g~VByz^xa=R{2a+4-_~3eG7mIjM+v6;haX6-r2O9PVD|6p%10?i-`zw%VE#1gP zTBw%^&c+pf=N%H*+?4?)b4^~OiFNCbxQrb0;8PYR$Re}-lFk}6Sp@Q+=bBz11a=Iu zX*Q2h%9}t8pZp1rtJsf#%jC(u7c8_D2$n3Om~aFX3NiP8Jw?fIhKKbSLrz6R-Qwye zv1(H{59CDJ-N(1G_5pm9mb)ExY?e5*HGeV5#w>my-PXD1W$vvIpgK7k*?iCZ81>ee z5nKVLa(rge(%m#~PAEI_nT_+%XGah>*28MVA&z1jB8%9RRN%u#hCfK0ljCiDMAr)! zH!>{8atNa|Cr$`Ca9&Ltmnivss^MVh2_L}@lSiAbNkbkTa$N7nQw!L&^P_01l0YOs0;6+HOmM-GBR&iELQ5MN=pOSun zvaw*Wmf2J!LhWA^WKm*xQUwSSvvu1jJ zWwGmt4>vO!xb4)n@mBnTuCNkX$%LBfZ$!7rCO3U|^VXOq$r=mGZkFk{$oUVPp){JL zWy*y0@C{=AhO5J~Gy7l}Sg-A+Ap=SQkwO2(Bu{az8p7lU3r=K&$w$N}BexCz?7A-= zO~?hT$UGZ@BhF7#S&(R~jB8v{`li+tvm43|R`)MymrT1&6;{U7G=9#6JI3y2h%jH+ z;(ByuQqD31=dw;HZF&@Q9N0U#jva0?@?Mu{k0=S$w~4-O3A9IA{1M5T`td6%BDaggKuXQ@e-tnc1k$YwBX}3oR$GtXYLS+(Xdo&%=szz={LSf_l^b^kqSbFf)M@9}`;Xc#CLgOEzD>=FMFt;N+=))nT{6X#lPAj>4jWtv{r zO+r&e8O)V49?H2ZvM1xBTDT-)K&J(7yEF`;`+p)H;`Gh6He=2y6L7i6InOCO$ z^k!)8wO{Nz=r0DYB(}lLNunMs~C%TQ=o{3q%df0y5$*2=v zU;*K{Y&U8*8CVHq-OrrT5TV{_Ee*v<22kt|JyL(W+r-qWs=x78Z7%DWxXvrP>4i@D z`k@xrcCG5euk7>7kOP284LA}Yp#@w8n9y45(29SGZa6gmfhh*>GaY&6grG&bhDy*) zPJ5ad$95FQAPw@yZIr`G?lBVv)G-3~e#<0_TkY%XCgeY8PthRMdR?;N;rZwjRs-lq zf>;cr@6xU!K|L5t;BZ>-u%;8~0$gs?b|i8UjX~JoU3^2A>fya#_=fL7(=B>0KOO zCJPE0ek^uOU>7V?Yi*I5a!sdRZy)Woi$4#@JZJ{i)!ztOy-m2}sX~sBI|LIP`}Xh2 zfpvqbiVm$Axov&AKznvyJOBI)(e|BMunQFH{K<^8VTIsG+ImR-oE|N5_R*l8wx%Wf z+0^%^PpBYe+^V%B>4z23K{pNV502()guuAGPxOqRK{#g|Jn^#|eMZPeEkD=RIU`55 z)C$tdO%)5QURlq+IoFw_+~_cn7qdp(CAWM{@rrk_%ue}RKB>nEowlaNR{1n~;>qp& z23EU8>d@^~+Mx?^1E@{qbfGp)UWG34tl9-!4qrPaOr7!m-wEq@5bgOhL-M^BLv^Ly zb1gtZ8CYrmtioPc33j!6CTA~Xx7M*TNC-ey11oXsIGs50_1(dRG7%Y}e{sV#qU{Fq zn4k!3s=cs#A+#`F?5d@~!TX7ZUy1|DCK=({k~(#MMl z%XTQs{@jCESsfqG_E^OyVu~D>8zn5-U+<2Ijg34M`0VWhW!!!c_{O)sQJt2WkWXP|@uT4~*!2ZpEiqOOOY=Epae9)MFJchh*~5KM z_?i4#LA<8I0POu2S6W;4i4H;ZKP%iwCv*8ju}jDmspx&{D48 z7UYT|A=poO_6#etF>4Fm^$U_)eCqm@>e_8p#`K*mxV_(<+?+KcYB#I(%{QGAS{LeU zf2qiTl4Xv7AXy-%{WCoKyEc$h!GPa=Zhg_m>@e$BJ?gF@0+RW^Sa7ow(eRhy*p_(u zzK|INw%QJ>g65wQbl3Xr<4*?E`#9}BeF8B}LNd}-S~ws4@}=1^vhF3TQEsd(*9NNt z6ooo){-Fus#2Iy6fGf^7{SM0_!8$(}^lAdFn z?C@Z587{T(G3~i&odrwEnmH711WxjMEp7-&98a(xIa|7XLHm!Nl7Ysv@`b1-`Em_D zN?B&;`>G;{nK19(t0LGU6=aFDm^FvorOT+|cJNDl!#cA6D|-G;Fg?NHi1(om}Pi1#FyP86F^fP5H%-lG?G_*>w2i@F$m|$ z(8ymmw`vKc13lZ-KK!N|7aX44)GN#v9=~YX$Lx%grE%+W3q|$Shba(4hp@O6U2-Ys z2_^Jd-6sWDS>oh-w|WXvtQ3A(Ew4mD?c=ehw`jSn^XXDtdtY|At$Vj!RbB#3L)h*l zkKuq=H>o_aq(n-)c%cfCl>x6t96~4;CzQf<=Oe&lff62|kv zl7MQ#kgYQ(sj(Se4y=fHbex||W=3ozEz&~24I)DGm#~qZ#BA&oO0^Ftk_MZlOpu8ioAMHD4F&Dc#~1$MpZiP1!V3i-hyV#Apf|vz0gS}X zx#$s@LDv4YE+cUrV!=+uV!b+$v}%`Kl=7`vheNfYwE^d{Rj$0NzMmF**KovfZCnQ~ zd+IV4JWa0tDTIjKhUTm2)b1V8=FC$k`H>oh6ZYQyjivom!in=cwd<$VD0-1m^fV0_+0Fu7~@w3 zqMal8W57g^%0THezMUDN`yVH9NbQSOh^`U)<~m zNos~SLSUw#Jll6zfD~eB%n<0o%HHs zjSQ+|jmV5}mn+kss7Gf*dt}~P)awOs=UEuT7C2_G?&wlcZd?e{$nNov$6t?Q6nU3s zHIw_=Lt0@pGa{l4nZjM;$(nhkRgIG4%u+!*6;Y33FP%hmmU{RgFN^~D9?I;WCS$a8S1od+B|RD-eJF;j`VUca9RZupw|(vW zDuHzJMU$JkZ#WzVITS0UQu?2av>1?%{O`HiyV=hi#bOSlk&>8Gf6S-E||Ll`L+NCk5zq9g@geZPgw42k( zm7EEr{GU9-80|!~{VSA4i!r2&uF}|nZUDfbdyqC^S7RNnwR0%)^EFi}b2%>`snrOR zRa9(d4P4Ck5j&SeWXxg0ewdVTCc%HA+mn6_G$E7|2rl1hFJp9$b-Hsp7| zr=2WDYpO)6F+zx-SXiT#ulyuc73omR^r^c@NCZ!uP=vb}@SXnUY?&OoeFEitj|tzJ z^y<@U4hLc^dum=)yJ^7-{d1GPPW^d+w>Lh7kK_*tO-6{ZOP_1`Ao{F-YO_E9a zr%XJ$PuQ)c;WFEUEiT`kzz zKnbJ;|4HVZiXmll_xBDXLmT>4 z;~g`k)HuY0&fNxU0{WW&M=urZ(bxP=3#^m;yqIh6-;rVK;Gd9DscZn|5_UC;GENC2 zJ6bv-pU@R%B6H-q9)?roxc&2pQi6Z%u4!nP8M%*gjkDZdgw5LRL@%$_!Wh>3Q}pn4 z7u;bVM8JW*B>q&jEM6Jx@AVGh+7%pgB>asqCTC7}3a$8BkvfX8z}9OBW!iPC%YJ6GI1-)9l0;~Vm!Ezi|NV1Z{HyQzRST-;lK!-j+}8AEX!7AIy@qom8waS zcO5Ox??;?gniu{a^_D-p^CZg8jD~5MaL0S96H(`g{U!5lWoGMW-eOWoN4JQPG4n$* zI}Z_&_I$#pH_kL+nOlp08$g@v06s2l_1`~z*h@l%5ix3~_GDtjb;#ZS(sKLvRWr~; z;C~cwguqRPMhQII^5~;?8aO9VP+LcQLa{wEhomdW6!7#eC2UQqP?%l8(?YV!y0&=a!hKw9>g?Lo46q6)sN?L%lo5h1QXrsBvB!#FfIez4inuATo~4Ac!Az&q<75 z)Bi1{q5YHptyn{ik40CE+H4EidPlgU9wstZ2i|WRc6=`YJ zx#e4>kJDt~%Oh0iH%}k`?w&GH=o}+Wnmji7!jP!Y@^;VS8zcv$gQ_1qDl3>=@W}BytjRhtBu@}+|omJSL2~W42d^ZQX5fY&%Ziwc^%TTn83kin9XXk|i zPtlj!Rhk@vc<#jp_j|PyS-S+%$D2ZQsCTL1XWN>HtaPYAchqD{Q~eUA@_)-nxzr+i z0s4{c+MCMit)(}$>YhdG>x>k6-{tUIgLE87R+@3-cJn4D@4POQBNO0-4VVt^kN95_BUMGSht!~qdo(( zKb%b*GA!SN&B?H4tnZlx$ely%? zIG@hED!SN}nKCBNxl@X+!CRNV zwBJx;l~GCP{W~0ENoh~T73Sxv96!^!f5~6$k&>M51ALrS*R-IY6lf;`(63P9HiHR; zBpDupenQ$@eoeXw!rNO}jCp%{_T_d-b$2O#C6Y}po>{ITd|%IrurmaGhawt8k-yQq zyAMb2>w7{R$fi(LYOt#-d11}_QZ=dP?ECJXkc7>?+zj;dNO14b8C1&@loWp?2T@I~Eaa^;6Ej+&(yz~C8 z3NnjgC)^muuzb8O?D?|l1f^K?X1M~*_0f3}e}G|ZW_=Pj#Sh0G)La6Y2|Z(p#@p+m zl2r%;k3O!kR?GK!ZT@AR`M`=bw9Sj8TFQ7!Mm{TyowJ(1mQ`%NvVI5Ixf$)T*(@kE&AA=Ee`*p3;?#c!Sh>4lK>} zx$|W{bPF?{wSddaT!PeL9HMkdr%8FWaQAjZRE0RglG)Z1ZVulccE`JLiFk7w*>`|C$KcfAx zrSh&}o0~CoQxn&sR*;xfOX)wrs8XO64xoYb$t{u=E<>8DBr%KLxtH7#UlHIK^-RbR z6#xAnw%!7$?%xRHXDm=6YoH%cnrfN<#&a|{+sl}gR&GDu&NP9X6;C((!Zl};f8h- z(SM}Y7iRs!KpaQlHpr}gLlYCFS;mgvTT}jUG~|}}b5)`XR{d+^>!D^T9&dzX73P>1ZbBQ6=s%1 zsD+O6i+%(&rr#|BDjElqwY_gg`rYgmC)0W9o={5{pg>DL>TKskQyYKEpv~AlmfZgk z`e2QKEdDV*CL~H06>&5L&zyWD>9<9(NnT^?(njRqD_7_Py*e?TfMd;)VK5Jg+!p+l z^XRwAqOqUyJ}jISA3zd`EsGVP5=jxpUUvp7b&*=7Zn7jt2Dv-=Kh=m|ksOv^myQhK zLe?$c)QCfilt@BJb@Yau$2WkyweAk7QqpX(=7Jw zYGd-vg2upsMqc?|aZ5l+dWLPg(HK%om&Vvvd0kD}1oiQZ-?SXX$tRlqTvJZPeTh{i zHI+s#SiD^kGWaa-4!U4sq6(|Oh3oDUw}ZQsi0Q(^L{t?mrg0Eb)9v-uWv@7`&zsUa z^Z+pF*KWDS;(k%1Wd>zDz^~a z0ZwEAqebMqtu<|!9ZN4{(8*>Dv1iZ)P679vTFBs5!*~?BR##aT_5Hlm z#?CTHZ@MuDE2jQu#aHji?O~#jkylkarop51nXEKJQ!Mw|LdXSlAKV4D0|gw&%@R<# zms!bAP(3m(BD%*M6P3b&b#>(Sea-48u>4xlCb^gY%;|sJIA$)?&?*8e=9ZH(`JzzA zpUn^+?{45eplW2uxn^EY>3~Ao#H11JAF!Fqe(R?qyCNp9r z-S0J+OL*6eXs93N&7J6E%XWjIWv#+pCCFiSGgLxk;QsSUc<58geOi3ll?R~J%1)Z$J>5R+_KlxyL5a&vf*vS z`R7PbqA2L>Nxb`2sc8zfI%az~1Gj$qg~M0ZXHN<-d;s(RVM(UFYXFJ=eIFi)iN$9-GE{LdWkn zZg4idH+uJu+(WCMCIwd3yp76#_vG*1Jn*6IJNs@1?*|6AY6mwhzD;!_2e7a++Ml+RF22;h_rk1W{&HV3UKLS_7TJ)kLXf_+}_Mt+cQm^NWDB1K!M*~_2n~8sUdsMmkPJ_q8Qb3`x6}qj! z<<`TNfW2#p_DtYiM3+wC}5Zm3*c^B_5bW3 z7FWwp4L*9kr)^hH&pBt5R|=vPX4@^6et&}Uu8`qZomPDbKGaa3pmPbok}pcJ)~h{N zX&olZUaYe9OYbGhv3iSG-@+?N4UHn_%p=T=i<>#IC1u(4$ko^T2Vqaq=V4!A=86Hg z9s1e{8?WV#XW9M7-35YloT2L`wm8_Zb~D$8-;M{CjYo=@S-EQ_&UL@zw5a!!?Z&)N z7G~C02TIgc%yxd?=sf)h{jDH(04DjA6x9DPuQu6}<@w&maSbv ztlLnOEr0W^IHb}$$SjQR@BB+#u^@9@M>By6$J z?8bY1TU!>?W)OE&m1Qij-B`2opp#6|KLU9c7p7SB3&U#WR0vjLwtT7jdFf(x!*S!( zy>FanSo3fqGtpZ+AK+B7|J9DmThi{eY7V>fg}9}? zxS3q0k`>IhC#r^bF|3e=*_qb*P#C9^utG@7+6eb2&fi(tIE3xCS~k07x`jy~DZ<^Ep zgYs?2>VI(mueATWn5Ijs9}k?=63@)yV!LG&6mGML=Qq~;lf}#vPm#skXx*E;8Xw~gkadE-y`d`t zsQMBC;dZaTAI#Y*Gk=i9$o3Xbkj2Dx3j{Nk*&n?w)k*A@pC=gN{vBH4HOq<>gOt@y z;pl(bN-#yathhI~I_lEtB%pbXMHr?d4i4JMeQ%v=(jRy}Q`>WFH4vkW+;pTo`Oyz+ z3#MbI<*)gU2vOlA+`h(kFaOMHboRg-WsNMVTFgKfqo63Ath{gh9mRTn$1CCCD zOY4*Uh>TfZN0Jez_#KKhC%&g2y@u!nls`N60z6Bsa$${dO+z~Nh>zU`#~xH*AVARH zY27U^{_jKYkX!suHM)iz^Lw%>xA+_GJOHf5iEqemf$2bJ;*#+|$N9~l@c>^Dh~p_o z?5(l@5XI55j0c&21y&djmXZ-(aTzPEvTrk0O^JWiGD02C9{8 zfiz?40Y)$Im@3esviL zP(ccjsiq7X(!FgveAVvDU?eRiyiqo(GYPwG?T?buLsNa^_0 zbS8XMvJr!J)WWvYx0O``2&y>oE5!TsZ@yeJ zZ>e4!qm&_=iiTT3O4?^HycJm>sxRF)V1!$BXOM}=3lON=q4+>YTiM9ujK!`E5N&U_JSsA&$|_m3e((3 zvOsl!{4{VIREVp8s``zFNm220YxjE;`2%_l-}l9tm0I1SPy)O0{pX1RIxM=PKvy_zWb0`fnO4VfCJ!p~`R>HKC3VBXU{3hRTH;bS)7+33sJC8|z zSRNbm5#?7~Fe;c_)#By*Wt*_^uG%$koCj9>xytN@uw9Gq^L|dTNiv$!f^>Zp!^ze|jI(Qil*yFPeO za8tiJ9?-K`yg0wDM&47&&qNizEg-zrHRAuaUU(}^@3}8Fm3@BEsd@&qn#+UNG=dC) z?tU2(z;j9dD*@bogxhH~2% zYbvL$v}w&Kv3fc9t~8v`Gs3oq4PABz`}YBM<@ z0;2}8Rv9&Sd0J|-U80d0>eVcdTC|j5i!9nf8Ef z>p+l!Bc%{sR>Hz8EqYV;dmFxMb-DmDfUENf;u|!r#H0$spB+iBDt~0$OfAxe%p&)m zV4OEdP2t(DV+tYNxQ+`0~`eXvN+8Hx5LETun%Q6^f} zC8UluOT0^mW%q)0OH+QyRL-evRP{P^4gn6u6ifY_g5=xo&h)^< z4A;_J=z^M@xVk)pshzI_yYXOjIZIX%-1_G{?J-c#2w(U9bck@@t~SlXTWN#jcvyh* zuUI*iCw-0eL5+9nJM!285IsVr#+O9yyQ$R^To>oWJ4e#=UV+lG22IPtc7rT$GeZhP zCnSx@#~u)U+rZG&<9rtGyR$54m(O3jI{uZ-=ING8uEC%T&%p(K!= z^V2SdiHeSKvcD)oQq(5|LprHRL6C{e2-{fV1afwnF*L)r-Z9j}D%9aI?)dOhNiozE zLNhAt(ro7ZWhpz*y-~0k519^O`iFIZ>uoAL@#YLa<8QYGCWB97x-g-1)>T&&$Gul- zX-zYQqsvT1Zl^eNaFQw%OWc(?Lez{zG93+TIoCql2JjYjkAachDWmFvq1w|3pN|(M zzIGG&G<|7QEQ!!=|B_|If_-UsNLKc7A*yS2M_Z&DCS3DC=*RJH7?i1Mp=qKi&2~si z+9QkhooD!B3375bG#jeg!X4Tb^fls2S zlew_@y1~6@6v!WUdbHzh)omAMKOmr-s3ZHF6AV8(>nPLtCX_75U8`U3tK0|WdIZDn zFEP1w^1KVV@(Zn4(`vjczd-b0ZsQPX6c|l-&Y#z*)IuNWfJg0sU=rm`{$bEIEP-|# z@J?!cEn?rf;y7kmm_erQi0-y>3mdkMcSczn&8v{;JcE!+=i3p(f<8L! zJ7S=1|7k=w?Wy(Ep}#r^D_^Vq@ySxiTMysAN-tjir<_GZY?=ush2zWO44P~*^>zfc zgu|%hgI&G`AzPWQ_;Rde|%<~+yR&XzCj zk8SeJ*c27#J(%rOObc>Zbg6Mn@Wh`cpP#G(BYC8Ey+mDBAp@F?nOSMXc8w`_IG1`WG!VI703!3OQ-EjjB$`}sj1K$ z`IfJm9;?hKFBQc7_l(k_^hSkrjp$d)^~+w>%Rox2%a=~dNudY!aA~dDodR8r@vQ@W3<>hv!*f)u~%$8M?8ErTu+Mf zYI>Ylj$O-XLzCyYOW;!+QoN*%H!T-Q8pi0H@elKt+k7Nd$WtxR7Lg0e-7D1=|6+RJ zm&=HDn&y8UPKP%_DTMHIo-z75!hLyZ_=ZaDT(81Ai5jZ03e)+apqbLc+bL*Wa#nCC z`)|;~n#{TN-HO^nVtBN<;%MdRth>Oi*0AO+Y4T);*vj($`_?V_t;W&$#WAizIFvgf zeO^)032^r1P(a1IdS&xaefu3SaZ2FBv7Au0U)e8nVH@!9JKic)eIRdr zz2v0{9dqCcMJ#GjVVz!wJZfS!F>&CxRSZ`pA=BLl7v7Eono%%5)U$x0a8X+hr5P7v52Bf zWrzlZN@U@fvi)*O3Uqpr;-4b;z^Dm$K8tIVz8}fYnw7J@M>8D^$jB+!6f5CGZ5mpY zwkQ7Z1Xydme!U%pFTP0G^zb^)+=(+5k==2p%{rL!y$)DV%yjkx56UT9K9@#s_s(Fx zjyyWgUrp%ssE%pdKG^+d*=64n(4FqQc(te3BQ|zt^APl(Tbie4z}F4nntG@?p!`37 zGt$k~#canSn&ctRV>u(A>gUH_CJr1IuyB^tPnu0hoa|9y!l;JNs1_bcD%IWB4See+#f zho$$ZILO^w)H}=*tX`rD*evi)r^l z(PQb)8+n#skD5A>I-?^LeDn1@5R*2nKdQ0+~P;zR$+7} zWEv~r-UQLAD>@wD#)va06zI4xaP^xylVxvhz*}LEh*mFI`5sBjvvc>WIn!|g;I-{f zZli$+W19`UZIGpxXfcnh=AHA0@ViX}PN~mjJCtUFLJgWz0ghhpE1kqA^|Qx``S_PZ z6W=cKu5{r*&$;iz1AM-rtFNU{?sk%Xf7=`nee9?BZe^%|c%&_)(#zXa&|?aJbiB8^ zyFczNsM5>cRPZ{6uF(YG(6moIOcs~keJLL37A;Svv5 ztD{QJ-rCxp|NfNmqH*lNdp4D9*rX*Rnomt!MCJNN1?~3}L{@s=BsVF)W8I274m4t) zax@Fha5Ks?GA6X3jF};g?Klp&HAd`>fKB;EAXmTxyE!(d+h;uw_BGTjjrGqxjyk9< z^b`I!I&kjsxt2kgHa(DS@M@Z;hjll&+4EGf{dHZaU9Oq-A(SDVC_o zeqBp(GOAqh1CN}E?Tiv@+F6*U)#$-zxf$WF97*YGq9kA2XRr7!*x=D{Y@UdXvLGIY z@nb!hT4qi&_D(aK>m@BtGsjLdB5NhV9kR|PDGZu3e;$c9;xyoswigZY6ZQg7c}Cb% zJ_WzFJB7tGOq6{J&ZhiG=c~GH*r%(`3*U>XL7-{zB&amLN~-d_91#rfWx=lyjY#Ii zwCtX(xE-~G>)3sBri-_)*d5`G1)g3T-}U95fYXbxN458>u_lkTz$ScjJUn+s>=NI~ zh3cia;11cu8$LsXoFjTn!)`C`;yGIG6UHLOlhsKly;k!}-93fNy8eO3#lX^`(kmC0 z2tbD9Bg{?5Vam7?hovzbyGdi*a-FFD@bZ;XRgg!2mH4Lp=Xz}I*i>l+rT_64KKED6 z=VH8u$_C-c?3UZbW+I5jN>`*$y#Qu2yQ1OfyNVz_ENC`tr`) z{wOfA99<))*_6-a8opAquQIt;$*ZbbW2C-B!Ihy}HpcW-qgO%I;NkM*OyncwE@DQ- zWx0uAncF9ZE;5V4f_i~{l>MpOSfqiJ1TX$a)k|yTPtwtPJfGI)n$<#TlJu;ysyF+izi43H10 zKdIH}P!X@l#i56@6zv^VXdcP&aFuqw+H!fC*@NV0?bTZZCM~TPz12A__Zys; zD!Nv1Ma{ll`OkUv|D|chR8{>8wSa!kbLjtr9S{t(c3l9NfPKcnVZiBsBkPs4@`xUop2@>iG`;ieaiL6JB2_B0F~g0m!NSJ#WPKF-D7bEwy~pJ zav~gttKnTeWxTibuY&4l9I0isK816o$-ZPhPNLIwXUXS#+McW?KGMvTD=vI z^B_7qWhMxW!wqXoTK8BzuJ}akg4%WWH^R7JUAV?arI_queffz5N;}R4x-de{&##EE zK|~fDu_CoCiZ7yb8Yp6R;0izYP^I@s`pX*P!F?te&#;A3>RhW^-UZC+ce1B8F3y~p z#r2vf8~t_{$zGg3WSp6LjhZO?Q3?awdqB1^rw&am7iIsLoI;p3xVZmi+Jx|^=J&;F z&4)TC=`gsW{_0i$d%^C4;MC>KYrXc0T0MHG zR}A6vp%>@P`@`(MAV!jKuWgo#trdc}T{ zl7sr)q}_EwT^bH@_-bIEe{Sdr6U25`B-#bX`L@a5j|lQ$o+GZ6F~QxjF{z$|;&(5T z!$x&q7IU=isM%1Cdbc8>wTdg*w?DmT@A}ONXwUJUi0x3b2Sonlm~K^0?5!6$kpf7dSXk{2jA6t zz|`@%(NV`rM<-~Wn^BO+j`OV;kew3{HAkZK0Y*k;pbkW&F?FE0`iQ+O0DTy8)d~Fk zf?Nfx)^`m-uF8Q}ALJ?nkhM;xzU_`Wk$|iPunTe1TL5_uWKDrhvz$%)$JmN`7xZ?n z85!4CBO{JK0fHB3NIP!&1R#>*BTB6Sa=`Tt>)ecwE2U?BIN_^+F!yKD0|SB^i;+

  • t8i(|=Y>uGJ9i)i$lP~PKEoldCr7j|kU&;4<7hvPoVe+eRmguxHmuNZ8lAAH<(8A=X0+<32b{49*%Fzt zN?M0pcc9vRIO5*tjX<@r0dTc~OQ2egE~MI%5aW|0!_*`cj3>LKqu(NGPaezKt13Vv z+Wg2<&yjv|$(S@E!*c~Y=hFi~wx=NPwB zi=lo~y63X%t#e5tZCz~#IoVPE7tMl}hMR)02<_o}I!x5}^GZs%c#&u<2Pm5}G!p9B zN4}|~pJh61n%IXg z?=ytL0#}%M?(tqvE{+~yRYA`}PLs8!xY*TXhNLEkFC37*2cr}hdZuC_v~G0M?&n+f zL1?vI#W}yMehV2-&EdU)jJN|+zNCGcw?hsqIOlBj5}Q}bHs?KO7aVIij#yfcA$@PU zzTzW0f!RvEal|Rzh`22MIE#|;VX{7u=ldp79gs-9OH9@RiKM&47jPo}F5w7He7;La zffJwZ5(K%b7$I%7^Tec`Utf3cQdT>kJVjW}Y^l!}bg7ZF=CZPL>^v(#<<$4+2}|l3 z-d*0+4TpAs8?j4S;D2~ctLX2_7>!@`M%}SZ(SIH@xJUHjLr7TE8Db1$9XDekGisat zH)j0n#r>9MlsN|Y*A&#Jc3AYQrubn>&+M`2$umAk9qjapRm?Y!i>JFdcmN-vzkDj@ zJ;v(zrVBH+D2D6#gn$p|?lZkdW_2}A6u9!+wqAR)H@LbXl0 zv_rZB3=iHMip}%6bC+oyN5N6I5cI^=Y6jYSAuH_0uF+^Z*J+BE{+&OES#)?q7oIQlcCtX^hv)Sp;yQUEmV%DfgYFBFt1!bpv~M4M6Xp`V z&bs+S@#SfS1p2$y@hM31usador@pwfoZNd!$9PXvFFVs{VfCKA$5ppC@MF)TYLbS+C zzYcDvjw`rcjtD>K5WYnC*t8v@f;C8tH&24Q#q?<$W^{)8L}NMmU`JzZ-)hmnb==o` zpEKWAzLr^qrSlv631J8Vbe!x**m(RZ5J@%P@m_VJq_{_e$ZULVYtpVsvC3G-q7>;& zv+~sWTd1lr3{!c(aEb_F$GM1~B+Gu`!L7%FLkHI5PbIVSQi6@uMM>5T(d@L+IYzA_ z$Dej+YlxCm@4hF~|+uJe#te- zi;8L{QHD3_el$fd43$zeQl#|_eQBan@M3*Z(9fo8eWqFbk7o7EB}xUpTb`E~D!T#i zD=-IMQ9%5PH&4V2BSXzH(lnZ|p|jWv-j!S)@_uVOc+U7qzVo~kbP1C$-XnxtU%WWV zT9A-6@g$!5(fB;qgY#b+Adatf3AR|uo|JRl)*364F?~MeR^3joi#uyoW)P>0YQt{8 z+dCAy$s+mM-k&7Up1FG`6*Xtlm-2uW{FdwY;;eZsP9C1X%hA<*9a(#^m=!+BglDwI zKTbA-e*N;R;L8}wh0PLtYF(`vmciU2MAY1=d#<$xUsDSNlI7@1S}Q+)F0)7EdG7;9 z7U{l;rzWmLfJq9Kvo7|)$%NajRa6|q?2SI10^%N8Pl)RKbwMX>&}Y;|t)s-j>%p6I zo5Nz|4B#hGoz+1;?z8w<8%EUoF}b3cyrwDUB%8h#+Ark#Wgj*_e6uVh@iCq3NvQI} z(F?kK(&?t+uZ}?zZty%6u&_nVRYz^xa=@!QR(Db+<*L$K9LU^6ht!zNNN7t0+N?mx znuYEirO#~kEM=bFC-z6M;G4~?FPi1%P?=iQpH?Gx<>R{SUspaBt6U;<;eGzG^9}LI z!S4RPKvh~iGBZl=z@|ShMzpQ&F^b&MD0ve<`ol`3e#>&-oyDeW_eVRJg;RA6b7!Bv zZ@KYcak9d;!Ads`7JH~3`*+j~5|9LOrHBq!QQXTCB4dU3cSiBw^5ykgVu9l#?_jkv zLEa_uI(l1IuLdn}|I=P~Va}CL33fvczN(5MLZB~0vsw{$fqS=|(yH;(_03Vse2TZk z4UcA+BU3_ry#0&wkWoo;vh>M=Qso_6&r*#eo5|1+TTycS)jYOY&x+9RYamoTpV|d= zc<-TGyPofYXiKL_R#bRuy}cq0SNgj)?CE)&aF%fBvTZorU|y|qx8beX#!&VH(r zExHsiNmCU3d0r);+raUWtOeX>UG-FpGTvNr>9aZjUL~@0W&6>W1$aNORI_{T=MeAv zVYwLPv~ZK_+lUOM7$6)#NEW2Y$asK>)huB<#M%2!(Z~% z?-+sYtHX|u6Y%M>&q>5m^t}1SMvl4bL~VsQh5jvl`S+ zc`zy_W3*_k`@El?-j4a{uHVBf=5dyc$4W@g(Q!qhT0NewvVc^0P=O>Yag_2NGzVRD zU#EtgUlQ49zUy|&mn2ncO-XOqhNHIlb9n~}{&{~kEZueMB_67enEKlFScp=#_XJLJ z-mapkSah0E)TLe37!X%_V-#>^+HAAGk{IrAIyX*cc()R+#{*k zv*JV-nW&^Z+!5v`VmT8>TvRZz;z8BWQb4TkX(qKge)+1IM#%6K&`d(qj8S%~RyD3fS^wc*ogxRrGH|Z&kX}Pz)2{5sE_Q-EuOwxy{ z>tzW64@9y~kon-*7{23n<`pS}N?Q~>d+#396sZ1L4(9W(irF*txRkN*#^!#0+K-dv z5tm{{h`(&!Gne!_7NevK7a47!A?f2IohI9&2%&!B2=c$_FD_L&&ya_{h*wTtjDgAW zn-U2L!jt~!^2n!6&w=|86hWIVTPx}vjvSaxs^i!336q+)6u*R?1e*~t?A6mM0%KWJ zYo;7zw9!umn32qbNEr4{zicXbrfx!MUBPLWErSeNJ2K)+l)trSH&o|$a|-Ib(L{uw zPF7>-)pH?U@OXZ)#Fk0?AST(0-lNQsIFsIWu=5_72Ypq+sDT=B_5dPpI5X@Xnl0>R zcGw~u9F)jc`XD{JZpA7JEj|9QE>Auuc79%%wRjCgMA~WZ``KF($p}aX4^04L7V!cP zP1M`sh$MPUxc}RXlO`7_nt!&4gry<`LdXKZ^eB{^!Eo3nBWj z@sDOm9W_NTc46h)YGL=fSUQ3$5a&YZ%pdzWpYxk2+nk z3ll3-fw#z6ewbN$RkFFbxTO=Z5Z%Ki;t)d*uL14@?&m2lJDJ58F}_|@cc>$66`3ek zArqvI@yV_qYV;wsHbq4U1eLY)Y_Xy0Vv-TNnPZ?R+#ju8c2ObWP*q=+)s%;h3`xkR z3wnapoJsqF9=0$|Tek6pO^3p1wcq?a1%*lrlw_r<*;1xR( zE~gxV?FSZ2$y6?1Ps>Rd*D+_}hQ)1jd6|dBY*a|@HD(0oYDGM*i9{7<#48CrpPzoB zHOU|2R%gg-@6u4^P#8DPu__sViWXt@1*`5E-zQEQE?J_8xQvfoeZ%c5Z;f7CmzG(v z3^AI?ng1hi*)m0aDrV+yd7fn*bjkg*^;7W}wrp1yLbR1h82oDQRp6KPc7)cEZ5zsL z@Oa5Kv;vKtINKY)-thIsSJHxv9IzSs;yaohSw&b}krB>*EW$m~5dQi4;soWwcRjq7 zK9(VMkuQ(z>8oT{tCD&OUp6{dC-2PYDjN>()J)TJg34h>pHVk2wP^8*5S#L|Wf;-N zk5SuMXGpNZs$Czv(BZI4F^_pI{%}qgRW`PVzLE@ug1EsqfjFm)mwjdg-=9hW(VfMV zSZPxi?K4?7=W&qG%PKnui#ZN$vHU!NAsXlScf3yY!$x(K&GCrE$=t1rUwEK$UpI+9 zSW1LWOhWYDzGOwb)WOP!I$6r#DVS-7w~g2h_w>vKqS(|5kO*sV;Ko1b|Am)98I zJZK>$ZF$Hdo|=fi=b{uolgyVKL6jusNAz{Jn#)n#jFw9<5S1&o!`9knaNwgNMe9eJ z7YQ>9o=5%l2hwko$9H>Vv>5n6{OGvx!#48&?) z$ffHTXFPU1UdsNUbtg9Tuz(RNv&U?omWFF1%=9VzZou-Qpa%7!yb605Ed9 zEvl#Hm*rk7WV?7)ZIK1cEpD3`VG;v51I#Kf-hN`qryUPQ(BKr3gCA#EWdxT}rh1Qb zSG0O_Cve)uli=`-XjFPXUUv(#i`S;G&K$EqH0bY<*ygU4Tanw=iztomGfuNrQc=wy z%jg=#XU-t_oH&M9+^Y~4nY%;Ln=QYC+ZEezAHVCe?9-DhI6kuP;}wog#n~J`d4xUa zQ&S0PI-QwFSjTKWOG}`<)l7IK-h|Ipb|y+!P}hukyTH|r?X#nW zLpFz)K+`v-o%oji>GF%?@~R@_#DxcviVRs$3E{hS5m9|vd@zUBiXWhq#lGSyMePx% zQ)W6d0YBGD@Bc)>{=C74DFL@4u}2F#ZXG=Ak9OGKfb4A3#2(P+{PkgJgYD{G>M|!L z@5l;Z*xA6aqsP~(QL(3WRjUxXFX&S;&AAxpBmq+@^Q>-t;X4TqDAfDzAQORP&Bw4@auL!W>LTB&w6}e^2Id^ zDk5snl{cc%uUnPOX%jr&2ksmV-=g2V9a?t6Yufzcx;`?o)bh}g@ZmhYP*ytM-W&a+ z&93eViYDA=%By^cn*m)tMUP;#m^eV6b95S`6_7Ot^qB%b28}D7)o)}dooMj}nhHc$ zt8fnJhxP&@PA@&tTEm{=j~15E9LR_m9k=-`YjUK85V%vA>&7@?m(DPs?O-z_0gNdt zMe{9h`xJ50|AEo!h7W3AT)ttu&iSdEatI$E-0dLf_J}D@DLLgZ0mfFQ=1AcMXHsIG z>mwLBEDk_|p}{eAsM8cpt0h1IZ(F5FK!DPwZ^}N^a6#lN2A1zbK)zCMw|D-tL^YX@ z5>0N0NiWo4HhS1$M+nF#@=1pt_#WT0bpK%%{ePOv(Rr>}(@D9mrA$R&GUZ-09Bs<| z{Py%h0h)$k{=$~#-Jh~PoOpV%WpYx(pqnJ;r+X5@pwi$m@(hrC;aA`1$m}W&${q^R z4s+Rngd?H~#R09&>~=sO#)^p6(}b(i;#2{~{8woLx&0XtonU$C1M=!Jt=Hz=1dG;( zb0DLig?V|a+BSR&;EItMFs+ME*!HU1t+gd5IpV(Om}4Oug;bg)u(!{v@q0rb z-xfRxNW4~%%h^L;v;S_~Uu-UK34POaaMWvgs`aB%auuDQ45anBzM*cuxYVi;;^##7 z^-K_85xq10>#5ZCul(03$vAw7YGs1@t$+gZMqUNT%J``sTNaP|K>GKjoy|`eg3PuB zW-~ZJ6b9vZ>7ZK?*TDJ5{^oV!eh;z@0Ic^eBmkC~vS7;9;XjkMxzDaIdzldsl3;kN z5+EdgfYf?c>Ic}bFYYicY#gsME|j1<{)xu1K;V0gAm9Sg%w7{{{i!7&NU#eY&6e{I zM)OJKDzqKtGm~gZY>V!iAOjp+%#z?C-{feFW_mF$oPD)ib-*zVe^~@&YUhg86agG- z3Q!=;OK67-F{jCWJ^ltr0pnHy!_}t&961f{rzi_TmOUSIBg(4*$dw1iHq=1Ko$`g9 z7+N%x(HMbL6Lf}1cgz;7_%{KE=m;qNXIwOYdBsJ7ABE40SK0JHCiK6V73lwqeP5pc z&S{8%zgMmA_D#|ye9}3(AJRwoKoGJFaPPffh{WDAYzzs3DEn3&yhdS{8P_};k`OdM z;B<_*meLOtutw$0AXcr68`0nV2&4_}ev{nFrxlAHuKWn*qPF zO2f&xGQf{ybr7T4L2$VweFj|{T_0*MW-ho8D1*{97iA8lYjgs%^-#kjtM!`zqIC`| zI~Z(ig_ik~Yrhxv(P&Mp{CkiZ#}nhQ>eHfwa+L+G^)M8MvkbW6I zsH-zOARMm)gfTY0ak)CGxxmfx0gGu?|1#cgGx4oqTH0zkl@izy3W~sv@ZIsQ2H4u| zHleMZQmHfo!|-HrqQWDt%>@%wi)2!&Z@|a#hX@X(vQj-j@yHO^&55oy987cb3}%9A z%Ih8(tFJzg+63c*QIX~rfB%OffuTo?uR$>>Te{4ABJ3Ppog-Qbgvy|1lvYH0+*bo+ ztfkA_oN?7Tv=675JC5`D<+buXDO{xsL>sQ*o5&3k_bI#JE6X_9r_fJ8NRB@RbF2AJQ7ZQ!pxx7#LfASZK zA=rtcJ0Rq;!rMSa_7SQqc!~u#iN#j*S}whzy$lo5yFYo&s;Nf7NR*MU>33Nb2yMf? zeQRT6a6S!%zc*qHK)!u^>NW~EX^aa3e^gcwH&-4JZ~c|HK^^t)Hz=apwQtLgD-Drh zfXkvger->Soc3agu@i{DH>3@* z-y1Rn;6I8GK-df4Js7WQji~!jtx;{zn08{DHJPDe5`kX4Xb0_Ye^kqKOeRpRtaMc= z)3SBcqC99A53<~}|86YE0M!(?c{%kJ<~u}J0W<%#vTAT2g(_!MsKm&JB5V&Loc|hB z&Yj1TA4HS41V_Q5n*@GF_&p03S+0(r;_sp(0>DWJVsW+W&VatV<)NYrC;0Kpt1an+ zkj|O4k?C)~x~%@Yg@0i#5#7RH1;^WeC^$+S|Bn|OAfCo+B9pphTX(^cASikkN?vZL zHvgT-pR|<0R`t(D)`|T$jbwZ2Z{i-Fqgh`4eV0M=q<%Il&`RwmdpU^3iHO)fKok5H zwte<*87wQ?&&*OZlP;~I4zaLkez)GP&m5_Z#6x``%mhNen|$4RsxUx{S$^s1(Kid6DMD?^o^DbgP+E5%&P814U9bY*ymd?RhDc$Y4)=saNO>nQERRW0GC=n2?;u*vt(XE~! zahN4o6zKynfef~rA~B~;xV*)ZZ&`u>EhdbsE04oPq<4VBt|xhrPshZq}CKU>=X@ekX)_#Pt$(gR^fD0Y_!7RDEcVhkQYEc zNS4svx0uRJYmD)Op?e;9c7Q=bXT~NnOo_uTygADr{7E#9$Kk%CqAuah1^#QP+}5ft z!XS9{Sq()n&K)=oJLc@AfaE?K7xA3~E5rArXNCOF^AGgqRGa*XQmC z3C2K4l(!C-?|`f8%+n4!oTSrmwu*=W#|6_pP~R2Trc^vK)?)#=f)Lb$3dyw$$x|A#)U@4dyuHkFO6Cv zX#g;n!Xc-~f@sf;)RdFX+9-LmzssX?L*s_Rb_+O;9tMvFh3yFjW!`c+2rm{CUL+!V z`UFL|N1VO(?czw+`)&gSehM-$S7&O4S&YUCXn;jecF6w5Y5W-nukWZ@9(i_^+q}T)B0Dh1HYuru})H z1#xnhp^IV~2&x_}tr1D4JeJ$(j{?5Lk7m0ChBLIcIHU{g2zwQ@u+s~S^6<`HiWj;Bl{V=>xopB3x@iv z`Adn(3U)4v&+fupl>75FNyl9oSd=%h8MvbD8xq5-fku}Z8MW0rJC+o67sLMi8vb&r zyaE1-`z%j;8m|?}R^>Z{!jt45d_auCuuSYLye3=Z@9~KmfdKeRRgKZaD5s}Yy2u~x zZepJfAvNbUHOB0l%K#iI5&qK zb!*buIsywvqabd%7ODsI6_C;Id&FgnZshzooWXH`Sy;|;@LF;)sE5z;{jIZiD?DG^ zpjF#O`db{N9bks~OCd$Y-B$#a0$kkQ)2?mEyX_G)7{)vv-P&_M^hvn#dmcU(w?kT4G?DKaF&B9xWIUF(@>2uKb_b z`I-GMcJ50hUzcU6LRr#QWkaO)ea9qP(}tCEN08f0o*W={nY*vBMQZmTsYX{0^8<&am)1 z)T<=eXU_VHE5%+Gag)o-e+wzztv^C~2L$=wh7>pt1en#aA(5Akm(NaPO_cs13ZNLu z)G{cIxeP?v@V#mc@1;vQq>O6Z=3DN|*UXp!VrM9kEsA|UR(4Heyx@8lWef;$Zy2TC5Q8ZwWm7gFVAl+vDMZswFF z0*zGpt`L@#(Y4MsTBp7_WSN%A#J^?kdj8T7gh^X~Nkd>Fej#MH!Ij<1e*dc>b$x+< zgJ#&LJqb!*v9|=+XYE6z0K+Zx4C>EYAqT0VZcNaFJI;~*AYnB}Kz>@Qt0kMmv=TLmf`5; zw6-(6R_NN$vQ;vIS@J*Qq>lUlk(0ijv&$U+6Iu;^p*3ds|6jCnE{`TF6!E_XL7szx z+^v1sY!Ok4PPPW6PjD3An@8_Jq9m%oMS|;g86@VK9)?7f;BYX4mqDVvx2hrj0+2Fx z99*dSS!CRO{)^h4NwnZAuwAii=kQf@u5jD8-aU&q{p>GPyz>WIFA4wO%-`Qz3vIw} zDu&Ya53=f8-w`01HYvLLbUai>z4{f|vJoBK2uuJ3fZ#max+r zMb0~dED4SVGKK88uw?my&U~wQr*e9zpiIZ;;Jy36JpA4syO+kUVQZvwZtpF|oh9EQ zH1jd{(0FQ4Ju%RU;$|oJ6+SU_;LQD?6+@g`a3W=nI06bvt7T9p;`1bd(oyzsEravP zkt-T0E~VY(syd^xT|**4w|i(J(RvM_B?$oIr97-S&gGX-Iv0Zylyrg$P}l^Rq5HMr zy@*3W>ApyWZxiN`rRcGY4-(6$esLCOEW}K=AZCid&;`ZiKWszyB7vvx^u3lcr5$2P zQVDYhC(h1BaN-;RwdB2KNVo(VC1F0G+I;a5RGTj4Mj#2;=vapzZXO^_$~2Pn`|gni zc4U?C+EnarJ2rGNMnn5D_}VY4cQB}W{znE|(2uP2fEy(}d)oT^=N?Rh zK$I^U^xrTY?7$f<{~4_pdlTDb_h^DXD7( zO#K0b8Z+GAf)=00j@o5>Gn|F z^&0pkddDDw<7Z`sJ&*U3txu^G;ByJ|o*Xa)L8V1~PY|d!{&{nfI;@2@r~d}Hf$j74 zsXaP-@2JbWe;`V?RB*|G;*#xNxF$V2zp1x;igEpAXe!8FJ7e5Q)9 zHtz{gLZiPF%MU?`2{O0}5VD~jAY_|bf8&2Pv~z*if7gv+*}s_^s*nFXB3Mx`^e0jFXl`YQ z`h|badb4w=P&j|T+357@l%yCFP~}Qu{_!jBwAl*@)X$?TmffAnm7`}W4L@MEb$}#7 z$4otWal|QM1KAG$f!d~Dr8!Aum)f!Z$!(b)=!ZYla!ISY2%%d21hH^9Ha z6YBxn&2rWAf<6}mm78FOB5U{t)CifY?6G)ss0c^@T*o)h95gH6#zI{R)W%T` zCB+hYTC(c=-_6QG(4HKFam|mv2Y(jc;tcO+ztS#+ZP`D0rtr@;o=^B~=A^#=q>uO0 z{y`ODo$0i~pG{dR1az?QKbo?jQShI1@$lzvCg{TrLCr?c!%MBta`=aK2AvZK_A(sQUX}o?`A2sPv|%wKKwa@iTNX5a^lLeF&DDkc zK;uW6?bimjmdc%|2nT{~FDZ$HEen3f?!RtuJpx_MUkxs|Owb_vhc2GauPz=r)&F7_ z&nMh+AoG8%!3DEWC;y(&{WysovS|T#g-r?EQjzC z-gO?SF8oevaNB*0+Fbojf~)+S*Z;RQ+U4N>8;y3ZZqU~S?K;}BXHmwuPi=*MnR)xS z;HocM`>QW2M(fs{SHZ~-9;ZOnr3)YQ{(J9}j)^SHH5AjR%L~)M1A~E_7g;qb8Pw$r z*#fjte`{3wfrl#nh4tB>A5989Kp?DfzhqE`T9bTGYZ3=kKrS-SqoZ6<0qxq(=tS>S z(+b~$(DiTOIr>EjG^3G0Gy3{t47^17f@{!YA~Q+^_^ZbU4_a9`itrbIBhoDQFOLr{ zZjrp$`32jA0n!9#6KTa)Uh1qx>;Ai`c-lzac^qHNPgMkVq4-0LD{7(W2wJl%N1EWv zVSr)d3CCd?V8;O70?$yEA=Wt>P#R3M`^mm1(9N~j-e0s6$h z*!J&_z$67*Q156gLOod1*gy7~5?TyPaqyT3wAHcd@6f)6&-iVcBln+yh2r<;!?5Nf z+T5M)hQozu7P3UbXIZg2VKq&J^SVUpE|MWj3-`?~@kvr%=;n8h1Y*7A zUA*``{H5;-LdnPXX2*g9R~;H3&nxiB6E>X-`8Yp~c+=i}^xeo2wb9fj;O!h4)#4&8 zkt>paq3s6iSzwH=RFg(~>+^YrvJdZf@dB-Fy~1%hYJ{v-$!9XF46$~U^>QbWJdsE9 zx68Uemdw+8TJOkKvN`aD8|gKvZTHSZnafQ$<9)02tfp*IBSmH6>wERa&c3TD+1YT0 zuDE;fU@tjebHac=ifS5KgQ*yHFg5f2(-UivInDlg!#<)PoS{dy9<*$j!uMG zf(r$3F%4a)fD0lkaG~L(iktqTE|*-avKgVvxlc%SJ0DyqLl?Q=f)%=W4lb~GE%!S= zBV%pctBj&DV$kNyW9l+~>YlGV6kCQzLOhyet|Zl{zRg%S&g1#vF?%vAo=}zh^QoA8 z^e$&+Bl=_W4arJe1;X%$x{bO)M)Uz0A?RJt`aRTAcaL~<@K|fmZCzgJ(6Qb zocz`Z;jU>7iDAQ#;wOnFysuuq7)##Zk7{*M->~1`>%EX74BKca-$?`Nb z-WjcxS}dA&ihk$SHSzN%#;5V|WoY1=X3J{gn4Y9uRx-h-5v%~v-t_)5n@g8Ry?3{_ z)(gGL=)g^~IdG#Q@y7A4ZLK(=*8|s$8m5QaJ9$R-%gRHj6!$-`=PF5^vtG0yb>f-q z5-R&UeZ(1wFXA1Z$Xv3(T2=nS_sp~KGz$Rk;ysAJ=mrS;4|k9fDLC#(N0cT(>%ty#UH)W}4$!qx$b|P3Q~meX5tKFf0r}EHpCpLd24o z#%`O$@sVBf4@)rLscLOt-aE*vzp>u=@bib<{C>%|FG~lvNbIUp#wR~za-R6fIA;zo zD@)1d*tQibe3V33gX8~t>wyWMjnzd^WtOwPj(2kUQdr_%j_GDii~E4Y7t4*kJX7Bl zL53{sGi9_waT4NAK?d&gxq3X9kC(@Fl=i7d4VukQFaeNGo_Z8`KLmL5e+%$Nz6kIp z_5k3G55gNA2A$%}kgw?gz>-d_GM--*fLk1ZTL1(%3jjAl0JltcvQ|8DqResN+*&RG zu5bukW5CP(!~k3t!0{zcz}@p9(GznGSa0)AJaVUCNhDn@5U*JQztaJ~lR!jy9$E1}De2WL=lCpja8qIUw z>w_N21nNHCZ|4O!_NuzM>=LLN$|56lV3%pie*DZ^CG1&_bSn4emR?rnr9YbD6pJo! zSG3bXbg>T9=^C+?*9qaUiNK!e!I#bYZlQx0XuP?(r>r1L>(laXUFGdZHZ7!YE2hya zR>kvHHi%hR=(=i&uJ7R{0?x?%1)AOc()Lj`B-x|K_c;V!tb85K-008desl4tvrFzA zRrrSuqSXC_z^~aWKjIPsg7uFN;t74UGL3vK&bK0rD7^CDe)X>q@NU|F^rY9ICMDCL z(crdlM$7i|U2U(3w3q_6oNV+LKAuhj9}lCyTHSSTTkTGm6MlHkQ#e1jG(_(6X_UBk z+JseXv&cc9YCt|3da(sB92Ve($QZo9=@Cz#o25N*45FnX$&v3tvb|XacQxcgnZB0( z@9v{bUsICg6;Fu8e1F>#fg2SXCXeLF8PpP;^baF`IZJrZ7yVRjc8wFaBstawDd&kW z7xtYM7#suDFoq(kk9E*_Pg_Q0Z%XX&bDv$&XKPg1QisrW&-#1eTReXsuejNg9|&;Y zC>Z=H|6_bcn)XaM_l!=C{|E61w{!I-XW`w6b@{BcdUU!ro4o{DV)sH!sofeEl#v1| z>GWsVPDGM59w;NVRMNAD(hM}j?Dt5X-WoxIL#SSL!bZ6z&fOZy+v860pb$>B#>_4V z$0^q72i!I$`wL73gh<<>Lc&2Nw(enp&AsK$TNv7m5Rh(&^xX{=+b+p~eRY$${|Mt&ue<*?$-!RCx4b)69(W z)i5j++bF5TeWmmc&$AKPTPxrcf{WRZ#wFbiQ1wLIRW7V-Oi{n^RTa}}!Q{hg61kC8 zE4W$9!znjX_dPqoDQCH1K9^9bVb}PT)dypmu!d#lvCko+3cjTrzA_ilUGZk=fz+|>Z+Pn) zwKwZv!kfZ#)(6Fh?&-ZvLt{>~6hbO>FdeDEF{iaRir_Bze~-fR)*tV3`)_Jj>bs^e z4q)iOO%F28YpY8p6)9l74!`+I(deK;tG<-egYRyqVaP0_MqRj197kCWylbCUBe*SZ zt@Ek2Z~P9GF(gdM^Tl^ARy4YK$XM5*GtcNL?rO5?ar#Q({O!FutpZj96fhUJCAcEN z*Gg2i+N_#ZyPC8ws4`z&0@1Nia|6s~wOoJ(si%uwee|^Wt9GZPqJG-MzMXxox^V01 z9(5nGmQCj(E{{6J_~~A}b>TT}SfjyaC-_mEXYtSmKLxwVg2muRqYlm2sT62V0F5oh z`BES)1=0yY4KIhxqNdkFV21MyBUj9DT)bUuZrL2Wz?X`TVM>PZ)Q z--RE1jmK%mss2iCWNB*b0SU;uq*=S z;*v&aqMzz=0(Dy4(C6*zTmAL(!1CZ+z^u@0WkpjRAle|zXo|n>x>^=c)B9sq%)ig- z4SlHh&(;4`?bnAVlm43BxnS&*Urd2{j4OUBaLfGf34vzJk!x$GuY3wDTtxo`MqWr4 zIW~+*TK~mB>!w#<1)+8*eR&odlQeIlcIbmoi)c7e-eqWU2g;cJuktmdLPGOr@6B0b zus+d1{~0!fc-?v)ez;_3%*&>HgU7h08xxDT291LylV%}zed@GICN!zcKfUf7U*%s} znx_ChDkv+G?1WO?;T3k}Rp=O{{|p_KItU%~DNyLZ8==tsRQtfA75X!DjM6_thlT?} z2Lcrm3S3%Y`>$$OJ}SmYEt1SLL@YqVQMd}wmAdV}pY??vG}|N;psO`%{agfEX!_L} zL16HyufnaRY5EGAK_?ifjeTikMXt_4); z>SOOH*qJgm(ca2}vqN(N9p^%r&QjXm^|&+TX1Pg!y3hfyrPonwLK2oO311Y+F(y*% zV0+xH#}|I?QCzyUL_aCxq^l^avRnLPY3u z7nzit{hekCFfIDQtS?V!hslp5VZ;$%Gb5AaFO}b^HzxPIe1mx~!&BWS5;sE4+ruOM74FU&dK+^EqoV?V`~?efhP4EBKH9x|FG<)xYWHsCYTqV8 z&wMtEp*a8&rmG4AMkQF~?r_&pw~%d{K#)46S zp9JDQbVVSHnSinZ_XF`C+%KpD2xKDz5Xh<3Pw@wyq#+PvK(1G6!0q{Nt8?0w2te&8 z)S=n%b-Djl?I+Zc1~h-q3W9j0Ui0r~9VvmtS5OzU`ML2xYeeh>A9^+0PZPA~85!A= zhLQlz1#PZy{`a-=rv7cMpFRLJK%!t9yR!H{n&hhZYj&9Ovpo@DCj^^J02`3tzpdg` zy0^~RbYgZUBNY#45_OwPkm08NPGlsJ%9>>I(Zkn|&9w9t665HUEK4>Hy*LR{eW>r{ zaW*Pc+mtNY?$$yJDED*#N`*iyD-aT6KV7e$NU5aHS3y=3msIS<@i#6~nyR z{>d;`ivSGMcQxCj=9LLo48zz27zU_a?dN}6D`1$vt+hD~s9iD4-_!uZT&V$u`8oS9 zhDkC445Q`wlVSc`=Df9Ek`c(1g^HeBs@_QD@Vs?tp{U!BtIb~HMxHS?VK@ka&18Jc z679~Y*Jjb|NEjz)zWbz`bCztpTD#P|@`;l|VRCdH`UCQ1R(@6!3PVBWAJMFtv4Qu@#<%Y~Vw#^DNau2OPVoe4VBlJB+=LB7r^cqd=zS;|m<)Ny~l zTryTZ+wnklvcgayn|J-zph<=3!?5*N4VFgd)Wb<{ZGWi8pcq>WcG*aWlG_a@%5R1A z9X}Qc!VF<3)kE@3y@da`S?j1I-=YTT-kcg z@~d5YVcgkp-JcZocTkvF15HR1G9K`*{XF~A>4wB})8VzT}uTVvGXAUTV|%HA(vBIQem z)tie4YRMFufiWZ`UCbgK-D9KP_O$6QJ{`l$ST20Gd!Oo{(#xN5(=%CzoH{V-UAh0+ z=qQ({C~-fMSn{r*O;odpCqW_-XO8M9$(G<4QWR<<-ULW_OhODEf z!}mQ5+d1_8pKJ1zCk1~I+sfZh9&{|5rMea;kFgRiaxhQTfD}-c zV!TJtt1Oh0XOb*M@L}@a{c^n-riCnAdsB0vg)!r?rai4|1txV zXU|q%eki3Lv~D=DE{Zf^i@$F;b6dE~V21YXTkXrJcasex3!D0imiAKS2z>nu5fmaD zsp;f6hy2Wuq__lT8)#-OxfgGg0xbC4ANvP{R5U1yimbJWER~7SXOkw}kLmf*JK_B; zVB98I=OVAQ9JLVrSX9U;V3mhVP0r$S;IldRrIuG)c|-Eq*O$ZGT${d=Czzw6OVznw zoKGgBMn%8nDt^}BlvB{WrIJh1Wy-$)gDZ;sV(c>y7LqVMS@K4}T}MLIi@IyK#VC?> zDttKFcm2tuH-2>A`r*~?{=ko0+0+-UTf4VwaQNU}XvXQ+>YlS;y_J>Wktz4?cS#Lh zM>`L3Hah%hsNS=dw@UU*&`{Urq|f*=uiN_P$D&X!_bkn1$1{YdixK-Fu^u=lcJGnx z&WxPepZ$0h_;8~7(%UCQh?ji2xVE88l$9dM)DPz7w)}*OeYtIEjvKxlr(Bp69&bAG zcJ#~7#}grpd0Rf7vd1x&IdrU@R4#bYp(bwQ4JgmL67?GnbFK{+>N5NGc`?@Z+J=0ulY67tWXiDa z%jmu&|E6g>#{_@R0XyV*@~qFYf_IXS^uM^;-)yN0e{$)JJB@{Ug1kx*y{P@^1nms- z1|gqILeJ}u@=wOQr7xMJt=2so&UoPniq17Nx@x+4Ngz$h+v?!l+WeoOC#o zhA}uC&$u;tg3ZWIDd}jT5QGB~++fpKyB^aLH+T+3l2!QY?e1ZysTIw_kBiUV4jyFJj}3@Td`np)I3?Io@!qscGD8+dPD4EhMrh; zF^^99@FzV@&YY@Lo1*uSY`HIookzY)O^PasZnV+_M!!?>D!p+5w_cDQ@gwZ5>@u5s z>E4a!C6%{&m`tOHm4w;XUB5pigtRI>&8BUI;O|a<`q6kP`6rKHkMA z3lN)c7x=VEFft`;2lt$5#p{O2N9tOIJ@E-*=&_ICn@ zF(fi(>*W)_`x0H_{C?wj?j3>6YAMCV%VFWIm@dkhr0pkpZl0m+w_VL?_|?p0w;zah znKkTv7+WC5qPk9c~bw=GJq=JA}sARcpJI7lp5SvX{+Xz@d3C_v!J5wy091R5YVK%=PoBQ7x>3eb@U2jS@2*qcR94jYbzu$gQkF z!@?%GN*rsae6}{Z10};{&fq>I4NXfM)N2gnb{qt*VWgQop7I--BAMvCXiGkgI(riFNcrs9P;Kpu zZ`i9+jTcmNZ{B6Oe>7h?dx|XWuu7_2}a9h<5BWCl!=A6d*Dx~-}aqfGMK1utTa%#)XWKD&@(2RXF>0Cc? zF~{EOwjjnK5$u-a3%#7;OdT3m8$B)~XcF4twfL&%Q_%RZ%TTc<=rH`rtc>#nKO0?g z28FPaL$&DvFV5_FoB9;8)SoH8W zX&5?Ioq`^udSH;4l66Z~JX~v)J;T@weKd@PCZ?105qY6-&iRQb#qLW{iuY3CDPgi$ zVTP16fv1g?glNVizt%Y6i6^LtMFjiNc67Jio-g8KsSd3*_j&S!G$-FwZ_C(Mp zJ7q_{Z8yI^gV`dnEtF^ET<@ivK(ZD~`{WgWZK_l4EaH9`W-6U2>Y0(@oO1Hs0r}uI zwn+$!@4V!$e!X$1*qASB1I!-LU7V|?XUFZiX!)!u@+C_EhmTRbS`KqDvVf}g7?!8) z@lwM1@^qvz!F{$$WA^~sG&qGe1z}WeWY?U$Ps9ro-v}vtIba-9=ttOiw}SY= ze@m_{a0s_%Ga42vPeE=s=60slZ?h3&yvdoMYZjO8k*G<0ECruD934h3PEjLCoB0qf zrmqt<-JrBY%^Js_Jy6-k=KUG6DN;T^%(jCLU0~)39?duM0N$X=9Apk|!KvGCE0?^p z@uN(Q2FPrMU?CY%+%r?v?i$v+!m7OSOV;5G11J;3{x_SkFEl9NZnmA?A})_OniytU z!_=dzS>xh#ViqjvD z=9?GzOHD2KM{jFk3h=c(HK$x%(P%U(D{v zm}@HwV+rGwBU1A$;ogVC<9*Sj?5tfKTwR4eHz#yFLV-f^*_AzxoXI)>xA>FJ&Gc{E z!lMbx2bD|#{BCet$b6}M-m9b!yB0J^?%KF`-UDrD|1cUN%<*B9PJq$Hx>5-N$2J|E z3Uf*heM%-2tQ^euBi-lTS*Hb-V$rBh-II!YBZf5f-iE9nN^>sBm3lg)5iIj{zf16! z%yz#V*U5pS#+*RrD#elwA`2IkGvWT=fKYh{c;X`OHW!urYw3(C&an{^oh`yL-|3kKd!dM>_YuyVl(!I50_i9aMr6~DfTZnIw`K2XFvap%o#Pv-l${=&>DS=iaZy25-? z7bAC&Va>;yqfAVPqwEy6B&SY=Uj%$bX|@GerZbQ(s~YEz?xOP~+K&{VI3PeB5x=WYcy_NuaVy_ zOYg_@NwtN$fqlO%mrTF)SP$nt{2{_a_SgU$jQhbK;KdSPi(WZ?hcm|L<7)eqBpzao zO3dU$7Djmh`A-C5R&g~fC{Fu7R)6*UE}Abhi_F&Y8Q%Au z0bBDgr`Y!9$&bU3DjZ`xD1}>SF7KR+BP@!h-o0$qsbqK1<@v%dj$@4$s4~K_qvDI1 zVK8&2uC>+Gr~aI`Qp&tJH33j}ZzwsznJ#7#kvPr3wQRdK<BzP+B60+#I|29v z%NE$Vvb9!@%fTP+_V;Sihzg++zaUytsNztf&3^sCPpgDO`t~;ClGdjrbDh&Avbno{ zS|4SP^aHmt0ND&-n2YWg}Pj1mY1RXYFdy6akd=BDrLQMFGTsReNb0jpYDov3Nd*p1l zNe)m^;O8|F(&In!db&LBA2{P-Ei;L7>L5;-aikd@92bp^#tv4qeo9#vbFbpYUc@6> z!{te%?ANB5tA15@WIK5dERs8)9d?$g&nuH+#g1~pi z(it75p4UTyCKyEtkMx5Q@$FkxtQOwel7%NQ<1iWJ4+T%_j~fi)TN3@_R|(^lMFEo0!60j zBE0}`+RF0>T-mSr?W^M?#U9BT?+3rpxM1U!;eB=*ofL|3;|7}Y>zv_GgN(+nL}o`_ zZ&Kcqu4*jBn9z}CVB=T|z-%8~Lm%M7mbSKa=f2*8!3k*KoCbx zu)jgam5vXQ+}RQ5$VMt9rbuMJCN_|>U#D|XqxvKYDU??jOLZqIK$!fdQ!w0keFEaP zC1cI>16gLty2r@oydZFQhZ%zgaT&n3ESOe{a$c6CogzmH9zLqH;SslPMtGTu|3>$L z^YhVl^S!ku+E;T7+vEwh1^sQ(zKpHHX==|EJ!frfMi5|%+4G$(4>K`lC}ZUP;gro0 z4Y`s;cUW-c)iOI6GKHjr8}a?~rENCk8lH(wl5almN2d!>MV&q19>KWv%&XII|06Z3 zZuT&uKp0yEcwi2D6tn1-+OmsBR{27aC-;+N3JHtO>(g7*?|M>W!f5x2i7eJo$ZzsW z@-8eRkO!Nv6qQo-qq8nbEc3l+vNo}Oh3fRiR$wZrGPcW4Nx-NkLvaWGZLBY)XMp(i zrMu1c){*7(`<`uc2ZgX$I9bA#m+xtCCNxRDb6Llfkex>snV3yxzA-YUC2q^L-j0i% z3dhHrKEq_9^a`N}I|^d5bn!nDOYLugo7wW#NTK86&WdQVD&JRbz@%H;6s)x7{3fzh z6O~sFvkiG2T$nLM_|EU38i`VtNmS}_W>D1tan;fy##Y#Zk1QA2#ofsa7ga>Ly3Iyi z|2+IH^W~j{1j)X3a_%#|6p=FdjJZcj=4n4vduPWydv39$ z^HzqqV$E{3mA|LlgzQJdhxrW4jxSjDJD06B+!mScrV8mIai9m#f8aO8#4qWjoQgPk zUu3`8nZI#lot=T=raB>vrSZY3;LIen=bM%MSTr(rh1lt3)CK`jnj2UjA60bH zQKI-LQwvSZD|a~yN-oe5xQ$Lnmp$vUacFPAb>kR;FGg!Y6u4z+o(ZSqZ@85G=-}mM z_6em!*XFW?zyyhZ?T}!CsKye(Mtq48ZlTe#HI9n`mI-0@A=Z(4`=qo+r07wav579~ z>o)->D0zq)6;!UzDOr-G5aD_5Z4)g{Yaj?4#p%$wtPy-MqL{j{E=gcT)|fLbX+v~9 zp+rc2KZEMlw$8cVeTw-bGtKjBh|Q8494K(ASG8d*^{fp^1(%Lt4XL)lMikkZheVE` z^wqLF@gd1Rv(i4*zf;zM)&m#JpeskWm2K>FKS?WORZ%YTyx0jUy#^k>C1Br@K>dM% zKoCPHo45NNlVUK3Z7HDafIs5MeIf>lO*#Cvbjs-{yjmXb{dI}T`PEbcPe8FR7NW~6BxSE-NT&4iCuD@>5mynkShw?)Whd((PQ)0B3w*8hv+Xo+M07ofc@Nv%t4I=vPYO z_wo4QD5xFG3(l${dMYnP1ZY$^U5T+;$I2?!SC;no``57qFAlUfU{X{KlW=AhmF1VV z0s-uqF@sFpv=(wv$im@ax{*uyD_nW)b&Uiup+eD2HEVW625 znK=3cn!(#KBH50~Vs@PMHczsUO`H=cFYWK!HBfHUE=5tL(92BX}T+~)fjbX8>yR8`4m#6q{++p`C7%L_U z?np2fdb{XNZr*D`m!{BUeyFg!ClHqqlEh7k^-`t$o3tQy_*~0-9J_}CuivI2ri4r> z3~~x5CFp$Wve@18(U%oUK2r72YuJ-EHk9SJNq_QVS)D3EWv`<3fJh$mM$Qv1f0dx8 zv%MYo7wLWJtU`-si`A|Im%7Yf;=*rw?Fq-h*dwfPYL3Jr;HjGgWWbrIjtIpFfINNeNKb6@^bw!Oc8 z!L*{p5|O}IZKTFhH*fOm0+zay%o)yI5efJrgWK(&XwG`(5Mlm(h?$F|)%zB`xIZBNYy-p6WFO zg3RjCk1Q!6a4zbb@@oPz3GS1idn0x z?q@pnbCfps6&c8>@p8YsF2fw$8AgH`%zJ$hNgZSn{qV*h_0m-A!*@X&gq@;YviIi( zeBg^6UVl_DHg3bRxHx1JukZ0#Roc4$rH#)jjKU$2j)KML7)R}5#Y4c??%jpx;o)4xJ{Ah) zGd|^mo&kxoEzM;;c&|L~FQsYfqQWko^SYFYpLF}nXBQC}U^))Q^r0!2!3DIOe3ky0Fj6(|mcqQ#-bg1dzjcLK$| zcqv}oDee@9;I1LK6TbZ3`rdl^CwI+Skvn&0&OUqZGo#Z_Y$4;@0kP^6%x%^DU8RZE zs&GJgHQZ4uN3ZrM`)hHjMQk99xEnZI;zP#7O9koEZ|R@G%*+TurV`SSZn&Otltu9% z+4OnnIQXT+sD(?Bmp_z`Q^u*D;=_!*bz;tgBpy%(3q3Bwp-7J+xOY&hnI-y*#O=6RKd@$lpj@d!aSX9h0N?t|T?m9Dj9^rrR0 zq8i!LQRwMr%~f|!{wcWPGJAqkViUBlDz(y|VOI?x-=uwhswKr8A`PT zruUt$+sF5BD-*AYZEM;>Zq;?kQ6JSeB+oJ*pCpf`<;YDS}z|vSTIR(Kh zhm>#Pryg_LWEb^!?b@Y?gs0&w0D~rKD)QGwW_#dJKA|qPs%*3P<%4dUl!ZH#Fw-~c z#d*iN)R6@FDLDDd z6&*9)!0~u>-Blqf$zi-P*FwDFP7+%8IiZK(Xg8~uC#b-~R&l9^&5Rm~oa>jyMk%06DRU;s-)VC39R5l#Vsl?PTJ3~nakGsiLJ4cW3Z23D% zd}w)dNLw$zd6?;AvH=4b-M^nZc7xAMhO*wujkPyRU6kl2pcKEGaZ%O?M-i%&pJQh) zm-{WZKD7$udkQz&_$|NbF|~VO91wn|B8|dvIS9S?#)@E<}CIm$fX5HyMg^nJUEBU~^4Z z%7u7r8=o^-+}Jj}X1!41%Xpsx`i*fCY=<6QmO->q_ds9+99QXC%mj|gMx*S?w&I${ z?nz|>!umoH-APWhevZu^kFvlw*RqL1jlMZbduJs9+`YW*+7e1wov`jA&*95u8K!Fm zyKXl4u$BWk;5>Nb6(u>T2vvuMSG@1-G^4>Skf^ta$JZT*CC}nPf>V>wzatqT&?O@n z`zFvPTbuT zg>g-(&2jhKve1{7k>OMs&0EOVxO0;NBvXr|#Yozmy3oD*sfp`y)w4K7YkSli-2XM% zD!I`NJm^Bbe})Xd8C=G5z?7Wl8>SbkgGGAPH(j&Ze=(mlXQr~aax1GNi8|gchlE?a zXg|zR`3}30CT3j3H3wcl?8WI)`Xd32Xce{K-%Cmo7H;nE8 z#w1qWDZ~8bQh@^3Nr1=@lX)D&?x^;3_^~JDi;C&W58GR`n!4-0e`(e2;IFx!E#AxF z|M>L$Br;c$&$o_M+lwI9n7yO_Xa*)umE|RJS18A}Jy}#SD{NDEsa5k3mOpLF?_TEt z)%o&^-zMW$x-ACZ4`~2bTb6KJ%O?;v8;t#1lO|n2EOWbv*jShuF_o+|hbH8B}6o%J~8!Erdjb_Q^fEv|15nDSn=_cW`${(#l0+|)J$_KIaII- zofGKp@nrk6+UGP`<&49D={D_Id};U({;)I&4+&x}DAJ=V;rlT8pPLfZ9rV z)iM2=*$s9@`)dE}CAZE|zz;0A*H5Ur?@up*2WH^Erz1|eYpI%C6M*w9x_tz=Kwyws zUHl)<>te&vi25GJWJ7Y+EYGboV{i{oTG-8%;YulD%tVaflwg}U1nh~7@HZF7ehlt3 zAOE!9lj6x3!>)2_ci6K!qbQOQ(NSo!c$f8LOPAI#%5)ALV>8?{Ea_H>QC-IqCiNLL+=ytCCQA$UX4=(O zLWI9Vcswg*r|I0&KCPSjF5BO{b`;q?eVEle@}w7PX0s7B)F-0aT9cE?BB=T>^Vrio zf_Nh{ev!30r(U*t+kanNz;!H;+&}g#r9Bmqv&QEWOmgo7IF^%qtR;Cp zS+TQMylofdU0W#25oxUc@?m<}1__gYC%jrflAJ;`F;9Q%uA8o0E80fD_cU|uopn5J za1x_?4bM@@<|~p4qiqRDHVCo|b_uzEN1R4j$l?zSD%m5 z$6Y%*=IeBuu7s9$0d8=|maC}cU3F);Ns~I=wm&2kl(Pe?zJJIuyf3Z*hu=Ra8@3q3 zoaXlS9e!GQ#5g2EcUA??)UV|4KZ=E5DZVpeyI#wEt>&EjDXE6z;g(cYmCcHwDyV0n z9GU1hcAFcV0v`GNrUz6aUUW=!8spNHMU zAZaGB$D+$$Jr#`6QQW`ThkV61Hgu7r0X!Nn-^t{lGhHV-vb1Bp?-`}zsjEb4U8Rkf zirT=KFVdHB-XHRP9e%s_mQF#?UliTjU6eOvfvVkGG|on$g>yHrwx=uf9ZgaH@hF2c zz*(qNh^k}q^wxH6g~Q%jt&|p>FTsyD1k1=3M&?M|q2raN;t^Swb^vVZPTUc3H}O6e ziYU>w`XkhfO(UYXEh@K~cyvxmFeilRoQU0EZ8_6G zG&$tUoal#rZlfRl#`e2kdFwUu7J_8y_nQ~2P)EF3Xe(wA9bEYmzFWc1ew0{I1s(gV zy}Q^d8yyNVQj97Xj+H|y!ytM5F*!Z{^KA~%?oobf4%9&37|k|CpUo@$%~UM@)f2UG~MTroK2r}@6-2epI(~F$>Wo%;c{uZ}W9p zht^AxA98SsjWXPS@(+84S7p$f!KTD$Hp|!oa8Z<)D|0%GtNNEQ<{P*E)z!4D{L^dU zOJq0*GEgVE21B^Qp^DUMHSve;mbXnB66vc^3vZBz)SEb0YU^h2|FUoOeqMKy0_2@O zh=m>9;OwY(bd|2VE2;>z+9y-T8nNCKwKf=e1?CysPOJ!nW?eQd&4iO4ldZ_nVcTR{ zWa>Dqj#djd0=HrsH#yE<{fA;=Bv|~vs8MRfcR+8phJ7R4Pms-HbmP_!0NR`Rpd23iuTjQ6y6D*bqGyUuf{{>SO*{Dg2kyO9uJy^WX-_ZYWlk zBJ3SU=E8uU(*PICLgroHM8k*+xrMo{aoiMl2_TWi&r0jWA_0f0si;JH>!s~S9t9!i zBzuxz5?0#e(hFp|W&_h$2EAFz@#%h^d-8n#ncn;1vJSCIu^DzMHE*MBqNYgF;A5hj zQuaTwl{N)70M(QJ#1~O*)@<(IzGPcsE-`2l>C5VK?c*uP?uf|_k(`nZzfIk^2q$h0 z$vSg8b*LWODbYWkd_Kcg`1s0P!JoMO=4p=42tT}`AkY?YYSwVMx%;Ko^qR;-5)}g- z(t_aQZXWMP?4DF5tJ~uq%A-x7^$e^C{!6E#H-#;C-$I464q90nysq$OhFy$}0H@+v z){gv0CM#%;2b|6DUUANUs19-fs|KM4QorH7#+<(%%&EF$!g%3B0pY#8_S!N)6H$ixha zj$_&5f{*f>*ZqLUj}H@$p#XQ&(IABXHE`_B^CDrBf6q+4wlU2dL(>R?8z^HSZ;^Wq zn^1o?}fY9ZfYD@fo_tA@oOQquUoFxE5HRH9(TB0Mcb;;Q)R`Y)f|#%4ykS)wc#$M=)o(k+;w{ip@|5+|s{Oempe7~BS`WYiXs*Y9**nH(g zZ;eV}q+6iExG->!{=Z|Uu|N;heb$PfO8s+)!20f?41895|Mma3-iMpO{w`ymqIw~G zRUR01qwX}d*Hi&6%F(PaI)u4bv@O+v48>gFpN(2zb?Tn*1fzRq8<{0Y?8xe~YsX|L z`TigIrj(ejevETPYbWwjd2mPJuE}jgi&`C;x2_t~HiPFAm6V~o(@KY1YOAVmAL0w! z7<$a@pIPA-<7KbV!7dsq2_WzMujj3N9eI}%83JJ*Nc-+(V*K}#@u zPfR>yAtDtr#Nyc@2(j&)srcN0 zWx!vAW9Y>%<9rhqii{JmmPHH&k80mc!j1E{PpL5x3cQW2UGLOf*WUS-W>z(R9&?2; zc-m`<_6TL|nVR^RJ;S|Gl*PizqTK&N?E>euk$H8> z(^?uQvGSHLGf2rV$t{@4^Cb@n&EMUE#u2vo$6?dQ6?!DQb}jRdlD5LN?9~&=$0%=M zsJ(s)kT_&I2AKg!1J06ZmuBbTIWe4z+Tj!%$OtvYe zvPLXKIQN;_9q2Z6cRkMUjvunU!)qMWSF?Crsw-Uz^4VtN@9mrHt0gwLk5EzD9sSm*pjMhcF7|?U7|1sD;Uv$4VF?4Wf>YV& zO~Tr2E{a7po{JQ1J7ez#LlI3l6 zGC=D5YEyBXl*qB4Y{Ls~pMp>Lcp)Pxnv@5P-a;ti?3l3T3Cc$)EE0U^4WJg)tmH#XZsV-%2Yb{2f6wj6-&_wCFw%N=^ zCHFsKf{>XHLhj_nFEAu`4^EvwXUJ*HN#(3>R%U7DH`liT$U%a4PEoJwh0tud!O7#2nc>$jFz=2GQHBxYb`O_(lvKoWIb|Mv@yvQ9zutp6D1>9S>1hR45ua zd%a9=uWi3|zibPui_eKuXQZ}iAqtgUdB?q9QTnplp?FR`Kd4V_U3%=NN9)rM5JV0} z2x;%B*6ba@k4U498a)yUiNZOk(htwoC^~$*0bc+1nPvPMxlc6Y>8*vIm`9O-fd*x~ zy^xw4`Juf1oDI^?rB;!>XWr~Xti8(oc31oF-L2f2cYnGBsJ>{`rS+AeBx*H1EM}XX z8?Sh-1P9EYrL}RI(N+ z`$~`sQaEMak4)D6WD>2do~$l5>uv)RW4awyHy6LR@MK$VrmKFf^=XnDHOA;iNmBH6 zF&x33{_1S-_f$_pY+LcaDir@&E#rW`$eGB1cZ*`}rzk(ovGIUnzn9 z&{s&B7m4aD3`4sr4qZZM!h2F!NQbxpQ?!P;e_cz8;5|lxBzIvDH~4RKUWy1`^0Cm~9&fSUFWxFw1@nJ?>@@1#q;(Se zlSrg^u1D+(yLGYw6u3?h zssx=7_TlmPaOQBNNvW5O7xK7jbV+S2?4KwU=klO3dBgkL3UGAoI*cf~(6B!NPpgSF=&9d@JD()~j+ONgkDg)s}w>J@%E z>haKbFVf0@js4AXP0pJM)t9SjoHt)Hublqg?(iAwcR|w`?1Wwhir-J%ESiLkp-j;} zIzkLgm2tamDbEKzL)!-6I2R|+y+H^?qT{lM3T~TMMnJg^Jyg$698P^sGfraZd?uK=9eTqgLQo04q5ejBU}liBy1cv3lrq1n&xV9&N-oO-aq)Rv z&|609#Eg6kL6z?Cb0gn6kO`wbHt7aY_)CtmQL%4IfXKNWqpofY=J^>cnuos>JwvkN zz@zPaOQ~mZYb7R6T9T_9KR4#je7?r!*vwg)07%P6T^jl$;zl}{CO4AllH{*Gk9!nW z;(D+ZbYP)o_c<$67h@R}D-(I0%22bu^VFKpsr8|grgK8x zPld+I9rKC^fMA=oT5D;zTG40o9|!gb4QzUfzd!VBx1rn6Uu*2eJMy2SbA!J%yyuvs z^MHd4@4wi{tP$c(KaVwFo>YF;&%17hMuTis@!$}d4;n~9r+jcMSPYMM-VVU8M?A-S zIlrKIa!W_2yYY*?!|W2Nk3b7vtJ5DjrvFZcsb@^hnWlfY-O}&Y-mQAAKw>;&7wyg8 z^|q7ggkUgiuHI9O>Bz$`VQe{8)I?#kVI4&wL2i>3(8 zX2|g{-P^XI_#nmewKlK)^x0KkJIzyX!0FrNo=+~z;GWltzlvCg#H%G(o)~ubu8AP> zV~~9&e_j00yKo*@)QFPa3^5OZV&giT^Pu3W zM~b~<+{?Iq*eo6`7q#eb0PRo%Z?U;PMXY+-`eE6@&i`NCDguUZfj6MQh0%T1WGFj5 zJvT?1bb#)#eALGPgKweo3MWim;}|#tKL{y!r%E6v+anpu>jRi za?(s>`d=@-2Lk&kzO14!foRj;ku5;XDmmmL=2YI1K$2MSO>!stkS{UP0Q%g@%8?rk zw3+P32@~D2yv$Ed=l{L>>KWI@?>{%`>_GGH7MyDrUHIf>$`;anJl=E9wMq@F$Z=6{ zUk`=*d(qT^gt#c^w-d7`tG{+14(RxXzaca(^N{RszKok0Q|>>e-#gxXRcv(cFo*mB zT0Mm2c*1#_ufUU`;TI1qq`W~2zFYaXMEg{)?U2BXl`G2AL@M^#LRDpfo%-}0#;?{jwEo}>mOKww#5fYeGk*=?!z=^Y0>cuFs#fkC!PPB=HAbs7N$lDpn zk}zZrMn@HPfm`;@+9iiuv(!-!;0nsqq&O8yww z?$305DAWy=Z6{n;FnT`e8P@u!qrj=G^)P`525?or^W*(0t}FqUn*C-uaOdh-91u4n zpBYky%EP~25?L{nqEc9WR0@kSX(B^t{;w%pFRYAURBF~LOYyNB^aQIzA!5FY$DE3! zK?c4}NYm=mOx+WwJ+{6sw_Mz%EedZ9z!)kbAuc+qJmRv#na}2Yund_~*pJW1c$hOD z5^ln_TqT&?nB%rcd2)dL%b@H+xI_dbWPskNGWlt}EP$kcd*B=3$h-au*LNbpo;EWV z#^Snt_VRbs`o_YU4Hmcm@wu}F9S;Ci7dia)xKQ`3-1lLZ@fOn6eG3$F5HaKhUbw*fxk35!j9$FFsl~6H>~X>j<9u-!l7L<6b}6s)R7@<^ z^R)|1n}+5kGznmn{4D?7>CT7H_!&GBv#F#dW(f%d#=ZouV9B)ghI6E621%0@Icrl} zbQT}rPr`lzb-MNUtS!oe9=Zc-nsPT;NO@#PX7xR~z2%th zemzVIHfu4`R;n2hTsL{;zwL~?-+FKLl4(w&yKdYa#WMIdf##a8_9sK*&KcRZRo<_E zytO8ZbN#rZm^isa4^*KK?efhg#t?l*fZDr}ZY@~-k@}a{kGR#g&#IaM-+C)$%D%v- zX3HeG%myWgzH&jyUxPI^5oz`G_P zFJUx;zY9^k$8ve0Tlnmng;ARu{VXBNuG6~pb4507l=3ko!p-m-=T+qKSkY?ciRQY+ zdveZ^*5MfWFaN3}bH=d>Zd^I{+kX$GDBcuia$$6R?wWRg?_%$a;i#sN>H1@=UtI0$ zbuZBYmR)con@SDCGfR(jlwehjO#WUFU-=p^?i&M zG_LeU@rKwp)8ZS?)5olR8m{wfJ^9_4&;3@H zU`lhBmCslfU!+fmv-G4HX2Upq{pH@C_YR5yQk%gXi%TM^eio9|6;)9C4Z8=gCF}9OGUi!Aywxy53uTo{r~rNH2Txt}E=2I<>?f=-)nW8mTeR+R?@8;t`+xLS zh(vu&)Cc9vzRRP$|Hv=WFKJUd3r3`QAyv?uQnAIr{L{H+ksIv_a1^Mcug{%BN9^N|g`jaw5@8Z!O7&nen?(No93@%~cSd=*vSr-;FI5H7%mx)etTeic^ zicC$@$3&%}iZroC+&LAt8khYe>+_z?y#F*VS6fc6=UMAaBC25woEYo#Z@2Sr`)#2H zQYIYLIJ{$N!Y|Ii@V%2(YBS2P4}?xcXbHzBI9>;wze?%9Uxn!t_c(8{w1gST3t%oR zSh^LofAWV!e^#^FMsNl*8&mSXv|}8jJAk>r>TT9ofhw%x_swrqu14Ewr_b5{q1~+i@>J0U9J`L zDfPqpyJBx)-qBh%v`(EYn~kY+!knzIo3^Yv2^Z44d1Q!p`05-3UCins>n2arm3RfX z0R-u->)3&z;vcvIoC1PO)pcycR-NHIjaS75sB|_f@6L2olo2r6VY$p$I?fQb;g28# z+N}`NdA|k_k6|>Rj5pcg7(At^>g)GGOOJPQb?;BPu$o-)+OZIKn-7FQ+zpdh(rDw( zB~Bc;tVd#LC2{zX=t54-pMQXV zHlcDSm!@~>Wmtga<->`a{Mhkol(=ngZ8;b2r9D!1*!PD;b*#zx10&ykqmv*nA0l*P zFAivS{hiCw@XHm^Lrbf(xyY-3Y+6pg6|N&=0Y(j$vzJ9y4+-03iA0M- zDFel&Ukq-a>*tVMV*abNqIBVA9Z~#`HoSlPBqp5Cml%96 zVh&wr#S=(krgCr`6P!Oh3IB=45c7&A!Lq;IFg4Wq7}iXDMM5ElzYTgB{v3_Y!WMU3 z_5;yrhp4vu(!w^`S18uEEYy9|CJGg^RT<{u4dt|m?NcT!NZ*2*L}?OJL}RTOJ9|m5 zj@;?)mr>r=&#ZWrJW$LHL~zc2@B(+_SFso`{K9h@Z0%70t}@qz@RzbD8Rhh`w%c*k zv47Xg@bctV0(W!BiApBX16og|%2L$jMq~KSSkI*%+HB~N47`M8YJZRPMx?%*NqldX zLyo)JPDm}S;$xH;k&8_eu*PqQvvXX}s;8%%WT>O6LoCOL2MutAapQV_OgkZ@=pBKC z)RtHqY@_ck$EN}me6!)v)|eU3WYjo-q~kG0r*WKpzBXY6^g=GxPJ(GH&&ZmHAgsT_ z-bNBVtnsTGqA7Gz$?P^%4-S4#UVEDdL&Jzymwm!7{zKXL;mpgaXBR&9!!sRgSCfe4 zI()*8cxyd^!8>i!3$vmOG~l4oZLG2JC7`G#@7LnC5Y6Py(PP$FUPkFvbFo++<@qm< zPhLS-IQYc0r0V%sc#~L*Of+|knaID{0zqAMBgBH*526U@J4eeA2_lWYmW6WRqchxz zeP9V5J|#iQn($lZ);%UQBmS(rpw^AuPgWh0TtPFuQTU&CgP(!|S#A;NcPMd)N3c}& zZ2vd8-)b_ZiBnciF!lWnT@5U0ks8j_Zb7$EnG>bgSF`Xnx=VJv{#Xj4{gmat;g}iL zn1Kn1>6nihtuefps{luWa@JwRO&_dbp`v>DhiVs~ZF&^_DC_6=LnLXs<{T?-M7=S(SEu* zr84o;wVRp&}Ok) zxcp{J?D%EHGHRYV3khRdCMPd$GbvZ(>GKaICxaaZgn9)VR2wtqGbQmXGhE9a);0W1 z=#;z9PSUDnS}g;~Th0C)HCkng$x8#xR4iQ8lMRdAmgmWmBEovjV;gII43(FT()s7! z^yex;?X8u}ms2MD)#XBU<3*vkdFchhAm&58gP!0Ms>qY>g()Yf+eoF@w_Z_%&cn%2 z5k{0etBA&7+0yOhnM{_Jm;DsTwY(ys9%WcNYZGLe)Dp;aMJz=M%wKpfb=tVvOBS=q znG+VsB6q&D&3*iA^%X;;E?)$|W$XXhrMk!ETk4fYYBWPGLt!qA-i3FHO-twx2{w#355Vm~P`W zP%!8b?AdNhw;^UM(Vz8nUoR3apM|3G7h6Z}eq6foV$SRyGWqubdmu2Lnz5@UfBVCp z`{851Y6{2^Jq-sFIV{;LefPF1m@cU9vHzSEHH;G^*E!C87!N(P4OD zWNb{->w~yg?ONf$pb=S%ZJKaA!-6ut+elXKME=J zOG`D&OZ*AOD&d7O>$7hcN}H_4Vv4ei%HL&Zn7wkk0q-e($t0z(#5~24`*C8i8zGx3 z`8{HF(Ox;{0xE@YB8mHTjU*7$N))O3~5wLge(_xsO;<#=m&=pv*PZ?~FdG6Av5 zf&-4cB2mrFkqs~^+3lrOF?jqj^*X3)5aT@j zS|KlC$L3GHze)1#N1w3F3iZ3HgyEo`HD+JN;Kf#^_ItM`h;{qdWEMCbxW|L1d$I1` z!lq?>3*e(e+tetrbeZ1Xe)(q@k2I(R^PzOnxgw4>vryz6NazoYqCaM_2Nq& zR=axLybDN8^9=_*c%v8<{m%GPU+Po(TZrZ8o}W>(l~*;5)Q}+!fhuK#!-fp`97a(& z8!iF6Y@8$C^ZlN-2CqG_pXHEXUbV!`ko+k|i4d-rXD?ylaQVE1ipNZ~#c{d+dTPn9 z!J45V!c{bkAzM!lTn$+<KP&J z<9N-td#_JVO?F^7 z9gK9@Cca%n@Vx|WdD~d=;}7|F1pp1(=Z;3efzQ_g-2Zd+Nz5U${v&bJ8?R8p zQgOv&6-aU)*5M2fGrX5Z5j?fIo~Rm)Y09}P9TfS2*b!~$q%%Mvgd8t{UaOFlh!h#J z*ZmAcQ%-qkN%2Sxx>g#<1Hc&H%DMV9yrX-8-B6~EV%O4%{`2OV%Uz(GDrfBKheBhv zV}u{cE*Vw2DIe!LnSxLZ)+oAF+0S+RboV$!Nn5+pQo@|Pu7I+aE19vG8^`^~^25Z< z`ZCq*X4_!sxY$<~%O~5=_f37~q^DHM(F^((1O;p!TO;>*xI>16@*qPnmFo}SIbTO@4o~zGf$SP+UKw7_`QRcd@v0yO`{M(U)!(cXTmNBa-5{9JK;6c`;9v| zB!LT!tV8;~&D;xZRDC2iVk=(f@JpPzM^(1za_@#>+sqHX9uQ|LsUm|@FI9ZGWYm}~!6hqCem zZMw-!b84z69n+yZ*F~P#J4eL9rn`Jf_gOP3>vu9`YuGDWXKJZu^a zEc1!ln`q6lL(F&v|`9l}F9= z5$asa?3S*JM+(CNqk;S2=gHH{f7~>HuTw~baLs(-bov`Ex`JYLJ~>blort~kw+Z4X z(M7iUwhbjCrLIQQ-fCoas&_pYZ`+~aDph`yY%b*Ou;jYOxn=HZYYZG=f^1L%`!tW`f%nfe&bbLMcZhdd?DV%xenXl$kLY)!Nu~xv^7)yN@E^F zl{nWnQ+oA0XNUKfuUhF7_=F!_YquCqe*aYhS_!V#4_>#bB#3OAWGskJFAxj|P|(J| z7aT`rMYQL@3_{cE2Hd*V){_)3__t1R~!gDGR?Xn(@DAd^j* z#gRY#6H4#@oG*7N>Y*Mud<=7;VQ=`udv(LKOdyBfT#Z!uxGj3?&Bt8 zH~O~p3363|J{HNik08DPMyK-TI$|(k_8h%ow-k{bVZ>F|RAgkgd}k``I+p4>maVVO zyBl0XSDfRs#J)c|S#xmi?i&nQ1u)rkBKqkWaC_-fD>(7f{(TQJ@&$Gi7{9O>P<&xQ#V0 ztPiF@&!#b^Db*m%-dHxj6yR^|2p#luE23!pwLHt=I5+jdn3&~^1<+lg+qJpg@=wq9 zU8HyL73~Rx`pEJ;^?j^>-B?e;#jev55sL zrLzaVd>u_&+O(G~%T@IWL7yg^HYL|&<9&)$3fC>cVWot>y8EX+LFxDP_|@KT_XHjX zbV{+HL*bfb#epKKh)r!vvEAp+HOz{c{r%#mDnouAnZ8Pa;tV2uq?Z0?{{CF=0qL0w z74Y*V(*%ZiGoA5gYD!~vyM7v6RZxX7I}?AgqU4eO@R4i?Nkgzf>Pq*8S&=6%IBwrw zCFkqiv#I_xiQWr|BIx_JAZz!OS~idbfBkT=vL@gaH2Nr;;ng;`4TUEU1*_>f`AG0O z`r15?^k_tGO_xgQ7m={K`kPE)OosUz5+f3w32pLEzw7Gbxg#3)y-dW3`q1%!Z?U~U zD6zEdE1VtL5*cYXYm)GjU#1#NYOnK3zVjWqN_-h+Mz#4uQ)MZA$YQgLBZ(5f6Nq(Y z9j8AY0F>5cZ09RUQ8161xt zZ?-pMN?ke;@1RW87F#6EkS&W>xfm^9OEGS~ zzGtmAk#me!==u{DFiG=8C~m7eRK-F>{0v$_Eo*aK#FidLtX_B5i1k>ggBx3DBY#3A zbNw%p)yc*(^YCRRXg84X{5Q7A={8N7;@?;UuQpnPMbrLT=?h24zRKE&K~1|CA)@v; zs=rHOHA%`cJ9cygLGK6E4;EF3wa?_=DUKIQH!eWp$>Kae$(NMC#3o-+eoI)Xj%fYu zrAz?nOFX{Sdd7my6&Je6DNvHSc zWHQI!PA;iTRfs;8=RK&(-Eqm@*~?P7sfqIBeFMkZkb;q!>`&6p$Tnd~okZ`dHQ#_n zWQ77GK==u@iTvEy1`UGT_7ybhy$R7bf2J|9sP}WPcX=Y;OOoskN1XHZ-l}fUtgcQ{ zR0Wku^b5f*L?nvy#-ZeXSlD)W8xK;>?b&M~ZDQ^sSdoV^vT0ruD#iQU2iWQl7w%=a zle?hE^U@xXS^EQiufE*zQ@RKV09S=Y1{h51d$#Xtm-uVE+Wm(Ff@kcS(Ea+&pyu+s zH|)8Va?IA*)}Q{EP3B}j(S5GNTQ5pXeLxal2LAK1B5D6wIK+2P|CIm(?x z_y&aBrZvQFV|deYjCMGlJBu2glJTNr?gl8YCIGgxr``)Lh;EK`1wM(j>tvJk18a93 zN41Q8|3U=aaURG_3&k=0V$7Ft1KXb(YhELW5owrMWYiQ=$?KEBlf6gNg1n4>yYPau zv1Lz*DKJaC<}2%?d%Hhg?f|YHx@veYMHGNI-Aqb#9X9Ik(aBi3PmD?;R5JP!XI4Tp zi3)A{YsIIM!a`c{ko-dAN~)f}`(>^twKJ6eow^=IpSa87CAnoRIO7YP()%9>hauNi zCuLhw#!mrS#ROZOO~`db9warU=5bHj1slp`lzey~<2$n>3-(SDamURdK}Or?@JsjO z=$J*WjJnfEB$Fa0sk&*uoJV&r0{;xt1VqWt(qTLrfKcW8JEb4j7P^Br*g{~@ygU~C zF|mV9C4;@c05XnJrxJjPQ{m%au?_dGY!XP()~_%kmuQCB7-k*%ODwH@ z>ABJqxwo7ny_yEC6#-WJcZX(L>{@}ji(XW;rt72JTHp1!g2PG+i!k$ytk#>J?T8JT zG|%v@8N_>Q>(Ujz{9Rtwsm-1i<E?G>v^3vOsu55A zpXC*Hj(V1j3=6O9@GL;{89upK(CR94wT_LT!qvu5#1vlf^n4W9Nc3GAbnbE{`1!(% zEZwkUz`vOXkhaHy*V4o!ls*yvcgE1C+3?BXBEtph;71zd#RFa%2MgmQj{t*3Ls|ff z7t%y{a7X%st&^8+zx*^@Xp$CQr15hI@RP=-U8;2Q{FLZn8Vy;P4Rq)i zVZaJ*%8GahFR}H-8t18o6wREuFRzv$q@I%ADUL`)NbD8T;d(F@=aYvdrLWcR1+`=n z`e%~O;2&!KB(x^NqSM;=Qli)<_ELTOHo@j(#OBuBvHF$$Q0?pb?ZA$`j_Za0uk4YdHmA z32FcB?VUD$TTwwvCI02zidcUDc^U0>T30!R|V^qA1ay70AxyIBzjVC-75 z`B+%Ob6jCw%8~|u9s%hDjmKEiZ$u2dN&dM^xvRg1)_4bIQ}Te;<}&-VjX?(<1$z{r zh3XDYcXtU8+$BgzAjsK=_nhzhGgo&_$=y0#)jczCK*I0l z5m<;vv5Xng5)KHF%L;oh=2{e6%heeS)?GMuJxyP6w4qQ7H1MpdVn4A5PfBv3_(Wpt z>_|(0+d=$OL1A15=nxv}BS_mV<2{k>VQVKp4EwR@5~EhsC4*5C%67G&-0<;$mAl_| z*+=0NU5B{ey&85j#Z&nQR~{zdN5W=y93j^+fg+(MBdJ;bP#w3xz+TrJmizDMLz7Zh zeC6&0$&AFK#G45^9zhDr&$LZv<(L3;K#>2dR(Tah=YQ zuhU@vZ)nr4RZ=jx&aPh@h%6lURH@T_EGb&>##oO_uNsm5qdM^nOX+tJ=CY75T158= zC%*vVtoMBp)Ca*D3K}B8)!Of;T)Fl}vsX!!%Lx?X3@O%v+UlcCj}{E_TJvt7Z~hiq zsfi0@jt*EmevXu$C=dSVKmj}(VE(cu?TQj{>02TeD239`H*(mZ`SOK7({BgBugCk~ zpq3erv20#}9^R^#5l;`a8ZV=vD><*Zy6(H;i{8rFu6uRZGWH6zQ}=6)Nh+6Wnk^Sz z9HdDUEnyUDSjl%^$UiGQzxL#wxo@#?uElY7Z7!n$m;IPhY2JOkXBBY%DB0dZnjRF~ zI!y5O_|FkjWQE~qLqWz(=B1y53UQN7({@BmTAX&IuvBB*B*T|3uLv#Q{61-2XXcJp z1^(!GOe6E<0ES<%xZ{jJ`D!=5DJVA>3$YBXE#K7_!!6H1=Lf9uZxXb*JcFhJlv%71 zAZt_Z9y$+s>uMHfWhsZ+YtdNk^Sa_j0Q0eUT5^$(GJ5B3_;TYP*^1 zc2Vt1-R~P}EUhKgUCDWD87?e%8tO^8AmJ38%~%L|)FX;BTcKh9C=*1FVi{&(O)>L3 zM>dvFRJuAQO3KBU_;VAgg|bXCfFeZKx5Qs?1IBnUANV+#!L~QD53AI*Pfwv?`iGxM zVKhyHDQZ9x;bNxtEBt9kr~mUWb~pD%EM5%q;p8p(b0d3qGG1beCC}@9h2Bswf##0t zY;S619*)GwT;3dP-odrF#9xvZMsE2}JCC}WRjzk3_9Xe%2z330!cTm=zf3Q=(SLqKN@u0FEi)gWO4-`6ytYqow3jb9j%(y!Y#CmVyGUERUBtTh&O z)5!8YA8euy9^GH?mO~4k28=hfF)T8uYx)=wCvXxc$PS8Oo~qD6%D_vruv(l)R)&#?s8%r|8da16A2hW!`V4osqHDN2?r9e)j)Ukh7rtiq+&(A$ z-5XWfy^zCyN_i7E7jYs>z#M7bjXkt!$&xPFkvJaP2EB&844%;@6IxI^S>4uesdX9I z!;IZF8wr$Xase;yIYfWzu&>|#t$z-?)--pN)m2c=ZZUHqsj*?CjSrVcm`B^fuZj(u zDyG)07IXS3omwNoPmW~3>Ig{S0LpfSAU|sT!3G5t@98k&M;+p1%(e;u_hyH$A#Edf)xhc13oR*+xQYC^CsRO6GVoMPh8x9)mfO`Hs9J+cxdz$*wM~@C=U1ox%xS+Ql zOgB>++an{Jd4G#%eLu%e;g@A2p*A=zkCGErQY(_JbMajXRifuTaiiZCQof@3uechH z%5P7n-iwvd!*IK36m%8G&?xcas7 zXi~yri!6AsQrwqmcHjx7l>%_=>W!(vFLqIgiV&4TIg;iYFjtG06P~igpIM7hR#g=X zdsL*gTm_O^75eluv=}iQ%Kx=k$e;)Z;0YPQCh?WGHMy?7UUbZ+=%IK7L^0+2AEh$? zCXSn)3CiMN8w%tr1X2+kx+Dwd4gxXP5D?1%oYbwndfG@bW{vFMgHWmIz4YkrdQ63z6~NNCI9<&!-} z2>Iw4tNcqnX}QeS`RO}aMy45oTmM5L1D|G&5T8cXN~ub%uLYmQq`J}fD)QB(2_C1) zH{c4iIa}jqBTz7-JG-=YCFy&dI&i727ANZY!KeE=OB3H?az3Pf>0fr`OIP8@J z&&ZGfQO2=zDI6~`I`E5g+ezoI!G`26>MfhRR;U^Linwn(Xw)UwgTG)91h{}G0ywiK zpnUj;4#_0^3p~Ql2lExia@W2Wk9TTk*%Wi5GI48hREyH3GeY3ZDM|T{Abt#=NhJzh zOLT_4U;~b%TIxIUR!dT#;?b7<_%~H%(4QLWyOdT-k&FP~)yHdMl7m8*;)Gsrzq?!f35}RApBI3H5&!NfGU!;`ot2ULwo3xoDk@Ix6FHiBo z#cInAmF4EXC5n>EB@)jr1R`ga_vNee?U_)4Fh<3RTCnx;sQp@sEBHPBk6Fqb2Tf<~ z{sgXUjSFeV1Zn=S7^ODszL4J>UDiq(30uxxf+Zmx^RMBJI=C&g7HuN`-d{Y|W&iGxf z<|1R9zH{(jK5S#akYZ(a@6hpavf;HbnZZxKhuVEm@$ZZrG6ye8k?^_*;v2mQ^4R{b z<$jG#$iku%qyCdllgB|;-f&&?N4HoS?;jbgvRuz0*?^`>M(6U4sxLig z-5PPonzNvn-b|-^9&A@Sgp>mZ{(%fOx{c_PhSHw)T1NH~g!bzET=YsETt#!hAx13* zfL)UiyUbx-`V9-V_nw1kWdxC+3t`(2@hd}|v&++^yQDIDH-Z#jgJ#q%Fgi2({!m6e z(C-!PUQc0&{_oQ8GWZ6w>b9kwmGxnu!gDB+NzVK)E``;|XFiT$+XYY@d{8xh-3Fd7eW2urQ36K_* zm5%fpZH-G=!<@k2*P%DD8?C`R7mF4#@oR3jo(Q{pNtYJn-0MEaLLMs-EEJH;@IGxg zhyK`Zx>Vg7nF~=H=JV-eHzG<1e~iKiOoCcMD~s=+j{Hptq$`mokPK-Y(L~Kafh)WQG^EP=Y%K(Cl6)VUOiPuiK;O%v2ZZ6stiXLJN-4zQlMD=LB06npVAr~=EdO(n0EJ-ob?O_ z@eW5~mDP*>WhChvl*;VhvyBpJs1(S)8aG2G+BL#=ntOJD9`wGIdxAxq>|*N^c!ctL zw!p;GIHgb4PehB+K1fRu=rmOH00ycdh@XWywn_>)lVTl1zKay8m4c%#Z{v zSl14KM3rYY@9M!<8I@@<#$62l^tTy){+Bd4XE`Tj4kcN5Nyd;DxHT$~I3fc%jKJp# zvck_P?wtA4B7`q7XPOjFS18UH4?Ot)E{!ty7qVgal43tN?8eVlRjETxbTx=8=Cxwk z+oEnkK3a5>F^kw(#wM8RMsXsa?-$B{$5E>7|MlmE8qD0E;b8@N(3`R1QIxdxY}Cd{ z5#!<;`%C1hs#1{I6Y=78_KY>rGWa-X!iUK$yQ|h-tFb0_(O$K18oF+ypPyuWnJRy9 z!t6L$l-?9?#*EB{eaJi|Y1;ple>o)U_$zUbr}6zlsqMYb7q2P}oH^NC;rb;oSG-@P zE;lMRTZ1~roo!h^qGa_Wj!`@@!)L73FKHaCxKbXGbt3d0S<)d&>w2XR0i@-5j`IEX)G z80X5IB(!|^tn^#Jf5VZB{9K@|h8(YCVi7jdk5M6tJtqgVx^$3cW-pFTPQs93B$V(Y z?I;LIzt+8DrYO(BR1FI?w*;-Va^jAa&GHJ{){4YRiEgL(3`Xc}@1#tzIE$tByVUR9 z4E`k32y=Vv`hM`A3EGW_>?<|g?PXWGv)Hp@+c~X3dN|zWW7K>5sA7^3V?!g{X-6Fs zY-VUy?qRMrV(g|7f>Wo+nvKwr`M3@wJSl?TTHC$~4mlGgg%O7ISHy-krK05$S*$h# z|L$z(Bs(%A7ptw$x+(Ya$@!>=7IY_fAAMw5w{!zz^t@P_1)^iyx|$IzI7snyxiLnq zTXd8vkyHeUf=uN@qeYDi54$2yx)90+)a{G&cfgDB;g~N>Mh#aIRG9*)oIyEWzT zRTi6+d*+)8*4T**JpvNEA=N?oL5pc^XFc40416VB%JD%SFlT;D8|udt62L(FNT2%Mm>4U z(UhV%gkw*wl#)#Skro59NeAy0$~Ci%XFN^H|8WX~PT|UJ*z?EkNy=9_ds0rtipyx? zO(p6Jd@4}*Fnbw;16*%=vKh!?i{R?C%F@|mH5vF6oD|siAG<=!#ptc*ev^#&WXvg` zDM(SbRKb-U;qaGXYiUPoJQ993LaH6ow%3X6jlO2^Vt{8nr1xStO)o``QK+|6CjopJ zrnY}9=oRr$OEEk5J*Z`?v{ST77PHY4T_M3qh-y+d>nz(Yh*D*r3FisO3RXg!QsA0e z5)D78YIK&(+T-DF{$_mkcs`%TUk3LZuTEn?je+GJohf^dt*+q*i1Y`T`_aBXoPkoj zoH;`2?_TC>rB_78bU2v>T%t-|2cMTiztu8kRt`5W*<7AK!g?{k4OkEn>~Mh68U*#b z-n0CNiFfS-mfGqB{#hin)^8f$T7xZPF(Y0Ra5uc#a@=vN#1>HO5)g_knyedl!r0+R zu&3b>M?gR))#GDQEzyRp#ygIGHUhnraKa=RXUdnsJtv3+mhHpO4B`&3y?pQ~IjOck zgwZ?Ep8EL3UNy)ok2Av7H{mRES5^V?NN&eYN^fuvDL3q12=cY1}JU|DI09D`XfBYj!qeL6Q0)JZ!-$yjw$N)WZ{c8g6J!bOC1icPB-^HdpRc|}8i zN9YDCov|(w=H5ln<@*{MzBuq{vgTKu$}#Com%$Z+l}%gNPZ6#UrxOas zF{igm-E2mg^im}Vn@T0FLP5}7i!UFI`NWb>eklG(nRZru!!Qj4FZ*562@TBq`NM4- z#U@v0E6eLLYQ;{&YKk%gmfQt*@d|ZZeXy;R_cv0%5(ZftFUglN;_S8^_mcj#Y~5R- z$UIn=+x%;VG26>OR=bU))`=53cP>qBl&;`zJ|$Ys)&9YE=?4;?oo#V1x-4D8!!x0{ zb1RSjA>JMhjb6IFHlh5n=>qfeuId4xk}HmPqI%T7=svbH^r- zAd49;>j(0FQ|FGmjTx{F0K95+4I!>|k}y;4KYYc^B8pP&!uq0w^X;#;w3WbI1={MV z^(en)OeE|JU?4;U5_GPkn2VD4`~Ks0Dy9^y#&r3Za!6K26O4#3>lvb}hb_lE2l=Qa zxv$Jl1guA8g@zdAfl(yO;vQQU0lvg1VqTnrbbfDUDGlpR-uN2(p&!T>4_Y zJEf-|Fr8i}@UmTQ2@XtJ<2kPn(_EQm(2HiW|QqUOCo z#J+4z^O=o;kICBSs8-li+$4fhvCmvnt#lgn(OP0>)#${{y2$_8&3V3L z-G}<5F?Y^Fv&bLFgJ843^kC~viRAxH?Zi!|$bXX$L3pBHb&k{tjYs^JSRupg93evC zyRmxed82lDPOtjdn|jf0y=KcZNN7x1;#Czn<1L0nsB!+*>&50Q#u@K62okoc$7AmG z+XT(MCgB!bS8LsB%Dn2AYkSt7!~}7FtfO6w)L5BI>scGm=&{||N^z%}%HeY0$nABa z$}37B;_NKr1>@HCyGSl;fRt-q=ugo?Cmm6;HjbI<@qu6H;wM`}C-Vk6AioQG)*#5L zhR09bIdyryHIn)a$N)Rl1O{05QJJvlBD37#jvNZ~TYZPjsmH^*1eLV1%jQhn`~v9& zktBMKtLftiJIZcGqIYj9mIhK|EpyjWG@k{uS2d4+#wgie;)UNl4+qh5n0>6Pt0?X%3_>Rz8$_`dMf~PvQlXlG#aJtEcCFkeBWs@FavG_ zfGbS#lS=(omjfN&C_C;UnIEB(PZ4f@imvNmHWn9TmR!7%L#%$QJIt(7L=BlQEDl(0 zO!gFGJXFfR8sD%|F;L}=Z)97OV0r;SZ`Sxpx_+zrfsS}A;0M46{PpyiZ&?u=OB5nY zLWJ9+Xunl>gyQ8L;U^T9e-RxATaoQ!MQ9dJP_hL{$JjYfNC(v|@(JklbhG8J5#UHS zauz>b$taR4@vdtb3F9v}G>bXl-{D_qaTy-1`Q=C*f{)e@#KbpZr^d0Tetj+~mnY{w zw2Rb)&l)FZk++u9=@Xq?YCB%#pgnjDNSxzTu>XTpap=v6WLF+pT6r=xALjqM-SW)q zcHw1IxyPh}?pC87wBfy7q5mN@wwz#zcD{M4286FQ@GA7in}v1MDlx$sn|%8UvlQ9r8sSu7*oEYDwYpoUCQ~%P`hwO z3Uem=C}BjFZ8sxZ{Q|$FdK;0`uJZ?1t#<<7e%oM6U+jg)1nlSF#R~m9>T}Ui?J_jX zS>Ai12VUz7dExJ;Wh1zy2j8KBPfOogyOM$hOunn#wJnFhoIO8EOdD2*!7a_aC%Tcr zamOg!F11&Tg)C{T|8eIAcYTI%ubi1eFHgSPGZMQj`0tj0f|!xue}-Gd#V#ReAN{-w{CYioC0qgkSppo@?I2K6fo@Fe`Sh70(ZZgPsCC~ zIQ)+X9bb+3oo5soO!+@%MDV}&TZuSoqyJ0bs?okHB&8`N{U0)8F=2-Pko|Ur{@wA8 z0~US>=UqMtQ%!aHzjEZ06&9rYhuXh1*x&dawK&XK#5+b=B=F)pB3fjy?$LihNSOT4}P+IXR?I;efo~X0)7eq z9SH_N&1B|3B*M~Sfwb>%`Jk6)-znN+fuipeU+CY1?_!H^OSA7{6-Z!{cSr>+g|Gh; zgM}M)^;Np|HM~5!JgP zM`*9{cSF5M{{=uGtuYHwQ+($>Mg(vDkAknZ`d)X!s$!QJ@9K+!pR(S?P~euf-?{No zz(3wG7*iEqrN1*(26q|0R{}N6S;0HgBK(s2dm;-7jPTxg#^i-6Q~#B{2Ph`|KS&JF zzt`S%Gr}*~y>qW3f-C-~o4K$60wAY#0yeM}g?!thC-`-x9<^7ZzUSQJRw(#$|CW_a zrl{s=`Stj76P7V8saQQuz}l~9Tc@8-7h+aBY*){0(L92~LrMeI71Jq9yWFqM`r!}p zt@PT%YIv{uxRnk+ZYB-8T838RXN%LjF7&0LUPB)&!se+Rz0XnqDnb7Zqksxh4%UV* z5WsG52u%5h3jMbPLXr)h_q<*Bbqe!~79vsrwI8_h4eBcmRFE;;&L^aXThYhtp-Pxv zx{#YOP$dYHii3=C`8=8Z6`Pa2pZ^RyVFEKT+~hZmV2QDJ#IAQ2s(+J}8IsiAtyb<* zx`z8R&6-$-Z;xA=2`pZfq+s8&2yCo+>uXWtd>SmJ@>>4%CX`thA^Pg4{#P*svbJT# zGeHKXHRpA+)=9>cm3u4xD!Z#<&B##`dQbp;HAkNPDqpsPFo~t@b~{36>DD#Y{jZqq z#6SkSW5r7+a8>_a6yP~cFn6L0*ZK=ZJ*bWg_DI*wUfQMM;QpEM5j~Inmac+izUoIg z8Ps@d5t|BrQyl?E_XH0|tV^XG-686w+mscS{DmzsJU;6X^>_z2N_9UAVfH5Wz(JGb zmX_F-kSe(A^({Wo=Jfb6ZW#K514$8H`J^owu?7pv!=y*z_*B4=)J1hl_J9d3T=64^ z7gKGyC6d`C%>cy{t{E0evNUEARb23u8v!%L&tE?;6e#d^!Cs>aw}5`2frPdTQ&pD> zmUa)Db}JF4rOWfa-Ovp_SAhvgZagz`8xlewfBmLSBkVzM-t+{ydX?uk2uPgEDH@+_x? zlzuv;vILQvTCpLz2!ap*;Y*VS^M~ohk^SlG9di8~4fV|fD>#d~?+!CFoS;3P*YA1G z5Xu=9dd4H2L(i4)<6bJ4LQyzYe#x zc^b<_WmwWXa8xsU3%nBnoH2ID(wF&?#K-L5a zG_{#;tO(5ekk4($-?b;EX(l!wIgOwFh4z_q`=s2g{@3r-c>qgFV60Qs1?kI)(7Z%f zgn?W^{;ELeWEG@c+6Vu{I!7hqnI=+EJS=6_RDC3syu|#@Tl8@z&|lFu>Npm!Nd_Eo zu#Ucp2V6mhRK&;cMxKJ6El_vSGz;iIQngzL_bWeA?5NsCd3@c*-q__Yj;JBFC--X` zWQNZ&em4Ai zAJIsA#(Qo-Q!lB%Gy>HIl$?y16#(SHiyvgR3oc!J86N3T-k1_3RR<1UM#fFet0qac z;dZ|LBNmOqenq-XzO(LhHYO^1*=K^A14W`n%%9=mg^VFrAq>G%errNL+UpzJqw z?A*FVD{71>7lZ_58ZY0eB2$#%aLOtioo#5I$J4%sLd`UL`Fq+XN$@{g~v)3vUR1r%K)#oZi^JBHF`Jf6V97n^mm$=VP zf=MaLR%_K-rQ7X_$*1@WMJt=Dj}z&moSPHKT=bfjLJkFfikL6F_DcM(hf?haM-Q;RpqK14LN;dKMyD-nB~2UbHDf5>ekss9iu%H zoyIYz<_eqy490v?Iv$O(<(RW=W+}&~GBPm7^QaxWWXH=@Y^P`dL?u36}sgcVgpN6X;E&SAlgyUriZR$>TE;RHk zAqD*Tc_|>qe^loqrlVkQSG5KeH&4Ef$`8{fQ4Xsj932aKlX_Eqak(HB(R}5S+M49L zgvnm8Df7)E#KY5Y?Sy!ZZ+Ji!=6FTc{=?gWjvCqypf*8PLFi;_gqyyuYbV4XJV#{V zg@l8g`VZS64AdQ)`UF{|p_9T8zf;DwvzLv96D|t@W&gHi=T^=PSbz;Ag?N^ta9KeR ze_6iYN(Ms11QsyHPwt0K#zBOXT>Z+}Sdx%g>hVX)sLZq5A)%zaWGibk;1xD72a-wH z;i!h-!Uc*zymRQ#Nm&S1HP^>dh--?(@)ZIlb>~*w3|Jnc^XOy-{EpQ$#S%Yh6FP|z z;Z|hj-cM(zVg~Gr^?!s|dBbU1ddYaDd%NArx8cdC8qK(b%vfJ{I8-72G(PYMg37Yr z>UE%lgsOu{iy&)1oZ1JXOjjav7*e8`ohB_a;0Q9yB<@IA+)mSHGhikjPzU07YlOz> z5@b=sXI(|O^*e}f7}n_!;C~)g@X&8&V~Imzaljvm_)dm5wgAccAhMj}j~sgUTa^rS zY|?Z%8W3a|!e>pR?7PS9EL5@it|+ ztPn`qhKEBw{eXl6h>=(V03#8`I%t-J5X@+BS&;)BbNH+<`a}@WIXfK1Y%KN2EdKx_ zhk$-7Pcxt~9&iMbq3*YmfMjriG>{B@zm+?rW$=OMkk;}Dom7XkjE*ZP2P5lAfCy_} z*YA7MCBTzQM0kk0z4gN+4hl)pp)+JpoH;`66Y*on3`PAzz-zsDsL2%4hC<)MJdbh} zb&Hd~t(!1aO{szU)Tq~)DrwPkn#XsG6YZLf73}FEjaFI11~!&8Z)p#GNMrgSv&2Dw zu!c^iLTnqkoGkJ&K$l0kk!Pu7&k zqorwf;&KG%L=C2ER>pAh2Y=gExoosD$ULs+?dJmm%}SleCKo}Zsxms?2YGi96J)%o zY`&<=KFZZl4#d7yN0HyzK2`sBe$UJ8Z||a`$}cY3!b|w2FFWCxglFk%p9?XV3F){G zO|Cw`XLQSmAJ*xqbt>X&In!3SV=3;E1%OK+2X=p&V+nj@GC!bOyQouYr^<2sD8TDh znba(p&qAD)NQHoYgw2?sqQ85`(+a&|mX>?2{8=R5mS`MuqBZRG>^!cz482s4WI}TY zu>@yhIje@xNalm}sRI&c6koJ{-jiojEYLKADQ+gK%U939{bs{palO_4t9rM{j~JWs zGxlaByoL|f3wWDUlWO`3bpwv#0FzSYKE;49OL!`DGk8->C{6IgN*kfMmV}N)0}T3^ zQ^Vcs1K$qjT??*K>{xbDnAdLjQi3uVBW6 z7UqogxSkFP_V$TB<%^RQQfBDZAhstuGkKrEq=Eu(dHHwi(cHgx2w`ve6_pOP-peKF3h3#*JNX!l~L%qXTE#azDT+24-@~Our_NFw)shbpEQPYGW)p7*TzH#=DUpJTLj>1g< zjPei1f~rQRn#c^*rb?MRGcIp3L^#XLp zn=Dzr%NeTOjjJ23_3#<8H7l){(EL9gMNR*|jsgZLC$s83$Nnh}{UDH|ID1;#4vl`~ zL{)=ACbh?nb6cUV{m!`Rrc%2~RE5Z*>>m9ASGiXU6Td!UKC$ZeW>@{b7{w3T^y;L= zzq7|Bz;3LpBGH8RCt7M+sv7F5tOJ zKD&NzhPQ&5MLdnCfURDF?7~nPz(yIkw{{Xv!&C59w`j=Ug=(xztlKS61X};0^0a8R zGPgd|sw@$!^=VXwmaB1GL!XRJ{o0CR3BRP#M3$g*?EXt>4FSriHwBk{Ga>MuBtF#)E2eLU4y7hY4|KX2A zDQBj<5@aT4$+pJfts}H+PI8dJu)^eR3s{Ow9$M1gd>pgd+3}Wo8|`My-}bx$fdm;lUwEQXxFrdCWi@U*0`UwLb*0n}g+N;zS~5znJ3ZrOcZlW;wDPX)hq*ZwEx!ME zY5(sc1i37>$2Qso0)Nb%RwcBY5W-B=`ij6*91y~6Z8hLaH^+v?73~DovRO>2jfn-F=YV zt5|cRv&<)Kh%?dZTd*@;#iS{8Gp7g2XRqNfec&{tt&M0_LAdm76P^+{x%W7MNNMIb zQ=1$0EMdR#E5!2Wm_WI+fEkL!3>7@<44`6HqI~9>!oummVRs$zLaZr^oB4meijat< z74i`?tl6nr{}y91{XA2FeEEh0g9YP+&Y?E_{DmP|47<%Pv)YeGgtR#-wl*M*K0V1P zRWYMfY_=ISPlfLAy0*$(`kQzQaGEwj7n*--M$zAnTdywH$GRDv;}2PUgOEDv>}X}G zh1F73qFfmtP)cQ1F=UQS%8;N%<(zXGuN|EuD{9ngGDWA*$5V%FbaW1*7{HPwkFw7p z6;+*)u?C{V)B>2@BQkPz7a!SDy=#!DV{)q)h6Qy!rPap)wZ{aIKeZ3Hq2_lKQ}E-P z<|_Dbo=j}gx01?W+pG$BabxSX7vv`UG7LJdc3KHXC9Kpy4L^zIZvv9@8bd;XW_JDX zH3TJ#mCc3joT^UPeXw^i+Nml)G}3i6N~yNkh<28Www?81IHsGD zXOlv0H&PMpEg9S&T6QP(irQb%KJw0*Y()GUwvb-JG$pjYNAEc-?fZKQTu38iqWVo7K*{}fF z>JKU{a7CsMSD@b-p~+Q_O^dX?$)8sP2d)P`XP95DOnJ4I6HryEZ982;3kjhwn8cSX zSDG5q2`6YTck2WYt@-0cNA)*)S8Kxf16R|TQjJr!j|eMR^SNRwk6ABT=330*8taT{ zs~ec7!ZR2aa~e4PxnY=5dlCiRVhiqU)eFph(uos#gD7QC$ zZ5b9ME@u#_b{?47e!I~6_V9Qm9QMOA13j{1H1X8KQ$WjJnz_IP_lfXd z=e@&etH$xYQ~dqm108sCSA~_LV)TcaT4w;h)|E44k>nwMcm-J`{eUczzJ^W&PM-?@ zynhfJq}Nb1xq5`p5Ns-R7iAq&{jQ@{e=je7SfHWSnTEpfN2fx6?fxNP*EQ>lrf8y8 zSVgw839VFn&;Xs#Hh@!vry0o8_*AATNwk4eVGh; z0gKN~j>#h_DleJgkWics=d- z)}oCb|Cgmyr_J&k;8HjUpHO%G+@)k1iu;H316obabN+Q$XSQT=?~f@B z9IJs}dEV|6#JA7TpT9#dazjqx?eq2fmS^9_bZU>N#3Va%yu#Ld|E1%&YNZT?HHmBa zyajY(miehd6CMqgkyiZhs^4qK1$r&1 z&ZP@dMOy`wM=vA6gyfTGY?I(;ytO-wScH;?O1SJT_-q8De!AI1RBH*L?A%cMr+P>T zj*(!wQRqTPITCr>>}RY>ZR;Pk;2YVH8#mqf^r*ATM80^rP!lDedtazMS{3l-p(s$C zE3SCSxTS#!Fi#iHxnQ;_GBc%4flg?m1}q0Y%uv`+QcEmJD1&C?-rA90&U4cxw$k}) ztqZ-4B0U|{SU!S;bia9GuH8|~Fhj{#10OuMHh@>PoX>1rfO>fs|Br$cE7IMkOtY{{UJ(6fCx3}-(PvM3SuWhc=N zY_bJq7bB@u_`e3I00+ad6M&E>b-X z*^vi4^bdL4Vp}o3Dj{;CqPzthgC4Jnmt&I`s#ri3ALK_VkQgp-P}P!TKtAhwMw z#xspH_7$uoYmtu!?2%Y=f{X|=^nfFx(2hTf1cDVfNSQI(f?JK1#p z=|Qc}!cbj6j;mK$WBzMFQHM?(y0N6BZW6g{Lg#5j1fub%7K+K~9^2AF+uz10+%wC0 z`0p*o-b6s{<4cV1$BxQvBIc+4)Vbyzz+A!c&)W%aq>+S*`ZXj$X%s?^8MEEOKv)gT zAkSlk%RZnI^B^4Hg&wJd*|(&Pch08-5QBOu|2*S^jWha1zB)GOks(SFu&|L{Mb6)0 z6b>WCF20)UOOzT?XFoT4>k|#JLGOW&KI}evgc2FbLxJp}H400%13GwIVl`nzeL~@* zht&mH;d93NlUr+FWZEZrDEjgYFtg=vOjnAcWkNc|g8ksx9Uh~+IvB#Y66?})jt0FF zZyPcaoH_n}%EztC$T>LMHsOJZf@b2{D!rR{T34va+=@|C;bw8qG2UWH{^KZvTpJ*Q zg6Mi5mya5ijdb47fl0A40y)zSM>ew;jyzP8yfBE8z!*!R8W~*ZjTr`mX@iXU2{NFv zEk2=WG(N}84~;<$5vFS)kbUY5JrM)huMUMkM=!!hs{+Nrry&O1ZjdRC3wqB3GC+}* zkoW#VLAEl7bnD2LTEuF}er>TGrt0AJjBs4|AOc>@bHQh#FXVJmez$UOF|>?lrwU-B z%Df-3BHtUQn4;&+U5qK7F63sgKrSP<&mSRYqbkCn&MT)TW@WKfREPyj=}H_iWja3# zluU6fX@1dpaKc*b(#X!#hWdt42B&uY9qg{_iA@%8Ou|J{QM7FYJt9+NIg4b`cWO|S zAU7HHr;oKV2`k{5>$y663X9mEA(nh4M0*fqQ70S2RH` zXQs_Wu1>esKT5H!Ttu%wZrZ$u<&*C?d9-BM+E`3=U)g=(p%wz?~$$5P$LaWJu` z(jZ-WtIN63MV!>I!u5q*69 zNEVn+BSe@0!zjwes~Z0yJWS;MVVHd?+W6@*+0P_EvkMwFl^HC`JX-Wh*(&Hm&8V1; z-V|&vZPvod2j)?+e&yMqgy#x{Ukv%D@+c0>;6T`2&j4g3RqxbfQtQtE*MAz2fB2-6 zp`+7N%ZDkhJlOXV>VdUFv?Ux5!DkIg$-lICk@88aH5$v?FcX|>2tHx3Jzx{eLQID0 zl4x@$>w?2yY|>L%F=6tKA;Xs2x)p;+DUdVdMuIjzWPDgaIxe-p-|6_{c0k&ftuvfVl<+lm#GCAD%w|~fR~%Y6z{eXSEer)j+PrEwzJEx0ssg(; z;|$t0m*H+ z^V8+H`9!0axY|YergvIAWeGNnrbuL!bjGgb#9F>O=(aOmu|0}AZ>qFY=`j^*e*nOsJ$#MCc*hR9e8p!yxPy|PIUk)|kNTMC0b}?l>l6wHFrRa_Q7AN*x z8}T={Blb<1>~+;`4;B-hUSwD6=qmg!3hLM!#>RyvqRAW07J7f?Tpr|t_Q+l52>ZQf8o%LS~VT3$f4}XO^y3B99a?q(e&;?(^<{;WpM7>6Mf4yJDzg z)*4i8Rg><$n#+D@yGU1q^x9-TBsU|nAs310YhVQUvOffS0O>d%dPX`z{quErb0i}5 zZ_3+Im^@LGz}J)Tw49jODD$6WdchOJe&=3X~|wiskB6Bv9&FHBYUekHa5=pf@7mHqfUd9>gT7pI~TPf{I-Vz;ce_A>P|Z5`rmn zRqODyEs7s(@iA@RHX$0xTkMGjkeu3~E}vm8VIXpB64ghHd23(e-kLjOSGi~sUL=V6R%4&BFnQKLc70wRNE30OH9cA!zLw|x8@|VM;!yT4 z3^mwRKn3881=v<36w63U{duOks>#Po#3p*HhSc1bwRoe3bV_KL}C zkzvX!$C3E1r99955le)$R_Rg7jOLslB<}%oAB8G;swrOh`Qg9uZPnc+f3NrTPOyux zI{XAm%u~6M|B?ti=QsDxlhGnl@gf zSfRMPQ{1gM1a~iP#i3|%*Wv^%1p>6Vy9Spa#oeX2w0Qs9_y5kx$=&R|yR(^{*_qi* zo|%~c;Kmf_hwiv?LMh&u*v^mHP!~EiD)fwRtNu8S%SS<1_E`kB4j&z);cK{hokIwn zhmYn&j*iPFgkk};7&w{yoY61v(WVH{y$KA91vsc50#v7pD$S$Z>tL-D0Y?lu+R;Rc ziBXp|x)(m$3ptt;a3{`cbaDC-aDJiU2(*W)lS@@n{l<{2V3%Co2Xq_P0hx;*t~LYC zt&|m&&%Np`R0=+t0s$%pxEjGNx;UwbI51S4KkcDkMTKt2V&GErU%OgiGm`H7!j4vauks{*I<2rTkp}(Iv zhXxvgKU{I{&jCqJaK*yO>w+mQJuY^$_Chk2p{c)6m0;wxyf))3FK&Ope_|Y z8WAZv2`KTvM`Hsn7Ep?VM+HztDtE5;kuMG5EK=Yub*@8wMBuno1b?{X5Xu0d3~6D^ z641{x9Ml#8iVc)@;E>o0&|Z6}UWeX^!cFTeLOH9bPz7(ld1J#jengI^yBqNQumODe zAvB#`!#{eHLs0X{@47e}uc&M=a87~g%!PvnB0xofzMA2nj|k9!35;+3QZ>xuS*iq7 z`!1$9*T__dbavk`_&B4H5pjlM7?xXorPxS<@09E;F87gZwc)n$;4R95k};e`1zauY zI#iz-Zdx{2zspz36I+svU9#d3Jv3e@s>?C~4yuU|egJUo=g(#D3cO%_&EUf`^!K;k zjiLGyaJAuZwb{UwYiY4Yt03USVcB1h)~lLJzz zq-w&JT&0$*I7bh?+MSa5OA_2-61+J4Zx$^+z-{xxTWI|^)nbS^&FDBe?f<6wgfzHC zHu!J{orY3knkcx%Ao%dtzcLpKoLeefZ6#}Tz!NkJ4w`@f#rjWx@DOlv&~ToOrPTAu zo}kq5(JIK%c_vc3JB*2_k{@X#Uwa}aClzFo1TX3bFRuMZ8<;~{cni+|Xm=x1*)6%e zLm=J#N5xGJ&H@qsTkn5@wh9M*K#(c=kD@Gm^a4^eZWR@i8dji%AKbPMyoJPn9sEGV zsYb;q`j4VBs$>V?*m?Y;*un>QnF7c4|6NtVLE{jhbpJJYM8x4l#Yz3I0R@(%D5oUZ z*+1HsGH}~M@Y{feJw|h@3@adpkG4gQE(chNxBZ&x_&$%%B1XW|3{~>$$gimSSxd=H zkFV0qPKOa4d4~vCJHIae5+O8y-`^l*#Ys?h@yL^|2!3Neo$_}s;IN{3PYmTYx z?XU@?pVzKxi}$)~HR_D1%tf*ZU}y&9M5eI4co$ReQL>{zo-+1qa&D8s4Kj~x3YSg; znxC7P3uV(UJ{jXsyBL}o-gBU)=+bdkh83$mGiNaW-9-rgSWx>{q)28xD;-q=Npc^@ z64R=8|J9WToE0&=Rr3BTO$ppu`uzS4=3ut@C&_)Z;3ru)gSz_=PxRmpj$lvwKq`z^ zl3j$78IFNeajztQ6H2!6OMKyg|ALM5Me`cbfEw;wKt4%?Cve*oE?gBMs>uPU<%4tY zaJ_WomH0vd|D`%YZr&k~N(_;z0G;X=&>9nwY6t0y=>$@E1-!&~`bPq|dmfYN&U5lb zuC}LCa{wq8gXVt&w%d&u?@%5YWTgWzqs zJ!PD8dVk}p_N;hDj>GbhyOzqMzVmnUl0BOrKTi19P{JT=?CmO_`O2&3_@ekFwF{iq zbUyraB7bnnFBsrzl96^m%g1usdl##Y3ERV8rrmZn<={WSSG&=k9NTtIivp&s zYusHc!XP7uWxQ@x+m1b0JIi{cypL^1MU2I#u){m?z~#dmB}RX63iG8!>N8o|yjWGk zM(9jD@Xrse(Msq#R6Fs2^DUkJOqyi>x(&QGQHAYoi`9x2H+>!#_@G-#4sy}q%q;*5 zVpX_+2=%f`g=VUt@oth5&IS7q!gocaF!u~v<)0%zFb||#u0M&`F_WbGE}SZGUw>Li zw%Y#;0e;*_SQ|L<8iSkQ?yxE7F3X{5-l4dhWOn)WriYgX5)Ecez!r^n)7+7 zlM1y4p?Q|9>QTV_Uku$wUQ|cY%862sO2yqhppwR)dD;=z$B~tr}qMsPVwp5W6^7@NPr~do)yJN-N!fX8)u_4+klAnjg*r1Ov)?icb+*NvkM9=hD3#5A;{z83v){Z6b?3m@qL={@ zR$Z^~L-9PbTgk76n!ZBqwuAGLh9E=L+qBz?{Y{zE!D~)O0@d5%&L43*;TpJDS7gw` zbwN2{`;u%`MzhQlZcp)n?Ltqs-@`rIn0f@G<8=y`&cXx+#dnkG$k{Omw#nN=o@}ed zl4?;-{#sojH@(?{mID>Y0(=F4$MBp_uF<2$iGsDwqcB`o;2@6NCqtP>g;e;#4T zNR5@F4HOuWAUpjyyT@o|L%%AR8hFE=5b9O?5u>Pw+aG3DUZUYjYEUAp;VP)~X}YLH zqsF9Sp^quetOWvUPF!x`bu7`CYq^nM$_R~L(F3zV% zWVemc$aZ_O%_wU))JaIs?uY*lEB&aJL;3Z{s-~@+);xa}Y?vQ2vfDkyzn(8aIbqMB zR``pN?unNk>l2gtYv(+ymbcsX@&4yqTq+Ys*$v61iC z!z4I>!)MHAX47W!2v8=^1OxS-n}s2_xn;No@-m2MH~IDB-SE%KsO&mc#*%?}Zunit z0k>mGoro~U1kh!kpsq02Pd)augFoJWP{`QS>%ZWB10dUF4+lmA(sd-z= zV4@cRk$xR+t|uXp{wgq0lL*r3)C{R(D!)KnNiLBCEZjzF;zG^s$xWHn1B3)M3TkXM+ zHZkg_UPRP^nF6^%cJ>xWTQ_T|lEBeD%=(B;{_T*WfCQF<;%B@a{Uc~is6(MbjOw?e z#Ry9lqPsM~MBzs8jyZJtdSPVnPnzLSxnK;&Gl&W9*`AO0BF^5bGazCC*K-*&V@Q{*e|)Vs(x*OW&L1gO z_YR3wuV}iHdZF;3ns7+1w6Y0|okvnSsgjHH)hRb|7RMQtQG_oXozeLV;*49L`g~(j zRRi3%%==T^AtlDDvg*Fk>**x=W;bS%OHxXp1HMb;eY$V_7M>Plw0XSaOyCHVXr@eU zApIbA9{)J4wAkh0X0D*_APtIvL8Ovt047-CiDZ!7|YUB zT{>mb2X`ki!Xeu4Vsk}pLi7nZx4ZY0P_7w8*1i_6F=0y4ii4oN(f9W#0#SrETq5Lg z4VqueCl!bKD(AgEBK7xS^J&Vrn+PFm&7@nr`+yBuC3*K-bf6cL$WGnrK#*7X)rs`C z^4IDoS*ypAOsFK=lTPJ=3c}KS#XiW^@X}bhH}wH8A9PiPSZt%FIkBQViN5F0KncBa-&LZw5S2bq01@zLgqOy zTgRlDI-YGJ`_}&W8D4@KK~D0xpX7qX^Ylmh#Z4wD+Yi8MO7bngtRFS$*K@5PhcMrF zMANZ(5e7Y+_3$B$edTR2@*#ajH4D(niM1<)6Iz?WP-f*k-m~2Zv}93})=E4e*CTLv zV2H#23mT${!>4SkQ(sddU=~;Y_i3XASd}7y_1i_5z`i7S<7p{fHk%n%Gu^ZkA(~+u zFU)M%X`!K!c3{T$8tahbTM1-%?Eb>$Zki60kx2DGAIk&bIb!|C&v292`(G^jps>Xb z>3g_lz9iZCe2{=eo6xjoDjUiX=749(n4tQ2V6GS0tRe{8Ryh3#4Wwr6$3Ke!R<-rR zoXyEv?*#yqv4P)P9o2)(Y#tc23XUE@ej;DI4BA8$A0*NA|7`mBW~ZtJ7=X~UNbtp!5}m+L9CVL%8cB& zJd4Gp0z`ErHzUTXFHjrpLO0&352AqvZj+26q*+843>E3@X4cUXFfsDi6yO`gI0! zNy4wucZUxXbflg2r$uJVH}3hK7Q=67@t|HDOrL^rIA9=P{vZRCQ!x%F44Ta!K*hl& zDHw|}^=ExIB?|D$jD$6ew&deC2@3Eg)rZR`}@>t#*i zF@G@dfahK$A2e8iR5VnR%{qaxtb{}Dh-TgQX-cSe%7|xtEcF_p@DcMTj=r=B34(3 z@;J5h7yytRNVC@9DyZjM2r186=+QjL+e$e2=mnHy?ng5F2o`_OTXE!UBUmS7(lc6q zC}=F{?E$aZE{2roc;De6!~P>HF4<$?yN>B3^6I#!FU#Qdg6(pYy#&Oc%jtvP%e!K! z3T5ocXqpa{Ly<_zq4}O0S3ppEb7S1K1ml7~l}agG4u}U3w|(pMDr+R|qF}Pk7`jk8 zAO|~-cv?b!y)%h8q6Tr6-m6^*eUWk94vYY|R}5O0gRDrOze5n zO}l-SIvd^lV=K&A?e&ryd6NH^TjRoSC4Irm#`GG8l5DdP+v6V3<36;tqt6q`OrmrA z(o`=|AX^9k(A-QpuuL@LuGRx57XSKkM&J7*M4d&X)ftr+r!F;Y1P=34D4Zs8GOq{! z+tvpPL_flXg-b2h7niO;1lpqsZ`dB|=eq!9$ia1bcsE$J@vfs-m8D$kaRfi|! zBonovwmn3n7G}<>P#f!?0$LUFaeaT}OuhErE4e7Odccm;Y>hOr;)`$CnHgm@mq$f& zwXnXTC^??8m5}clFaJ~+MNtNO%WLu*YOWsyUz}svQ+!gm&XUe%B%cvI{j!g&O3QBL z9f#ulOUH@&bQn&h$@4eL9JAAdM3UCv!ehi_iP*mSaw>2+&Al=>NaGZw3Rr4wSSNiC zn=%~|TKvAKO=yH{FWS9@ZZCwb0k5-?BwJiN4FP9z?>gf+~C_yNXzVG-L;*2 z<#mu&Afvf#RS>jemAA3`WnZmb+rOrsT{u_rvtvt?7%b(ANwqwHoSvFhN5dX5?}we` ztP~R~zSGIjzGzxXc$dA3UfA>%1({mMs3&8hO|DY@7vn2^j%z+hLPiQE?hGrwH!%&} z_*i+Xkf9h%WAZoI9-Eh7@yiPS6zBcJe84k;_(mkG+1d}+Y$*|zS!(P%B*&}$?jh08 zqKMPJ3$~l|tyUu}!oO*8Sl5ufHhH3M#i9uKVB^A0NxHO?zajteMy7MAU&gY?pPd=F zcR1Y2&hKD*1hh-8Je9XBN@cfZ7?N8#A&p7M9(O|_7J|ip$hVh`Vk%5o|EX3$Mojs` zv_|+74^*mt{O+CBcN^s+`zLJ$FZx;5f6yVqvtwDNqc?9L?QSRatg|5?Z*xEwCqa)q z&#be*1e@AIj|M<6Yd_&xqpW=BjXs2xJJULgW73lva?RxgyUAqkc|x51dznt2wJ;FS zMO$zVy>aN8NrE*O-}nwW^5*gl>Q4KdVkwUv8qeS2f6G3hBB@@IlU&;7GqRudnaJQ4 z&MfJwz`1n_%Jn(rQ|^@CY3y#~S@8Gd7=!%%=wZ+_Q#WC~q!c%4y`(9(!)G-5Umc5w zLH8dYC%ew2nQxcNMHy+`-98gGZyU$0mpaTGbH?gMI1cKD6NvpwfXDe0fe`?QAjH*T zT>>>iQ0X7jvl9IsU*e;jx1zUfAOc(fTnZ+r+SZR8Kw!bzTeLu(MS+BY&&E7)0GySx zi5or0-(CF!r+?^A8Ao^^N-IBsS(j3(`TN`>c@O})GIHYu;pEQiy^)A_@ZNXFEI98y z@aOJKuRa+#$kBLcrKa#}o&4zQbg9l;{u}J~$K}Tz zsC3w`2?_{1MeMSgktlw*?uV+_Cee8w;;?2_;ca#S@ z|KKMwJ3`i5(W7=g=sM1oeFP8sW7|eKs{xYdCWp1ogF{NAVTXlxLIHD zJkN8?f^gpGs@ey@_HKa~xyXk+B_Ii)S6pj(_VRJ_ZzdXxzP&+MAvx@etl7$|6oLj(z4B1tz#Qv$El%hep#3(0Z0VJ7!XLX>^>Q z(3ouBDtz`@2wF}Eo#8(#8j6xPZp+`F)O3MePS@|sS@f&IgtM+oJ6)0M1u6 z=BWeO@MyTYBLG0e?swEGG=Aox!eN?3sB; zB$nj1mbMv5`|O^qc1RNnKGrV<<=)wIDm%&Tlu9hGrcbPYyG)%}uNzQnnt2htOnqFP zQw++w8v&#ms>Xl5u)NKfbHW|yV0&q~v|shnNrFVe#D0r)hw;GHcWfdK=L6GQV#7>v z36q|XyCeHVBCP%BLZ}D7t*R8;OiVTC!vlV)P&AAYkWxK{%X=Dp#yxUd{Mx}st_(q8 zBhmyO5;o@g0b*bx+#=bnc_;0RcAWeVN;3refmyod{ilYri+~!=E&^)!8c;*G$jSx@ z)!a)NF;VlM{W1sk%kw@9Z)|zz!MCf++pmq{m^dbD+~Nm`8I3%dc3vyJ|1FwjkEn6` zl4G9m=li~3ShjS{pg-6DFI2G(`a7MG#0BwT`Fqj(u8DT@+k%Hr%+X;4TdYy-(-se)GF+ca18@ePHyVBjuHR(_i9OQX2k8wmPsAvgj?jQ*CBEu5yTaG z0Vl!L=80~&lM-Mpk|>(Ed3_+XmmCm?KTCK&Ivvm@us15Q+>-wkTFx0GzE*p?H$BI- zASh4T#9cqpwy5M7$Dj5G*7Qr=s6k_X9mTG``ZVG9x2i=^RilP!zi$amCQ-}Z5@^0* z8kuRiHq@pIEq|#e;q-vIipsz46l!XTElSwC8`Xwzx@BA5J?TTLFVc7J0u()#_K%Qp zee81^#3Zv#yBp<&RJ&*6-95=bs;|>i?*ev>-`M7AED+d!IC*?rlx=07w2R{b=FS?i z>8*}aJJY%C<$d>pNg65J)jqN9xCm0Gf*s-B=W#bVvBKVvx$m}`ACqr>hAEZzo4?HW zS!FY#!d910UIbK$DTibWyl?77&s#bO@4nBVk`=~1yIZFBLliMi}v z=YDh}tE<;ub?aIS+%=%5x^vByCSI;n@*Jv&>k)u$bYpZwW)>FVvQiIqzgeu`URgHq zd<)z(I$0y|mw)VO5)!|+E^AtBXaB8hN&{P5!Lf~3N#t4IiZ*{IPn2{uTH+7sIj1{@-N(W0}GBcf+nUoBHM^KIPGM z!+!K5px^|1vvcsZt}}W4!zH8dAaNwPr2l`c6YAQqi^?fx3Q@A}73#ufZ&Mbjml^m{ zJk6!^3LZ!~crU`!i{C@66C1@g?zgd}_*0e2_cT6%CL>!DXM^Z4}r>c(rlQjhLzjb%7bw zlfbMs3zh@{>^iZmXm4AwlTA!Tjni#bpd;JES{=$vl0E31_W&_J^Ri8bA#7Z8h2^#h zT+-@jV^4H1*Z68$HEb#_TsH}`C@&GypZwETQNefhp3Fl8MRtrdFv@XH0U-N>@5`dS zLZOX{MQc2-$B_b=Yw(!f_#R9?WK2&!v}^E2s}0Mvy6tLtV;qClosZ?u)92P*)$w1B z;z4;w4}V<_GzIn9S5;iAnuguSPa_xNZ7`QHuzZ`Fh{>9Jfyq4?W+fs zZ_nEiAD$Fn1xCTIf5TdUEDu$Ko(2#M?n+wpMDJF2(c$r0Zp5Se1J@CZnv;#I`y5b` zjUUP^UQFnDdsP57|8@Gcho0LA2KUDK-4i>2#pVq4^n#3WnMB)*0e;n)Dt_6ztE^WU zar)1}BIBz;(87l{(b))alcgV_#>MBp^mAOBE~3isxIYfMVf38PWgsa|_k<@N#E}~? z)-<3M9+QOGbE$)#>kiiZqSVW$zCBKW8T;4XSs%nsDqbMOKPlJgIBHWo1X%gIM1xq+O*ukuTcFUed6X*=EYI9hpT|8iG*j~P?A z@3~uWXJWQK$%0>cjGwqOla_6qv(DCu%`NVtJm8&>aW}1fA3+bHf};-*u~9M?&pjVi?xB(KT22qq z&{pG~hLEEN>%;|syAt4?>G4`h57CrX<6%$K+3qwU)6>z*Z&r!3SvzOrmrVQaxU+?z zW)6>zzVw}v5i&IZ7jpd4Zr`12Ru1w!O2+B2=i|#gALsW0PBVv2LoUck5c!`+#8lmM!>5l*h5pRm?z zu+3%-aFwF)I!kchF8Ck{#6xdYi6zV~+7zU})1FP24)L&)z4CZK`KGi(ctSdT_jFx2 zT$l!Z;0s$Y2+OP=EaiEQum0GdY~}(H16PfEDgrM5bBtD?S_A*78B4=dVu|~7AFA@G z3+=-#9}SF4lg4O$Pv=!zkfl zt?7u7eUoKbt>Szqf=gezJ^CtPLly)1g}QNt9*UePX@673H^g0V)uBhOS{}0_BW&PnqW35=2PC=Ms?|tgb{kmzK72_%Ih(cP=`uwVmGejFvAsF(f9|G~x{sW@j|{bE27GcKIq(<}&kTt5A0cGO3}DY( zh0>dym|pRX(5oG!Z0w}CG^9PdRTW)5SdlS;X%6baYs@@V9`m@t8z5e;D z$0$s&1^U;afdoty;<7xos|Z^1dq`*0+sne z^(fvb!D69#>Iq~l`zlw3o$IRl%Xx19BCDn9;$*3m##ItUE%Gp8zm~o6F1g=%E*_4# z1LAx3S6GQ+#>}m|*h>pfams4h%}*Z(#q;XGne4 zOU}`Dyem9}9Q@Kp)@}PhKbr&uCZ2GmOZoPc!2X&^=8?lc;MxeglmdsitGKu;##*P8 zQ%&|^0W^o0tMX}e`)Tf#Z%=p0lI9vO$J+Ig#K=nv6vLI5n9iO4)2)_f?W*o0fatrp zkEE_DuLCS0ZP{&Z+2v`qf|bVDUHxF3N|6*LZOgQ6mB;F9R0a9J)6wWx4d{9`tvTD5 zhRx0S{_k~v?4)OCu*=XD{HvE9SNsO3!c-vd%CCE^p)iiIgVO6;iOYLFOV?*%rS5GB zv4YsI-Rg!zLW~#p*Zw=Bu*cwa>4u*&jM1}m1>w0a6JvV(*2_U=t0e}EN~_LjT{p{L zhD$ttXr*WO;ErY$4CE;y??i>7CI_zH?8D{u&(_^AQTnhlXgc&YR@EQ3PZ~z5+KH_T zeO)V4e-iB$$)k?rxWv!*(oe5?UoB;mF39XbNMSr=W4bna@7cR_eQueH&HXvp&MP8! z_|Q6{g0KqbNwIp0yHa}?VPlR{R%qxaW)-QfK;fC>!{4uM&&P7}36-Rp0@>Wr+20y! zIE(Z21#&f$3ezlU?LWA4Pqeftrip6d! zWoVLVHg4w)=w?Q5TG>pVlbxGLA^ffXOZ~JqOqNrOvhyda;XKB)Hm4kCCw}LR`z?SM z-Vkf(pYCFhEnlBhHy_B~j8@ziBIUYSoW>Z)SE#~!AzD39k;*MlhZ)Z$P*yxH@-xNv z_@QhuzNUL;4a8&fA}mzDh8-Z?mscq0Tqc+D9y#5F{VWZ3G1^WlJr94 zrx4m*x+52aKVptk>mR)nJ#-Yo8~WI6Yz!6Lv~YX^DLR{MDGoxARlEyBWAMjVQK9*N&Tt<`>$Xm09iyt4M-!c>9 zO=EefU=rW|LCGK#s;F!kwW*lDW?ugJaudvHl5-3tX~-FlZsAW)^EL9YnPW@gKDWm5 zUFF_znygsXesi!`rEc9MQkc5a_P2PvmVyoKll&RFP8eG=vkX(atD|AsFJHI+PF?=( zCz-dso{fmsZH8#kR@xuAI`$RhZ^$-4x^f4mR9~lJ9O&h=AGFKv^hC(HnU`sNaAhSK z;K5%aB0+wQ7FAeeko?x%1-};FOLKoQ*wDJkXrb~cO=89Yq0vHQgX-50Ia#o%R~F zSJNhgYOnvS!y-z4UhcFqvL z74_=AoQ|c=O6v@MliY{#Q;O4gkL3~b_g;RDPNK=hC9lC(G`1ueB&|&jti~rfmDE}# zChxyZr?Shg@ZO4>*hwgDYKIKLF*yEZjV5#{^oBYXR7?4k>O4k=d_gS5Go<~a3AS%d zzf%l1Oczwc@0mx9*P(eAjjVIvYMFuG#jk5<=zyN~m(3pjTGZ1lykpxlh)q?%j0@Xl zy7Kqtud3+oEtJk96yJ)$@~Rh$Rsd1{$12z8Q(*VY)pA$`b#%+cyH4uGrerO6-((kg zH_f4G5~0rDXAX2%oe45sP0Na(d<$s=Zt^f?Nl7sLGSJ6V@qJQy@Q|wDKut8SH*ovs z3m>5+G1XFwbIA-a&;ZJ8v;!Yo?tV&ID%A~${$p0WK1;oE6|Ym2`VZMI_=TjQ*h?im z#ITFmzGzST(VJWO|6rWHt2CdD9Uzj=P>39q$}Ba|oAcRe0s2q+T^z zB6x)GH3>>D{xJwCAoR)Tfx$D-V@OdAXH-1zqzo)jiT0#agwZRpA2Xmf=L{}#nXjy4 zF85+Ca}jH^)R$G&I3=koFAjd2_6<+KOzO^h(3`uJ-BM|*vHo7wF&t;@nL@+qn=Fw- zDP*#k!T;udRgT7=F1>2y^4?; zEA7s|Vi2L31KgE-j*NQO{vWjdt+#by5*oyW5sp&!VJ0~-wM5y&h?=5-5=p$ zUP|t!yQGgPnanAzjPAC$CC4NqOH{yh(swEL1Ar)tWq9#6_Jd?cq1MLlToa!-?rgGR=!5+ot01 zU>ef><_;sI86!Y)GY{b$1=LhJ7VgnR?&a7acKH^pe|i*nsWg!{c%i=oK0qM{Ugszi z-eCkSQW$GrWu2$C|BZ-bjiCweeI>&@_wZJzkG~gcg4xP|@^S7udI*uk8Q$UF<@S^!iDP7aEXh=s z`@~9)>rxVc*%wx!`;Z}8Ads+CL%*rh*FUs1gY`a-^e!|&bE%3AS$qTY;Tx%E2FOfkQcgLy-|Gwj}E{_oH7|5Q?ue-`IXv+733G5^wm~2K>VNtIJZ~ zXPjQo^PWBZdZ)`Y9nsBUo0EUPU*yWifR3(Hubb;f!ARUwY6U?W;k}JMQ#qy?ZK>m^ zTUo2Nbta+jd)j11fj9RTax|3bltP{P5=#m?&s5#tXFNEp6R@trcTd(^->2kX&l{MQ zt>%)(JheUzAANRcB|q4P7#uN*6tK$NF3#SqKt(9KP_`H=Crfi=?7@?+%Gj^AP)5FL zf=AlFyAy^Re!u#GBa-CLW=Rs{QOMJ2I%tIk2)u7b0)DuWvaS(&fOW=-f6#>aX6DZ8 zUDnC3^+eG`Du<1NpKOwBY(BD?W{iU7tyim18;Smqfuyi^f~DBZDC_g4lB+6$SO-h8 z8}&P=7u)^IUW8MUl733OEzPcOIUV@+U{7qYDlmbYW7aB_fB7`VG5_p5h3Gt0z4o=c zO$r-$wMt>POC`~TDOqz5Rkpu*U)i>TV7RX3{iT!PMfA56VR?dQp#)`l>F*ieWEijx z3MI&hcP0;;0mTD=gZjGiIYXz6q%i@Jyv1pkAk#_Crag2;KhEdfa1>(&2#;tdh*>9_T_J<`3))3H*|F79f`c>K z%e`}TyUp4*S08_UF6DV!-z6Z)6h8Kvrup>1=@&8iD*SsjKb2y(Y1t;G2su?f{QNnd zTnyqhUejaq=v}e#{xMd1U;pBV2tm&KSgllc(@&X9jg^e#^r8)Iy;P!$n1+WazEAaW z#ud}fQ$zy8^`TxBaeR}Q?(^2Z^I@;HwymI=VB->0a+ZR4)YHVNeT8tyW4qrWuD1(G zpE?GuhYXVk-$zrcjbrwc$3_oT0dBt$IK}~Qh)^lUdBAiaIC(ZlW%RftBbz9L`@qm> z*Z;{clLe4QOrq$exZdaQ51rS`iyi!pvjca5N7-0w_m)*6hryrSu-L;~}9wF(i zpy4K62p(K&=ekaxmXVfstfNEMwkW)^)(>8x?+w~EhE+oCuimpi-_ZX2Hk6BuQX8;; z62KhbC;cbBQpkcNwDCBuWRqup`Cf>?@?`Wgl2+}utFZ3+WTs)vPQ4eNC52`Vr*(5z<|tdk*tQt1L#>Yo z$k#ud)pF$C)?Gg!DC5&eT!+EG_W&yw-O%qlS)oLn7#fo)p+UNv2cqc#e1dp{=N=jb z^WyM;IvyY&8~3=%NDa7|6R?JgAhl=(A1KpJCf3y0*jf;WfXxhc2Y6>Sd0XPXP|DhH zk+_g>i0!cLY{w1@(zB(H!0CPX{l1L;otdo5FI=Keb5Fh~3xxZq<0Uz=bNim?zR5uu z^zVF=1r8S^C-schG`1{)kc~mnES2Gxbz=_}=8N-R`S$69!24$z6aMZaA1Wgg^b38OZT65) z*u^0(q16gVL z5yJW`YbWfQu`6(?6PLo9L(ONM7|LtM(s!}-rAQ8xp+u;yR>Fk?C;wbGHme8&Hqm}q z-)o@`6Z8V{a8dc2>`SeUQ|c=}=324Z%L2h)sVQi{8Opm|sEyINZDtw?SFY4Fl-D@EMQMvw zBfqv~uHMR*&%LLcb&om0WxRUR-3q3zQX`eQ~E5mQaIpztRrx;hivafxu zOpz+#Rz9zLTa?M5OnGwR!cxKYA{zO7tZ)8i(k*SSTPV-cbayX7=H`MEPFgljn?FS8=aPO7~DUy`g!xE&x=$o0H#a(odQXo^Ur%#(9>?dg+a zs-%-y)m>_UB~{u#7T4jDVav>UH3(QHNU}u%+b5IJTy4`@J?netYnq_-EBm+mzcmb4 zU5j#`wd|96;T??`BRrf#7w5?DOVxe6p{Q<1&a@22** z^CuQBd+U@fhdn@s8{B`Gv3kQ11aVc48x&EUFa}t%f6DkXdRWC0&}A@djg* zP;-7n|2tw-0zB49$qw2iDb2eZ=ZSn#)IQb{<9^ZN7j?~g#m~H|EM{)}nxWcb6Ycnk zYWe){NW+k@u->E^mT=d*3QbWKW}x1(j)x=*k==!t2yxLek`bM5^&|mF?cN^1nT1{0 zXChAVZXb%H^?yx6Yx(B`{VXDFD3_>tce~?JCNX!sU75URPBf>2z?Ui-e^=Wd{c1aY z(-G%o*#asVQ|CS75AQ#Qd7S;4B^_KeM*6zUY5nB%Ai?`LIDsA&n{d7OQLw3zuAd9} z+i>o8&-Q`JYueK0QSypm-AY$0L0n&QR%8joO27z;{&FPa2(pp5(e;Rl&a6;$t$nN6?@3_h#G|J}xN{UaB z+`V#NhaDH^$z>7x)=1PX6Zj@)+AcwSlmF)O1&_p$=}$;R?0c@&@~}vF(R~G!dc84u zIB2+3NbI2GepNL|w6Q7onKp#DQC8PYMU_(&YO)inGqq>y;nL!`Gy^ zDY6MN`8BeLtNERZh{-FJ!|4>@uvolv&anA*&g`n5I)4INl&R)5bTba?WfS@ zqt255h+wct*i`pD#KMN z{Hi_dMd$A1avZ~xYh$5z=lS8*`8cwk%67Y4BL88tobz29A7)Qx{+oG>s$bz1Chd zK{EDZ^o){=0S+ZB`wsa5(=R8pTqK@hxhCi(+~pqrFV8p*m`SF`u(QvONQ0_1<5`D~ z+3#4^P$yS|z7Q@o|AmwJ>QnYAA-AfCVPuM~D}oAF==0tPD%g1}J~#i`{NIxM!d*JVM_;b)F)3p2~BcGI5?-bN*s0e`Lk{`D4a2g}`>F^VDa+Ghd~G#XmoD z%p;CaH3afhn8kXv?TfnnnZlsd-M+(!Pfs23*NG~^7d6UMvYv1H!H7cha|Vy{=Fc%2 z3)YJTEmDWHa3I}wI!7zmkA|~OO-=g`^Pa5g61%ohZBz_3{sP`Gu?HdFRDkY$k6c_{ z6(bhR5a$bFtFn{OBj$RZLtMpc5J?BNjmj^Xfyh=Q6o$1%W`X-fo%G2X&5Q|>y%Wx+ z&d@$$P5WKXr7mhmQ3Bbs%@W@q0nVid9d5MA&31HGHh?sy@@2&l?N@&{x}s;xGnXi< z{Qe~LcR1Gg=ri+B8|%k+6*lX+H{ncuwn*ai7hEBK&^wGaPZ20_fQZu!EkJ#f6G@!y zLNz1_{WY>U=Y>H?GwM@$58z;kJ+jldCC6YF*mT$)IO0&4-<~M7){g+G1r43MYbD-S z2JR$1cRr&$O9D!XIakP8xMN(DafIG<)^)}d*Eo+&^Y+Yg3=!&-V&%PNFttO0AD;qeo~ZVPMj}Xj`I4}E zFXns31e*v}Tnoq;Q#1M~dt>TS{6H9;PmMLHkRwKQr-d9G>wN?)1qbb^} z<|u8=MzHNYC7|gO^mwQ@U8p~M6qB7N>q5)-S*t`F$|yKA7#bPNu=+-vr|<<1-w7OE z0Gkx!?yY2G;p%Z={hZ*o!tjmW1xqD8?d+GLY(un799>IcQms^F3NTa1x;XA}8> zy?9_3uz}t-wnIykKh)J$z>ZL$ERJ;dGWX=z;&dM+ef4V(=JFcmGA*z;r{YyvVat`# zF9jW)&tZ}x#&s*y{b(!H(Jv4mUzC(XcQa6uQOzv*RTq$&?culU;WC_xG{N<(&uTbyXQv7ZD=^4uP?c z#W>kNidViI*fIC_1}i$RQCruwdVS0_3K)P#z-lI%-kVnsH(Y%%GIvgJ2{$5XrFOo3 zRjXC}I#qPFO{?WCUmuky&m=n#eHjom1E*p+Ht$xsv)xRkxPg&eai~QN_%6@vpR$^u zRXiLdjc*-=<#%!83gwl?8P6&ntoc$=lS>aNoz04_b|~vxdU#0X<^ZA_K_Ku-3Ex(@ zrD-Wk6AVC-LLywyPDKI>Pf^)kXe^6Ii@@(Kgp;^SO@aMo)lR$J8`WbYU2I$8Ced$S z+AkX-_mwXJ8@QmQ<{jq1W4CNaP>>Y2fCu<0K0oq-03zQ~J}birkru~cuE*$w1P%y_ z`D*mh3npK|sP$K<=yR}FX@#(ig^-ZV_P6@PdXhZMZE`Vyff+QqhS;|n3!)Yh5Sp@& zN2JDigwz*E*DBed0{=1Yv8TXVb##*~EzcYj>d|`#+wSR&M!@K;n9~nMrqP;)iLi2! zv5=b@N#W}++2Fa&Z!Bks%p{aQ7&J(nKN&{4v-Ni4MXY{1Vyc%Lb51i* zpS&G+XR_V4&yt#a>Px$QGdj5S8-Iq+X#cY+ORkc)qBX+IX2<^l#y~m05zhxK${DSp ziQq5mx_AxgEDYmQtrg8(>vuBhmn#J8ZZjEI+`ST)Y-dC6xxs&DOH{-;r;?9fw*ESO8tndfPHPC#ynD})@-ZejRy5%)9 zYo&qGoE~|4Bax47B=Sb$(#~X9da*ZYB(ld;<;3G8bQjmB-@Vf$U z7kHb%UkLoE!0!wEk-(b-{sb`Dsr^bMNrz)<=ARbt)cA_~o2^c53yI=%NLV^5xy1V@ z)mdYp&6h&!j47;j;_)Ze9iOM(&TAlljbA+fJ;1DiIKBAf!X@8RVopR~UcARLJq6<) zUpLj7_wg{8iuM(z>~ta)jjR1X598xegqgNmC9^VZV$&nk=4WX z_V;k;E1LL=4G^@4dsJFiojsgJPFG&G{&6~~yqqU^W)Z4ATwczLvlMn-9263VcD}Uj+VL;PV3iB=A|lWFLFK zu-@)t=fwM1z6Rl3tB)NhQJg*&!!#X6=wL>-0M)Nx=U|@<&pa(Slqi)+k*nekL8ztT zx!~u#k=JiKv-xkOlNlfEw5#}6;(&;`RI0wit#MU1Lvo_TP-d0t?p$uo0J_#+ob&%C+Jg!9%n^SrAS zx2N^|r8yDKm=mJs&a2VJUcfw4WXv?VAq^}Mmg^X;r~p7C$+dA6f@zIH+Me8%GFc}Gi5p0UDtY^;fUy~Q`4 znQOv%BDZMDy%fiZDNKEWY=~v)?{-^^Pmh3|xKv)po z4V8%N!e)wBbpnF?+h*9YEv4gYf;L?8TX!xq_D*dp5#kn1CQ;fq=6 zfTGheycPb_L)p2KoSxfx7$q+RnvSCJ(4v^^HP#%lqmhf9ABw^Wj2Q^*i&FPTX$PR( z-SEsXK9S0vLgfHF^}vrCgSj=hFJM1>?*ls95!X<;+w(z)L_xzOz}8g}F{r;3Vrtp& z9Iv}XJaDwn(Xs%U8=xY$ZDs7m8jT7SmqhwyjmzLEJS;DNg3#5%pGsS$#Hdv?8?CUPw99tL5bYqY0cCH*w%QIUqpi>jU-;H0 za>pY?m;(3QSNagncTmn|KyUe^sxd7^^Us{Eav18HV$Zo)&Pq_jvY%R7H3d!6<7_r% zVu+NfLOChu0gBsD`u@_o*xk``BIUG+NI79Yd7`1w2hX&P*gn}hX%$u}-?>8hOq6R5 zew^Q(pVP+LKD2Et(hVQYb~Se`w5v3pR3wVMUQbBH07!_AAJ}So;HUi_do^nAnZTnx zN4?JW#8yPPqTNQD+-UAyFdTNxs`c4`^tAdaximG|F_BD?)(!$l6v^eXa5g2bkWke@ z@@bFLKIeHw?Ba-T$nEkWB$r3)SI8xytl8f9r#0CZb*ZCBwQ5+;(}6P`M5*>9L)d3? zXhP{=+^W8ybCjBL0V2?vrakFa_XQ2fabxNS9a4f=|0DT40qSJ$>bk_h! z((FwJAd~{Ykv1`Do%F;ztrc=)Mz#8P{m@mv-e^!EmqV)_C_0-erJEHz8v;Ng`A##8 z9VHDjcAjhp9DMh|7dGk{_)fv7R=?>e*-erQ$>G!bZ0D0@bzOyWx&_!t(hV{bPihWu zG)~GX1{`gx$xp%W0XzylsqTemj@fzgSe5c^DuRC!qAtV7xfqgaXX$d`{PigQys?CL zhD=5Adtqocvgt^p45+k8CAYl{z(;a|4PSL1T};XoRT|wy^N}YU`Y<#``Zd8L#aFiDB^i*#{)v-<^?Y4D3x*2w8Xj_9@ilJ zgTRh+_`F)4pAdMK;G8e;s{&t<@ZSNJ%1o6dK%}wws5?-{2pZSb#1KYT5e}&$1Ysw6 z{={+2cbYu6;JH-pjFf|Ll$4YruT-!xkP7n`jn)|2vie~_9mfTsvSBhtOx6I3uh{(| z&^PGQWFE1SIah~V^bFTO=yRP|NuQim#`82sy6D($C_Pk->^!I_xv5IENnd=WhEX>p zzs>|MU6Ir|bY0Q_r;Hi-;RrW!)X~4%YB}nbuVotdK_iKKA}5KzWR__z+#yC4NTo0U zMCkaH{RJBin-IgO9=X(neFYgr^3|C~uPvEn$o0AI9tDv`L_V*aUh@vhxv=XOJJecr z{H3Ul=``l1ISv;{0PC1;4b_`8B3RobvOfqW(RBWC@UCW{>fES(1W#=tw9xHBOx92UF28nKHb zuU`14ohI#Lj?mOs#73S>jjP%#;{DQDP`xk&IN>MN!f5DA!PtSwYwg71pvE z{4#D)y5Ek6wx^Si`xq;3(G3u{R3@L$oXEmvc3n!;RFK6jCzEiU)Oeg)z(2j}qIDG& zkfc4liG2v|2p;4)97EMEFC?qsF^R|qY*gE9Fva2#Uo$*^K{!Vc<_c8Zhr|$NjKHyg zdpyUM_&%QMuU#60yt$f2fVyhOLwVKWYB&n6%MXV;M)gOjOrEp%1e02XNl6y6yWol; z{z_%`g!~t2Sk4f%S{n9r;MLFC`mFTBP$A1v9rSE0fs9woH9Dy|=Ijf#!fr#2G+Nty z;=qd*I&Jq^c%%Q2L$yALLEkHlR_fz^V2#QW8&E_zy@@vA<5LNbJNb34jx3spoT9gA zSixnAT+m+aG*~1K7kEak4!AhY!65?)(V+zGP924+jl}^8t#RsIb%XYb5fU{%^#VVR z;+sx`b_IC2?(A;1pS}>Aq?^i;%WKi7EA>hPQ)hWN>SP^qEJ{Mj4%QmG6S9N;cl8T% zB8)XX06(3?F$0hwTnr^xNqQhu6lZ(bO>-2=CO!<6u>g@czhnGowJuSrG%KWjcB**P zGJ8{ByYQ8~La6##2sz_P!%7V*?ULF5FpNVQa=IIEXw~Q(ZqAxe7HEL8-r3Sa}BGI7ADbtLn2$$%k=FFfe zhdZ==1f9&f?lTORhNEOBqJ~ZB43`?8aV^qj(-h!7!WUOD4#OUb35l844~JpF+1ZMO zu|K6Vh#eIzR60ad+e9M;gqOn;*)CtdQPwvYPZC@557?0M*H<`FGAvgF{& ztaU-6T`hi5HEAb5LjFGis(_0P+My=Xd3W)8&P2UXR0P=mqtxanc*{aJ#{}jKDJSZ zH`G9MptFs0*_qe448ohlDE?v9G{!n83}k|EC|JDd>(F$4D!g7Gbu8i zRmYJgny_5DmYUPgC68ZJb3)qvoV0yralNSbLUDq zwMCLrvqL0>TF`d#N6s8A>S1t~G8*G?Da4cGI=;uv_jR?f?OY z4Vw0CQeKlS&^U{if=Km6Reiynp#rnEtqJ1aYuNRvES2xY%H5+t4(Td#$^Uv4Y5UGT8p?43 zYIe;iN1OJFTIBe>H-wRMQ(WoDiC8K-DnoTobMQrzNH-sF?9SC)y7PiP3Jqwp8Xt+8 z2`4UnSb`R$W~fmdN$>O%piLdML3oXH5XS*p?qR;-@HTV?B+SFqszd|yX&lwdOJCbO6jJDoaQ}UFZ6tJ5J+#hcJQS#tF?6% z`P|G}ABNuTApCT}j3We30VOU3FF|Z9A>eBe{*NU~mFZhEqfJ|Q96C{)hl;c|eiLMw zwnWo*DgI5zfR1BR9SM}m%(NMJAIJX_(GoZaP|RJCwaVomkt1FNH3#^T3y%}YKesJUA zorq^z1RNT4M~hIeIo*#7#SC76uQY;|$Uwwz8uvm>v*)FU#A zMN1w~h4+03n~2}6Sko$fO?cUjskRu6gCcT(uN;?RBHry%@lIYUb=TIoEOIv%qHtlPD z`-v>6{y?PK)4Dd5%2NFwYhC&w*19w(t93nRrS`sLc?+Ob4!#EAtpZi!YlC_Y5nER= z18HXyu}p3vtR|i;4pF*78Wva6*%Nrt!o_4G|D6;g)?_+(l@uR>HgiF2~N{rap~d72Q<$=GLrK#ujDkpAD5i3pw=0t zl4IVoB^yhEU^f*7%B^AuU(>BuyclA7lCuB?3cHI4e8)tU5> zk(bTA^0Mjcad}ar&&Z2;%aWHDEP2^X@}P6w(lkk5yU{d^2x*}OGz5eHi7@qsV(B(7 z75D_w!Kcpn#92zZ9Fl_bBEBV*%!gla%w#W@Abn&6XKSzEbb2=~I3FC1<=Y5TMPuHw z1m`8GK~*$cNgnsc1&6Oec%P$a7!lTp{3-&(P2kZ?zYeaO>5Zav#izRA_d-~y>~?fp z4MKz+@iCgq<^c}z*=%1#5muc9rc$66*f)$Xm9?!i5aggl586sOWu~QPsqP5T|%$Z@f zl4#X~uZ>9K+P;FWEu`kA#Wrc?pM4Fhb(L&rq&vYL`0xa}N+&~~Jcs67R@5J(q7iuG zqO>7+Gs}#0RdO4`bivUG`NkN7fy2c%gAtR9{JJUeTOm=MapuZx&N)wo8kz}4S8^r7 z7{t<%*aWMC>!B&I@h4L-9iFAcNPje)JQd@dg-DCWHz#nHAwxP7Mc?fvs5)Cbwdo|W zx#j{{&j&H(tau+;gH&5)B6w;gy{RA~+K!OydH;wQ3AJ+E|5~+T&r-6-ZWllP`dz+a zHRJ)1BX{@+g~F*KuR_reF@>T*SqkM(R%&gM<+E{x!dI_C(X>^eFqO(A76Z8WbD*{Z zwp?4}g79#JSYq83ucnEqKRyy^mEO1okd)a!Bfc%OxDzN&0ADkA{Vf!PMFOL_Uo%BH z7U}D*zt{2P@6l@MVY#rw-SroZY2$~(eE4?yk>aslf(~lV zT61w3=cG9?$OX5$4Uo&0b()%egF7XRNgnOJ%aap8Um0@Ydun zT8}7`S5V25@i+AMO9z`qAB6vws@M4jP7UY;K@h$x;m;xEio=t#G#DeuNtm(@!U>XO zM?jw$GICH5c9w7AuGk<5H_ zJzq{+4GO{?1wR^TY&D2!H8t_u&hpL8w~K^Z##fxYqb1Wl5(#Uvn(&^9Z+518<6FgA zqRFIts(I*!v6WQwLQ*LcoO>UETIIQV+*!`a4xYiK>v6dFnleFqiBlq6z|PIugAu~b zBtsz)LlB!5Q+Fv-L@YAkZtqbrk633t<+Jb=Z@pu0eXDQec7J)R^hbCOLar$Y!u{o0 z>+hM_4EbOt;ikv2cGx=eh4DB^gTjcvE>pkxWFcWRl9w%ipC1&Hzm7ino92-}9VYwa z?*XALk})YgKymrgd?We84Z^~a#zhYITOy^nj0NFzNj)hVLD(+gmP|CqWCCyX%EVE# zC=*SP3A4wX|3`XTcPalB+_UvIo<-##uth(K$ekb%b;Ts{2bu1I|FpZ{YL0mr6L4t6 z3H_|?2UkP6tglXCIJ%PczcWz}Iva=1Ey*=wbFcrYcAt4$k%)ELv8VH@qP4j`l3QZ9 z^`j?@A+DI@@*8SsF744Lo@>e@Q@ApXr%rN6!;=iSCxrNBin>&0bFlkxyu|wObI@EX z%ed$);4-d5@EZpcg=TTn)faESU`S=eiME^Ht;2W_4WKZsCug&>dh*R}O6Zdxj4I{Xsyw5*RuriY=Np{DO{1Nra{)S`fq^tfC064#d_4HX;Y2s zM!TnLh7XSxwZ?Mal)6n$eZzOb`x(5x3;huDU1(62@8a)PYF9~?X98N~;Hyu$SIVKj z3#QV#in%p&+^DRciUxi>&Z#>E@xP5!AboVzvEN@L1539*iv(D0jaPslw>6f;Z4JH# z;Zn=i=q6FlkW%Z276>#A3-{=D!rgyk3h!&_vq4P&a;7dG#qDHt<>(8EUyE! zYy-Y}Z39hPZ3Cv#x{4tc+kj3jo?<&j&aka~`UJjfElh>(Y^!{%nhd;^kiKZwWUwad z=#HKT1xi%Tmzd>_ODw}!?TUW^Gj3O$7`H3<8idO&y8?R;9UcZD=U)wsR5r&H{Q1U(I_ul0%C_@e`zpKAi*qB{ zF!a&GA$LP%v^pH4)e(4RFHWaD_wR6Wn@0ue`5Ge-hhy3i2+<9e!@!o`3)`Y`Au`~o zOi%8f3aO_PJ_uhFw6tMja}5r>^~7+G86=t}2jUMaK~DO3+UrH`8*7-*J6 z-6}pveJhn&rmUv&im-C+G?lhN$Q*y;2icyK4H9!ATA2!?rlK*^nhNEl(WYYO+eOmT zWi7RMKI*Y<)En*kq-`rJO{B?(qMi@A_Hb+Pk;0W67QTkCxcWXNE~ZQG-8dzxbDOlQgRm@dD^sFsYBSd?VQl#tBT^X6pJ|tkyY)s6(lq0yc3c=M z>PC;tk5-FrD#eOq)2GH+aL(5u3C?UqhwKlBg5wo#YOVG)6ZszueE@&6Ms$Q{fiKYoe`Liu7R)WWM@xlv3m5Z^K=b5rki1vg*Z(l9+L~s9D*H}~AnYSlt&8@m z4)Ak17F!aH8d7Bl3L_Jjo@t`R6I#ir#a5 zv1&DEk7TcTU{!KmmeMniqBJlrcjw1xMZC)f_gYuX$zd1*87}v zLRwEn<9!`$3wpy5m!NUeJ$=zU9D;|Xa4$A*isE_ovC9#4s7O}^`&%xu% zRn`tNb>qEzNUeBzkO~bnlF3u-=_8tf6u4!d@n>UA8LtlK$zo0^Doi$|n2UHk;DhI+ z4VRpiRXjmuI2s+BUCQ);RaKmGKCPER)`T8|11Tug75nJ23lkzr+# zK8KD9Hw_<_HqAvWDvDxdF!7n=EX0j+&Jor`{%-uZV5OD6t-=G1@0&WC0^YKQcz5yw zaMvUHiL&s-t!1dox!@b`zO6|nN@e!lS;p?+VtK8d5op^WWRAZ9gKT5h8G&*+rs>mh z*OnA08hc6#02u}@SJMuG!lGM^E;l;dc$kD9{>a-SX!P=(%8;fmja`mTc^fe=bZmp} z>eHZsYl^M}{BN{nqopNp#ix%Bk~$kHFn#*yO{qnC`1PV7sG1+HN889W-sHhjYu{F@ zr$_(r*hH4ueS*}uXHto~E4`CS`XM%{q(NDeO8>S}TP#_Q0JO@%SD&fYtZD0{5>shi z#SmF3Js!H0+Y^={E+mm2ifGK_8!6FpF()w7C9d1=OJ(-GTW*OYwv8phP1cKHNw5b! z4uz#9^Z2{X@&ht0DLcQYi{gGf`WlU0#7LGCr(Y~0EetiojNLL7eS=yqG}CM|+e#!( zt!=c>_%LRR?ufGu7p1miM-aeqQA9w}V$rCxVaxCwRJB?x*HwIkYRnb04^NFH?wzB# zL8IkFuyQIAGNy|?^xbn1N`k*v??kC+W=5ql77RJzvtcS6;kIU)PEkZPUhKlhZC+!* z*yY%95R$;3l!F#Xlw4%T=c%-CwSyJJTtTU)QHA+nu zPQDnUB~>1h){0*qrlQbMr}AIBQvoAZ;%oiN*thZPc-YXiooL_d%|Wz-mo@DJgCIIx zaSU+uWEK>HE(P7e!=*S}owZXJ6ba4)cR$TqdQ!05JAhY7m4s(jC z9#IvM-5Jwot!9}fa$LR^$R35Ez)+N(%jbE>CL6;k;- zc=8}g)9YdALE*|JGJ~EIjv@KeV`h2Zf?1=KBzLm}xhJm$a?@&=hc}Ia+2;_<%S-7V zr4VpcH&4ywfny|?yLGgFsmk?$GS)DM%9H^P(^$*q>Ww79TOKsH5cF?m3DVn zq%#CkeNtd&RYHw%;vMrQ0Pcxw@;9C>>4F$ILJ2_DVQs9Dx#^A>vU1DO_3P7DA=}07Ee1S zS-Lu(b*8KH^-2})!Q+lP+WUU8x+TauEtRZ#cb=vzpwXac1!|t%c|tsSsy)}m>t*bE z!wK)mA*mEZu6>~whi9Mii8ZP<$>W^q&*ZtH=IGm`c(qk)d9XiQAtgX>WY;Tb*=8vX{<Y##R&H7>sB^jz~>87A|Wi7L>r7*Mg zDg*dUZ(L>@C;4pqQS1FOlr6~OU?ZB3&|{&Ed{ys!_ymxEyJH1cjbOLmui|g1_?&%Y z+B|<`9tz;3O9X7ugM^vWs;v^S(&_0x9=;e}AIScxn#0!d93oslo+&x;>~6s+(Kec{ z;R^BTAfx+_PBvc|_N-7zTrSDu*n;DXWfD{G6ypelov5`msY@jqJ6)r&2O}f1jXZ&F zN@SxurT?Usp4COZFG8MC>8-rCuOhIU$YU~jqRBonT@sj9P{~C%Mq4*18 zI6!yvDAbFRXs!P;G{q5E;tZrHR}0AqIa3-Z-L8|Fsk z1rZ)9b4t@t74;8#lD6UTNK0>7A8+M~#!AgZ8<9h89^k{<3~3MYHXLI(>GjHVo^s4uRQ&aZpU@;AnvzJk4)j zF+$sf62h59?q{)XlZ%=j?IN9;qMohx5^Pb(ybJ!L0$W_Mp9Z5Rp+jvcCg(VXMQv?$ zKxT5%MQ3}YLO0h&S}HYOmdHk{mSsCFi%~pO^NXzfDdpAGsYZP%5_6sX5o-n$p>}DV zv*iCjHv^jwm1aPrjOG{zV{L%6Re4*pf!(Fl_pMa;+Lh^Ll#RgBqTBTs|D zbIfJ}Yi?D1OXatK!qXdq@D*86{T%g65WaT~eeE;w%yWo3wBrF~EziU8Tq<|Q_aGc4SX>vYDSQkMOrclhS1Omv3@ep+wpFRz5ikh92Ty$vJ_%Fa zgutH1vx|9X?LP%r@#-QR86KCqH2z;A znA!DydCjTaRYPj`)|}e?HDf+lGv*^Tl<={dQybhyJ}dX8ea>GO!)m}es0N%dHQT~RA?JznV4AV;&M=S$u-F(QvA>bq*T%v~IRevLTbd8V zLS6oaqe1{|2q8xpmbiiOBqhO2L@UL0EOjJ$Aw~CZ~x8;RSfEynK-I7x^B^ik8)H zOAIy_UEYEd{lCTrjaQEC^)(3ZM4<85A{fuBjwT$6=S^QPm6;3-wcYUFP_T~sVmNWb zLDXO@lNtpZb2L0!bo=+Wb~(|YYFYgsKzoOF5Z)ax179-+l|guqAl&N|a*U)r7En*+ z{4<``<{13LcM>Og8#{?J$tqTX`IXAiY}xFDCw|FVX5BuJd~?~7aWz(FS$IknClK`d zV~%3DR*vi7IEvw%3ZMADUXIQylR4+j35ni#;ysF-95*7&0YyYA_Xf3EbJ?e_byDdi zkYA-Go^En z(@%bqhhieauIu@0on#&FCZ>crbIrvT6jTbe-tBGf598b^g`7Sq4!-$yCd8Rj{fxo7 zvlRkgQIRhYd(OcJ?Ek_9H&tTTq_6Sudh8+R5$wU&E5K?_iRUs5o)gDOM9!R1bh#ja^~*NRd)(D2V~*h?YZR9X zkF1)Gy7!Co`~>l9zXdDtnIv;XgF5I*DwP)7sJ3N_Gux_aYtD~SX;D{`OkR%1iDgbA zrY++*H9_+7wO-$JZE^XPI<}TnHFm|t#^o6#iOXzsMx4{d3?t#3_U94+9*s+1GYQwr zeW|l~MiR9&=S%%H%$d_xt8=2;te6SIog2r)1mj{VT~BB>pIA$8xMo4y8P9g6UZwBP zO!#t1m9Ar8KTLH+2RXM`as?54XA(~bx}S&}5=5L_m#&xiS}((YK=mt>lUbEsesr@l{ktk1y(gMS1;%>*sNd9JF$adeX?8f6MSoe z;Kgg5;ECem9|<@Dy(?!9DumJ)pg&iy_oYyB-55!sc=8x8wnk3nbBc@;W@d9CHyv`4 zjs0v|&EAtBbnVxyA=F%?Okz28Q8gfRX&Z+g)Sjw-sAZL4)-1`?zEu}*(9odp_K+XN znVQ*Ovwu$zy!JJ&5=@g(XRL={PKZ>{imKExr?vF3wca3seJFJ(6^p7MTEePv^}tdR zOd@9kgM?EJ5#LYpW?1psYN?>p){!u4SCdLK?^4xyJgz;V$|703yP8CE+Cx((i663Hn`YHsRf z8kZzkbu+sef9)QgAavn6s@*Bo>7ELi>~_@XW0%5ljjj&V7TintqBFLvIj#*=A?IpP z_MhyEH~{pMxjaGUy0D44i{K=wOJ`um?uE6=@rr4kG!Q7VtW(a&kyr|{>Stn32dS)Q zj)u6rD%$YP5pCq#*#K`XtKR{%*OjTC#PaPVeE~p#c0+YAHO|+u2i3W2JV?h!dCx2GnJ6p*v!$)_hTupo@P28}6bNptD=5+9U7Owp4-1%**%H~TG zGh@+eI?NJS+gEZ{t0}qNz^seu@Rnj5cJkIbZW>|juxnZfa#&kce2SsP0>7tI zjSDVmpH)k?*z)#Z<9&RV-5lie(C$Q(tl9 zEXqtFbj6WVi0x1a+;=F>OnRVk6f#B4V&P)3@RsMY++;)(X-;+6Ql*yOTBOx9G@ft5q^sv4)Hae7tbDA|(M22+i{Di#+d zfRZ@YD70%@N>!{@AJ(`e7FSpzt$5j}#7wVTO>Zy7G|fiKM$DX5JBk?ff7Jx{)&w`f zf2@$D(vD7w2-ruz8^yD<;z7)kwXT)4bW_z*3=VjM3y*JPb#pVJj*i%hb!(xj@gRK6 z7C_QiDzhc)T8nMwP)22~g<0sZ0+R@y6&IKuY~qhAF7`#NQn|g73KG}}0i3A7aXgaX zUT{bT;UHA$OS11>VccMWe^mlzuLbrE0ozW_V&1`()HjRv$M>+Bph)eVP zr80Q{rAzq}Ex`I7g662VBu&Ef)_B-G8{#w5=vbLlWS_w)IZhCA4cc%_drbo7Xy`>= zpfo{R4u!OJ)eeBt+ftB*W9n}zTI2*EavD%Gk~x{yRqWql^OcCrUOyftiLwAf6(|ex`q)t>f%mP$a>#CCZFbotQgda0tuIv|Lq_wVM z2O#N^{f7aEy1tpB&&U%IaR{Pv-n4a9D?hOyWM&JDxVez@shfv{`8scl_-08!D@Q6SP zwccXn-e~w|e5+rL`h9lxg2fNt+$nh*n>$Uiimf^NtJEp$d8jnMiPDHmWsE$*)t6AS z`W($XUYr<|t_>#xInR>;7NJzeJ4(4Wb|-YGB`OynY2j1J%g8NhA?GO^eg>yVQev=`kCWlYN{ce%`L5HL z8H7@q@#9R4L3OH%V_RymYGgJPU>ZAp7HZD8x{PwJ(#qswxOBPb-ml3RC?!7XvX>@YcY`UY&r7}MxhZ*l9%-9(iHsV>A zpW}f7tFJ-GmG!3C4V35CA;?w!(fkg=Nif^1K@TGcY%&dN5nO#NH(RgP7#=E3B3&oN zJDXvUR-S8+eWHPGuB9{KIAC%lt^2=F81}B5ZREiV>@RgHm2O=wZ(zgVd@-N6XN}E; z8kl1~g?geP`(HB&7qL^1IQ~Ew0UI6EbyLmPSc7*5z1-QhY zO($zWmy8jFt#(kQ37SOI3CE_#1DPuNpi(;1_-!1o zGC9#hn$c$*w`=mAsHHM1JrV?(qAjawaOz#yYfTU)qq^%jdv|0ov5LXp5_w$N)C@$m-x?1Ij;V-PtG7s^oS>U0}er#hLX#0s^%qVTRQQuKN#H|6`((L9}N&Zp25lUE_sC7tfu{? zE8#rD5>(E7!5EKkoSfoh$sxd5f${z#C?xjf#Ap2ZIC9_^TVak6t@5mNW&OcZ?FnHx z;<@tT4!>W)w_3PfFTd>J_lJRJ+VYd)*ipn$`7~VExG$j98Sxc2`Uq5eT~!Ao%1%JW z#dB;Y-~dTOd(r(Rs!zjolDr2aZV(;-EHs5Rtzop6&CoDoG+*|$dWa4eL2&5K>2eO+ zjjc^Xf@^0va-kDhmymK4MEjf*kDPb557{~+@;ZNrhg}jy6oG)N3>Ms5`lW;pwg783r ztpYa}`1Txzzaa2Q2^q0~F*+k&`kqdFl)t33wIJId9FA|W5|r}`@#1fW_4O%ZP(yL= zOh)Y=71cf$2y<+uo^jezI#Sg&wd$jwrA2#;gL^J*@RSN6_){W#+HFGU`og7ATvM6q+w0y#ObkL8a-K502if26=^fbj$r z%Sph_b+eO_kvjQ{YUg@`txU z8#gydGLs~k3!P-B+jDr{<&6USe%ngAK~o<0v{51w!`5~{mGCW$`b5^m8kzC@J)d?NOe6a@b?1$Ch#qR z{{@VfmG-QstP~Oz6qCs#lE5l~e-!wtzzz=Uc{#HuNxNO#g{bjza8T!oWV8N7k;?B0 zng0}ciIWV^>GdST)HMg3+$+hPF7SMTmkGQ^;130EBgyY5a5sT_3hXZMaDf*K)Z)PG zgj9UODGv3fr#Ln%?sp<_$%!Wt8@@LFAe<|u{J4}LQWQb>btkc{{E4x7XcIAso$Dkv zO^BK;aK6AL0#6pW5-?tc9OHY+ZC9a|`@2Xr~Cw77d`nOfq!%o;Iw%T51y8&zZ3X-CxKn^6Zn}#{iVQF zP6A#03D^?1l~eb-=0`dQ`NivdxBN(_JCREHkxp?U1^JPdIgxhHkF?N3k=r z-uaQ-ociQPy4mUO`sPP+bLy8L>2!yt{`ryIoZ9juo#W&*AU~3u)2H$yZRO;&Uw$Mv zr-AiE+CM+iGN*V4`g-%X`@*}x94bG1=*U4!}ek3=iq4|-Hc5)h)AL(XC zT@TNXG{wnjM1CYUr-Sk%jdyYynIFl`X;glsgPoiX&X45gbVz=rVNOn?^CP)AjmeL6 zfRoeM{77z2SUZ^46Sg@yjn9wd=5%O&q+U)=6Y?XuIpO4)Jep=WIZeusxqOpnBv8wgFa{2;L^YM*=kvOh_i{92|_zp`eMxyYZ)#}UjQ30#-6u^0P`(}H0BSe)7?R=?^` z>|+S#FG{TOiPcN|S=p6Z%f#xXYbKe?{K?pMM)rit<&7qAMScR=GcsRmG=Z<@Cy+g* z^Nsuj`oT!WWyk`D0LJy)mH7#5D^YhA7&r-Bm7l@Y{|I~; zFiyg^@)I~#qTVO)At!;W^AmUxV~QaBv%psX<0bfZeggMM)L#qyjg!DN`3YPmQNJVb zhfV_5`V;7kVE(8@E*9#q5co}j*9rWdz#j{|Rp1?faRRT)PkyFEJx<_afy)J+Ch$yw z=L)<4FrNJN`N?;esC@)}O5h-YBLt2XI6>gy0^89t;+fp&&!ic_{E z{z8%KO9Xyh;MD?u02nX9cm0Xk8c+4_eHiTp;YbYM;t6~&KY=M2T?XMofy)yb@Mi*lBk*;B{}K4Uz)q6w#{?cL@HBz93jD3Wmj!MhbZ+9%`7?h3ZGD!#@=jOP zrLCvC#h<*b3%=v%f?NHO?B?4Uk#NJU!0nv`Zu2K#n|itk?{VP`*X#yiARO9TU=M-) z1P&B9M4-D|@8|xk?6Rt=IYlarD*)q~;urn|Y(dj8*VB@~?*zK*>3*4?jF!Vrh9jbQ zX>Ru?W2<2|nbE>Oax|XU9sa~@**aM?xNUx(Cm}xBy*QP8M_8;)3BG5z}@}?Y%Q}yO3>y+y~iKb9#H;Siv5bf5yEIzK5oqr z=q@+E*Po=_;@mY?_xU5)Jjiy#{VG3!Y)|6-{sin|yQ}ja$dBZ%nftXrl1*#2+x0UY02}nvK9fvd=aCE~-h)9RDZ~_9-d2|WVT}L0?9Cg$Y$LC(}?;r5};n`<*pV`^j z-Ff!eXLe@>*mB=XKLGgG7sViRq*TAB?e)X9UusRWv#@XZ?DV%xQZ}%j`H1cRVGEax z<&6*j%w-Xs!BIhMy%uiS?u6{$E^8F?tL~>g^=DTUnx7q4O zD16Az9MfZ!)Mv#OtMHCX79^O^O2^v@+VV?NF(Hi*a%J z(g6GfYA6UsDnXH>IsK>>naAOS0Mkfw*>t5y_QvnR7x7H}59iE_p4KF*4_lg@fI5R{ zh7Nsf)CE&N=%sA%RJ@bNyMXoc6*Ts9cJOItFyUvR+4Dt(ga=)0R5nw8DF)gE8`TkP z-E!b;J&n$h@xxTmY718~91%i>&^IMMCdrPuFzH^ z(#?@*25>9>8HGZEj5fC45L3Si24W#TW_&*|qy_4fb*FsP+p2lPjLMp?S4e0;GA}-D zn)|0}isgKa8I2R#yMFxgv#I6aMojlDXKB7*)n8b9DuE)a^6+Y4Iy#KE-pOn`v8Mz- z3GVe|3vC6GZZ6;TdtY?K7yn~8Wbv@2C%bkFS;Sw`UUhkLg&ku69)Pq$27lq79VsMS zy$fA3#`YUy>JPvW)q;N}t&q?{A$z2c-4sB&85GU%A3!ZWf4pev{JT9f>?Tdp&31)^ zTXNYW0CrP3{@E9%eh7PLD}Zz}1cQwyH1Iv?CRQ{9345p{rV|pF`sFbRj!;QV2ShUU z_VXm&k9 zY}6)GKSvY;LbH9-D=k1Hh94g`B!hnpQ4z%(6M63y z5K(_2;p3|c^zr_pCU;Cn6FPwFG09Q06@szQNt==N9tl3{G`qP%&;Z?-5HD1`M&PFW zq;|f@QEBt2{;A&lp|x)+*PgEUTdU%K83GX|?)*o}ttb3&@7Emu^ofwozKM!_g_tk(4hH^i6d>IFkQ z?m{o!U zJ}TtNdQZxty06mI(lT5(On?NIx8q`9re(P4E0etO$ao%EpNac#ud3p zeFPW7cnA)kolCxT79CBemL=2hBjdwi$0OKA{0?Em2DqN^gE*e{1$<~sm8tb=QjXWa zG5APV-F?jBdGK38gwrp#Q9e8b(XV1*~YrR5!t^Z36=+wvCrCy1D?#4uX69Emi+i;x~l@#pcP_CsxkbnNj{>3-|rYk?0$w z<9UIVfv=c6If(PjWG!*aO>;?j$64g~bg37_A2D%2;}H^eM-}wi!KNyXhg4V3%r#XY zm)n;4>rKYK0i_#`6Zemrm%T7jpx=xHkM<1E-4_&Lo}53JD&l=Q9Kddk-pF?Lzm0*b z^w7-uZTpcVDBMT7XnW>*=&4CP#oG5X`K@wMcJ&$gw!Q$i{86!TiK8u7$&48XKS1Fx z&kLKrBv{12t-4gYxlkcFaC$CnJAsT`sQ!j&aDnJ0b761HOSf-*=*gP^!ljR^+)o-P z8ml{jEF(ORwjJ=!;( zLUs?cHMZ+qPE?u2KGT77Etg>y6Lb#pQxhB{BlnVR)GXsdCk zf#|8Cv$Hh$WuneUfoe&hs(>uf)VZM)Am%8)E^W}X=!x+&1u(pt>i7}+CBDnLhRWbnbJ9DFd`6!i^0L7MbJ9dAxSdkEC_I&pXRt8jO3$`uUW zDtyb?FK1H~0Dl7I?u>!iYGmBdq}|9tbQiJ9P2s@Wr@f_^@k z6XRjB1Ju-{f4%XFn2y$P?rCO!WuVL5FIKCG-NxFyM_fk(P^;&WK3M>f3n{2(f zXaAl^qvA_K9&I(U#eHva&-V+oiJ68boz~JbfN8|Af@hI4+9S8Y*(LPlbv}Op;XB#u zT7~c({GUuGw=bmMuWCHW!a>_S=A);$#v?F#WN2`U;{eRN&+$mn8RafjEJ`wuP5 z%}~kLa&C{ae6`BYmYbIPXc{{GM4hnp5B(QYzPF($ndTyNNO9ds$gqEp=!M!{L!pH7 zhQAq6K`W7gQC6Hgy(qb#1Rsy=)>ibk<7NS8zw*oQg?2p8E491IZnW^}?>_-w8m4OL zw?^_>(Q(p?M&oC%Xa8`7v>TbzQ{g}Nd?9=gWJ|NuX)3bHlRfu@0gFextiPp9pH@`R4L0GF(ClL&LnqPRE`TA#y zyKej}TAEY^BgUt~w6dB)ti8)Nr|6KKQvqr_SDc%o6t7Mku&!(+C!QHbRn}@XA2oWo zewEA$;GkTk(KTjjaJxB19oj4-=dEIwPKqh_CZbk_&dzVA^fx3Qb2UCDY3ZP^jX z7;O1vhCQbD&kZb8GuPfV)}vz=O*T^CPkGk<9@+Rcp>s3^yWhHA*4&Z$YE-Y31;2y+ z##;UZTME=`yf=6M6-RUBx>j@7u~tp&kM__9k3E(#GT+~w4;G)O!VSJlw`r^bU!e0k zm$6;ATy=>3Q|SUP)^C@TzU94#ieCvev@-_y2I#`LiFDz`y#1#SuMz>^U)xVmSRGwq?wmm2b3SrURu*D zoV}CY#_|FBLp@j->Y`m{stbp2SKVc>{rWOF@xiZ;)Nx)2om^OMea989wWSPmijSYH z2avohbq((XG2#H_`0>G!kFu*S0*)r5buSh>r=^GF`A}&t93UyfOG~ zm!MI2@JzZ+0l(Pi-wCx!WNS4vgo=$xk;>xDwK#nEtfBayUJiS7USS6_B=E~>yS}F2 zlK%Ep>c-}d;qDPZ)?8|LUe7^Q@vY1GtBLKNBEd^lvMrIpiM(y zCV;g3+9VeRIJ;xb_4t^J686i1JsO$Gd0V0&ZxpD%n(CA(AHDq~_<u>z149I`>9{fW`2q4yXVkugI$Ou!p<&)(bH`hT zL%hrSPaLgts>E}22iDSc1@g`sI#I+5Zwhx7k&9E76EqLZ2!~?Hj8( zPyD+WMf)rFixkYz$|W~Oe(10&3&P@klTAMzEIl(1A2$zgJsB%KsPQM+M&{vH$X2h5 zCdH4X@_oZi*$k3T+5DZ#p3x@SDeXr6P|0PG)M1)T8+!U3l9s>z)c`5UKlkznbI@58_Fe}wG zW>@3Qu;@rBhj4h#YJBrL$@mLVX;bz!3kUHPGVrX!6D7jHCKvTdjDn_WOb1$t!m>(mD@v5}YmIwA)pM{L4WPP=%N5m^9 zbvQ;Ot{sjdCr|tQ(MejEg-40mMCX1%x#Oo-P>Tn^#++UCl)$tkU~|HT%iPRXq;_Lm zc=%Pc`ltgd@_w)cr*$L#PD1zPw8E20ifiT&AIx`YsIb?!xHejsgFo+}e1CS9`JS^% zPl28>9Zw49GuRK^5;^6aS9$znr}kiG_D@fyUXPhZEh+t1K1uZ2E|9aSIdeu(k3G3J zMPt>Dvw3$H`T4_>&lHaWvDP;e2ja}>62z&X)ewy6$Dsh0cnU>E~daO3Ad*e0h9VePPBpeL>k6)=3iHD?m^-Y zfgHdj_^tA<<)X38IZs}OtdRMV|Jw2uI$G4pnkR4%W67%d{F`vxkjpSW?Q1>R_?)Tx zcs(AqS%8>51lzbTu&(?|uVQNQ0>%3&H}|&$S&w7EV#TzN1nbqy><29SgWv16+vGtX ztD{oC!zk?s8`SE||Cfaz6x=d-Yi#g~ZH0g0@(K0BI?)~{WB#KxtaUqWm!IQSNe?1k z&6kB5n%LkVvb@MSzLKIlHXnrd1LM=OWkU#lVI1eBeW{Sw1?>u`;l$EIgq87x* z9QR^x7OzfbCyNMR@C=-Kr-rNUoEhVgyJk4H3@s+?>Rk&;A}>Mt7Lg??8U(PBExHdm z=5(gd!EgeqL*^U!(rJg_II60{?%Y7ODVNz#cB_rD(Gu&PTWTc3^=`}h61Hoe(Uh}7 z9*zyPzNU{y2;kD!eO_ZrB!fbewUZd=U?-~d`bP?8QCuZ3@l^X3r{c0QK3cTEBx9eg zHXvN}+z|W;a#y_wmb1K9ST%WK@_TVb)k4LBCnW4K5E^6uC6G>cY);BJ9#2&4?^u`+ z8UGir8=xufdi1wsLZJI*lNjtgfj*kNuTmOEpEU+ zJsw1w%U$N5wPF*uz*IF}0FcTz)q?9@__GF)4V0JEE_sD@$HfCwf!6IFYlg-5ls!$n zW;qXUoaR&v-76)*v{mM*)1sr@!OKpmts8FKL@BAf_836%*#7B z%QGw$pQoSFi!!{=#5?8)k(7ud(H9f6RBbN zs~{F@<+d=_1T8Bop{_nYVTjcbN!*`)#}KpEvZ7Q@-^IDjzKzJ|kR4Rnh04h6m}ljRl;`Cq?N@wa#>D$n0fVZ?|zzD#*b8#OmPcr~dh0VC!1nSjE7nCp(I&Uq-SX7JB@JZukAJu@U+u_;FUEU2B=Laprk%ddDcXl9aXoUIZ+kVxcAq^0~L6!Y>&3zU!A`z(`GuWsU@(DTr1cuNNIX`uic6zF;)u6D>)KU??iX>qTotgQI0wEP3-EpXU2yq zI=6-CJ;sM#_Ksp)mw1!ZSCow{o$5GflZAcc6Z*7-&lmNEYF{e|WW^VzELn5e)efX; z#Xk{r>6VURT*U+;^X(tc;NV^5QmX}^Q#!aM{0tr`a!o2fgz4mezYH93fS2rtGo2`H zHFun|4i)g*KK=P9eYTz%aaNIAYab z=+Qf4vb^h`3fi&M3^k*khV|t8Z$4*F2%AlH^Dl}c{eJ+y@ap5*O1^Ruj?kYNAd#{N za_hi#=D&$W{br?|5Z{>iEa{d2S2{Yd? zplbpD85M#aHO{*kPwZ^+_n0~Qg*Rm**Dsr`46$up8Jlh97Uds5#I}<|6otMl7S)bx zU{jj_pDn!jbvmXPHbJPKUG$VGXj>Nt`g2v!J3@s4AJvS-e)Uq0NXJmFAR(((TrbPg zOW`V_b8Rffe-%|46mIQmG*O*jBJP(~Ik)6X;)l!VCAsycUho#bLuWtc)t$Dv3q`@X zZt>!%mO93A&@1*G!a$|=`lyZL&yqVcFJ55w8)gVm#p>jEYs>~O(gFo?3g-Otf;jH~ z(1Bce?1fe5KR#p!*OrkD_S5e@d>u#Ck2kf9qb#sIVY>(+io{&LZK;4xo$**snDpQZ z2k223iurU*`72my`XAd95{hd(0`N=o1b>b|^|_KEF2z^!4jDGDPmR?Pf6Yk&UJ5F3 zq!>j2u5|CVI8!{)uDL23O~{18bF_XANz%?OQ0qfm0xuXH%f7N0>aftBUW+rU$N%k8 z!&0t^S&z~qDGU`qa-YsBo<5~BDXGU>E2YH&)Ih{!@`1d0*A`B6g8u({6d~#dTQdqZ zSxXuM<#3+r%j{Z{tAWKWp(1Ys;^Ji!567$77?cG@h&8R%)#s?;%$ur9)qxPy7H|R5 z>SK+{V)Q7AAKL{Ii;@95#mrotP))1~lcVmu;M7nQ8OO?Bwxmk|R%o{lFQ``MWAdUz z*{KEf=yIr)Zf5F6&@)@(EdS2GAFlSCjY!1O5p3!4;^__!(&hr&xJnS#7>9B^FdWAf?5 zVT%lju>JF3*;Uk&hwtXIb9;{S9wspnt{x+4C)=`ruqP^yZo5w(MH;X_;3f80_e5GVQ?ch$lxU6?M6m73W?{6sWQYcBoi`eC@WAvF>28`*3Uj4(k| z@J~!4cW;G= zx2p^9x=+7u0uCOmjz6v<*rU#m1B{GbbWwOK_p2L{)yNB4D0jVO2@h9DeXkFD&VY@F zX2-?n4HaKMBHH~Dx*qzBKTjYI3wx=PSRs|h#?|K~Wv@1=7h3OPSsDQG(1a{ju0*$X z2BEUEklDIsGkRubX3IfGO`3;{kW0ryX2iCeoG>8rq7r_cKi)s22>KSY1O3o;%Gz@M zq*H5v`XH7If#h*lIXE+5zLvQyo6qLHJ**#ld?_|AjWFp1;=z04VWa|bM?y{6ESAu^!$G_!F)5ssgHht$^Dzg3R`}3xt^XP&RPl&n9 ztm@D-jal@J^;w(ncdz-O&bO*fd^9t0_;0U_S3sj#<_}l?9vKOn+v@w7u4Dci9C9lz zipn=NOJ@>vUPx+o`tKhy= z7zFqw>>&B|HR5ql4OV>le&x$uGDd;2f{P02KjKCUCiK?RMITP&ywG$ZyG13%l?PMw zJH~o(q;gk->3L@7BAo}sUe5_y{>&EE3^^4KZ|QlXm=XMXKXm}CmGK_?NbVbUzaWF$ z_DJoPcImQG?+m7g^Tg3TOVXgWJDuum^oKaKZv?tA`rxs8ON{*jPCT+Ak>SHo%>1AF zg!DgQdTGzN#Z`a4J0{?4S1YPdp_%HLrv5jU1iq<@Z%6Mq?eUauf$u}IYn@&$?hqhY z%*5080vh6z`0V3a3-KQN4fl3tcCVye(SmdLPCr6t;_AI3SP$=BiGgM@8{iABZPP7f zE6@tzyN_RLyr65$mNEP}<)y^AuZ5hUjQ`5Lpo|~pn->^{ObVJ?1NCX>hz);Xz!X(> zhZfo}53L{SW+I+9{4aoEVm1ek@{#=i6-8{-E>x*m`ehXThu!YWSwX|X^Rp;;nQ(X> z0BqunzjstAto(}OA_G%6;UA`O1NP=kDe=`%pu$eJFP(;Yij9CKm}^agN>?680Ik5h z94fyJ>qD=U_er=M{qt+LlMg?Bt~Z~o>A+9586rwQ#7m_X{63kF(CH?@Wz!yd{TJYv z&Pe6(PpA6>vu^J9kHlEZI$^)PnqveE+&gfDbrZj>y%B+SZ0E_fo#Hu!7$YEdP2}MV zwD!3j%TFDDN^!RuXaj>%& zy>Td^%oHJ+v|z$vM`)|g1Do%yc5ZF-(TDGR=bMZ$3m8%gCfDe2ZLUIno$4+S*gG$R zE1PgfyI*$v5Q(5ya$#H03aQ-+jXw}DAJl(X`qwG$95EvK>39j=+|Xxq zwGB5m$hp9X8{{|SPT^$2KDTi$pp}4)!vF&if`f$6=2GuiN>MQvebm;rayzk;>~)Oy zy}{`OAAKbuXuWaAczj0#N9n>*@vTUJ-wybEv_c&+1}7j`p3|hhaonhVX_9l}LDA;{Y`9wKWM0TOWc8hGiEx>)am!d~d$s3LoQp4=^!@6LOHT6S#1NAML@NBQ{jm`$y03DWkXLQh#H8u+CAkoJYtUZoc8*M$J=e zv=`Dg<6G50wASkH4{&V7)UMRy(AvLnT?KbEHNAuhOE)S}A8^|Z(;2t%9Wpm}hOoLp zTII(AYR_poygH+qF8rt(9xj{FHwuY28oP2!rn|w)gY1&1P^;^ zL3@rDQyCC)?zJL;gV?dbyMpjve#L$907or(3n0>Wbqm3oP}Hl=P$^|VZkQk*;#r*B zab5thnk4v*uDPK`xs0vMf2cuSXx?*=z4psOoSs?C7>#@z_KXIwe+Jw-1<4`b4;;wV ze#~}*(SYm6?tCQ4Jgo00|F|y6p@CD_1}P#T5913sZt7KK4ZS=SU9{XJ_+y|^IG7n$ z+aX;KT9*f&Ubf|8Uc2XZE6_G5sD9f36lf;i+6-)~o~OQ~MG)VTV9u*mG(?P~Qf&G3 zh=9A{OYo|OUaHHsHX$cB9FN=&i)11`eQj-RK{B*4N=K|bI8!Un{-zQaYUvH$yVH*as@6XR2aFcG^mg*!6XLLkc5>C6ax3@Kufu98ddDAVF4MhiEcaFV)uASLLfnO> z$<;DeS3322?kYst{8+yoe30F-noZK0EZE(O%x*<$!%@L-l=bml%DkVe&AougpFe{s z7svCCH-Sd#l_Kq+oxUMIK*!ap)jF*Whh{m)!RfKob?_IvgTWiW$Afh#7Z?UIfXTc6 z7p&X`p~}i26!!VBl#8^*ux>^$(9DPgWwJ2nkKq+N8!7bPHIX(aeb|3of+>pO5{?7L z<@*$`Af|Gs-yZ{9MW?SYm_UU67Y49JOtlVcI?x(;-?7$f_Vsi7Tg$M%g`)Ou>lNnp zkZ!wCZDKs?>Zqf&1LEJ!6vxB4?Xi>3ZL zKTE!4mGoWc>w)rr-7+KeANx-mw0yJosrU)VCM((}DBRD$pfPD)X$I*nm$a1_V9+dm zYHMsLv5nq4YoBk|^ZIsK0)JJsZ&SEG^B?Fe-Hg6ou#^H`)*RP@ztyGE%tgS(qpF5j zL-Zq8H>*Z?`e~kW_H~}}@{U4c^G-CAS0AOqK8z=H+Fa(*&jX<e{BY%L7$RRKTo%1D_#-j)E5lk z)T^NY-oc^S;QiNJ;-B2lT$xs;(&{QGU2Jq4VLgLB*zZi}vD$gw&o>)3vAoZyH>6sJ zH5*dpIhmCm-m$3dDzW+38dBAO7pyuNT^!;ce`|zFNZkQdqS zUhpOXrrD`5QD$m5K3lx%*bklkbQxS^exQFxm1bu1aiO3f1h6#sFrqq{lv>huK3;b| zMY_kjH`Jww;&BlK%gEB@!4&6`o;^_hU02B)2W$Ad7BxL)jstl7N+Ym!Q?Lt7y`7g{G(#YXm zyqXDu*zLf&V8P)awvPVMv2}s^0d1LZ;HUN+MDT*Q%CBS38Xdzj8S8@MgpwaUd1>&E z^m6dI0aXzNeQIi;u%5pEx0vF4K8_%p+p_cEk0NOwtICE|Wq{Ug{i?OBLT37oD-lYe z`)$2{HRT>m6T>MWu(jl8ZQzm4!T|{xq+6`ljb7PmEiT5DdL+ky#J$i2y-9^%%~rNd zHB$Gm^}vbCcv<>>%_uD1Isd{bJG;Rak{dZcAbk(rye+ifERM^iVX_yWw=30ji5z8< z7^A+H7CU}^vzc@-bQ=Kfm&W9H){O1wblflVVgj3{u?bLaEiDxjZ3rMm`I@9hO5nG(|Wn;WX=Ab9Yh8i zgA_`2Ll2K?LXk%!EVqGKHY?@KL9DkJ3B<}3`ks^0FS5HFgY&q%yvzBW_>G#e*tQYr zH?`dy`|Gu1G%SFCJ!^=^)-2(IHRK~YSMc`yY6C3&7BJLZ$1tMQ^2lR*-FFI@^%+R+DC;cHkWndtlZ- zC+IEuiyTtqk;wAGjy}XGE9i)wBkT&1t-zoH6M`D?jx|cJ< z3P+_^NF%>wAJ!%ZMZhxrYj^0*8b-+=Ck^PT9k}0mZS6iv$ME9Lj!O5|>e5f;_Ru4m zP>9x$JIrH?45DdxX(X_|zw`8K@_kA$4O@V7!I1Ptlcw1}awJa9?UK^1W`u1)G)3ddyZaEI~JC%obW&e%bKc|TS=?CYi=0LB*gTtoV zfV8aH#RBnp*1#4SnNtj_NzB`MVZ$YJuJ1AnH19!UL1q|&8X6Cgj6>252hNunZ7Pz| zw}CfrQi9r#b-BrigAaC-&#;vS7K$F0b}$xKOfBbkK!<_F*(7snIk&A%wND&L^OM6Y z-yG6TtQ^j_x{tpJY0|gDse35<;@$2wJs=BsDz?jY?}>9hvW2nS@kr{tCuw8DecT^Z zJ9gN>XL>o0Hc|3XZl^H5Jw#GwxSWA#xe{0KJq~^WZl90!1t#p2wjPN1?u=RQSP367 z5SCa8@7Gi>Y!3*$^x9(rw(%L5znq!j;t3)ZJsRMn@v_n;D6gJawGu`{63yA6ZUuOG z=LGu3jd~Oh(c}*jY!&M=td;aQG^pcM4k-6fcxO0I8rha)mEWI+8E*X>Jf6uhpM{;L zD5tBr65gr~J{r8-61&_I{(Mx?m4iYZyExYQ1As}er}P)bjLIgApZU5m(6Jh@QgU$} zjnU6{dEeyS{X3klWEoL7vCL2UM?^zRN#?kg1Jm3$mtuAp`wV^34K-tckFzBrbhTp; znh_g!shPJmeb23u{Wk#cO3Tkeb6e%Uk8r)`kFlzTzBfRthq^@G$$l*T_@Qhs*IR7% zT(a}fy>)&|w{S=N*3<%`8)M6Q8~E4hG$u&e8bW#A#dH?bD;m9Iig9$Q9zC2mY6xl_ zlYDTUQjDFevuVrKKfl)k)g!#jwA+rHZQ72Cwl3-4>P^Zcz!^r@y0#C=<-LF^4iI!q z0D*L^y)(RB>XL>C4S^S>!H$Ayg$;5BbpSZI<5!LzvV&Nklxv$}vrG2l%3wkN;X40L z=@l2q(5683j+e@o_KVmf&hLr^3sOt%Gx5B!M>YB~1IG7A@2!zbzlfmrL1X`z zpl8)%0K)+AkTlpyFzq~VCjS=RS7LIH*bAzJ8%`Yxw`8$-{`*lV{_*miY8Q;cU6%wQ zeEYPmLF~}HupUkBD;3bjH~8wPq4CEdJL8lWGo%du$^vVZ%XWVy!w+l^(VW|nbSU&b zD%xnI88Y#C(II8}hN*}`ZVjedJ7IrUbgdAtGYF{DQZ1UKwtNNG@Mn?mo^51pxXFgU znv^68(Fyw%@S!szL*JO~H~5!3AORI^Dtl6V ztt(G2-%VqFJ#7?Zg4^`-Yyv$MCvTQ5+ni;Pg(#TrF~3jar1Z|>PG5LoHZZdJGHodN z!%)AZ3NBQO=YvMo!ogSWl%VAFKT72@GZoNwGq|x?LHP{V(}Ua&wbD@?ko{?@Vq>+W z=}p^xDU6lXh?%oav9XV+11_!kf%(;l$RU#OEMuA>PuVkU$$Xii#Xl@p(rf|`-ki6j z6)fzNT`U79f_4&lHBjw1-kRD&rebUlzZfCM<(r@gdq zC%&Nuqt}YV3S|Wg~2_jCJ%&2EmDut1Wxmdb~_y@S7{ z$|fRPVb1cC`^VT!s4Zc~$E`SXgMH+OE@RYm3;SNwLej$K!rmDu@h5=&N?&!J;H{st zv%j+=qI2P0lY8-x7Uf+7m3bR)gmrRJP0DO;myMjWrMG>t7<4E+xID0ebV0s6B8|YD zImv)39>*P&acXKVp>JRDC;QzJcY?rHW0`l=or!VQ+nOR3v$r$0(}l{h`cXgSHv_9r zM5P9wdVHP5uAKpnJmJ_ft$fYxWn?a4Fgh*X_x;dgQ)pqxaVMGxn(SC@dQ|rOP_-iA z*1zq~!wp zYBYH_+@nC$t}no{t;i?HKI%LsO_Sc3<^H2p;=$T|@l_+d)pUKHzc{zI2R)NawE~{M zXxPoAT{-MX3>t^9)Kn%Wa3=P+mF_M==B&$@Rzpae#!muO@OF5(>nVAGX=i*m}rDY#YnDZ>Q>Z!8$6SX zDeHjbv_od5K(chx@Z39N{Cw$3Lh6qrY;sSQO`z+}bl1%YJB`?cisnF=Fl|O}xYp)h zD-RoZ|KGt`6C#?g0Z{gRY+|xed<()&(4tZ7xR)?+WhSznRBMJ zxqTr%;*;3hAt^KNbf&99+lsuYZHy%MoRu3Mcmg>e|qg7}a})QEM@Pts@U*%G<$t#u{lsGjwcPO44_oClM1V$y>I0HeJ+&>Pq;U z)ql7dcIBofw^`KFBq^fUn|VEQOeKnhHAi{U3KGfBK(U;%p`n?|&JN@{5Cd7qM@4n&EW=OR=hvw?H|BzjeiLk-Q>a~=s-z>cZH+{od zrcX?ACa{;fz}%R-17)AubhlZrZLXXB(b>VT{H_vfav=%7!;*~W<*i#yhtl=ghhL5@ z1)#$BHl}B0AdhNGyQfDW&=#vePi*$*F&l?mqR%U8gLQ+FMWEKk4X}erCQ7(6vlFey zbUoBkz8{+x6d^^KGP3opKH2)_mxL5ow@F)d)^NQsWk+j{0qD?$1!McxtQJ0(|D)5f zIl$w2yJ5sUVODKBbY3u;<8rw)+;vzn8|<#Z{(k#+%ODtq_cS6(QnR&7pS;Fw?GP1; z$n=_M^IEp)klWAKZ5DG6n)A5ml|*%Th0bQzol$14(rTq&dFP0aKZ8Fk}%2wzND zzgcyj~w=HWpK5!zbq+wMO_(QVcZspkA|S3bUk(Rkf*lEx{qeHaPK(lg{DWGY4Y zCDs=3`$pk>hxddEomV?4gdwI4)!Q~St~9o`<~U#X@g!{TkS^HGZ)jm7;||R2?UyfY56zf z*Au9cK6*&w?iOz^49U`qhVu`wp*c{SeJ%ddrSV(=JAw!c+2Hub29dsR`|8u z@j|D!(5qLvVX}xuqNp|gQra}*`Lw`jE)QP`ZZkep+y9lfi3<$z{bKkUAQkd3;!qDG zLOoRyNR4rwjoT6$NNgx`X100o1uC-6j)#8p_w-nt@w8A=kGJo$(IQIoLNrbf!ppUs z{+4D^Os3(B5;g&E^vo$kDjkh68rFlrs)Y9Jzh*7Q?V4iBoZop~n_hyZ0JBZbriQ!PZ zELf+Bf<-J|mW^iqjAA2@_0$;gx3K4w;)MYPmv4>Il~(c!PT2~+55m&CRBF0xh5dNp z*$c*qNW0^W*fi#>+2EsCKSD=|K^fG3cIyGw&9jPo4EGxup+#6)gmnh%D?AZwVV1#C zzC(fBWmSPvhTI3|9q_r5A1nb&OHxmu4T&R(Olucw4bPN~ly}QW4$6ueRz?nv$4vTy zTi9JrE0Jw^Cs!=UtSFn(rPCmA+3Z1|)3oapb@-(tf!xXu9eB9uXOw$DpaSp8%B-j} zqpba*?nQ5KL}Lwl_V)?W-MDWUT>R#xuRM)g_VXz~I~@$t&OJ%*BPPf~?jr{C%nReJ zc4y{sG(6hD4hNyx6ar?V8H5imWC|+#QJ8u$-oXa zk#_v%)ct{#Q;BDhAtv^*FLe<--Tvp>ft>^y7)>(qo?0gv%$6;`u~=$h9nY2`o~EO3 zb84fgDv*`0{p>|sctKQ2`9bRJ39*acAJDPftL~&2gIHn53+u1jV@#zx@&4g&pH^$0 zc*2sd#a; z-g?@RBxl=48LioOvGZmTCM!)CJ$VBS8Ois0s>2g5pAmZZb^xGL$dGLa16XFHmEHIE zc35_0HR(!cA&kpb_WSK>mx2Elql)(pkpe{7GJ*tS{Y9+$pTAPJe1rdpN6*l780Kz~ z)7$u-`#tL@bOk`3mWwD3Vm!5q2pG*X%&2(}E3y!rO~!K@8^Q5XMhXe zJ8^w!x?g5##d?v>ykfUJcb%CV`8PURx7>YlM-(pae-Uk z08i~wBr$LnBR{BKaQy5SCqgzwGHsmpi(-1twE`)nXVlJ_SS&QmTV6btIJjt5bGu04 zS}mlscz?iua|SC2tfvbK(z=DQi)SdOIO=c)W@}uC{JCs4LX?(!=niX6yt#<&zv!(( zngI{fKO1kHTslFuFI?MAx@^s`Y2uoL5t}w;U4~ds=bzBKK=1G7kI+ors$&adE`ZxS z!$yYrw~=O)jNYNs7`O3)Vkz|&KlH<3G!G1w3*YK%#@T#t%XS!AHN^Us>8-D5sO~Mg zAF|iDh#q+Jl@=eYyy+cfg1>LzQQB%!ecm$aKoH(V*)Gf(!CKKW?Yh5LY-+al_A?hV!q z#Zkbhprn256!`n+n{NJY`l0=fCEk0&#Iu{jc6mm3Ia{r z!kAaM-W8_nbt3j`T?3l`YL((<;kalBMJxto7Ni%%RNc}x__$2D-O{z(H{&-LG)yxe zU&gpZ!eeqwGE8b3pwShGJUhN-@_ao$YVv$dK7U^7s;e>QmD>h#=y`t@<4+uBYTkF4 z%l;XkfZO={=7C?|?K^)pP^G(>g;Pbd5xWz_edU!`gN?u>@`4V$qM;Z44dzDrKda3e zDx?;hg)Ipedlk4@oXfA;IQ3V;0HEE<%+J54c~WO-O8Nb*-~P)-pgkzX{jKRXJ1Upy zxv{9P5g^|OqI}TukF~Hvv=LQ~_4N@Evo6X;AHwDhwv8yF4rVGH6YLhTy8<{|lTg7R z34cVSArs6VO+v}v+JnFmJJeDKVC#?vxo%MZZld2f9V_K#wq^RI;@h(<&Z(qXPu2T( zBpCz;(Zk`0>VLZqGS*E0I1Z%|Z^$04Y2Gpjd=63|ZF-2D&IY*(EGJig2yYQ#aq0RMtnFwY6 zGTi%NZ2sQzoW|}-jAYkA@bF;wTV;*F=e`Za0PBh86NwXzmH8Xmw(+DHt*8hG&>G5z9%0FM;XCXuwXLh26JBeTO)L%mIQ z3pYXkucujAGnLD`;d&~g)6Z(pNNg^^DWAE0M)>bWIj?xOZ#)jsNF$VY6WGnOyJY*U z4Bba9U1_@iSs@V%n!n1jEFD*n4!wH~DZYp`2-T#^+d2{o>(xG_&>TNQ@%BiyJd0(6B z^_u?h$a0R&X*unw`qIR<7d`)vPCsX;vga_bXdbHuhx_VM&?H@}3aU&c<=yxcMca=-*~FY&(;a5sRg=4rB$h|>i1`RA+|7t^g3AsZly){&e zhZr**FkO)jNPpvmX)2DeN5fJw&ZOGm`)*GwYsy1gd}b$@cME%VRqiDsoOqT?{4+Cz zs}=vVmDz{6S3IBJlTZp*dH=Pi>4=WBHzdO9ak2mrN|kGo&UVm$pdIhLixKZ{n+O+>dBQZrmqfQxgq=bzAZ=2*t%7{r@BD ztK*t{qqi+U1f)9^5tSNJ(g=bm4HD8D(jz35R9aF5=@3C0VZa8GvJpymj1r~0JKlTf z_x-)U&-;gSd-gncoO7M)T<2^&Gm)x(oef=GN;OXyZK!27>f-m&eUX_XsBG^dISFz9 zCW>|1u0fs5=RNt%@|E#NUPuPRJdF!cc$#u=w~AheU7&y%SNHMn}hY;-#DI>f7G8M%!gWi=I=Y&3MP#)o*Ku`%9_>QRE916bP52 zQp+8h+U3^|n9eGdRgIS2Wr&9V>OF(O>4${rI!SJq;g{12n1n7&*>L4( z8b~=`&%7UMi<}-p@b*xcPnP$s8#p*>5%YH1+`R8d{OH9mU2?LoqR}$Vt!a@B5hg;z z6Vn?7BzpL>_PwfZ=_bhGCWr{sU7-DOxSL43iunUV5lIBRU1g{?JZ*>3E}^YGLC@*L zM!LES+<+dckbRlhdBn>P8Wi#_h(7N&_4+f+bksY}1GS2y=_vCTn=RR!L2#JAirH_u zA_?|d-h-pI(1hHL8= zjjrKi%3C6WtfMLzvwdsYAUpLVkQG;@jAOf?yh-N3O;-N);!ECW$jO!#I0+_FKf2mC zDP@;%x-W{^p7a$__T4ANEc2N_l#eDX6HbnNFxw_U^xJ0yD^e#XTtOo~CIaA7UXk|G z6BP`+{xOMwkJl*VXwEEXq&^*@d}c#uakgJKHKzO4f}{{h@>}fXASSTM&AzXbC$vKc z9`rde%z`H=(8fvqTYjxRXUJ2J2gvDX9*nGfkIU!&^eCEEhWpK{73NviHne1tpM1;n zpYP(#3u2JuSGX*-T6BAF?>@hnqXXq@_5-u>Uw^hRMG{LRM-$YBkSghlI`Rg6)T<<8_CshnTiwr9E#Gkb!b#5+shxwzm~vM1 zrKO{bV4_Kzgwwzt|3jbd)xHVV`@q`v2`dNuz^;5=;Ii3Mbe= z9^9)9`h&4I2_7L$_S!8LC+R!Aih*}Uje76yO$1GOMu4Co0vaH|x;YA#;PYdCgjFP} zq~ldUN$L^Hk3w5)@XD6Foun+35t?MdV^P)wryAj`UT_rPN`B3V@w!lv1AS%5r6z(M zhp;Y;lsU4F+?q zH8SRCX$I+L4PO^QQFFE=TQ`C#;faT_!LM6AlQ(keW}xYZ^_ckuwKc)^UGD3b*APej z6%V*;x>mL7H{mI#&dEJx-qGHDCQ>iM zlWPLXzFyxUN8&*|yC%d2+fl!lf4AwRZ5$Qg`H(VN`1&?NcI-rsUiAat)SD$(kGqoy zNJ&Ezc3<^EhN|i;6IQf@3yU123sX7a+m0Ch3dBszCqSA%oj*Z!Y)hb3O=K9CvW%b+ zZUZ_C6ygh1m@DWBZ?wJL-hu*#q>NsH&O*S!G68ds25N<32Di^}Kt0 zIUDhU=!N+N+vTYfy@@2tUh@~4y0x{D^hp;BwvRl0Ib7AcT6Qu-JJ0W#A^ElJ2;Nme zH~V4NfF!0a2SXRc!<(_^GVD?RGskz#B4Ncyio3^G8os@pP8T;H<&O16IlR<6tQ@!0 zKO)3z7grP02H!ZeRSQn_74m_vZ9+p%4VZ#XJ6ikFM@f`SfInM)Lw4SbTh`pUu4Gbr zv<+TvQv)8_*(upBl06wE(Tbv%Yzh8dqRo`epwRUS)dTqhJ%D6yybH4#8pPUvt5FzU zjAm_~pQS?$lR(5Xlg;S)SK=v0QmzgNB?ALqQodA%ZG zMAcncS2Bs#%rqO15~zB(F@+KaW|h#17Awq=2+i~j{q@u8WvM04Fz8^8k-&{7pS;_W z?9`7e`o5*Id>t{pJv$2_+IXwe5taG}U*(GTZ^~sU@t>bBN#(s!6K-eQPP!yj^d`(X z`15mzd+U560y90~&vU9AbUe%Qo^Lx^_*cBdT%C|7E`#i%xf>xw{Oy}RUU#j)oRBqU7xb)6>}cd zm1~-x%13-}ghahryU+JruaeYc_JopXZ)&uLbkc&wE40V?@Vd#|i556?RO9P0bxI#J zrzjRYs1HxD5HE8)D@31g1Rg07?ZwkuOw^4*w$`ZwkGP2Tx_HOpcjKa?X2LqWeyEecWnu?MOycNyUcezA+d3ZIh6O|x;@DqD+ z0iJmL2^DH&*OF6a-gy}n$~8BemFts<%KCGW!2>C zf!J$NObROtZxjQ(2_!^}xS7dd?{37=!Mq7-xfKdadob2S{K`r<6c7TxmtezjjL|-% z{EcLC#CFF%FSWymo$L}$WW!-O9T9ioO~$`3E!*c7O^#1cU{GU<%k~foej7DngpYg8 zT?P2J=!8wVaF}J5ds7L|P*5!s77W8*zLEKzX8}f!#}-G4AHor&C;fDcksW3AsPd6E z)xMM1Xuk2O9`WcV3d$E89Rj@tqx%_kDS{3$qmaKi)0I_b&iB~v#P}2rPF&p`bwT}I zz^O>8owoa-lGrHBU1Qk~BWOLtlA}A%t9@NqQAfz5T}LqXd;7udV?9K{N-G^|W;_s% zfxW-^>4`T&q$z~QjW%RTkQ#f(4eJF-<>A~hT~cdZQ?hJR$3ywZmflF^u`DxP>at)7 zg^up29L~@o^bI687pT+O{Deb|Vtj;Bd2#|w3x*Ni4^nwp11=c&{@LhpL3t4q!>OyW zQgNMe8Q0(^>PY@no_M0~I$#ZZy(2m!y95jHgJn{ig5iN(&INb@{HV+YrF>Q0KzhYj zIF%=czho!pMg0@Ywz*tzQQ3-v*>GI&&7cFv;l0PSzI3m66$%G+qP*@T#qg9pWNcDR zJ_F}k-fz%Bm>qeE8AvT&!-0afcf@H0EJPgT3*LTmb@(tQygs{Z#{C^QvB1u5bh_{z zV?A#5D^I~xLSQO0ake14*Y40mzg1ac{>F}xH}RLRhm#k;w|}_PO7UFa4^0&|$SRu| z`i7fJoIBO-qY0^+WgCxAKW=j&E+}o$2{*903&gn1xgEKnj!UVA@43OXZ34pta*5w}85ur3jb?}NV8-*c%@y;0`IKfV#d{G>(bV>;t}h)}0vFW_PZ zsv-tCF-PFkvCHt2Z!M?%@U}nvb1We(jaIU-ke#{P1X*F`r^JXxG9_X}XsW+zByQ=B zm_E;kj(J{;D$dUMx2h#cDo?Ty}L#&+`@-9QBz|C z*nQ`8_P!AvWJk{eTy*cD-O0DE8W&W`(VHUaz31;cK6FlR0z0tl`bH`y z%?}4xoQ}=61Hhx^#;$?^dp>~K7~d92i|8}pqAZ26L9r36xAD+9XNDiv7V%6-M4udk zGGy$G_(Z~Aej`ZubZnsp41jf9y#h?OfCm0Jfw_WtQ`Nx=Twa3MVRBIcL3Vp)j8Nri z_-&3Lfa5E*f(r20F;4b);G)G+FslKWwW3NQm1j-|z`w|t7RW-?nGaaNSR|{gsP)VNIGBkNxwCbY42)*pSOJdauZ#n9Zzh~6S{evFnoRFR*{FL$ zQtbxjH?6=@t`33Y1Fs1ppBiIk+;5SyQ&qo`0D)95cTQ><$w4b9fTbqOb8tn1r^0v6 zsW7E8&ZG^vF>>WAIJjbeeh?USt@R@ApfNZ&%a1!4scP9q`{`{Fti^531yvs#*HS}) zgPU3J$YydB4*EqoWiy@^&!G<@uU_OlaxR0n2SRagO9ED?H#`UHlLfc~b?~O-LjZhH za5O0j3viv2T~-uI*ax=!8odl6pYV40r(t@iD%c*x=Y`nlJp%E2V8d^Gl*J5udWccl z%WzLa+~MT-P89gHT%4_hhyW=>n1>0Ij8gWEEVBK13~B15R8_S!pr$mA=|tskr6qRm zM*ERdiL)1mKn0)%7RF_-n5UmQN6{2|j+Wx&Gcj5AW%W0+6=P9Bq z0%~A?t5kmF9rV_35Td|sjPKe!$^P(pn#6X-6`SH2G<7P*HI**KIFHgn})t~nw6&1lwmk5ST4IYx_6is@OyU^<(z&^=gf zR?W(ThXKNkxM5BRrw0~bzIU&$I4D!dfr0M1*}1D!=|%2zgM9Vwh7N+VTFQu<^Aj%2 z8_AZ`)QeSUJ)IkV{EK_kP)mrmu*Us+O0vHf?ff?;b>8sr;@U#6R1wt#3IUBp1qi(XQ(zaPM0-J)V4*ROa z`ztjZZq=|hh0w9O3bEb0j3U_F&-ACX zZQC^e7Qe8TW5R^k%L+?<4!O@?&JlzPO^ue0;oY6}`#TbFwPjz^{p`*IK#!dCb9V?Z<8`nVkZ^VX;jB_lCpnv4GlR9*0g1fpbmmmDj4LN{D^Vrn) zaqu#I+6Bzdms28dSMd4A5TN0x;C5|bl>Rh21x;|WLY3av*AKZ_%W#X>8EUMfXGhi8 z?MrYs?ss8)xPt7yk$;QDw+p!uM2Fp1vWvj{qu&;tk&T$$h1+iGIzVF4SABAi?QtYHhh~GTg<~n@3hN#BUjfLEZgmU-$d8=9 z0}ca!t4RJ&xeT$Vhm!-CPx=$%QlQ$GlA@pKXIyx>Md`-&;kXd{YWrQWF&B{IJvXcb zGhltiIg=DtH7apbR~9(gq*eo|eBcj8RX8-i5%{Yj%@hcnCkv^<(#o{rWo&U>L%4{! zS4(-6>4Go}3Q!_DcXV5DHe*eOS!4-fV!3nJ~ zw!6V+SNuTGntUmnS>yh01zcqU7;?z6Vess5*#Yoki}$&XanKqboG$jz-!=r~KrrJc z#1u=1^RG_VH*wsK6l%d2qv8VTqY*w`5$ogoA3I!uwh}5QBKU0E+ z-JaHqz#f{p+PQ50Ch!#@102up0t~TcKZccAc?b4-J$LvW^LySn=f@#4x{0{SPQJ~2 zazTt8z8Nkh6j6{4*{M*#ZwVQ|E=^S>r-bIb+sz%%PQ5P3Qun_HKzpfBmy2cmsKCd_w~iq+NBEZK}Pm z8El8;V^_&Q7VfhM&ta=@ZYR_4Mm)TzK}1BgBDeEqXb0ibO(in*@AS z-T0Rb{pozjnPA89{Pwx=m$+ivWxw2z`Z?5Re8;s7d%w3qCIaX@Z=Z zC-@g=nSX|H!U9qdK<8^DjQAJ#~Ne0Yav)%&iSLQ+C!oTo`iZT+6mT@cODl@)mG~`{Fk)udbDQQLM7%4ANDbV#a{g}Ri6I3BsZ|Q$w#i5d*J^z6<)fA$>}6!VDE-+qXF2h zh?_XwbFX&kt1}D;pSsG?>H2YVz#&d{mC0A^Lcsjx8}7-GinIpGK?klvUj z^@j%Mtn7=oG!Nir=ciY92M>qz$q2FAM%?bnY=4128R6ktrMIf^FO}3AxWdoF$jecT z7ie;VsY@4d3a_zS0kO2Y1-2q`?LSgLY=LA@@$coyc;O%LQiKS0z#eo?iS|Q&=g_KY za}*{-o!SrKgeot_juYe-;N8K=IQ>}OxKYi2q~c(Z3Mie(`9F>sOQpi)v?=U$nAM|@ zjfhuHIKy*YSN>ZZzFmj~g!Meg?N~(w=P2KqgMP42692#9?OU;2{ukJz8%0_G9QRkZ z!Sr@}ub^Mv(BTvVj8>pak+w}mj4t||0VW&81;idM2PZRAaZe( zCM~Z|0b(%X&h54jW77Z&F%IVnrIO&%xhEPAJ#V!E6Ht==XCO60kVD2ow7Kx+a7yRq z5E0H{IKAxg``ZF6jQ{V)--ra-e`6IGLQgZf!FkxUM?jpX(f)y~Bq;D}8S$aEh?)8*yU1j@+pj|EgqJtwr+` zlyY0;6=1|Y!BrKv=f#{sfM*9MtG#S-c~qBvAsZAQ)vq0ZJhZ>HI{3GA37=k@t!2k` z2=blQ-2pug1&vw&$#=f9&c2BlfJ2M_a9B_)0?s5aKy^&u>W`2y8vk>Bh}|ExSc?Mb zSY=@TmF+cy{yH1#8JxmW_WoD=gy4UkOLWxzQg%(X$b`U))A^Y)(wTjpXGVBaz?1C@GSk)qtsWnSf7f!@cf z3~!3+ZPypT!qZxdsQ(K_k8hk{*TUKbcg~VPg`k)YAY-4EOhoAgqkD%_NJ+;NU<$E? z(ihKj8cze#>0lox-klg$>Di~saN|^*p0Dl9WN*{`yZ`iHlj^9i8m$sLPGI09Ip^*c zSQPRSf=TB*4;IDqfjtZo{o_pofwM&3IBcW!5+^k&c%xPDd~;R>!^oAOzoDG_70Z60 z325L>Bi@z&F>5?9YuP0jDfcblh9w6JFTmb0xKcbR{P zx?F4daG>J6PnAIfXaq;VavPZoFtwi_uKtZmpgd1htA-N;sY3r%4M!mVu13rJBV^K< z>hlzEuxf~Wy!i?M=`cOgg&Q}{W;+fv)^@tr2{kxQZ%;nZF4n6+OdPT zg@-H^{D6kS#j!X7lr=QS=)ri?#v=NXoeGOOP~O=r%^WSj!wk4WQ_0hwSQ;Q*H*wu7 zqqlRQ-Yd#HlLCdI-!3b7!J{XQD~@L>ZPx;HSwD$C>lmy8l_Ag6^{3#nII&xx&m+JSDsU@A`?)@e0VefE6%EQD=m* zrKfXTVFs>e=>F95=vADDAFd>h2NAlYQH`r$O{>3@72QX#f^uE9BMm&>zTpXun*>^!TeYw=sd6g2k5j-YPRw`W#F+J7% zo(0CQg*%BEq*fhG{?J{9iwl7jKJ(TGtIykS09_SJg_r~9S$Z&sQ;9BUq@>Uxcjg+4 zl|j2;ZY7B>dOBVWd2pD+n|ztlofcBQ;j3=uR;8zk{3{j#10Asg-i;3Y8*b7)Ms(+? zYVZS_#qhybTA+AyI^He7ZSip3rZ0ElUw)So%hFoeZH@xucrqlH&#Cx4uF?~>?n*vh zbwR+LLcmwtUekil&Aj6)IgPlDF2UYSy$0y5ZubY2z?{;>EE|3n_kQ)s&xSk^UV#Tj zaPW)o)qro=KTlE{$s(3I#eu18HgV!)wf%N*4tXEmaeI^dyAyzqh%5Ztghr_0k1(;_aA8!b`!Njy7B!K+HQi>0BR|r}g z27MP#dx0Ew2KtgdUL?EBy!0CC6ua4}<$Uu3 z`#$Ac9M`U?E+3!gvhHTm>#QN?t+D4f;9b8ipnM!(v8D3(Uk05z{<$y^h!OqCoo9}? zCIDhfj)m=|b!#X=388rYpjsgF z87C((d?jACPqRWZmptNO6U2ZTH$S zC1?~l%Wp#`u-xuzJAXfdCFA0hhL3h38pPVGM56%uVcl@Wqr1xuqT=8f9NAV3W zjlnR|{|)o!TpyCgfBuoDTo&5Ub=LZmP>EvmL5cagoZZPU)E&Ss>tg)i(NXL!?zyNa z?zt$4X6^L{?6~K8DY!OO`bY5SJjAOye|^5)%Rxk}>}+E7EpP#4est-!AJruou4=DU zN3{LH2TH4%IAki!<3_VD`PwhgDE>_|eCUwDnA903t#%Q0+NDJd8u6@s0O~D*L7)iz z<7K)eJy!$TmriQ*M(b3#!E)}nrQN@I{_#Zw?>d!_$R*hEn!+;hQdp1a5}(2vXu%gW zn=TbIM1_Jp>gjgs2wCU6=o~4*WfMl}2jNPzZNDxc z8m+is4@y`ic2&U3i+^*tkE19+Dq@8#X!I@F9|wX+pl>GJ!k_;OtSDT zIxcP86qC_bPNu_Ym~iWVNQ3Jt?+$~~MV zuKx2uJ5xGu9RI&Y%t?aSU8Ch7KPB?N?MdF9P%uv7-}dBSR30w&+W*OD>1>v`|8*tT zd3D1&mJgd<1}@MDX&}MrUtBk)9#kW9R1zQm>Xog2c2I3HBJNc(pI1P{#*mxmWs8ra zrz_xQyL`VP@QH+W!$N=yim~)|FgaEAZAl(nJU$2Uc<)t8B6xhzKM^M}`ln)L>P@4g z3~YGfO!!oI0Y)0@Lhk&3+Yd>&TGgh{+3qpu0l0~Td=u%T!QOSl_5%_W^(on@-!1h8 zo?E&AKXG7YqQamg`Vmh&C#K6lVQ*&=Q}5xgGO_qQ_*~JeFxbO<3**wCk~@M=K7g$P^-VNgB0?+abngE3}$Cho=)w}s|crj zA=k%JH#YZ(V{b=C)aT~C*1gH-`zK3>@qO#Nofmwig3)}(&*>(-2@(Zo7HvH z>w2Rh=RNRw2{rN_?8Vy#N#NOuZ@ld5tMYO2C9B*gjW5R` z>d(OJ8sfA5^Hs0ER990F$Vf+jV9G3LLHhT$52;s6j239w&Z*&l;mRr9nA_^b4WaUj zFaC+w;S~;A`i)8sbv!kROfrZRubMd9j;cMIIbGSSS1>>R;cZutAC< zp|D~Wb4Rpxqamk*_G9)>Mbf`&&5cG-b^+vQg{T^#(8+f*0`pd}zpLo#OE1#?iEoDT z6w&014(E3S%bC`SCh|3SJhLUr9C}DH167eKEB!b=ciGW^ah8@Kh<hb zfy?KsW!6GF;0pyi3y&aLJ@4kJAVjH`EIv^_R1stD&+5y-p3+31cH@wCSqjvBEt|Y8 z#U(N%-nm4BK@3eTxyKHY)`v)BJ2h9+Jp;)UWOvhXdXk2)owxR=CTWX;>KCH*76A>< zx+Y4bv@Nm^zwT$c$V2w^j@WgTx)!nf*dTnrKQ%U35ez4loSGRe;z zqt`r>+ldQl3e|*KJ7kcbggfw&c{Kgm`s>6UlbU7wjOC{`y=I(n%B`HmMqW4oK}New&gYxBfH=t!Qx!=tM~voN8V?9K5@^ zPE+`_;VgrDzADe-@vuP`A__bzZ8DRTKdP&o;}>E?I<_N z>-L zm0bB#b*_3&# zb<@L3mqw4W88k?Ta=YXB$b(UWV&yZWjj{&96y@fbv6k=K)5DCet2SsbfPXBi4XIB1 zVlyIt90&CsL&vUlhg>bQ0)K;%92hn7si!i5NN9dEcQ?iPqyOr&jHHy>@9qP4OL^}^ zOt`&LUs{^V$6FF``hM8{+|+yJfXdnKXi7F!hLlr5mBZ9pZEvJJ!V^p5@j!T;M|ITeYddtbY1=)`6#shX%a_Rcu;YjKayxi0A?Zs#30q>-1?u@<`c7WSIvO=7 zpnGr1Gh6L=6aVT1a!L`sD1VNWd6I%uBvb{T%7y%T_WTI3RinFKU^4gC7!9w#)epzac)z7*PGPI>tH^L*@RsvjDc9I`G|C#zorzH3 zl*!z9d}{ilNpREOJF~-o1!Jj^>_nU0CSy>=W|+VmjM9nUMOrW2U;GBX8BT-YYlQgv z(^Zj$cT$VJlEU0D41Ia|W%h&S1qZbG0LzA{r^%gS;im8Ug}3ungw*X6Uhd-;Xn(~Y zcobYaQH)x)LNX@}RCzqG?c)$wu_fJ!Ad>2z2x;OcQ24X9^)Z-~A%jlp6A7mmR&fVE zJt0uzpxyd)rA8*KU9K`iBI0mI!M@ApQ9#l?1yalH&4#xPEWBM268qN#uH<$s)7rdr z1l!G5_up3cA5{vGJBUCt+f;9Fk5Kif7j7Y(Q+7TD!43z1JVWi#4&S>yiic#LF`1D~ z9aJD4x;ig2cHwPw<;6?v2gQoahDXJ_uu$f3g_lU?51sf=qdYve_m)T?IxqcC1yxTA zM7ljqccAVC*-qqjnI#hmyp21}4ZEVoQo+YP?DY1xqS7ByWURl2n_XdFj*-AWvF=V^ zj7V&DcBmG4+!-vX>(E|iyO^993+-}M`6wlcyCH(To%xDpB3r7K0gt7v4dwKrJd$W; zQr^j2*3Qi?Z_LDc=;L7xb`y)cFM?9w>E9b8Ttv$2cziW9;A+9$B4MohS@+W^p$xb1 z?&2bqVwEChJw^^)UTP`YCkNVS+e9Prkq1^rv3nV4*Z=P(34u0WQi`d|pMB+Oy427X zKDUWQIPHaE-=SA7iE2f**$}kVe?eN`^i1kE*R)fx3+=c1@D#p zDW!k{n@Q(U+${xgw|!7D(tXlFi@T+Os&J(FZpuxa#kJirrlq1Z$W!e0HBnxw`iHFD zw(@+bA70O2u|BZ=ttL}9Iwkwci7u|4U{gynOF3s_(L z-c#!GLoJAOEi2x(hgry%K!#_JC5udA-*;yx<~Nq4it{T|lcWiPsY-ncz2FJsXZ2A zl@732P^sN07FOcs{k${&jHaydoiJa@EpW#NJqP8^*>Gcd55$;mc;wM@mw;(c<@)L^ zEwjL#=Im?Z2_K_IatCt3A7ws9;17jrkJXcXpII>De>$0vIbj&un0mx211(C!L+bO zGAm*5@+Rt1yt4882p#f)M-38;=DDMamF^!>NZQ_~LDjl{$4TgtStl}oVS{JHjp)2T z6>V$3hUlr1dDyRDIa-A`%kBCq_fz+9dJNeu?x)?}Y$oXC_W`hmCz@nIvWlcM&u)^* z<~*m@=~Jeifn?-`Z?VPmoZ?+CUNIrCWQf^2`m zTjJ(@j^F7h;~=DJW_X_b(LByet88?!o=0z=T4!G6j2vs9a;|aI zKGrrPWx5+eQtQu_Ap0%1uWV#Q(zMG~7MoAo5ToAXeWfg(nJc7L*^``FLYAywcf;(; z0&6AHEz838s}FtZujypZZx_G!qsoZO=(FXZpL#|YZKK9$BiJiFw7Fzv$8T^=V-j;k zqb4a?C4)rH8%ca*I6*#5?mw$X>Pw=dkSKRSIX|*}5~bvhoZzHJ# zu3zz#rpr%mzTLC(i5Sfi$@>33MK20%a3H|7kMdd%58#9~9A^s&# zus}(+*G)4%4qkJ2OxszS>d)B5;dymw?xK+K|e2vtLI+TGP&zt@j~uY|^Gx z+Xd?nHI_lBm@=dLS!Ov{{8)i$<)X=D<}&=CF;%POE^=e)o6nLltM5OdvQgF7dKrFA zymV@IQ(yZ;J6)ZA7^Y7?;{t!krlYjXt$60BMsA=?E~!~uCVfY9^i#^s9idNEDG2F1 zy;t7t2_|09G=e@XYWpcFh{EeJ*kL&;a)LH8u`8O(`bDxl@`yT7j6RgSyAW+->5BFvG zMh|4HW~c8|B+vp7+&^q7{5UJ%x`llgwD*N}!mZ_jp` z4Kbm%(c`s_jyqeb#YVxY@@utXnf=!rREwDXjAV?a=fgEu3j1@zsziIp{S?gisPd@P z?oa+uvET%5TV76HZmq6n$0kJmUaU5WT#?!HRgfT!wR@AK zyxje)&H|NC&)0L>L`I3@efZDzE`6yQ7p3nd*Y0y3(`rO)K6{8wbad#V$rAc5S%g3l zzjxc^x$okaVm$}KbQ)ejoJZOFnvgw#Osi>Z% z!8lJPnz@z6t622bQ(r;+PPd|H9|S+Es9jZ3jjVdC$FKbBCkd6#a_;U8kGLCls0Zs3RbuCnE>eD3{DHl;q59nAQ&pQ8N3;)J=okh+zxX~FUxD@8Z86+Ee@ zc{f6#PhE$|9&_b=NxAMXtKF9nP#NHN@Aq_ggicthJ|i@Gyewm@?m0p^Dpqz;h>YK$ z(>Ue^^~Kch+v{XnLUiHy!dTaZUF<@4jj4cmqr%L>6jqjK)s7BtF5ud=h!Y_dkI6Bz z44cal>Kb+(Wz!clqa--xY*csf$F%bC=rtz?jr}|sy5h{O_(a9oeSFJ`wwH4lkvI61|T#0m*2*t$?*_lsZb49EK3SsR=za zUkim4&Fxt6Z}_dcU&whAIK6Jit&ixTCz~lw9k{1VV1zkOK1E$4@PDC0Azyi4`Oe3D zt2elDNY)$l&_^&rkwywBs@sJ+t8G($uV?BQl=dCDr!o-%QB4$J=3`^-DN-1rFNM;6 znI78GjL?@-X@3*~MaD?b@+P={ID5z8B^9?GsE4j^q&O9dQ_o@2i2lMA=O~j06*wZRidUH=jA_Bx)C{}5dDlNIEa`n*shyXJ)!o3KNF==JJ zZ8cbj1F0g<15rcB+HfC?&@zbdxutS$h<31?7E$MQ+V&;K7u-`lh=45^A#Ec?8ktfh zL|L&K-T9h}`x!$w;oyuivH}>i2V=`F8El@9k;%zhaL(feBM&}!^OPPw5}7_YJyJb zl{5Dg4?OmP#JpB#TMO z;IUkFjX)h+!Pk||)qTI$@74%`6Y8Yn)=_$B(jdY-!{^mwEWCQQrwu9Y0fYq{UJsDJ zQaA9Dh3r<_eI#%f@|?Zv_j7NeZP(q=%EOaR)n8BR_e+1mvp?e4pua`Ry9)qDfC+%B zzw$Ij%K@OG`I;$wMB~<%py)+0Uv@*V2>*jRdZqm$;PiWqSZNj#TKHhr$mM!wrTtVr zbPJ5Ip7D0pee>w`jq@She1P?MrhYm$)CA;!CpNpE!3uG=1nMmDv86&<%VILd0w8MI{-ajX8`1eXxxIMI&RI4dgv!O#D!>& z{LI74X#{~OC}apx13+H?1(sRTpD7{6N@4Ooyx&IPH_@zX5^(GWzZUun^_|?{cKj@Fv7NdL)$S zqv<#BekzX6v^zF<4Rl_k{9yLHM2`ZzTf+UbtFAG1_0RQPOab6_hUFV)SbSM-6Y>Iu8{6 z^=Uy3Fs#WOh`&je+uS;VdL4U_xCl5l|6G z7^Zz=&}|?1tL|P!FyT)`A+uD9{yIAjr{f@Adj9#z&F7pJ)dC2^C_<3Tt513Me}a$% z_;x*X0k|?1f({Umn<4T6^scu5@!TBxD+R!U6s*ASlu{tqAobA2 z!GzV1P%Jai8;oEUF<-88*UWke)|ndrcGo15qA^il91@Jw*{Q~@LXt&k{{>jp3^q`& zVDlMnyAe33Ml3PnY*|OEw0{B;7>qDIg2M|~bq~Z_2rhS(*scw$OzNQ@A_C-Kgux(^ z0JFMsPnGDQrw{?7AZ4*CJ-8p|n4^a_f)Tod6vcDS=aL{_g>sCE$2q3!p}oP2Fp#|B z9KE=w0`$=Su>XhFc|bJiq3IC;#b82nZs<^>snzN|;=TjKOE<5F_j@-^e)RhhfmLdY z^MfSCJ!J=#y%+qNQA!X@3^XJ|EI5dyPd^QT8&^~C$!>hmn%r00D z?UC@_mA9<-6lV(MC=M@e?y1uA^k=hIrbT2-#+#tKi`GLcnGsHcbO$nakRH0Ck)lpq z`3L@;uuH60Y1>D4-U2ys&V!CP^O=Nk9D|(FhY08bT0$z$actW3YMX8CQK2IG9U?#g z6EMJoWW$jf#<|cE$Lq^=Y?>p4f8Wwh1c@cQ-wzY_=$3N(d>RTOz%;iAok5*SEZ`~ir0 zr~;03xPW#c#<@#}dx{(p@VJ?Rg<0tpJfXy@uEuE#O!qI%r%~K4{JNEwho`2-^Gd;{ z0bBLURJX`X*QVj^`S$SZ|3bAQoP!AnC_6BK6f9z}x*4|>(~pvxM5~GVj?Ziwdd^RG z!HNUDaXagEo5BDh4{z@s-wy_>%WJH-yzS554AO*BO051m^?5#4y-TXX^GeUA;W-XS z9oH#)ZOqf|iy(iIpO3|`p9_Jy4j6+J5f9;uTL*Rq@{G0xr$+QV4Yse!Z&{O${Z$Y6 z4Aek2yaXxLSQZGIGY}N$fI_px`Ys^Rh7Q(&)(8NsY65coKA;8FQ1Ce}hx9F->=tPSUtOfXr)GD>;`=nJZC9^PoOs^M zBHLM?Oa@lJvOIXhx3LJ0a~Su56C0VQITtfi#~fOsC3zk!F7!+mi^R$s`H~e1&#Mu% z`tAe`W>0kE7l^pk7>N6)5VxAv@{f0tqPjM4HyVDacI~HPMv+L+@J!~vs>WK zqSdHCFAJt%$)O$QXwe+Ez~k?`t=KP{N0_-Eq*BGPtjIJrUA_+{^mXb|x#D@~q)z9XOb9tiE?ImS=lr)vPs27n=DZFPiKWmlnil~e!uM~Yenj`FT zo(?X0{1d4Z*eCB~_tDVEVn|h(MW6AbckX&BTRr>obsq4ee~I12AG?HPJ#z;R?*FMd z@2Y>RXn)09%*-XI`-4=|=Tg z#!`Ws#)dUfE)E`kw7);-{2u%q+KGOuQ;lJV_zdslj$!VEIvt0KH*#Wl=tVwbq<8+r za96k2!{3zEY@bGvFv5BZc1?tiAm|uyf-4+9r{!VwF{Du z4lTJ|#6_QT6!++5cq&`PvEyz2xwa>#CF=NLUy#(uKjt#eIans&JrrB7uk_!AWee{# zTQSBfl(XXT^Qvq&qdm+@rFjp&Y4@aGzwdh~Oyl;;uH*Gw2-s(vuqQ!ECJVoAZx9(YevS+Q1zsdvOF4+= z#N#pk3{xG->ofI|;-?beqlvjyN)z-}(+3Ula{UFrH-23D$tq9xxc;!#0+^CYoaCbfLPWoief;L7#dL zpEBtPZ2qLxq`MS{HPcL~Ygj*9?L2;cP78p@U;N8jNyZ~P86p;xQ5DYgCyIa!yeMT# zN^vVNs%vKsn$KLzz-F+c8$9QO6K_72_0;^G!-T|tF*WL=5fJ|x>FH3u1+5{wv~#z7 z>WU5gXb{p}*IT~K+C>(y(HPi^H$^R;7!lHd9$rbGE;xN3gd`h3i+o%<_!!VYdH z-xbO`u1Awkw&Bv2e$J;w&h5p^`_Pfr1J~G7$Kd6rC9c@&(e(KkgY2S#*zNSgGgteK z{FUOz^zsqk)xs_KgL|R3u1!vN<8;J@V~{sO{q))StzN}>w95zE>szjOwn@&Xk?WQT zN=(yqWd&dR@-xoGt?7gNYY_E&S;W1g>z_*|Zr}M!pJj{JnyfAJ90EqZ9QF-@rrXcP z+awphiG8`|>80f(+E<=cKDFheZ_l*RK_Ly_fmdVc{v0a`>b4E^tWKYRp=FO-rZRVz zsbWbVQcjcO3>rUQ|BL2M)oc-s79Jb zp{I^idF~RldksjI@XYZ9E6yRS3XbIWPnRiv*1GcWy@W+HMOe+oP*f}z2;v0|@&p-* zl_m?9gtj;*cv;uDx;E|v$+;vej>*E>GlDt5-=&-n>O}Mbn2!s7rNWVXfQYDw6!et3 zXdxQdD-)oPG{E5zF?9gel5ela6sT%5>1G zO~h^r6)+jlT0t;le9LMx<)YJrf7E%g^G?FlvDyW974P=$*mq!rjcwpKJ5v<+x6W|a z0=q92OH*_S?SQc%U5a92CN#}xTRVl#?o=SY&X3O0;oQK%%Zx)WbXwb6FNB>Tvgz&$ zvYA*!u*E__2mOUm_x^S$7Y6&+#stHy>L0ryK)_Gu-l+0l9pl?J(r}k~-dMPTaWx12 zht_nXsRInjfy{h-hPbd&YDvw7s1rY__S0BW6WwX4Or-*}QP$&qCJZ#d;7u8*DvFi* zepdTI^reem)E`=;ZS$jS?N&F_oGBf4Fs>@R9mW3^;}hMtEHjf9jl)GOVEF#nRT7qt zPIi+j@aNC$u2som$Jo|`EhzEpG0k^vq;cU6kaM%|O@)iwfl+XAyk{2n!gO2ZF#C{b zo5%ntaNjO>rPgL`#5Q9@A|Db|{O7~=@9b^7%qF?wn|N#o`WsSrc4V-wp5LZmO4uDe zpX_0;%=TBK9K%DhYi6Pq&aj#GS6EY*P+jY=qcfc0cnK7HMd7VkXq_vL=Z`YQPh%hpTD;W64rE&U)gx!B155A)K`YomUxVV>*Am$fFQ2X8= zyu4SZsTu9(QRGzVt(rA=Km}S=sxyqxif##7<+8(7_{`DKbEp~{T>~^O^6OmTu`vff z?MSc+M`kGj9cuw#jV?A(>bIgrB86~kTq{*0GpOKhn2<%~($`F#w_$FWtfWqeLXT>^ zn|vQ#WwZw%omYGu4Nj1u)xbsmsv93*kH?af>fEC$g+zSpos%;UGcV3l8E-UOvyY7z zd)$dUzlliYfAC4TiK0ui+^A6L;xel8IvEXO^*UB+i#Gr4y|q&=$K=g#X%S{p4)Iz-o>az6Ibk|= z?KQaWT%Vb=sZ&>nw31phRRx|e(V@bi0muZTUq+V`@<=Bfw@ zQ=ZesnB}hP;BQ6r+BHMEmXH(?CnvrqcQS^pRmI{_QbF+Qe^8qD6_jTKZb#%R*}>q* zvF*lr-I6(07~aojECaw4HI_aWkZ7x;4eGf?(naBF)3mJG8jPk+hknqIW)iF zdF_Q&mCDW}$}^$L?7qE@83d*Bo_ z$?&_SNk40r?v1wW+udkUCN!aP_q~KdsX#_VHGm zyze_n(Q%imE80!jO$FKa!TL0N(@$t~gOhCW=PpBR=7&C`#yP&m?A5;lfz5_Z@M0*a zHju>2+5;Y7*0=T>X!0n@gN92P+kdGsyKo!jNX1V*Rv;EqMSSH$Z)vcv$!R+$NbO3) z;U&wl<)vN4Xq&q_ELW=tIs#Z2;po<{T6A}6_L$&!eWo4qgKV>6o%k% z7e1P2q?_tkZ@;Vz4h#?h{XumYKCIi@W8Tz7F}OHAu#*1Ol^X8~_P)zY%}_tH6SurJ zgS4@r|IW};jo4A`mYEE9B$4*t4)~p2(!CZpHnjKKo%y;a3onhoskT0o+Le?!sw}IW zoEH59njG|gsf(7*7+!3dt_JFrCm3Kma8qr{hAXU6-=V14m~!QkrWytAUa1d4Z+Krm z<3F%6Y)S>aN!dzlhad-yiet%*ceTekx{X1)@FLTF)>AU&Xsr3uZ^M;5$G0Sn$oI>a zmRzj?k=n4Q5IN40Kco+^rh1>J8{@^r2xMgu1`rCZ(jO=hcR3O4?H_rI!fMcyQPU~X z(0zO0w74dRK@T*YB*RvpVr`CL9&(eGak|p?;4&&u$urq8oI}8I$fMWUds<7eTZEg< zYk2`&NEbRfJ=oaqIduzy{Xs&g-aBksZtXUvft%2c9yuNoRRnHZO%E-asLbL4Fs6a? zqCbdhDo$a0iQ7)gJB#=M;}8o^>=;c{flxHwD{4XoDr6OUA(`A+14QF#Mye4#bPnrn z=%Xcu>?I@SsDRXh8kB*?q;IHuJ&)y(Ch$KdrY0roQ1+o{CQDt^0|g!U<6+D~6Emt!P!G{U80%vy>)1+-Yk0luAH(NQ%6vBil z%M**@L8r}Il4EgBLDO)Uxi7>WeN=N0hC-Da1$$RpZyR@4zL^t{T3#{o1geHc`C*#>N_GPjXmWfKtiHh#E%-V zIR(YLcs5lCO+5+Qo3^3iA7sMT>3CY4)0J=j8~0Xo@f`qzq&*+F)h#S|$Mx^NQ_U5)0CLm~8y zDv#m$AmF?+n&sz@JwEOfnbATRThc%r40zAK%ya9p5h#58IJAArcQl(u7%!HJqZ+l9 zmBp{JFD=RByni)5-MqQbuSgO`)1SHW2(j$=qn7XuQr;C!;H)O(==hi6SxTp?MJ^!x z+S|xS;K9z6Z#~WRmhHoC0fOdEPb@^v*N+b5q$_lz80M$)*d1HX#$=!a>*N6ssnj-O}FT@OxzzYQrClpOsX`d76cxRsrY0?R!_qU-GQmxlY2Fa!eZoT{=!^+NWX*0TVU-1A8 z?=WVThGVHFBeHo%vhFL*x$vaG%1%@oXwz5u+W4BOoc825pylXzZ9LMMnjzU;!u#GQ zh=MfOcT^A%5GatNcopFW19~nY zZ1w%eu?qBc)n0KMC~z#yxNRwJbr}sXWT(Rlsw-#pyQy0EqBlxW{o{w#u)QjoqfVu` zGQeqP+O#a0eE(=2t5ud`Z2%y*O}7`XKD;2~EI6gIPk36CqpZ35S$lySk8{dJ&Hhy@zvWA?@?c5v< zLy7ptOCF?&kw{NaKs)wE0Im2F+eP_kLi#L%h^Q>VPS)kmAmU< zrtL@8^C5QvPq&*`v=4$~|LTG3GgxJ&d-e32-)g}Ae9c=$ONwXMQShCyhT%#wn>(?N zl$O#^8x@WhM{T09B=K8qEsck)=G@*F;m}LZCqV)K)>>h6=<6V#Ol62G{QQqrYBH;} zhV_A_=tgrZ#L3W~Z4WL{K4Z+>FXrVqH;_;G({wdU0)vT-M^Np=mx{LOd|D1TwtDz8 zYgdU4!$lLfQH4XxY^Bno=*DtoZl=b5H!$9Xrd=YhvL2D`U)C4C74^x`G#@bXzt^0qXmCDt>AVdP(U3EFwN4a(&!MqAi>BDL*D`}oPB^fu z$jI-mVZ3Z-X2VYL)rQoGjLbGE1y}gG;Y+L>3s>j3tZfpf$7VWAC_lKEwU+E`@zR1v zq;BUf?=p~qc}uX76nEIV;ve#oS(AT6@UeC(5~9yWjKFK>m2iR-sj>({%V12Q%2lM! z#P~9Jj4G@*iKvs3mB_{?wmBLT7NyX%Jpm1xqrY^$Q4%g$!a^n2MVXhlC@K1Yr@onL zI*vLGOErYg+1~g_vJ3;xA~J)egV~b`5qWY8NV1O366SGMHls`5)cUphyLz~b_{O$fhB6hlVJbaaXY#@8*S2G9PIYKYp%od# zqJTw~6Pb_|QK2BV_O~KHwtxfgKTncrr8`;9Z%>xGUhXYyEotWVF?(P;lc zarjbev;MBm@!?a^j`$@6U&8Pu{5}=7IhO^ch(m4_H(LU9s zm66Oqfhs(XV#YcT}-!y zEXs$@>9uS1v&WUF%_7fu<0J8jxl6$3`_pQ%r`(ANZEI{Kh2yA~12b1#=4|!tCfVfsp7nByVemX#rjyn} zv6)|hZgIW((a4XGgvqfQ4Uku7jtm(JoO?@7teHoXcWmdQg*B@DppcNUuen%6Q};U3pAGZj|+vzP~iD`lr&&cs88* zgU|sCRc-Dojc8a68Mr(g6a`;FAEsQYv%3FljU67kbq`+0bE6`aW3+HI&F62kI?>O9 z)0Iq^=6#h^`wGT{jso~g+r$PZ|5pS6OSMJRUQ8PVZAr>B1!4*E<5~9)$_*f$)Plax39HyxVJhlxsaxjvMwWFao zNcbp3k3H}2gdEhDyM()cdy2`+pL|}tOncgk<(|M6x+O>m#o(#Q_ciyIc@U;pJ-LdQ zJ6cSTAEeH407qELsBJxpC?@Cq&=9=Mz_}XIy12x^EH*;<%y1KRySvP<>_d&AyZ5H|Ji-rGDwG`iY^9d`8T7Jm4=PXy>=Wsdl}JtNLrz^ ztAw@;or_@G0|0(Ue@Q1|*7LWX0B+cBux>xx&>f|Ys%F%pGXG3*(Q{Mb_aCv1FQ+dd zRCwrSpuXt)BWT$znhJHxQ4Sh8(_OE(tHvHB7pY8nW(?>E?{ZaamF(5W`S1PJKGMc6 zz`0_Jq~v&9R;DdYqIH{I8*`MKe)chcfLHCV%q-EjqqAR>S{WF;HD1%QRQ4yDG$M}mWCoxD%DyIPSmbotn;+A-B|w zHHwPiI%PDFnWprMt9f2IJ^&42!~dq_ziHwWDqo|~J$vAGl;PM}xl{3hEzg$iF}Y;@ z6l*d!;vv;GC^&cSe)$;TOc&g3K4gt^MK!5=%G@!bgJpm%leYs*vfp_o7MpWCS=7q; zrE({GphHz$?mpwt9c)-GJ|Rx<;2CQMUGj|E z4i3Ev5DJtt*{3q>HXVq1&C>NycVNnU1qUy&`N}?(9FFQj8}7aWif<*#RR2Oru^`I{@U172UZJtyE1D8T+4L z%m*$}i36Qs^O?I6Y<0GXJJmm%O*3XZ;sbcX?6PbV;S^^4j zM`tG0FE_d4Fgijy7>iGH)~Zv!{qo$2^9gDl{ea!_-#7`wh;!7K*4>&crR*1|L!!y7 zYo+3N3vng`JYczmY(&2vpw|T#yf_tWNFa)NW^>rEnu_>`7P=|!^2FuH;2URzTGyZX zjh*?|1V2xFKhHxxkE98Fl%er5uf|_0&^RIz6R%kUP3FzgLBF<-j z%LC8tT%#S=y1A-pulVyAX1DdS%+d7~qgjX!QU)H{+xGP;tb=#V1CgvmWFymLFDq$F zIk`CSJ#N|Hod{dqboaonha~>Tujp7d`>{HM_9R$*(X18awr0E~G;5Vot?-{-`}hyM zKhgKR-yyvxkCauf?flq%T*H{BKO`<&*@;!q>mMqLzAw>24#Sg&pb)Bion_*ez%n_HU z=OM`mc~cfE+}SCRR0EOpox4auBm+i7qFCnW^2!kJPG};m9LqAW(*DTJZvp+e17{<% z+od`MiP*y~`N_a>RgQLWm%uW51r0zBHmPw7b6gA(@e|PXOWfqF{y3I4Kjz1l^YK%Y z3f`8SQd?>1e4UHYkb}9cOJ?nkkt`$$(vuFtm?=KG3JAGJaf zcqC{)a9s}p_B{bOin?lOVq-r0^`09`$#$Vox=s%?J;hIbK#fDad( zAhUUVM>iL%og!D}NCD)E9t{~v;Varoc*&Yx=qr@jZKAB#Aw!r?!Lr)b*sF^*U4r)b z&DU)0-ZX72vC)V(-K7ui?s9Uxce<~04A|L7P5nzx9xKms#Vk{DLw^u3710Y^iDll` z4&E{(zs^*TJF)uitBYix3rjh+p_A*AL%JQA@sXY6$9qD%JtqJ5mG;F;!IZW_gV#P= z9~8vE+CQ}bM#@G>ze>-cQ`DSYr%O~`eWurmOPTS#&D3E%Q$i>mM`tXwt>Wv_jLbC6 z>RlPHbdbq&Kv3k?9yJCQnm?yi5*{Cgc)|(=_??TmR)i+Zs_rNFUD!vxOBg_Y(+C>P zW{bE(kFCdikrwd^o{MX;MYcZ2c?mllxbnJQkmI=IGG;{vZ)e$8B}Xv{aVCaMCAcs4oA6%2m z#glM}0WxJ4t56Kxo`K(uogNz|)obF1&$X(*4fH#V(E5I-F~mZ-`ad zd8$s`4`n{UU)wF>6OT7&Z#A-%eAyRWHNU zM0Dx1i2b9*h!$X@6T=q80ibsHE(P5H(roQUKFPPu>@}maR(femJ)C4zxlme{=OSu6 zJaDEMoN+5(zcsoq*jP_V;G_yS6_e)Ei)NLV0)5y2L5Apow%IHZwM!v}wPz@_j2VJP zp0#MJ?kYf_YGeeTnAE$7@MtFBh$70|&q@xa?=z(Tp(qZ3YbrCW`JG@wpazd8h+_e6 zU4mnVqb0bu4BxP$IuqRP$36$MD#03QIbyRSTAg?aP%>cYm-v-HQ<@s>P#2#$P#pJdzCO2qI}o5zgZ*uR&lT8r);!sVSKKPOk!z7K>m1O6(njf|F-`RNY;a9J=d|&-}&0*4`Hp- zKji8losBm%{CLw1m7a*A(5=}^5#Z5rP{s~f<6oUWQ*Vq)7=<`3;UCAP*&t4Z6ijq~ zyw_r}w8>I=i=7g&7MWq3I*~`F>V*A-B0#xGt<_=v^$KTwzJ7-0E%qp2pheZi=57>r zOp|2XjnA97HeZH8ILDUQOKk{qj}PekeyRu%K!VC}2Z8D54Pa6?(-&){TkiZe`;C?f zSQPCyE}UFnxP9$jkY^chHI&UEr(pt1RWkHRgt-z z=1eVxUm_#Ay{6uFhB=WidlqXp(81myRnM>L(W~2Cl3`Pms`L);+#d*KtDC@NfCoVf zS(xRvrT|MTH6515{5>&wEgWQ#bAMt`VZbhR{;ehPwV(~+bwm^FBE?!Nk7-Me;CAmaL^yvX3XU{QRz7^DT&Nk%>unDCFJXU zox9V39Mr%S{xjE2%iDak=nn(&Z|c4Vt)ay$f4+ir#Hr!)TQmcp`bzU~2yARab!xsd z(s$*-&m0C3_yM~_365Jpg{27 zCq|bq_KyRU_s}UfXR;?>hU8dCeKtrxPZr%xwAKvfA8G64I%#PD(F#6yuHH%tTTUj zyu=Ql;BQIvod<-@d{zXC8-ES%MDsY=enSR^Uj-bdg=o;gb?4nOdw$J?Js*kqsnUVD%_yg@y!7 zfc32h1__a){vNI-vZQqaoJML0mhIOX7D@c^XWbx`*Ww@r{0mgssG(h%5 zO?zn;5rjqsE3(XEk5+q4gTFJxqF>iVN4{{5j31*T$RlISUZ@p|Pl3$2c8_9^=Di4* zIqhT?9jQC+R4MmfELq@p0XO&NjNgopTWiWOG+r!u=XXb2yCPerNomqXL|5%GjbU^j zFfbH;o;&DWD8X4x=KJ=s8ZfoJH|2%_e91gXabU#cX0+glu4>kCH;sh8#BY^>&w>$H zUri=QQeIOlL_2iWnt}JZvlcepr8qcVW6*ry`(cv$o_QXv)Cung9f5~>*%i9tc?7pT z8GX1JDU~%x*CmLTmC8Aoyu0X5<13+lv6R(3!YS?cZKe$yb!XE`t_4B`u-rz}f`<{n!K# zPjWt>jO;LilU9Nvy&lUSL0Rf^Oz4+e)FEOpktmUKN?CpKw+5@M*2{xV$YoJWBHLwl zFuWqS?Lv0~*RaK}DxKHGB8{9E#Swgzx)hANGMoyizm?x6Fi}j=T=Y8{Cw_-$9)Ba? z+a@XzPa4Rh7O|6^A&QQg_IjH?jB%yLfFV*TirSx)8Z@VHF8+Y_V;==L^CJk(7 zn}8u$g!9d*uJC&M%Yi+Rrz!;H^Vj5z5=*yO<1wI_^%YvJvG>GjJ=x?)L}50TX#T+2 zJv1FesQKNHmsrcph~2zqiZ9z)@9eKw@k>-TqazZ&Ad0s9orQ@6pBncaP}hhBO&hgrjRKEir6$}(#7}b+~^3b z)(oQIDU-qBdl$FoF7zcQ&k~P{Ow-XF4f?5IwE2mUX%dgVlm3_src#WGsegYylddb%xGz7iyk8q*qKmGU^Uuk zcMTb(FXGO!8rKF`3xQ%t`?L9vy(BbCl{A-=Dt6Se;8W zRe6RIwLHHL6)z*%A%4&v*xrgoFFF0g!CbWuaCZEsv(HDS>snR3!h5W4%Xk7=pchc; z*3Eo0FAOW+@_XU^25l?5!k%nRm5JU%43O%2yhOi}@3F*13svlsfDQeQX;4w|f z93HV`7m@@~dPUzlMT9$ADsLj<%-xFhG1I&fx|#ratIZz?BQHZ1D%VvT4*h3Y+e8mo zYd~8?9ByCB_QRR0wpQ4Nm0@~!h2q`zwYNh;DpeJ;mLK`Wokq0z_9Jhbt&TTNo{-Ns zK1^f+Lem=QGapMZ1||C*VL07&x(*2~P(&tuxFx_VF&#LM`Xd=K&%KbaWP*dArB|?kEpJeV9f4v(@4fcdQB#&oSn+Q2d&tjW! zy=zEm)iGWP8d1}eYnJ6KS8XKSYA$Jr-4=w3z7DLiGMV&Q&rD8e94>|z6cy;(uKBCa z6;w^7=i4z)iG}(&D%zv6)`5%BxiPc4u^vMv2d10oUCUsg7fCijI%q5t209&>nZ~GJ zX#f_L;_LPT5Lm?0M9h;Ni6+Qr^{OW9?v*s^nl+2yf6f!iHij~@_A&fqhB#66*=v~; z+Wo12gV~9#b(?CJcjdh-y3X+3`0;Hui$8;-l3YxmEtlz;lH{Xnp8!Gg4aKkQYNX6d z{G984s8il?lc)oJ9UhaRCkhw_G2o>To1qP zri^0pz+c4ll*6GLYXcv~omRg!7TBOVuE(hE8mPC}&`x$$+Kft?ven}vWuX&=T(2T6 zT#h;gh;f3GzKjwwjkRzD2c)&NgJ)9AC$m}-HwPIZ48_h^g3%H7j_HewlyH%i ziHyqOa|HZak`QB(w_+$H#5$c&j+R-j-Lt=^%!#rtxAng^Q@SnKSiD)0=YMK?C*q9s956~t`8Bxahiev(D zNv65$qF73d3hJo3!b|FN$}%&d^uCJYsmpNUnRZRoN_8-=MdsCCMa7Y;X!aDA^8%YC z8!3os5D+jZBcvCtUL$w*-_uC3CQ1-f?Eu?c4N(`Rf@)&1l5NG%byNv|v7xb(%|X6` zATcG+7E%U-Vo9zp$a?R^J*8dEcb}LK4YftYZFr;JLx$n z_&pd!m_RB{w)EEGBNE96Br&ah ziDxMC78tT>EZ92TBJ$d|I6nS$-hogZKM6eobJ*FJ;a@D19|a)-W}On6Qx-lDPPV#Y zAHK>Tud9c)<5Y2(NKInA-9WlvYa+25P?6>9N;*OpLV^Nn{1HebgW=cqkQN{o@%d8| zI#Rz6HEkKkaHPx*Ph&0o9(L$zAL9yQGv!;LM>FQEI^}?Tjf!a>aYlHIz+CNk5RN&@ zcKlt>L0{Azw4G$Sg@60RXp2O-o1XcL!;V-Rcnhh9LLsZRbAJ#v&E(3QE?LE^X8MWP z25k;e>jlkA@AovBZFg_y=Ic#)o}9UD-e7%8d3>c~zZFyV)m0bRZf|k4J%(#$Jd>Du0?+}Rs+vU<3ozlWar;Sz>kK1&fY+6TP$(i+d#8Sl2 zHK7v4)2SZdu3E)iB+CAbr0u&+ie)+3VS;%Aaid} zkqcL4$VPATX^{HYjf2cogL3=#vx^OjTINu`4=qL)gRxSAP&d3gy zf;a9)jNNkDS5<5jh400725pV4|2O+Nt|_Hf9-kl&}< zLZg~#)KRoPMzP6k&DD{)6t;?=4zPRUG>ijQci;_fW_xINyHQZ4hd&~%v{#+~W#wHC%b99*ll`&iz^=*XBp zqtH?sL4aD59r@<$$)Q3jXfg71`?El1A;)m4eBTpy4R#B(3qXOZ1QhlxkHMuYNeIa- zuKx+J&r)-@Zt!$|PHdHNtU7M^=~bVgzyt4znAmCSC^2VgyOJ44OL8QWyZz*7M_?P> zM}wuJx$sIUlWp;=qDFr3vp`pNNrfUv3_Qf=BKh~F}Zs4iCF#B~I$wg*VfOpbI6l$4~ucwS{I>X{h>wDl|2#D9Px3hLErAC-W zhda5028Fu1>ftwU?5V|cT;>S$vf+?(6|rE)^NAu#SDz<~hy#hBrVc!l;+x2oG_yzx z*HYoPlSp^?2_+Ibfqa?vTAlk@qJ7L*-H+%pYc;NSM!GRZkeuc& zeP$~Gt-58rF<(EzSvX7PYWy=O2#OaKeMWB>AlGLo7;UB63Vlzo>@-{9A_0czwxK3z zJ!syAqG>rt9?luOEuKxTt>>Lag6}eJ#v=z2`ma7AD}CnT2h8H|q9KC4iXm|&InB;twhxWad2?X<0><+ z8^syRS0JhH#{q;x3eEL<)EXg_-^iGsNyGcQju zBy{8Kn*;47wu~mIPOBkr(kSzhkb+l6Ca*fpYIPM=yneeTm|VH;FCeZp4qIpBCd;9@ zV(}eUv71vcQloG`GF(Z{H^9mjer5kf^4NG=OA7OLPf0>o+*^pk^(ao-Ea9wVvKJLD zu6nX@0ql&NrbgZP@goJ)D-REb1)iVYjqy1nM=Xx_KO|wfKNJzU84==YV3%LWeeaas zuK(JI8|vsIG1N}Q0CSP^RuFTWRVzx^^E1B^T}vYC2rRQdX8^?Qvrqc0==7IH{zf2h zKs8wh!*^-ni(SNVQUN)U#VUcemPP`X0`Y(9SVQpsCHDQPV8skJ<;^m2y6yoUmEq>Eg zhk3|Z@^hOpd9-Zed7{=DGf^uk#7J4L=inpU`g9u+&zYcbDLm<&*3{X@$idU5`GaLu zi|n7m74Z!nGR|BMy_SKtTw$JSVbVd9h`DnOwNqbb`1A?uC6D2+zd8{OV>&P4(+Grr zeN7k?R;-<50L zZHzrw3_TydP!jih$@!b$SFT!>z)*$nM&-5yxfuL(sT>x~Gg6+lK;Gx}0xKU@ zD^bOPukFk4(60a(q-*!4J~2mxrtwT4bRhpr>rDD$1;L#m6cpKjfIRDifq;E64*$D| zs44v$pw6vk=nn@1a?1n)0`p(3hrhZJwK6c2v@x+25&9>tJi0diI15`x+FB$2d*uyU@u}kC;&zE&}@E{=2{{eoz688fEk+g9#c5raE zbNV+dPXBUD=!>oj1qJf2v6TgafQZNn{u^+Yng(0?W#j!9{qpPS9|b-H1Vq@%*2wbT z5I<`C;H0m%f4(4JUin8^iTwW{BDU5B<~EN1Mn($@Fy?((mMrvd>r&(YFZ1)?0LOa5 zjjJy&=p%i3@gIsnKr}PH0JaWJ3iAIoUH{{{IhW2f#IMGLU-IA6Ws?H}qHJzuZe(kt zWbE>9W$KRNbicpGwLky@g7#m^fL}O(vZ#ojlBlvgKuK6sPgzu0MN(ew-(CDu_5YAr z|5Ma~U+y - + @@ -433,7 +433,7 @@ - + @@ -463,7 +463,7 @@ - + @@ -472,7 +472,7 @@ - + @@ -777,14 +777,14 @@ - + - + @@ -793,7 +793,7 @@ - + @@ -802,7 +802,7 @@ - + @@ -1758,6 +1758,324 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1846,7 +2164,7 @@ - + @@ -2016,7 +2334,7 @@ - + @@ -2029,4 +2347,4 @@ - + \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd old mode 100644 new mode 100755 index 84c4ea3..cf1bd42 --- a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd @@ -1,15 +1,499 @@ - CY8C5268AXI_LP047 + CY8C5267AXI_LP051 0.1 CY8C52LP 8 32 + + USBFS_1 + USBFS + 0x40004394 + + 0 + 0x1D0A + registers + + + + USBFS_1_PM_USB_CR0 + USB Power Mode Control Register 0 + 0x0 + 8 + read-write + 0 + 0 + + + fsusbio_ref_en + No description available + 0 + 0 + read-write + + + fsusbio_pd_n + No description available + 1 + 1 + read-write + + + fsusbio_pd_pullup_n + No description available + 2 + 2 + read-write + + + + + USBFS_1_PM_ACT_CFG + Active Power Mode Configuration Register + 0x11 + 8 + read-write + 0 + 0 + + + USBFS_1_PM_STBY_CFG + Standby Power Mode Configuration Register + 0x21 + 8 + read-write + 0 + 0 + + + USBFS_1_PRT_PS + Port Pin State Register + 0xE5D + 8 + read-write + 0 + 0 + + + PinState_DP + No description available + 6 + 6 + read-only + + + PinState_DM + No description available + 7 + 7 + read-only + + + + + USBFS_1_PRT_DM0 + Port Drive Mode Register + 0xE5E + 8 + read-write + 0 + 0 + + + DriveMode_DP + No description available + 6 + 6 + read-write + + + DriveMode_DM + No description available + 7 + 7 + read-write + + + + + USBFS_1_PRT_DM1 + Port Drive Mode Register + 0xE5F + 8 + read-write + 0 + 0 + + + PullUp_en_DP + No description available + 6 + 6 + read-write + + + PullUp_en_DM + No description available + 7 + 7 + read-write + + + + + USBFS_1_PRT_INP_DIS + Input buffer disable override + 0xE64 + 8 + read-write + 0 + 0 + + + seinput_dis_dp + No description available + 6 + 6 + read-write + + + seinput_dis_dm + No description available + 7 + 7 + read-write + + + + + USBFS_1_EP0_DR0 + bmRequestType + 0x1C6C + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR1 + bRequest + 0x1C6D + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR2 + wValueLo + 0x1C6E + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR3 + wValueHi + 0x1C6F + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR4 + wIndexLo + 0x1C70 + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR5 + wIndexHi + 0x1C71 + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR6 + lengthLo + 0x1C72 + 8 + read-write + 0 + 0 + + + USBFS_1_EP0_DR7 + lengthHi + 0x1C73 + 8 + read-write + 0 + 0 + + + USBFS_1_CR0 + USB Control Register 0 + 0x1C74 + 8 + read-write + 0 + 0 + + + device_address + No description available + 6 + 0 + read-only + + + usb_enable + No description available + 7 + 7 + read-write + + + + + USBFS_1_CR1 + USB Control Register 1 + 0x1C75 + 8 + read-write + 0 + 0 + + + reg_enable + No description available + 0 + 0 + read-write + + + enable_lock + No description available + 1 + 1 + read-write + + + bus_activity + No description available + 2 + 2 + read-write + + + trim_offset_msb + No description available + 3 + 3 + read-write + + + + + USBFS_1_SIE_EP1_CR0 + The Endpoint1 Control Register + 0x1C7A + 8 + read-write + 0 + 0 + + + USBFS_1_USBIO_CR0 + USBIO Control Register 0 + 0x1C7C + 8 + read-write + 0 + 0 + + + rd + No description available + 0 + 0 + read-only + + + td + No description available + 5 + 5 + read-write + + + tse0 + No description available + 6 + 6 + read-write + + + ten + No description available + 7 + 7 + read-write + + + + + USBFS_1_USBIO_CR1 + USBIO Control Register 1 + 0x1C7E + 8 + read-write + 0 + 0 + + + dmo + No description available + 0 + 0 + read-only + + + dpo + No description available + 1 + 1 + read-only + + + usbpuen + No description available + 2 + 2 + read-write + + + iomode + No description available + 5 + 5 + read-write + + + + + USBFS_1_SIE_EP2_CR0 + The Endpoint2 Control Register + 0x1C8A + 8 + read-write + 0 + 0 + + + USBFS_1_SIE_EP3_CR0 + The Endpoint3 Control Register + 0x1C9A + 8 + read-write + 0 + 0 + + + USBFS_1_SIE_EP4_CR0 + The Endpoint4 Control Register + 0x1CAA + 8 + read-write + 0 + 0 + + + USBFS_1_SIE_EP5_CR0 + The Endpoint5 Control Register + 0x1CBA + 8 + read-write + 0 + 0 + + + USBFS_1_SIE_EP6_CR0 + The Endpoint6 Control Register + 0x1CCA + 8 + read-write + 0 + 0 + + + USBFS_1_SIE_EP7_CR0 + The Endpoint7 Control Register + 0x1CDA + 8 + read-write + 0 + 0 + + + USBFS_1_SIE_EP8_CR0 + The Endpoint8 Control Register + 0x1CEA + 8 + read-write + 0 + 0 + + + USBFS_1_BUF_SIZE + Dedicated Endpoint Buffer Size Register + 0x1CF8 + 8 + read-write + 0 + 0 + + + USBFS_1_EP_ACTIVE + Endpoint Active Indication Register + 0x1CFA + 8 + read-write + 0 + 0 + + + USBFS_1_EP_TYPE + Endpoint Type (IN/OUT) Indication + 0x1CFB + 8 + read-write + 0 + 0 + + + USBFS_1_USB_CLK_EN + USB Block Clock Enable Register + 0x1D09 + 8 + read-write + 0 + 0 + + + SD_Clk_Ctl No description available - 0x4000647B + 0x40006471 0 0x1 @@ -30,7 +514,7 @@ SCSI_CTL_IO No description available - 0x40006470 + 0x40006472 0 0x1 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/TopDesign/TopDesign.cysch b/software/SCSI2SD/SCSI2SD.cydsn/TopDesign/TopDesign.cysch index 682304adc08d7318155de0bd03f3461d8fe2a17b..7def179fab86500482f0b81f03cb1f32b186efae 100755 GIT binary patch literal 132910 zcmeIb3z%I;b?19pw!p?13?aNBZS}TguqCAK*2~yJ>Xv2M)(drGY_KhNt6LA-dZg8U zh(IF1gaFrr69Ng384ME`C)_X}xlD3Dm`RMuoiD?f3^zB!C$l@4$q)kfCK<+Ik|2n= z|6i?Ld)I!QSGS~=>F)3Bvv-}URjXF5T5Hv+RkiPb`MHho@2MXDbn!PApXA}(A8}oL zlJqEEqqG}c2}+ZG`nl&S$KRj@^0gN^>iKx0K?rGV_w-Ll4N-Hj`CnSNWP zU)JevT&b&E+NAOfH1@jdn7${JI;ragKM-8O1Mc(nb+ED9Au#1or}Cbs7B4<24D?5n z`CR->D-*>$`Fn^E#pmcMSU2jc9U^QJju7TpV??-xPe&Wu)c6Tuc~@hLu4C?g3Sva@ zVprD%Ru}YGBRFf7r(bP@4$IX3Nl{|0qsMA}uW`lX1+N6eM-Mf>lga?Zjo(Tpw4%olcw&QoEM;1>zC!CiG5azQUjtD<%Ts_?-Evb3)*DkYBAWP?x!8>yIhW)dWKvF zRL2ea^;mYS-?n6DVa8CPM_vVp8a$NRX}!UeEzy(8iKlru0drGejnZ=vsZ~?MeN* z#tof;Y>0Gb4*ZPT>x>O=jG&G8G~YW2#Q*ewDtl2uv}F!b^lJ3TMB5zAIHnnHhzJRMo~m zT#y{8$zQvcI3a`#oXZ0j7fEUY1E(K@vQ@rOJ{*n#t2wG4sC z$j5@Q@s9mN+iX&`O6jCvjv_eI7Ww(6pCpfI{8x?i6AL3f9qF^cxf~bDRoaVu9GmL`NAPuW@Nizp!4gOVDHJKgL4J$GyT44R%yB z1!nim3?}5zIi&w<WErVued%KDk1$8b2s?uP&VT}DnAbG z!9!G3N?%_Pb?TGZPltxo4d&lCTLj%~C-zy3lYxQqT_yHr}ZxQzA>0~rAokz-gzmY`3ZBzdRX3OsiHp7 zw^bbR@_E4#Wn9k=-k7~2FZNNwCAhv;INgim8je5^VQ6rNn+(oD!LrC@4)6)3@?mbl zEQFa=DlIM*7gq_WQB^XfU(nKZbBrtI36B(wo+9pN2hZF#OL!7v zF_@F$e6uAHTyxlhD7k)d7D~>H;FaPjl;a-dfX@Q&b)Cj}qqqnEYL$GM9~#GFcy@5e zY&DK=ZNdkbE=UUeCoJ(U6BnQuY!zdVQc1HF4(@QgqiryQ@J!;XI8E_J&;61nBTPNkB7&D+L*c~ndD-Q;?Z-ynBM$ccI z>*ne>`^r%anIl1CNd?WFKtYQnv1vm<{ElZ4sY4?3HbTNp5(Z3IdY-!U(#P>7{Hf}k zjR~ABN59$4U(fuKwC@H&SuTA%pkZ;M1?l~#Z)V9~7|ASnWa$a@1kpAk(QH?he1y+d z(fycXm(%@UZcr zfh>=)^vRr^CzRQ^5VuAUP$a}7ePQUDI~TXqq#TX+$@OR^ijNzM;&koMk8q1TVg&R& zwR9<-bqCSQm+WUB=@h{5D-cJ7EjrQ9!_19!$v1?VhjT=3&uL+ZK(s}YicHy$6_t5N zS*B4z+E;0SJ*7sp(~)@(Y1NcJzmRse1P`#3R-E3u6=UD)nO-Wp9B1oz8cFBi$&g}{ z5=w_<#UdoLf9x~v2F0m(YFX)L#F~9ZBnj)rESpo3-@L0?K)vK9td6yBi`eoE=nV~ zSwk*Hm{@N-=B)g9ehK1IaeUh<;avb5Zx}$?HyG==+a# z>k)PGTLiA>sq@bJNB!n4+JlKfaX`4h!WjcZ@y((@fss`5cBRg3x5B(rZd=7&E?{BG zQ-tA9Kk^}*pQ#pBg{>N5nn4G%Cd{-Wl!D&G0mnRsrS`RIL^6B{Jf~0bT)?M+5ynFMg%Y)G(8cu~&*At8zajt0`5EON4I0k0rY+J5~3);2p85+Dufc4QxG zT9ATresWeEo#&~B#U~l?QT#q#Rq1T!&s7Vp!T9``)k4!i7;BJSGuAZtwO`1>*92+O zAe`ZFGou%CisoT-VGlAl_3ls~o7dAT(DWr155X?XHW^(={tAuerQMW%yjRYjJOlL2 zK%yR-gZ6NbOlCOr^ghv(krNX-ip`$Srtc=^gVq-{M~bwc1C0w^;&b*3T29`pXWA7k zb#ikkg`MygL}n#|@Lz0L{27Q7W}P1#y6Q$L8ibpqz*dKZEO= z!EKT6(8VWdP+>~Z?)a$dg%R-&B6UJ>Iliw04*4C`m~;!DCFUQ(wL;KQQmBFA;@Zs32zHY?VF*2`uj=m6H)xIZpzo5E0vt5OB9n9 z(j|)Duauw}JVx<@?rJ80ZqwR}oPDEyYlkmdtIW%#&_d5?LQ1SZ*3;lzR0rH({9vNS ze0a>)jL_ry#&<_B3g!UbJNV5wy>Ev2t0GO9yX9%}yGjXY!ebPF)?JMz%>0ER6IUb_ zgZ|fa?-a35ytgV5yQ7rzSen>3gF-9+^=|CRyZ{)BOHWAg_Xvfr>mfg<*(B~nBAWfW z+(niVv5mXQ>||C#nw8;q+*eun;MMAYY=_fa?OLI0@L0m{C`x>j>@BseN`7j6yIPp< zGKFL-E#GCou9Tq5c#Pr?x~p{=DnRslvA*asJTI)-v&FB%KjU$2zg{sD(1bi z%BD=I*8$zvHv?Toq|5ZRO~lzF7GPWEVO2Tpm}boBX4nVzAOJq&ODHV9jN*T1Q2tV1 z&DbozeQKiHrTKB}E5CQ) z_*r*Zo~0!ce7pnKbU@adX+A88z8Off^aN#+X$&)>DJ6ku4|02j9>5|u&57c#C~4^l zfb=j|8g^b6Yy;dCi0`E*DDBoAm}l*Co&#VfWF1kN5$#>yt3UoK7>EkAw8_ZFV8Pe7q+otZ6FB9BSOSp7Uuph3EK_SHb|>>*8o~A^8iHkyCoi1Y z-Y%qlU!D6S1X>h-)LoHGQGCK(kLVgOIge5N^X_W$NDz_ZBIiD|*0eucwuxe@5Wf-RE;qUG5ejepUc<>|Cwu)|hY%$L0On-Iyf$>)$d z!j{#uWywWM!GqqgoixmPEM&1v()DeUG(S>zs&2H$bmos|eu;B{Ljv&7Fd*$n00@Wg z8J;z_8Ez>=FmqG9_}Nlah1=|)bSJEqbB{zZ+!V#j+!aPutG&OPHC^nKz+e4p<$!x( z&3jyz5~s`rj1xd;4uLl{WQyYNxN817tjwE68N@dU3Wr1mPKYd%52W|wztA3Gf0dxO z!`%6v@bJ=g5!`od*Wj2Q);Uayz|hc~Oo7dc&Y59*>lcOwPvbuQ`m+1pKeZn>YJfD|5ro> zahONt3Z(?7@EEeM1-yt2ydiCtq^%%l64JBHB8a5ip3VnFLu7KcBDx5btsGu%AC-lQ z)2@2w)%-XYC~GH^u08S|9TjI1MaOf|Yj!%$1uENnij7TZ?^PZ{ARG?9kJP`3`EaAU zqMf1L+I%PuJ#{d)7N!BB)EwRT^=-w=oLOcf;N8WzKnz=?fNd_cQ$4VbGOs^PD-6Ua z{(B`x@jtmMfi$Wc*)XDDt6nvaxy^*Tv+Z2|_#mE+3Yra<4PRZAQ2Mzb^dd6a4Ms6zgE>5(zL_X~Qr{w`lG|Zo zIAfb;;mpW;b>&1c>}t=l-+H9c_|_xufAoK?SCG44>Tp1JeU`4`6Fjp!#r^xlD_O7V zH?1LCWT#Eym7tLK3>4&`CRu=$RT&m-!y`tYHb0VM?=cmtR_U8aVp()WHhnkr|^TjAg#sw=# zC|+V`Yz)}U-CPjFS=o{*lS5XJIE9RLIHHw^@gN81%H>Z{3V6B5sHzvS2aDRtBzFs9 zkxlz%7$dz;6i3cWl$swouN313wehII;jT8!OuYtKiU_6l^JNKIhh&BxDg8@11B6d*_p8Ly+&ee zFxlk4IBuAa`Ehf?-S_Dl3<@5j7;DkMnJuQVnsImiX@49P+&PceDUY8{WpQ0X!SH0o z`JyVCnj+$ntzw&Y`oC&sSk>-SHF1sy6K4W;O${-TMh#)I5kJX%&`$KkpNXr^TtaMd zBgl|2DL+6M1jT{zGRN`eO2&SR;#cJr3Xe_OAH}bBSuS_i%oI~0m%@zk!YAwOuD?;9 zc1X8icM+I5rFp1nVq8U>Vc#xG&7Yyv)HW%zQg6USeKW9YS-RVFA!Op^Diug~9$Q#N z<(e^^qPWi$HDgB#!aZfy%`FSojWZPSyVt#D;cR2k`Doj~;k{1;o5-aiz9wGmTy!p2 z)>RsJzKF*}^RsM#&S#?l>)Zq`qSr0{8y}>tUz(?wNh!h8EFH5WAKh;oQfnurMQJBi za;r5stomk(MuP3D%jB!D{8m@Yw@cXJV%j0yMOf(qGtOsb3>4@Zb$7y>?8+fLpXEtg zM<L$iU-}bZ7dldeKY26aj|Z8Ogt+M-gk0H zJnz@Fi%6MUCO62QB?Q`$@KqYt%7wEj|IUxI(-C=V*gvlmVG?#^s}2D}OorGvYZD?o z*03XfI^q=Y@fnM<^MuVmgb=YG%Qn_<)S-2>I?+v6j=Aq1zu*L?vqZR0eaXu%0?uPv zg*Oj&KdR0q==+Fscfy608Huj(FCi+1ibV1E1TZM(QNR;~@B}KtGuXB2M@HsQ^yT|z z;HzYO*joke7Pb~XuH?P?byN#zla1b#GkaCVUOlt0MtYuqEgfp`iy;MNST&>sZR>St z?$HvAuWGr>7gfjTFf9B;2CpH*!jl19iSvMev*T1)(P4d-fO{L;ck9mHWs{CMIwBi$ zA!g$OW|WBHYkM3$HU|x7R~nP6_M28}7_u&Ou%a6bSZFkt>QfxNA1v(+nc$kmx%5 z<_i#uZiH%Z00{3QV41*T&ip$F?3f{h6M_qY#UcY5k`!|F>z-WRCy7vs;*Ab{?^hYh zJq_ocLP??%)7)iJ45^v=SL8xomL68S@QAQ#%)N+ z>~)PxKjV~$zhCN6rLC>Y)n8$#m)}n4|<+@<}?3EXORg;8M(yX4sJ(R_o{( z#k<355ffgk_|Eh;T&Pomswm=HxH;*6Uj$X~h<+b#T-mtAZPqy~qk@pogW>_78x?w) zHt@jIuTnk+Sf&jr$6niE^Fowx3V)fc+ z(8+Y)-7Wzpc6$sorcvOTVTW**q9bg@^?^OfA`h(d-OV}ZlL?>21?b1 zCJbkjFv#u{Pg^K#4H-kZkex#B4Jz%RVCbbS!UW6ui!%hUR)_-jW>8HvdD|^4_y+M0 z_7m!W@C(}*$q6RAC2IMvtldJ$y&aryq#)t*%3=w7O986^BZa83tX8(JLG4@tB=)Q9 zK(^JtP*f5V7l=w8t5!i4pY%s&wzJsrr|O4xRAte$vX@m9&#QfU1)1b$rNrP(I|B$q za=r$~aO_`sIRl=+EYGH3uveMsY_U0Hy7#zos)7ViMKO++A-ynsFHN{-E*) zyw774uX5M24mUS=-wa?9)5Kg3*_Hj>_TT)@=kni~Iik_0Z7XKL8m1>4IxozRQXXZF zxT|5B96X8gWr+|Ev_;o5rAMrJhoAR;cu5h(&HVb`cD0-D-t88T;=c-$AW9VfO^~F= z#GalDi_o^9`d!Nj+1Urq8Y{s8Y@oLwRXfDfR%l7%XnD>wqboT30CzoWu`547pOPRMP znRn=0p31ko45qktx%-P95OW;ASt&riMc+NPIC0hBRofmP`dYF$5yfM!P}$oY#d|1} zuVK<P@;H5_n-s%p5CLZbXb0{AB9-p6HsxN%3)fjHWI z=l1Jvlb-j9bEcgG-cFFaS3?1RY&mDXq}kUTW`9U|%kTB6O7k{3n_GSN9ja~35&cr= zzNy;G)8)+f)Mmb~ocS%ancu2k)NOARG9Kq&tj&DfAZJ{p-Uwal1RJo!lXVJK;-^cJ z;R@I2Ofm=n!*n)gf~|1)18HmU~7g@?n={P^*|{BcM*+0?|MhSeIyUw7?I ztDso1itRx$ckEbN2`hr-O7g2Zg$gKAuE2_DK%66VQt-^eJ$OQp@`Qmr)3P-tRlwv{ zp(={|s>*aFq{E7*B$oJB5zh6XXfZJP_u3pV`RVY2-`N&;PR&8G91z1cRf{WTUy;2y zz)se(iS3}{aQM!LTrNJTq=x^L1B310o}9FNw5!hFCFXp31_qyyC;*_MZ0@>%3D$VU6=1Wx(1c;SQU7ST7k{_W&nXw*4K714evPn zkNTze34DlsGq{oaX4-OgZd6u2AzKTE6pgtHGqyHoX@F+gtvs%RG8^61+8)IR+*3MP zc#aq( zit5;+s(AMVn-U0B+p9ayreg2BZBDTH9G-tX{uX=7Sz;E@#tVTthHb#%!ln|i$o&(H50-FnKknwHVa_m3=Zz>wOYJGg6*Dc z4`J;kJB@?3X9pjCL{CeDW2V2O=e!&$o`9Zz?w2*d)Wc(3(| z=ovjG3-tJZXGIUrmN{EbiO*>A+Xb3*$0J+SPxRs0&m&hB=<(gIc*N7=dQCQ<%-K9@ zP%fbq!JE}g0w6KMqb*H}m>4V{^?deGrl^AZMUVWdBi3@DZ+ML2AGxdPn?GK ze5|=J*A5BQy%PCYRl%8SI8efDOw^|J&*Yf>E!8OaGj52KMvG)pGezTkL*t?ZJ`#Q+ zktAuJXIUPWqrt%+9qz|hnlCvr)i;TqB8DL&(w>4QF?k0ZCqo^Q5eV4uy$UH<9K52G zuO9OV|J-y9nwKVUO`%~<`L|M>TlkkZ|zgk#;az_&S@VC+I+D z0^8y2xO6drm!5#6JU~B> z^?pqCJ~$`!q5|4)FLeHob5bvorR{o;zd(Goyt3ZR&_w4sGa{K+ZPjx-^QyX_5TcEE zH-$ekO~P}FK=Q&gd;1~p+he7X?el&gD2H~kL7BO$9iT&e8jE7QgO80?cG@BPKuuNO zE|{6d{|h}WJ~^PPy~sFyW9Dop-5g=cdQat~`|3qbH(2xR_0gR5!#iS`64FpR8`j>^ zY?E#dk+;UP7|V2#cf&DJ=M`(ddGAwG0uz8`X%?nYZ@7zDY4nSkx=Fh3b`_dU*KHJR zYA^@&gcNVN)}%W*?|`mi_Z)ADB)rhS!Hmf>`_Ji4_INRUrW2tMlWMw_ccF0tyl=0a zbCk2WRMY&3EuFt-BsPt$w55bz@q`gs^z^12wkp$~Y4w3;qebQjtH{GK<%#1b$pcXA zGwdxK0e8ABK{yHyryGTRq=c%)XdyfEay#sEzf!d3jwepPd-Kh2aPeDXe6^~7j)c>Q z*bu?xYf$BkUS9Hv<7+}cv7-}y<)jYyn)mPFG_zMA8aLaKk`v;5LMhmcg-eJt6HG54 zh)SL@mSgY(_00qZ<4^4UhtHEF;g7ZL=j%Q(3b71#iKeWJvCH%YE?e(L5k_}WyhB&h z2AF-vb#(3xF1>hlrer29F~%039Mm<6f9S3san}tFoD!k7)(#!4jqYkfml;pcpS-1l zJ(Jc??yyO3VRN1{(+U$+7AV2)YMe_5GiEUG)Ft2On*os$wL*hhJ0l$u#cKm|I*R|T zu2{z+1q>C%f2gayb;w>J6vhA9r9bAbUvby3y6d0nss>@*dv7&S7;I6)m~O{b{W}W)X8$#20o|-e;Xz8-R3&YmVWQ3<5 zV9+ubEnO6LZla@1{P`oM>8Xc@!l&iK)@@5qz&MT#HtCG&YxNnG_S+g*7}kfYl`Y?g zTk?I#qe5%kH8*Db%$Ln?eqojEtc8;zyidW4!fQ2x?vaE!l!`1bSNmo_CYkS*r)LEb zR3{lM-AU-uK&%^fmfm2FI_tq_^lyK(UFP~Q9c}v^p}F?Wbi@-;e7h@zLet^f4yqr* zohEc!KizM+;+8~DQ9%%7J(RTlce!-P30aNQW2GTjjjkeTYl`D>1@2?JMNSz zFdk<;-b)l`y{LT(JWJj7LYU%L9XmXbUb|!p;QcsN2|S?^40z{apoNe{)^tjAJjJsn zx)1VfbLcfLcY{MzCYmaWKjNs&wM!~r;^6tAhp^pn>ZpuW*(sG7>!+Q{P-O|cY*hZV zqcYc7Q2ED0QT#~<=oInri@Koh3(o*`kH1LN{V}hnH0plN5ru1q)Q#c~xuTz)6;ZuQ9l zIs=WS8#6P?B6_d@jN zI-*~YUb`eZ@ZO#hou>!I?*@F%iN3T8qT|~??NS|o$NiZqeX*l5*Dk3Hyn9kA^YlPj zm9mE0om6jXzr3moqF;6L?Y;a=P#0VI-5GVaIC609n7Y@vLYcrg zh19jh_`>RQi$yQo`*WZJ@y@kxY2cUsHoh!#>Ww(+a_x}1UPJ)z5qS#Dvt>Z%oOcJh z;9UYEPCIq~Y#3^!)V4Lhf3eEv_aaXr58Q`_cGPyhLh{Cl)>KZ-0d;sbZX|+>C-F011 zckP*=E*_;L8FhcjQI~7S)P1WflxejNs2jx(sqOrg7kxWhY<{hS(WV2Z@t7Kazdp0C z_o|NSrOr>*)Qe7$DES0m+Ho2>hs*ap>s`H_>G!Ku=CqIE54k3*Ikr~yfpfrtYlnR1 z$FGslKQZn4WN|`ZZ@uVlLeO*tWJ4E3f87}%x?3i?CmY-kIihpzj_3~Fl@gk>T>)uI zUAZfSUuP`6@k~(nJeinRX4L(Rqb}DDsT;))yFw;9FQXF*4@Oih)+$-teDBsl`b5d_-8~dpDvvX3fZQy8^ zpTDVkzc45Dp4SnbKcIT&X8f{+qW%7*UKvfU%da=wu zUQ=&plM!NyJGI=11)ud~q!6;OQfv8x9Z=viqQD0*H5!sYQT*d`{IyGE2)uWv>u)@L zOsdg<=S+_3&hCup4C79T&N}?8iEb@wpU*z;sFG6od`D}p9a33aw_G7Jm%reko-*p5 zu^@7rD7Q~twq6GY-3uIbxpqihZ_s_&!ShcBy)?`iXodOpT(C4f+bK(*ksxw>IKlk- zVn=kYT@u|?k0&|`;hv&XgDu6Jxi#l<50 z?UK4?I7jhtXVmR%POQ-({MwvD9DnI1nrQRc+1b>lU)rtr6{`1vIjOg^x%j))rJLKU zw|Jyv#RmGq{z|`r-i|~nZ<{P1lysZpgyOa5oKE^s{pV>J#hi82H$#9O8$yZSDjvZ6 zm~ympPKVzo%)8i+>7BA;+6+9^xLw=R->m=qS#O-*#hdL9>7XpmZkw;~WV}L{x6}5z z<7IfM1xJDT^CO0Z)pA`D-kFOJROj2TXS^oQ2?6Cjj@nH^d7xI?Nq8;Te4q{k!T%LoAN zbqBEA6#z2+SY)v)KT>Wxl|@HhBcy@~c!SP9shmk&{edrO8BVQRdUfa{ly!{Az>&uR@dj!s*lVZhy>2H=1gk>kbI z=y&cogo*c?@`kF_?wg2b4z9wVFQ9%b*|{p&yGEiI$1LwIv2l7x0&eLE#!b%>UEZie zA9hJm?`{k=Zq{{U;|)3pVu$Xw>wcSlNsfbvVoF9aBC99?u2foH0^F0Asyw!M{pGzb z%YE)jlR9~=IVbtI(?5B0vu_B@cPSRIUu~|&1XvUi#@}0#OBNEFX8Tm89Ij@as=tE@*d2^41Ip_U5hzOQ8-BoHT+MT@qi#V4EUe3!%Ioq1KvV~5;s zyxccqu(4x{wXQF4ycrU0wD^0jAZwNvKB-}Hk#6kV*vyHS&Nwl^`(~^vGp64nR21gz z(rerYgff`r{(x)vFX)<@2UTP26Jt_v|H(H^B826}ryo`z^b%6>6Jt_v|q1LFZO;LHcjEW_7feHmZDhA}Y zTcffjMdfp4R4l0rR4C|CF(AL!8kN;4Dqkq0Vo6<~LP3v;0r_HUR92;^e7TH@C3S%c z1wASTNMMN5z1Ay*Vn# zm23okvy6%*b%6>6Jt_v|iB_nrmD|Nr<*&=ASW*|LP|%}dK)%}=m8^rlUq;1}xCqfazPmtOX>m@3VKuw$g^9cl4<4_lu@yyE>NMMN5z2rY;#lwHJCHa{E9Lvmed6* z6!fSVkXN=wC6gNMMN5z0FZH-E%nO{>z#ge)}g@PUx1G1tuDw$?pTSmo_ zx6Jt_v|hE}NH)b~1Q zq>PFsb%6>6Jt_udv^6T3h`XhXiY0Y{3I#nX2ISV(sAM8;vW$u)b%6>6Jt_udZ!1*R z1S0NG85K+F0u>5+R1C=B)~IA6?%pygmed6*6!fSVko#JrlF60hWmGJw3sflRQ86HI zZ;eVOSKd=b#ge)}g@PUx1M=SHsBi>pHkf~{jEW_7feHmZDhA{Otx?Ga^GD05SW*|L zP|%}dKt9$Qm25EoRv8sb>H-xCdQ=R^r&^)1A{flSTSmo_x5+R1C=9wnilzLI10aiY0Y{3I#nX2IL=FqmqrFr^={U zQWvOD(4%5Ne$pD1Yy>@1`(F#wcT4I56$*M(49Iy(X)=B1HGP@Ie^D6~OX>m@3VKuw z$n#pGl6jq8Qbxs+x#}xnk(QK#ccQT3~XD!avoeu>ViH7*Va}I z$epFSFAwVGzMy_Asf)S|uGMWoj+W|vWl%Twg}N=Ni@FW2)t$gC)y>{>PjlOco};-X zby2s$wYm++J4OIkVQc+s?1ewcC=qsN3LL-3H_X zrMi~{b#q^6w)|0r^a+ZVt`z?Y0B7a{X=ZY3QPE3VPXVK>m+X-Txz~oBLe53&Pf3sxeDp`yYgY zM~eF>{$dHKzYLJ#K8KV)2CEB#7+mWNgZsy&x;a|YcZMCand=No>Y{FgYjqot$4Yg- zE2x|MLc1-gi@FW2)onojyi_+Y$@T5F7v|>LZAo3!ZE&q_12U7=&AXAQmE-rL_)~=X z6a$>>1VZ7a3>ucY5*!o+I9Y7eE8I_51{Ttl+m~gP8>CJ{^uHsd7DDt_%6w;2)!fAr z4(a{6hqWi`8lYchqF%8^7N8G%l>_uQIF1b2_pikL0sHdUB0#@f^D70XBasOA8=Nt7y7@{4;LrMt- z1dmPMwLa`JY;sqV=DJmpo82|rq4JoX+Zh0LyELxT9uD619FqP_?q~OJScihSH>?*4 z(v18@U5N$}%+%>--3R37u_^hlbs5-M==av@wu1a$SL$rYKhoGQbu+0BU{lQ@{m-|K zfR?$AD3YdGM_8wY9dW(D1s%a-(~h{oW!UPj&BnoZlw)=svB!1ALG9(7cH4qS)h$yB z7w1e1PJ5Vd9RqE19aAJ#vyL&r5_ZgXfeSi@$EF>#!)4g%uFX1TwgT#n>VPSA#e5?_ z6wHyoNRVdazs8X*B>yg@1mx$j#g^QnKcC7tTRoXe*E?E1}Y`(E(D9`81R$Mr{xE1$L zx)PNOJU1VCuEoa0qEJGE^Zsr=i{6w5?$IDI$$w$2r@4XJu za=PDw3vBW63-vW3O*38#TI@Xl(F@H|; zoNIZ&61e*W^?-wsR_TA*uYy!#ML7k;d@Q+cQFWsN)sFFzv2Zm*7Z0!zXvp5LR*Wlf zkT5yi(92|a+Hpc0IkZRr>y)-u_bYYJkwff7AosW{u}&$(pLhofJtNRB)z==W|J{uv zZYFzFclQXyZe=BI#?GUM7LCi6Z*1K7<)OxnZ-2D$?9E^5n}LQLXnD83?d=><{5;28 z{~*Q{aLy^hgE1!HlLASk6uolk--quF^Vs8S6y^JuB&ik zam7wF>Y!L3pTL+hWq!BMD_{Nu%pp;ES{Ql5W`3T4nVv|sBx&doHEc?sgK7?b zs2g>iQqvE(@znFwqDB8Kvh*gok1FRq$}yqir|x#-@u$LZDqBXL4WfNJgC#$~eEL{T zdDa*arOt-KQEyF|7m+bPta33QGR%%`@XQCJ7}Ex97sb8lc28tm8eysT9k`xO zx`5+4t)dc9Ht45}^bloA;T?1XP1u)`oOO$7bxhL+VQq5IpcBDD)@JYjiT@KWtb`-apu?-ofzsD5+}t0AjPx@Eom?04diY7(|-$n~_BHFSW*Hx&ku?;++2<;)PSP@oDrdYAFc+&nitJ?0*|@op_Ly$SK^vR9x} zT|+HG);)-$!=ebxw9nx#HhhOFU!_iN_SvptEHquAE;}`MIYz>aqc z$$(*Uzg>9_N>CmUdX8loRBVJz@<65?;<1b{#W9iVH$alzkA~9N?{yi#tA1$fP1_+C zXGKhQWnAE!R;p!5T8kCQgwj@Z0$hR~DX>32u~0_VLPyYq8QY{y5q>R=&~?mztXI@; zxM`NjEt2*M+-SdUR7((cj3U>6>-7_!JVXxPE0gd37S#)rhd2;*?>DGpwL%ghdJs z3Y*PyucRD7GiVEo+hF*Nx>^nvg(EV_7ekh2ES43>u`4pET%gU&yF`xZ0F@l%-T-nY zyiAqVz-Op=WWpkCXK#uSmX%q4*Q$dKJ6%v30+tCid$0PhMqxtbQQ19H%68Nlrgurt zhXYI9jA3IeQefLRsLZ5#e#~h*>!E`1hefKgRY-9LLO!bzp#YJgmt_lyTgV7QRA;QH zyb5Z*dfdJV&u89ttKR$D`)16;{(L9$0%z^79NgIWv;SwP(RlbvjX!=zjkET5RVs>K zDxs}viq2Xn-^^J{VHE?(RdUVlm3Mcg`ejV+*JbW`h3>txmbX*jfnKS6$RbX#8&ntb zo(f#H1G=sjE;kBV-ZzuZgoI^-PcRdLmnOC9Fs*TNf*0K znohc+_zFjw}aHE+sP` z6)vh25g!5|qU%4_bS*WNKx{6hY$>H&?@}^-8pYd6d3KaiUSCQXEv4M(QvP>cJ%%@x z^1R8Vgyg%WlyX}s<@Qp_cqwI~l(JVTA}9=UMed(nnAYP5T%kL3^(l9`l!HOaVV4r3 z{PQj)?7O2bB_!(Ir9AhRQjV2Ue!-=Lb-k^W=a*c{aa}#m?{F#a3{u{u`-GRg%*~U) zr+N^-;y}D70P#MT@_z*>54e;Nx%Zb+ezlbHfl|r`ODVrmO8Kx$2}%6XQp&$9r94!E z^T|@mZ_|RjX06N3KH+{wWAplNRVxhxW>=8?FfnBN3*$X!p!j&Ii-iolTSc+ zq~VYz2-E69Kc5jYFf$$|z~MvkKc(1a2IB7pmmnP)>&4y&a%37 zmNl)j+~^DuoN|S^D1B z&Ab6-pxVqi^$B21){4S|noiuO3`s}=ylzQe@F7k@!PjWKRYLDFeKtJOXneoMy1iO7 zjp85F3BG#!)&w8LMHjH%E3t*};~ycs%suyuI@q@p`iwilH=*Ic9j~ewm(U}4!NL&M zC>OC8P3&8y>3o9m$AC85_MqVS;}?Pf?SDiX+BpWvj~MgJ(!v?09X60;c(yVak?G*O zRG!Ln0^xjR;o}iHoeb%D>?(Ca%417}jPY3J>KqmJ;Vz7nZ5F_Jubr2+uxh_jO04?z`!^oH?B5L?zwF;W+GsrV zNVSgMEexXg`*o}e_aiXNaWlrM6i--nOpF5KtrerL(;YgR_mWxM49sh+3S%-oBOm#R zq+v=nF4l&no3iR6;ljsrhUzv2l~Kc(ufUZMZbF2|q?r(ZvY7_g;qHW26?{_EO1x*= z-nE@}Cs2mfaVVcV)v*{#Fo9pxisI$2L+Sm~$+MyYz6V>hFzq;@rtNil^5^LwhWCKT zoHhlHuFJn$!}pNLGfhdT%_%tvM>D)31G^D?{jNMc?dmz-(nBqkSl@ z3+{~N0I2^?JxvNbz|evCdJKzfS|hAq-2{LQWb3!e!o5ujo0A1D4QCjp zmTpTsUl_oakO+Ru43@B%GNHS&Oj#QX*&w=Q^IaNpn&2=SH+tOds-#(Y)>I~X($6XN z2s5*`S63p69}L?=6?8ZYX)NI8MUX`>W)mU7hr{X%&~pa`rr^s4DeqtQ>y&1<I~zIm1N**-0;gyBc&Ql0 zce)1H;YKEn4!RT$+{>xjqLho`BQE2a5oA$(pK2HBB#hN;h+~pRCviBmLLbO4x)f8P z*2d#5B^;RVa4DH+h~oQ8DZgAQWyAR+O82$Dr(#5C)o`*0TUnuel>~mf86-J*{&5jth2;N?-$E$~NWpEUqfm zO2R;+g`gIURSvk!G5K1@P2u=B{a)3OE33V#p(vcMZqqM`(tb?L3%4nPVn6|det9^G zah8f5F(D>ptr0Oasx_NywLY>#A0ljs{jqgCLJ_v>*X{b{ zs5<9qSZY2xPt}=>ZP&g5|kYtSnA0|e>1S_TlyD-?L!AcizZD*=Ap;V@12xE3_hJH&8D6!&lK(l1f`t!j}-WLGmk6onI!I3b~C z|0^Z*@Hvf1MDCT?LnN92L`*W%LO9|?#Y<&#Fm`e=-)iB9D%zl4B>wjWk(ddtxfO}8 zcbsFoe?cUMM%&yj(1(zpK1QUD+Y0#Sw2DM?Qu#g0!?GT?Y7W9)1}_>tA*y1P9g&!5t^(rt5pvY+Wv?A0cga=uHk z_pVsV1un%7bhVTVU5dRX#!{Z^QtTZzmhwE8VlUXSl%H`a_Vyo3`B|4@uO_mT7r7MP zm6Y=+6ql?O%jPm>E6qA1sg&|_rId?HDSf4sOG+tAODV4^rCjb(LR)j0O9?HN)9D3S zU8>zI-46!Ca-GX#*6aQl~?fep_q3r-cX z?9_PX%-|gBOI9`b_?k}VLYKsgvm*bwBn`m#e)0rI}Qvc+&IqsW~nBB`-~GVp=j!$0=&3DZmqJ+^+;Mu{5w+ zS3;UNKLSbHRH|ysH27rwVY734?Of8MWH1LQGMX3SRrpQ`TCy|hOQi@^vbXz{eqwFH z-a%OUiHIY6Q)1~S;*9Klj-{W7F5*p-Ij%eNE?o5~%4@UwBizgv#Ty(;hGx@HDdqGB z!R`_c-h*IXhA_p3(Kp;Mq5~AtR>JuV1i|(S6*hJ>+ic_;_4Emf6=&^K!%gB|A-OxE zJ+@b91IcjX27PbS^R@aOku=|`?_uSC5|LpRzRwVP%`Pue@c zn`T32+FQ$;WQMcKpUjx}=c;@wd7+7S>wNV_#b+DQs z;xl~-)!5mw2rIg z)aRl{AAH%xHO_|*Iko);4FG{IJN5__tDAyeon4EN}$3~+)PNBoDS%B9D?Z8CXPMTh5#4W@9X`p7Bo%aqfka3?I~9^_ z{J)`IOcGhT?l&YRwavdavh;sCIdh^~OtPz>+L3BvlER6YB&HNGXPf+qlO|pi-GrDV z))XyP`1yf3-Vt$g92uF_{ySSv)9b{c%1`ou{sWp#0&-TL{WETKtdVKd%;)^?o`NloU?w zNvy(E`e#)M?;L_~Rbo%#fwQ$n)*QJb1d99?BE^cn9dO;1p#19vL77>7?FT=FgR{fon#?8&eP7X)QVP_lK;PKL-LHmY~#9l!sBL&xub|D*Lg^WNqJ z<@-%g7JVZ~vicp%7W4#wL|78OglI(gvGd5n<5|qWs^nL8>DfYurL9vc%k$(nLCL!T znhMKBQt*5-H^`k@jE`b_5xvbp4!V?Y7RBd0-J2&gWoG-m#C~VqTh#_Hp-%PAytf9@ z@I8)p;jX*)xfJ8VWR6!#X?JH{!YM3Y4Xeo)#i)%cA*y1P9SP?_Xo}^)|?K0gmj4O8h<(CJ|aa?P3yleST&(f)( zMcZeZEDwI#jipP)ox;VyXPZ!X%|+e(%tjK$6Z+ss#CYHYT1E)FT)nY@slh&f=4Wg~ zvH2N(M-~a!>Pm17!A>3Taa0etBhx*zbn))r+*?B zdfUuC2~%!#8u?Sfh%|rK-NDrEbVripr!G&Q{VL*b7FOA=IGT!zr%!lC6oE7$wXV-#=$l64-(mZg2z&t|@~yiUH>A5URsD#a7|YKN?Fj3m3CSqx`!V6`(&?M-$z2{b<# zX9*L(h6LttE2fDnlz+VWgs-@apt^}4Fr#$0=)rkNc4Dwz{#F#Rniv$KxliXN4KwBj zM6uMM<_I%l>hlJ*KUiIjV-mATbDdqv#yd$kjbw|`^i!T$OsnRZC5l(M-d*jgtkSOA z-S7Q%z0WkXdJ)NbpW;dH(|=6bn0DB7p9$Mu#|QL2+Lsk}i*qDUf<-6(Ia3d=VUp7E zUil5K0VQ2JA3A!Qqxx2Lmf|TLnm4$Vr2AmoqiQ%TJ1X{{6611&r#FnoTxLmFrRcWN zc*l9ejm8pvKKW?lygO>5TgF{Q?-S#zU!Jd0;r0s7Bd95SVYst_jp!CTE0*2A40)_F{63RPXC~j&gF_GtAMe3Y-E7u$L!f_99p5*~zZTb)$#d%bExb{@M`YNT1n&i#(>Ua$U92Nt~@EFjkj z5{s##c*1q&&%pb`ksBE2@DK-Y;00-0wUVS(hsVUbL)kqXu z(9UCOK(5Qyib8xmd!F7dvm@g}!(xMT2!tk`ZHEcX;%X;`$P0rL^qHOewWS2^dY2M{ zGuwQwVt3xDp0*J;A9lq&U40q(cD27K9rp6VT8j<5L{^G#rI9u@hMlgS5EEag6pgB0 z_k+DvZkvAO{V%vjeV8g|0EID-18+QEO5FCyKK6V2Y83f@b^`S=Q{=@M$a=fX)~qR< zh*SI-IFQHm;annHm0l~MX3lkvaJRrz&N@P!BX-Sl=U8`q9=v$DsW?@*f9^9ZUypA_Z`S)=1riFv<>{YC3&Ops* zB>+om0qknrq-CVL#g-hKoh$U}riIu~IV~%STG>BeD12Gd!aFp3_lqf|x8p^%MR`ru zb?OM-o=|FIt76xQ;v8UGK(xNts7TXA`zU6_si7;Q&3C=}1~KO!Syb|Nihk=`}5TS8U#!Jd+jMrTnui?uZN5pw=5dcAyldBpv`*$oFoe+rTMM{DYj;^$~DUYZpav9pge-nNmRJ$qg+blMeZ zQQDei@3=UqwqJaUs!e*#qW-t3@QAv_PhX3t0}!dzcsNwbrAz zs=X1W^(ZWyK#j8?>{LDi4T#i2q_bnvCK2!!x^9Kb#ga192u=sE1FG;#ty2D`TCY5b zFke6VDW?k73L`#V70lO3L^!Reou=kK z3r3%d9{u&V)x8vI+{w?IC4dCD?Eb?+TPH)!5d(lkhO$zMx4@2$X67*FB&0v;k281h z=n~{b8i%Rl@8^(deEDp8V=q-x8HyI><3*$D#!G>rPss<=l2l7V!+TUAXX@kY!9qV& zl(j3BiBABqSC1Q23bXrgV!ZB=4ROHPYrNmUy9RK zs;u4&iZ5|gWnPowWw^bjX&oM$t5nWYrQKG9W!7gL!DNI3JNQ%)K-~1no`qheSB*^b zyGKu_T_o06BJP22cryqdf!$)?h8hhQJcJs|NdWt`KJao*L-C``mGmyoC!R%lk}L1T{3zm4_NW(Tfw} zSvZY1g~1o*DjZC>qxfrBI)87liv3Q&Gm!`DQh3E2c_`r%?Z->bsPnz=d93P}ux$Pw z+**%>`W$&^;S-RHE%GC)m>!nP-vedDjmrb-@4IrYHQ(Z9Ir7lLCunGnIvlZwBnR;I zA_Z{PnJvvoTbW3gcJhYs^Y_NF$X9sjm}tIF_tQB}t@$PZq6D{iNyDGpLZMwEXn}$7HrK!r= z)S#RRZJM>Kl~%R4+H96y<*hcmG#}y(H@wqkb~-l1Ag@zRRuwg{&tLz|D85%Zrf!^h zj%}5zz6SrxRjOxH^Ru^>1Y1s1RCAMElATD=+0IgY&Hfv1%u7-~Zu6-=f9r3wD`5t_ zx^qnS#CBZ|ipz_>u4a%r<7yA7Q|`{nRdG%gm)F|^YdYZetllEm_qWCMRoGVXxj~x` ze4e$q6~EioG5CG6_BKhuVCJyrAN=DAwe zRLnumHtV>?%|egJt~sE)=|oSOj;zm7gxC7Hx~=88zn5O+7MJt3uC8Vj2uCLqB~*r-@mJMvt)YfFx|6>iLbq}_D_2Sz4N}>dlYD8eLfGX^KaT$G0XN|{bse@>U-$L0rkNKhgyZjl=gT(tLHQE?d#*6)9D~``+P$vE;yvlpBn1Je%+k8pRm$op@Lz11$ywms+D|w%DnM|ooy9E{@ zT8OwLUMGBO0&)Hplv2o8hIfxMI1AYzp~1Ue_^|4N7wB$hk*c{0aFIjd?hH+WMYl+Y zZPGkr(vfFMC|Bt*7uNFGo`uD043;v<%FD0K#PaDLTnd|VTd~H{kVi2?q`p5WF7bOA z@$O9}YprO4-Qt?Nl_TMsl_B4}Qf2*^tL2v8THqEJDBuY--+AOb7x0(ctU!n~>tP76 z8p%W?oo!@g%e;l!q9A$d7KAY<7k z9ODxCSkMdQES#~DQyt|jycVWKmciCpIJ39~6t*w7$ii!2T4W)TJeLI}=IL^zj(BJq z%Y>YV!gk&RC(`GrT$d4Ax0SI(@j8ely4PhaQNJ!@sSoNhmU^NtW2rytGM0J;jco*H zIe8-Jm-XS!k}R7Io*LfIvJvcAJ46UW!;)dehWMLW*?gY{;zEGxk{q#4jeKTe)BZxc zZDZS`?!%l6yRtcURTH#MYDM*1o#lG6saf6~R238#VqC?1Wk--fITLOmCj3=6W-c2} zjo`GD?OMLaW^0w-Q>y%3cHwxJLc1=Ut2FKp2_FkUT<^mCSsvg;H@Kj<=S-K~?*1lS z!8l*0jF(RVKif4np^y=l%QKfx_>0e<|E8vlH>!A%{LL(453gOz*ylI6RlVrl4`DDU zZ~j@}?~I2|C4X~JhmD!}!{MQHTCWMrh-}eOx5)07OY%8FF3aDat>c9r@gpPem}__B zk#CM1KFkbml-ikKj>*h`bAX2QNzbKXQ^t@c6+{aWImhv{-aVAt_{3zkKBFCKMnHAA z4Qh1%fuVD?4eC5?gBsm`e$C+t-*B^{Tje?tlI3ks91zod8x#Okbc-+q13RypZ9E)d z#%rbgR#JbIvTx4M(P!nY`uiYHawQC(ZrVMwMEXz*rh zz0Cc^4V`tLKJUx*K+nv#q2v=#+|wB2p&FtGZ%j|ighD^H|e_g8?lJ zmGS|yMR0nvtII&a;?cE>HF$OOn=({LA)?;vPsMuD)eV5YnJUodJJ9>roY z0M(#h;y{0{9(4U_JVp=zRD*tn1AU?nH1C+H(^Cc-0M(!`cA)>N9&{__13)$COC0DY zt3jhKTVV}=YS6E8pr5J--3n^}RD)jTKwn@-OFUmpn?D(mLkyeK8UWRxS31xyt^;j0 zOf$X)KsD%94)i7Upj+`Z0IET+bD&q$f#%q#<^%yiHR!7y=&S2Nx8e{0RD<5=KyRrB zJNpj*pl091n>ai9;>gKoua0H_B2MhE(~deAtx-{3MCZ7EO<>SmYg19hNQwHy)v zs0Mwj1N~4v==v#%VGV$4&|?ntXX-(>;#&YzgPwGtzgow)9R1K-MgX81^Z^I@i}j!x z68l^xBLD@eLEY(c{eB$*>?n<9@)rQrpbtCHU#bV)if;i>4f?19{bK_ScA-Mz{L?zh zE|S$1#T2gwe6It1zRiBifa|-=08_jg@Gm&PFQ^90TdkX8Oz~>KzvKX4Y=EC%AO+`y z;{>SgK*JdT)u7+$KrgQcU9XA^GytkWzuSR+Z9V9ERb-$6P!0Nh4)o@F(5=qG0>J+d DSA{>q literal 123320 zcmeI53!Gm^b>IIhTf~4(4WWR6z}o+dC9tGOT0I?Xq+MALEK7El`;aW#dStbJ zhyszRgA)kB4J1H90Xt2Aq(DPSof1NgN%KjGaVR8I=5BD(5DICMrY0#M2fM%ToICf< zef?j%TCL3Pe*X9WzjJ5KoH=vm%sFSy%)Rr4XE(yX$NT)~9>>ukpqbr5%AKMJnk0ry$@I@s9b5Eyr;Q+eOx^XH!w2KuAPd@lUD zm5E~R{BMX5#b@a%SXb+-6C!L7ju7TpW0!CX?~XREQsYO3<;{)Fy6$zq$00@(&v$h_ z-RgoKs|9C`@+?=|pu=Lde@v8EuSV$ywjI;>L5^)04Md*DSvnjU~~TZD>7XT6XBjbrMIr$7B4gT5fAvCaWOg~X$x z#M1=FWqVEnkU@F7+Ou8N-YC$c#_687&wlJF%IJCf9QPY)c0tFGo9lTy;AiXjWPA^6 zY>zo&LY&3oU&sV!!_{jY7eh#Rd$Dq?)c;!DEms$ex$(b3sh*$DHPn6Mhef%=0&!D` zqt91H<9N@}M@5)sily5BZ1GglltgYhQ)I^^eRGqLw*HvmL9FM?70GVip_YphGg~(z78qjaV z7Nci{%d&uf^=o@f({6SHk#C8rH?6{Au=XJ*XToUkLl@Z zH*^NFA<~^X@S|$4Q#QOZ!fZU!*rEPLDX1D%JEkZlHsos9rB+OM>9#_Rfgr1$bVEwe zuY1*V5M#LrfHJz*HJYbubiYRbsH&s-y;9$31U4~=!WRiwCY&4e_~vvv%G4+{q^dT4 zc207nk|QtkP?IJ^>gBUq;$0^e_%Zdp4d^IF(L3+a`6tB^QNj{O#T0wR;$t;z5yj^z zrz`TpQjuLMi~`6^Fs@pPGtX|7n958Fd_yi@QzA50ik&gNkX*~e{WeY*J&Y5^1fyeE zznK8gg^_(WQ84==Y!Eu>IPs$&qKxT(LQ3xb%9d@NhL(jjBrRI2b|C(c#|S%c-lvu! z5E=PsFgD)Ne`uQ-RV$TF3hF3=Gi{N#H@%U(SL45Gq@S1@>FG$H8poP50y@tY?Vw5q zgp)c7^>np4;p0`}L9||U*u9QZ;Y&0S8=1^e#>cB&8s-<;3wjCj81o-vA?4$K;m8bj zRF(qPJ(j_!96E>ee}%j$&^r)S&rh=7s*IVNg$a)lUlvrCc1VJ}*}h5091z5$(M-V@ z<)~l`R-2;j7o=F~(y%9&Di?&nmqL@7$}v>XR@Wwt&WvO;jFH{*Ph!5B#iB~5s*UYZ zFCpj-3IP=Tk<4s};x(!%X&7oWy@>oARxV88)edQsN;r6EEKM*;g_Na{5P}9n^%Y6O z=ATS_N)Y|!jjiew^E-_;HtP4dvlk~Cm&^X!qkl+_5pDLxMt$S0%DEf7Ih0x4N9D(% zJ$Q(UlhRk`M4kF%>gLd(y21P#XNsVks$rkCI2jmBzAMGvjC>PhE8HM4k0@ppst5`Q z!vOUJJ7uXD6WbJH9}iTZfV@mY0x>r#?ma5ThojL_Owb(`Kif#fBri?lQH<*;il6JQ z42ptpBZ@C@iAcv(vU=xVu}U;te37MU)b?lfG=!$xJLNH)J?vLYdC_cxh(48B*0(lc zJ=`1Uu;{qxi;KlnEJT>@yG#s+SIj(Qu%l_Z_{Lz`WhwzPdgrBp<_+eI_0YUcQ)T*O zzOCYj7tRWfDC2r&@W#|9@?sw)T!QOsshfLoT*DCvA`}gN;U-`a!^P+gD|_)l2kT`VqOVz5<=5v7u5D;)g7@y=|68iZ#Op9brbsVsR$B1@1N zb(+SX_9QdB@;|qB;aC2>_Aq-mx9pcpeG*YTB-V&x7&zq?Z{%gZxmtZBiqZ62D#Dvw z20R~W1%BOjLY&)jDvM%P`CEd&(q+I&n1c7*ep{WCi(=G(mSDHL46HmD*ybclG>yK; z6iT5{inFgA#gI7?G?rA*+zAx4ND?y}0^)aVMWhai%-aYFH%J&@u=G8C@x>2=-$SZ% zDk^Zc9Q|5nzn=ajY2OW^f8*kZ0~!_$ElBS_&B=@Y+(>4@BMVNbCy2HYiKe=$S$QU+!G&n~sH7327SK^IFlO+xnXipF4b%=)TwMP+tnje$ zp@A%qvGj?}&K;B4un@OK5KyF!i1dY`Ywld!Qe$#7-Y(apsVF{fEQ-^$LqEbT@`w@8 z_xOSZc-9?6FJH39KGG?G;a4Dz2wUbvZ-<#1>m^?kW**KFxjiR@Ap+4BNh&gB4=XD3 zkg`m>1!;e!0`|BX(Md<)E_ib~#Sf@w6+o!PWmT zJ)@LRIy5U5A+i3^&-k@MoQkKGm3~I7>1RZeux`wVz{lsbOP{O{(s8dDRZ6{XQttkDp64!f}JK>}M+l3AvZ5&{`1}f8HvQ z97EZ=T`;5Z|6u|lSouXP!#*cmiFl-|2)pwO@=<)fP%hH+DM~8{&raEoUwCtfL}anI8s(Hlj0%pJ|}I48w5=F*6yK z1_&0jczQ?i4T2$=iO>w#lI0!`Cg=M1fQS`4*0@dGHm+K$EXex>vJ*DR3$Q1rC2{78 z;Ih?_ixDQ)8;?0FKb~KLxKte9wu(3*ZXx4(m(^nDdY9Yv1JKu#j5s_fYs8=|0WQd->vJn%_oNj7cAe?{R>R^gT zuv!e9MKLZGqm1a^rg)eFy>_jHu&pvNdy$}Gg-++3 zyqMgnN^Q)+E)aa9pJeDam>k{Qba5i~SRO`I0e3WYTL;4}%|wJ+gK}do>gBrgbEh!$ z{l~iXE_L!71g`J#bI$p$o_US-V4_eQ5H7HA#sE?L8d0FYNGf@~Qm40DVcv1Kt>R`E zurTf^!tkdb`4G;JsfAWytA?m%(806`Q|$<)pf_>AVaKr4zDA8mhA)BV^eLVTSSzq6 zJV7kl8b8~Ny}JL{Y%eK)RDfPIfKe6(maAuRp-rrl&#zq#@mo z>|;#}Qc%ttXT{NZj%t{Hk^vvZZ`W0oPSt*{T4)W%=liV|ng+sXgY25Iropevg)Dqc zkR}bn84foydQqon9!eMbAhxM@hx*vOzJ7tGFVT1icEQ?YbRqdGW;8GD#`W+vIe+pD z(42%seP)C9@f(@UaOmrQq%R{UDs&W^J|8-Um@0zS7iW$XX>S7!3ti%KZVFN(AA*=&<-R5GPnZtbW?Q0M@T;4*(s8CTn68dx+qhWn!ddQCq#2#1@6okFTD8ReU`U>n}I|1;uv$BFXK)j=Ka~)7lM25HE znv=LK@*O(=Bn>K<6rGNbx?b2N{z0UUDlW(Ob-*FNsTz~+!DPU7grb9wg$81l+9D(p zosoj2G@wTEi$)<22b6}9x>|WN<3fc4f%eU~aZPnRer zEu>2nzf&neGq{c7yWQ1P0R2pBFLL(Pde#YFw4X9B(S#OyP7_k1{jr_~=Q4G`4aN^9 zYRrd+y=H_S);GR8f>BTh@ZQ01#_7#T;;)J{!FJ2jzm)zC5j443$dY-=MGCVJ=*|Wv3!awLWEw3^#y_dz}ZUO6r z{p&@FDGg|j766FJkZy)R0l?XSs5GI7dm8xw^P8sy|1Kd6$Po)dWE+IJC=l|v$RB^v6@Tn@V~@T5CgjgNN)!b;k|{6HKdqENpmQ6=zvr$d z&|xXbDsSfDYOxh7FF#V*c9!LQzP?QK<0>^tn~knCH%obf>m5TkNcvTAUz#7szVdq) zj<>qY@+>Wp;Nu;*HV0(AndU>2Xih?!1t%zzm@!yH<4OY2KIHaN-GD{T%!%SJC~3h7 zfb?N24LPq1x&iJA#P@;|ly>V5*jf9X=K$CVS$C<-F6~|4qtA9-w`+U+?!sIg#j6D) z-*xX*O3-!OM)CXHwX9NJt8`l<|6Tpc&lmVOyjR7qd(X}-wqCzvlfuOhpYvUOXi%Qd zIp1wGj=w@bl0SZL9BMQ^GN>Kcf1*T@n-0p{VtX`|vu&a{O3+I3q&}pSfYjVpE!O!p zce5c{lRQ&N&J2=6l#3r04fXB+ii9hw_{zOmc{|bF@}`SFAHpB&kRDdym**cA*aSLW z9NdzqVW_#NYWROF>R?#k=Kixy+W3cXm|*y!-S8pz0;il1O90aNE6sn0W@^nu@5Jt<5o~XwA!zn^@g>l5Xi@yD?uulJ;uG$AMAv}HxsBqVa#xclpVw~@9Zo>NoL$+j{Kf zElYFxWde+8cY4R71VS-OMx5=*`85{GP&Ns!5rnC9gP970LUF=PQAYx?knk16$M>_; z{bLN^S;Tb0ELfqZa2PH(0zFu;#aJL(p1sld7tL9onguJmY;}dble?0aj&H)Yyz(d1;v?Bo^9KL6G z*4$>er4Yf`rg-tQrKk$G*+I>nuv*SN62)*+6fbsH7*(zI{%Y2A(Nh9{^~;n4?u9jP zbzMrFG6onYfY2NQZ)(UC#ou(*{I9SwuNq|#uN4#yi3*$$StcJy@5g_kJ;MIwg5C*p z=X=7#OV>qk-?3eTV|rNUFew5Y&C>neAWoahi?qGB`zIADj~Hg17Dny4sDH~ zDXa&R&2Uz>!#kiidKL7BK~2b|idUhtSS~ZFS-?|slIhxcYWg4Pf5f~>=DPWn_kDZa zz`!Nz^_&_16<)ac@DTvyXK?y05N^e8OdZXhbB?MtS*d5?~YGl`<(zUVcZiF1L<`krEA6WaSJk0B5a2j55P-$Z@5T3yk} z&~C3j6o;NV7+nk108wg=Zv6VT;$_Y(QxWj)qFf+`EmFWX7uu;FXh)gX-`Mw2L5^aO zFS0kXIM3b++YH&8+gjSyDa;S4(DabWE7zx+g}mhgy@=y=ti!;>;^fnujAF=Kka|)4 z9VJHb_uQ4JC3Q4{c$XsE`b8uR$x-*q_RjgUnz%bEXeOK1eXh~iE@Nn2NmMd1vhCkYC)o(95m`CqTx{^jp+a+j8YJXa*&xgb|30ZUI}b>4>f% zdzGwkEb@L`IZ+I~I;Gqzs zXRu8-XcTzL(Je5z>^Ky1u>gv)jAlU@^7z33QJ}bMCqJ8$3 z&(k%}x44o5f^ysPV2UVyvCGo$u10gZP>qF!P+BbLZ?~o&mTbLN;};2YdZbpe3Ir8T>ccLfR~Gms(QWjwUbG15yT>!HYXV){f`t! z&IOL&&GKisN%s}w2DNdk!Qrkp%uM13S&9gy`t!nM0N)TJe`nkPVXWxb6lp8 z&BVBhIK#eEmYO|7sikgGW~KgsiJFtJYgxLRxezk(5|s+1JGX7LqH@hBPEp)+MNQe! z1mW&7?dElJ+Kp2b@w?Z(X5ma@(b=fmz~TLm1e?e;MSMxT*uChS)2ypz+}R=?lbN4o z1Lk}-3b4*i;3D(7#ed@#v-L~!6l0VUJk8QEJMx+PZ9{6UQCgICVkNg)gTtyhSyU2i zUtK0&h2>xL#C$u29WJKrn!5-qonyw?%#6VVx?0_x@Fu%*2+wDE($>+5BgavQR!THF zS}ErZ8^78~Pb^{*v#~;X3HxVjOO1b`$eXEu!kc2mHsWT$S#8>-P|H@x7yu|oen;R- zzE||e4M!bXN2?Q^xpK^X_xUvtIGrWJ{pw3zb`fwMW)%)+*!`$Fo1pI_ z&fN(YR%Rr+#=nH97%CFQ-xk22m`4Fm5W*9v2+v^GsvjAdL(!LSPQq8o_^`JM+#+l( zd|b)<^mMn@bjBL}DQEVpiha6gt%vkH|5`fK;E5pxWmw3f1a0egXpU$J##gmO>Wivl zbQl)ikil!nu<&F6SK>V2-|RRQR&-dOCE(u1_AUBl@3Kk9937F3xe&8q0W(TO@uogU zkBvdY*_DQI)p^rO4WsU?VPV~as+(;nEKjg!?~pd0bbvCgEQ*MJf$&}|yuX5qc{KeZ zq7#Tki`>S?ZgmlT?iH%j?HuH_K!IT2EpkQiI(N;+dzwK69TZ)s-h2UK(Tz|I4gld@ z1S}I+%$a`&fgLl1a6)h)uvlbZh9ret%k`UF-Y1DrisJPSeeYKp%-s#;?m|hT6Vu!? zi{y*qE8Jaf9W07pRC7+~3K zNICY}4k_1AIt8PcyiTmXM4whUn5@G{r=!Xp#+AKJ&21mUk#IstZ*Z&L&}y-6Wp2 zP}mwWhH@c0h2ASv+Cjn4OIw5rmh~5B2%xPH1?<(Jnria8TUhW_;ve)UrUSw+Y-1!R znCy|L<-f9a3nBMk7QUdA8t(J-1)fR~L6N&8o7N{RMO|m^O%AbT?&z_e@gfE*rl3KX4 zF}OXW-Zl}s6B$rvoiPFD+pTWH1S5KsU~~UkQNq!2`Px1=3|9;*A>U~j_U9Ca{ke~Cy6_b~ z3>)P%il5=GKkBZ})3rH?XCfDEuha$~qV3T&VpIKC9FCbY(I?pT_<#@7eW?YzySu{v3NSi6i&q|#jGV8^@}+&l@L}f zGw?#kYN5dUph^W`x$U~OD;_jJvA@*3UEKY59J67t+fRU+UyZlm}&-8Iw( zhFpsAoSNkYc~jOao$5ngI4IlRsOg zUpfVTZ2~}T8x42a{y_Eyjt>6i8+NBERc>b+4mq~e5tl*7@PW7!O847Lnv-Ghd~*_y zq7SxgPG-Sp^XLj;*x(QsKFvw<>_zcqO3phDH!Fos*g}EO2^qy(OPRMNnYZg(9?Lsi z2AjBcy5AQ%Am%`Rtx|yeI(_%qBE`#By!@(%2fvgoQbh4ySE%fDj^Yst44h17{362NPnn;+Nx;l_C+iig$WN3c!y?z{Ofm?- zIRZKrHNjRo{K95+G|rjg_Y6ejpNTBxC3T#j)4y7Mo_b~e$>t=zqaH!DaRLZoDhJi2 ztikendmFt;pZf(S{F?MR!qob_j~I${WdbSZ*F`*k98yj;H?g>3wMOy(aP3X2pjfer zZ9%aL0(ly3Zz~ok;DvJB6 z%5){P!-}UQmiSi@&i9~bF+ll~LambllQ)Ow{Li+~b7~Hh<)9e0t6F?9`-|)a0(Qcd z8MZ4Nhr@S1^m6`5xY&Qn0m6%2-kh|2pr_8?Ddv1~1_+;-ixGjG{~N~@Yuq)@8^$G3 zyw*K4rC8@^yE~KEMZJ4T%3F$dbou_5u0dtoRt4ZPt-xl@NkA|u>uZ~shIgI)zMk|y z!Zh8S#GTxn?8xc4Nm==XY%Lg?Xv|%hv9&o(12oI-RP0vxhw7PKIU7IWU7W8Itanlnd?7j@jnR_)v;Ms z@eT?$ClIo>Pro>$iaqqUNx|$nRsit)TMRB|idkSAF9zl~wgER^V-oq`qxZPqiAifF z25r<#cMo5BGl3mvZ|A!|@x&7WNvzk*cN_NFZ>VI@25zJH!|obZ{Ti2IRVzbcC+}2h zC$m`H3D$P7e{r!sp&|CLy>yX?WWRPoxFA+DFJ!YoHqPSUm%Uz#cS*4AlWiib!DOd$ z(Dv*A#9z_fg5bF6Z|XiThl(em=kJ$G8(@neAzn9#2yiR@*H$oiUOeN8m?TV4`;cQu z8q&?;F?)r@W#YIo{pLsv@8jK}dm>=a=&sb$W6rqYP>(~6%k+eRNrL#=pNyW-W2``r zznKy}IAi8aJuN5WIWs-Nh?GoME;EzsjzJ@JUA$17!QK$$an)G)b(QUq^S zD+z$a2#>flDPm%OV9h|4VAWge=IYVgV-Vd2Ho)?l>owKfY*(1A_`wnN%+ z>7oKJH~~rfpjq}xo&_hk&*ffl0&=;0glrn21YrGw6ExVlwTBW^>E$SXw$!TTB)IlJ zqKi_#=h(V$ywjG%7y(teJGRHcbk(1?XRvzOHaHlAlOO0%QiF`ub-BBQ8$e+ zoz(j@)wyC?>OHHQdXKMEdp|X(B2%XG+1=FJxLb9;aa!7oQrgxqF zoALi#ck@pU=xQ%CPT!n4Rim5JHCgYeG`b1oO`B)0k><=F-W7{UNJH(6SbJNu8QmNv zZ;fX$7ITqz#9^rOsx{xdx2q`v?dMCDrCFF}dc$4JN~2%Q)Q_a=u2-R{blrNvrUr9R zk80vA*P67Ka}Ve$cG2;+NWu*Lo6Int*@sSdveygqnNEa47}azw??mGyc;8+-?)}!N^BWpX-f(H;t38CbXCls3H5gHp8Sjwepfz53=iy7(-(7#jUDrEs3AMGK)xjEcR};EeJVAf*whH!6T0gl% zC%uNvQNx%OCaNq@f?d`)mk?%HF!0n%zSf)skrK5+g<8jCJ0yzN2I_Pae?nKZW03-e zisH}eYHuI1R|!S&AG`Di-SrFZ`bBqrNLMuo?cRHl(u`oQ#U59iN*rVKbHKM(5)*PgXou}qZHEOyn@WQaQ(;4CE2N<-> zMNJoloilWli9a9XOiz7eFuYqbWZkyl1dQX@V1v%8-lWfN&3;=04a53ym9pi13S07h z$ZZtA(p__7#?Rzzg7XWjY-=r?7~y>iUKDQ92)b1g=1?lKyj*Qgf=puXmCdt)2&$6| zmhL2UX&}}OJ4;jmjT(ROUJbD*yAK zRw^8zQ^dp1?SZ<_IStf3{#;S_pLtECQTLOMC|tXwZWO=A75&7Ns9LWeG-@os&gT8b zx+o^RrhM(YpogA9NuBX=aDjU2m$Py38P^=HUG`KWUyjdr@ci8(cUPEpu<`JG^djP` z$!#6bha0O~;lujfj1&C{sS*LvA96(J+8fau-*NDw_`f+of9$TkP`Bv02&dFO&O7av zx-2EmICYnZ=~iSc{jehk*WRdm{G|?FIDxJhDzLP@qx2N8G?sO@M8}GqaiX(O8L;#> z9nrb=M)bzp96V3-Uw3x}qTAa^PXW;{?1AY0(?N7KkVznPhAnHnR?hlMWf^f~Uxzy6 zapdkD41w>ue)vv$?X5Q)ylbR2e!&4cMFOVT1KBT{CbH*!kOUcaOl-G~`}+|4tg{$+ zPdFNJ?Ty$D-fs_i*1vm@)k_b2+NJtSdLVjqN{G%|qdF%#i^*?;=;u44pPOELBs%c! zNQrJs)gJh?6MaDsM8~&3<5C@e$DP?!dV!-d*B+@1yjxQ$bN7z&RLUA|Z&JOb{_@fu zh`#W25dE4V$dVdQ7dxVJ?ULwGe32_;URI{icSw#j9k^*{=}UT`?#oUGb=Qdw8#C%I zbL8OKC3U@gUg+TYJNj;8k)=^o2rig*qA%`&=!;GV(ILx?8PP8nxuckCmqgbF8du0z z8mM=PrGLVKn|A8{cn{QFayqDsu6$QU-7StBT)U?3Mpq~k7^jfBwisVneQvYpg?oP* z=0Lo2oogESrN4nMi(*l{+fkQmm(=wl0(ke!Q)r$o13K-zJJ18~5*RV#)cx`h)JUnj z$5EGSkJNpKgQrsv9H3J~T^2jLwaVf28K>?MM~#%aH#(wl?UA}09XxI2bAV0}byxR5 zT~-CBfx5V>JC_Xb+QLlk-r|VDwM*(6J-vJY>JiOqr--_1d!X)`(?MN4N=Gv49(UB` z+BJ3Gg{fRzf5Ijd=&q(YqFYSYgO+)3mmw1$!C828VUU!X4kz%gTUT? z(VIcgas^~v4@7^(X&|~=Cb~5n-1j)5bM1}j4&J2_np0f?X-QqVD}-NnEWQ48Q1=|E zn3rbM{r8T#T)U)h6o1qeGSP|R3XM;Z`&ZDrrtZ-6Q1`F8F$mmx&`zjJu_(UJQI~7i z)cs3WucNMm%EV+qr`;gf*aLO1m>%kOrBoe%ruZP3_8)N6<=Q26y#Quy6uSNw2dHD} zb~m|;P{Oa%$vqZIuOE`CsWLbj_+ zdf7tJdHAD|x@7I)Uz;mijHIsEm zbV8-OB|0K!8Z1v*)H$Dh%26ey@-rNjxpqlqz4O!+GBy3v4(e2>+j1F|nCNb)OThay zP`4{Z(rx(;6v+!5b-8v)U9U)fUUWT@@-Q39j}-0tWr}NDt(Nw}(k+Sp>>h{?H_SNE z8QPknyffuuM|7?|65Xae-vvwvuZQT!a~~zersh*Xa^j}D<#VFnXPo38bex!ye87>M zYmX$i+1``yFxZCB0HdQ$JFi417NY|omwvVh!t1mdZegP zmz15BGs77ZmCea7K`7a^u2;Es*{fbK843Lzi$!;qr(=%wKLvBc85Nc7;(%>!W4|Lh z*B*%unQzq~8gYO|-F4ap)rCC>s{Ydr+JKW)D=p z=yXsS{U)IDn;n(8c1h(ZKJ1FV!Cg-gRZm+uwQ1a)+n(@lAQ^tv5ru1)RP_QF-p%5t z;oUn<9q*no@zYR6o?vedz1vZlYnN1xV&mGo9e|E`w>uR^gIjoYs>1wXvua?iP01%b$^IIp%|A(?S$Lj|91D{@ z@ynC<1r1L&{t0;HH=Qgkzq%pFQe>xA?{u(}55!Nb*+p@&Xz@HM3#qfMnjdwTeArD3 zDFAM(UiQ!uJrl>kF6@gyqQW+O)>@?JUtw?p2> zgus|~LU83^#sTe#7}KhRo$1e+^&I>*qK_Tm$k~&;BVnyd@H&#) zF&xj<3oQwDOhtqt{#DM%8&;hh@$65i^T$0Y3x031jO1FEo`uXxPXK72J8kXe0Fd#= zJPTBPOu6j{Hoe~hAr(}>>+<&N$jdQZ{poIL8&Hxpod-BOuWmW-Aj2E3G=D5tkIcv&W`B~e@+xX&s~uY z=RF$sJtJwl+ALNA+;aUhxR&a(N<)ovQkQBN@N0zz;D8vBvqV?xIlnk@k(ZkDI?7e< zo9#`wSy;RehJYQSmc3Xkidos@MP)WlKPCaU-~{8Q54-Z!I^k(&;|h(i!NzNJUEg?> zPJP<0U)%KiDm_Wgeo_^X$GiVcvSpj3wS`1ai=yFDrR7D#@8rcTw{2d6{hwTx``neb zM)5iB`ePc9UQqa#sOM$_VLbN|?3Ln{@|(4uoP+qqjw31uaTJ%?s6Sw!<|M3IehD_= z<9P=DY@UI+ZSxZBa?PfHG+q6$>`T5D#gV(aR(E=Jf>?L%CD_MwYVDY2K{JOqU>h@$ z^8pX)dPsMzO{m#5$AqVe&X$*8!`*YHJ4YUK5cze|v7U^&P5Bjyscusii;_YO|0$d9 z>}mHg&ApMY2X(9Lx%P4~LFZmpZ$SQ0+(QU5x|oU&Ht#G)=~yOeC|{I3p^ z&*!INZrhmdW%SH+H`t#MfMTte7dYPV42V(&X()c%6|@#>n4GU$J3T)0?xm(Ent5~5 zssf29{?EEqpKjGvU}|t^L&kpm`eXeSLD;WCc1hQTM{a{*KC@TnY5ord;KW4 zN}7{K0}6Un49G+6P{DlhsC>1IiY4`c3I#nX2IPOVM`cxt$|Ge|EU5=nDCki!Ab-&w zmDMRK-zuYGNj;!KL63?7`F49$GG6(=WmGJw2UIBNQ86Hownv52hKy}bmQk^!9#Em6 zN5z0V)((}`n)W>^XUQKI#h-RSR%vYL#*%tKg@PUx19G-f+6?9lm2=CeSW*wDP|%}d zK+bE2%4&HYJXQW>85K+F0Tl{*R1C;-TBE|*e!P;vc;!W9R4l0nR4C|CF(5B)kIJ$X zl?7!~EU5=nDCki!APd{0lJUyYGAfqT11c2ss2GrCtx;K_IVc;|KIlu@yy9#Em6N5z1=r9CQ{ zTzOj=6-(*?6$*M(49MHtqms#$ca>4Gq#jVAphv}kyt_RrnOu2)85K+F0Tl{*R1C7YgBmuVK##9FQZ~fJ)lBCkBR~LTzgb9edWKEQL&^RP@$kl#ejUF9V#KO ze7TH@CG~&`1wASTt#95(;*ZCG~&`1wASTWU@Uf+06WnGAfqT11c2ss2GsH zZimWRt-^ZM>F>&@SW*wDP|%}dK>ofxDw&A;e`QoGsRvXj=ut5s-))adCitHyqhd)t zph7{9iUDb8FMLazIg~5sD06{rEvW}oDCki!AWvH!rBdQ=R^E83%y3I0uGR4l0nR4C|C zF(8}Uqmntmc9c=Eq#jVAphv}k{A4>+)=GKuyz-hdDwfm(Dirjn7?5jQqe7@s) z9+gb+zp0FhCG~&`1wASTgdF8!jR4l0nR4C|CF(B`2k4h%^?7KLx(�mg;5?vaj3rBj@V2q#o)vxVC(2Kt5TjdudQNzYFTBCG}9Z!L_;* zxTU(ALEZc=)NM&U)NOFBZUgc_sct)(#TIew;F*BtTGh_SlyY3oYvrJ|7w=e1X_pJw z4+;g(2>jODb4o~k;H-=h_+21~CG|iMgKGpaAYUof{S!gm{4UgONj=nUaIJ0w5|`?3 z26gkhP`4%ZP`ANN7{S5)t5V&(Fvbr)dwER22>z8XJ=AS*t!{(+ze{zW71Yh|Lc1-g zhq?`})onn&Q>vR|JAJ$D2+v%*?M+cV)J;Lp=LY1lQr-U$)Xnc)y96_K*XJq>(d`MM46xuv=}+}qb}2Yu%{!;*UF41;To zU_hQ-s{5@$-TcmVMig684|N+{tJ{FQuvGW8LEZc=)NM&U)NOFBZUYh(>MjH>5peD_ZyJKDJuPs5Yy3|^Rn=$ zA9IgtLd=a021#`bM&~;fzA2<8PcIrWEiyV0PwPaSTI zG;VSmsAk7zqn7&=%1qQR*6 zf{Q@>y1t{M5is{5&se^=v% z#+d#m1chBihh|+zK+9Z56iL&nBdpWHj(DZO1s%a{%Z|9xW!UPjt;WGOm1AlhG2*)7 zpwn5{HoaTjGOnn3Hbrw9#%voQ&^FgGMN+lu7!xdE$7~b0pkugg*)iK)h8^zOs$-@q zpkA#G7*|)!Hu6Kk9Qlg`X+{1k9N9wh?^H@aes0_BOfCw2$+}KHrjr<=1#Qz-Kw;Eww=@Vq1kL> z%}}1tmL17(c5z4YAN3?E=Xh>5@?4w!nMI+*4F1C8Q{IidNl!u>Prk<9PU)FDNX!o4 z+ojE4nVCA<ztW^r(N#21%&j|GM^);gDe^29xvt*Cz z*R2AvM_Ji0U@zx^7LA2V);F&H{9xniJ0579yYX|)Nod&rNEF|qZ+lf)6c0P*`mRKy zfU_wa55`^r9}`Go*XWgtAJ#*C`@blDR6nh7(p;LfOaV;8u0fnJHKyj!Jeo`F*&6+V z6l40mSULCVA6E(I2@R_&IlF31Kv}IRjuCS!gevOw`7y$66z_FcUBFFO;f6ELPJH7i zE12qFx{eXWnd9eE%9lSvZBSI65Jq0HnVmlr;2+$U3giK{W?IRQ{B=zQ^ay z`+>;PpX5HOoVP09sE+5l#gWIKn#ZYi8F|+IkZbCB*4QOVoe8JwU6(R1B4c)Fmhh%0)Vha3n zWx2j_nN(w@Y*a9gYMn@(JbR@IqaFFld12$F%AeNIpVn}d=J8kRz)j#^?dn12->!nH zWnr$Ct0Z%8boJy_gch*32u_EvS!JOOEn!1dqYQ_JUM1MzEKHxK2m6HI&C=P%vUXma z=HI1*MR(|*a=Y};QKLK5(mf*K6~Z!#?{YnzbOA>LTSXs2yXdRWIh3=_loukLQ1^1f zaZDTlQkX@|lJ+9Kq=73+K(;#oU4mg|-XH`IIHhn%Ajb7SrWz;8bwboE^HoA@R9Q=? zVL)uwL-_hO(d0-u3*(wb4~b|ussC7@8`keJ@q|GY@eur2I7y46qzt`sK>2SDa6vyK zRH*_RSSX_}J+n{$tPCE_Rg8I>qcEd5D~ON#WxiOknbUEg>q(k ztWcl|I`b~$8QVNJJU!+fy76u;GW`kh>atg$Q(Z$XLe@Qqqr;*I%(UO(E;f9NDqpEi zZuQxwVk|T*QkR{YUpYp?v2simVZeEYOa=_QM3MnxC%)Yx&3lIi3^F9i!={!b4`kXd z9?J+54o1dAuHOJjc0U?QW53^J0I&L?9mVetxi~9gvMb{P-?UOK7p1jWkxVFURVTnD z%p(Q%$0rub$Xe(KnlNLBv?;=`r4e%-_K)?7`UE%4V!1`qUV$6!>3X#UVaF(P{dc(@ z;mJef@VzYgUY>jpB;PBNZ@89xtCDZ_RwaFpoVHqai<@u&rE}I&!RJ5C3&nSc<8D)~ zaZ%?`z%iNdRa=-4$23d2MjgNe;@dt0Ian?SrQc?VZd6mvuDBsFiOJQLLk}Kt!-6!wv zGWE+|xnCE%`=$ErowdBZ0}u2vYt|)$!qtu%nm62~zeB7nn5v2TU zmvU#2@>Z9U$&4uexl+p8UCPe~rGCMsyfaAoH!dYpAEWqPN)hoP03y2nV@;3ZUn+rk zPbuYnrIcTFDcO7)#UCi;`A{k4-cri1mr_3LQhuPT$MB=2Jip~qLh^mAl=6vE%5Rra z{$nZScSHOtQbLp;a4BKm{eepfiTa17 zJbzS5`9dk>L6;KN^-w9#mt4w!*VW_v6_@g-LCRP4JK-fSbMqwdsUF0`4#d|25R)$D zITG7G&(~c_h}>V4QvPQt5NG*uL?0F>2lTy0Y0H$Cb-dNevs@6m74hg4AvI7vu=i|7bzo+UO1axFLXC>5 z1nFXu>~We3**QoeC*ldM=M&5(kbg+@!7*}^bQDZA<`wTO6D33s)gC%rW^!r}0w_1; z6|tz-QMGZO)1`ijo#)KeX=uGrU5GiQw zFKYo{n_74<>BGvx{VfWcBA2&Oqxi#aY;O@Gq_l!Xp%T1jy+Tu>_;q0>RLv|?*2V%k zpu1)By;{h?jBoU~#Z^hON^D9;`FTry3uEIytSccN4pF5F`W$B+PGVkf=4I6$VK?e! z!fI7Aq*0AJw?@D0^=-%nWWuP@Pyku8U!}fWED1Iwd+Z8HfwlU^Xxym(z+{A5>uZJu z=uNc^u}}#+tUD9V*L-QgV54!bKIcDh&6i&MK(%mwn}{C8KRByKIOA%m z*1t_SQ(O(89cv_HN7YNFOCypY{@O%16BL2|jc{ff;psl*<@H|6btQ6SmHvIOM2-GU z^Q?(E&L0syaOWCbn5d%I&c;tfiAnH$Cf*EYr`ET~G@wj&o_vc;OO?q^dT)_wg)*HC z?SLwrRw~n3+Dp{3b=W9&kVuQ_Rx6Voe3E3sC6kRI1rmkT@X(B5^JH>VSBgWwE14(W zup7wXK@=Qak(=FEzTy+iZm`mzM(>1r-TS5z{zvM90b*Qm-f5PM=#S{6sPtpw;$v?7svAbU`(%3|`Lg~myWGKIQEA}n+2Zdei_nS038Xi9SC z`D=#t;&-y{fiKv%mYrx*5+qMmW&hHcX4im>Q=|AAm(mpD=O&<{c@@R4bs00AcxE|i)JUgspl168swm#?kh(!v zo6Ms4MwepTz*vmpgDxfXWE^%W*=(S|vQo;?QYo_>zN2(s*R7>Ix0h1h;8H@ez0sv) z8haG~bSdQymlAqJ?kuI;cetod9?t?A({sj^tQq!vWo_O8X zd{6O&@0W|8(O5?v|6*wHwrJi2jU?yQvq<-0UEyw`pcxmu!5Jq7FQ=vZ(FeQmG<&|! z#JA^r4x2p__?~%*L%scyn{j?3C5g&5RzKCu|9o|%uWL3izYVK|`I%L{CCFmp7B~7w zWbfyq;9q#Y1pV6v8$Wrz7=B@m>N4aQ{_pA-zMbkq@r2=rCDaEbx>tzN&3Id>@xsCN zF#MQUn_oo1W6&+u-Ac#uET^ni2?RZAO-qK)VkE71RJVkK1`GjeC@!4uoa6H~j-eop z@6VZEAqhot^$JP2L_b@XKn8;`F)NlpkQD=ZeQhQdSojc2;Hn#wAB5StrSJRNX>ISZX#dge2pe`M^vw6aO>1 zB9e*QS8F}LrMP|XI~0iW+!o^Y9ZuYSyH4D;)2k_-id)vChb1s^hw!@l0Y@Zs2{Z^? zmspDE-8@|(%>r%P&L>>$#4sYeD{&je+gt-U(0@+KCc4Pu6qP30Yeu4)03d& zgvTH#ZdMqp=CsfJIKk?;&(NDiRIwvT-3T+WKvt6-_;#ngov9U8j8O49<7#jy* zam)GzQbnWqFRMi&zQR^MSqdj2aa2Oh{w?eU?-OA!Y*c5JUpe4e`455qZ;7>c0jjY$k4KY48J(MB ztNeLv-}IvK?Q-*l-p!ps;L6V0z?JKqzXEm%^Kxb7H+1*%8Gba~ZRMj41vOrIb&WQa)8m`A?;k-z}wl zx|H&nQp#stN~mkz?@~gOZYG_@e^jd7G~L&UBYfxovCCuH@MbZJn3FiN?P9J`x7&m* ztP_d?V?#A@rCz&GMnW>{GKOR8`0MW)Y&1Tl&x!{cKl8Mjps>4yRTQtT8(Wx8t;ZGs zlCi~tFpFgz31sU878YiXE0hg>;p`$dm+{6Z98i-{U`8~qSZr&fkVtK6@bP0yb)#h2 zrs-^`C>e=hr$mK2-t7$}@Vv6%dnU^c(SSELo1){g7|DR^SFdrD{^i>Rw~C0LLnvyikcrK-kEgHP5U=Ek&_-X}du23to$M(+*- z-?B$UxY!$lN~MTzv6m^A98>S}HJD_l={@+k2l)UXJJVv1PhNQP7M%k$Wb`j~C1@$;Akf7Z=p>+X5RP-Ee+ zrs&VKn8{AMnXFkileN=fC@zw37Rk{>_KDc&_&7-LBonZ1`U(qf1pHt_Fw?PIw@>pJ z_p3CZalmkf;2O!97WTn;s)&!D$y7JNu;s0##6s9R(v!&pg@p~JJh0bIB@e30GY8s> z&0A(;KD3vPx6H=ecx5=FR#(bAIoLiMbLQIi*_c0Iqzpy;y8zVy6D|7u)me1;*^$-~0Wbv8R8Y zm%$*N(^IT^8W-^~U&3kZ%!pMH;@1jso6GlTKgV93!oXa5IcHa1r6WSjbIjF!pA{LJXgj6fff=D$f(%oY0QfU@oCGn<`7VVn=) zgSzd)cfa7kV54!jKIcDh_X{qpaXwt9fc7Z9R|7zx%Z@$5T)-Q-gC4xdRfcvDKA#dcj#ZPSw7cgr{Bm9x7kK< z^~455a) zCx0*}DCY#F<0unDrn{a2C$1qVInu|@Sj!?dO)_*q?ICQ7Y=$cDjxZ3(zC|u>! zbR1r#I(*WJ(MzhuD312lVwB>E7$xu&Z#)NN6KaAO<@i2-&&QX z*jZ#W_E*=6gNe~$v6dggx40&qH774Kl5}oih0?K3UjDY@rmY&x-eW%}FO3@0yS?P! z26Ubil%b|Nr8BL4*qCXdY%kfRMQRh6l4UGV+nLrJk~pq)?CK*^wJmRb&~eQsp0RZI~6;KUFs?`lgib5j{9C*3#Z-b!^NIGt1cx!SNoL!JxrNA-0|~mN>VprCDC= zeH}0B8gN!|E}ab>eZElhQ~r4l&1bljr2AmoqiQ%TyIbr(F2?1!TCW&IF0=G}rKtZJ zjklaL)M#9!&%F;c&bhHB-sB~&qMsK%)GyCcsc^#sCj`_VNMKzGfTT-_8eumr;i7C~ zF&oo+S{UwZDI?y*&P8TFE<+xz4FAlS(mAxCWtYmp$!$SV-ELAK9oub;tr8xDZd;vH@w=~Tf@mJRPSZ%MAev2%?>?aZQ7@UkpLsi2(ydd| zEy{`F3D=oFgCoy51Ow$99^xnr1PR-!_(lAa9fpqJ;cOu`S+RX_pFSMu){^VWg2cjT zZaPz!Jwmm(+KD0Z!r+8qVl-{l?eKy3ehHZcH_%iZ7 zn$DMr!(N_SCN_;ek(JEBgd7gJxq4JgOf-!~Rlj>+ueQBP4|xe4?ol5&%BehIxXr+; zpQ=gRcC9}6$^A7G`7bzu`bC?_ZHYP)sP%f8ts+x65vTYwa3Js1hm(SAotWd+Y`KKj z6 zkC@+&>OYDn!ej%6xpP>L-jqIyV%z22R5>qHY?t?2(>%h3`FlK_|MR+b6u&dfYPfO2 z?SyJt89oBaL@ktawdEo=*}p*9`S>NI1P@*q5WdvJza1cqmQ+>@gKVuWGZDOL6B?T{ zXTu0FHgWS78Tyqs9E?h{Vk7rXM>6*+5vG6KX11ftu4xa`u+1v5UuT5x(q1=vl}1K| za81Yzrgx+>hN@qp;u$sk8+3WS<)C&A(Y3r)~Nfr7DcV>XfM>buw`NY@+z8AdPQ$kTaE46^5gMPBI+Jo5 z<8`OTYxoA~UE;hqxR(DP)tzSb3hMzuwO1y&w==^;IQla`A2oYzQ{%+j&tqrB*6`%PFsHL71bT$tgDvtPw_h{5&wTMxVX;GMp{y0`Wsd zxY~lqE0vv_4k2Ub)u5L-&=Yl_37@I+Tp4HpRD)jWK>unTXfq4Z zJT87%6w|l=i(&%}fNIcd9q13&gKoEM1AuDKmpRa%tOwnWAONTaz21R-xDGTi&aG(; zfNIcJIMCm$2i=bO08kBjiv#_gYS0)t?XU(wHR!7x=qKtyx5F9$)u4aUfj-xCsb`6^ z^6LPt-VkHV2Y_nOuX3O-r~?g2T6ZG=szJZTfxfsNbUVHVKsD&=9Oz|rpmCD4#u@a~(D~h^wk7c{{EC5u4KI%XpuLIp)J_Dc{^lc9GjrE}0F&hA?LH{cUdQUxQ z0+f!qOh#J@RD*hx%k}O$P%GOG2>?`szQcjOryg|uJ{ZFq0M(#>#)1C#^`P7FEdZ)P z|C|H;P#xbAb>4ck0iYW6I~?fG)PrV7yxnCo0#KkD)H_|S`|1e5N^NT_0ZrnA~thqIaY6t4#Ss}Arp>HybwnE|GFHQ*0Az!y{l z=2V5&7*o6&@UJ<*7aQPbmF8xA?yW%spc?dt9q562(CyqP0H_B2TMqQPYI3l4&>Clo zR|Ecp1AJv2;QBy$>p+TE1OAT=@U_)|iG^s5F~zF^-{%1DuLE2!+YDohR|Eb%2Y9?1 za4QwX08_jg@PBcD-&_ZnLnnUUWidcxuLkrvm+NQi0M%. #include "device.h" -// #include "blinky.h" +#include "blinky.h" #include "loopback.h" #include "scsi.h" #include "scsiPhy.h" @@ -28,7 +28,7 @@ const char* Notice = "Copyright (C) 2013 Michael McMaster " int main() { - // scsi2sd_test_blinky(); // Initial test. Will not return. + // scsi2sd_test_blink(); // Initial test. Will not return. ledOff(); // Enable global interrupts. @@ -44,7 +44,7 @@ int main() configInit(); - scsiInit(0, 1); // ID 0 is mac boot disk + scsiInit(); scsiDiskInit(); // Reading jumpers diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsi.c b/software/SCSI2SD/SCSI2SD.cydsn/scsi.c index 24e3b63..8eebc5d 100755 --- a/software/SCSI2SD/SCSI2SD.cydsn/scsi.c +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsi.c @@ -370,14 +370,14 @@ static void enter_SelectionPhase() static void process_SelectionPhase() { - uint8 mask = ~SCSI_In_DBx_Read(); + uint8 mask = scsiReadDBxPins(); int goodParity = (Lookup_OddParity[mask] == SCSI_ReadPin(SCSI_In_DBP)); int sel = SCSI_ReadPin(SCSI_In_SEL); int bsy = SCSI_ReadPin(SCSI_In_BSY); if (!bsy && sel && (mask & scsiDev.scsiIdMask) && - goodParity && (countBits(mask) == 2)) + (goodParity || !config->enableParity) && (countBits(mask) == 2)) { // We've been selected! // Assert BSY - Selection success! @@ -413,6 +413,9 @@ static void process_SelectionPhase() } scsiDev.phase = COMMAND; + + CyDelayUs(2); // DODGY HACK + scsiDev.atnFlag |= SCSI_ReadPin(SCSI_ATN_INT); } else if (!sel) { diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c index 53d2b5f..4819615 100755 --- a/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c @@ -34,6 +34,19 @@ CY_ISR(scsiAttentionISR) SCSI_ATN_ClearInterrupt(); } +uint8 scsiReadDBxPins() +{ + return + (SCSI_ReadPin(SCSI_In_DBx_DB7) << 7) | + (SCSI_ReadPin(SCSI_In_DBx_DB6) << 6) | + (SCSI_ReadPin(SCSI_In_DBx_DB5) << 5) | + (SCSI_ReadPin(SCSI_In_DBx_DB4) << 4) | + (SCSI_ReadPin(SCSI_In_DBx_DB3) << 3) | + (SCSI_ReadPin(SCSI_In_DBx_DB2) << 2) | + (SCSI_ReadPin(SCSI_In_DBx_DB1) << 1) | + SCSI_ReadPin(SCSI_In_DBx_DB0); +} + uint8 scsiReadByte(void) { while (!(CY_GET_REG8(scsiTarget_StatusReg__STATUS_REG) & 1)) {} diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h index 786fb29..8a37e67 100755 --- a/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h @@ -36,6 +36,8 @@ void scsiRead(uint8* data, uint32 count); void scsiWriteByte(uint8 value); void scsiWrite(uint8* data, uint32 count); +uint8 scsiReadDBxPins(); + void scsiEnterPhase(int phase); #endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsiTarget/scsiTarget.v b/software/SCSI2SD/SCSI2SD.cydsn/scsiTarget/scsiTarget.v index 50815f6..20f9cc6 100755 --- a/software/SCSI2SD/SCSI2SD.cydsn/scsiTarget/scsiTarget.v +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsiTarget/scsiTarget.v @@ -202,49 +202,49 @@ cy_psoc3_dp #(.d1_init(3), `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM0: IDLE*/ + `CS_CMP_SEL_CFGA, /*CFGRAM0: IDLE*/ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC___F0, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM1: FIFO Load*/ + `CS_CMP_SEL_CFGA, /*CFGRAM1: FIFO Load*/ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM2: TX*/ + `CS_CMP_SEL_CFGA, /*CFGRAM2: TX*/ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC___D0, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM3: DESKEW INIT*/ + `CS_CMP_SEL_CFGA, /*CFGRAM3: DESKEW INIT*/ `CS_ALU_OP__DEC, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC__ALU, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM4: DESKEW*/ + `CS_CMP_SEL_CFGA, /*CFGRAM4: DESKEW*/ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM5: Not used*/ + `CS_CMP_SEL_CFGA, /*CFGRAM5: Not used*/ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_DSBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM6: READY*/ + `CS_CMP_SEL_CFGA, /*CFGRAM6: READY*/ `CS_ALU_OP_PASS, `CS_SRCA_A0, `CS_SRCB_D0, `CS_SHFT_OP_PASS, `CS_A0_SRC_NONE, `CS_A1_SRC_NONE, `CS_FEEDBACK_ENBL, `CS_CI_SEL_CFGA, `CS_SI_SEL_CFGA, - `CS_CMP_SEL_CFGA, /*CFGRAM7: RX*/ - 8'hFF, 8'h00, /*CFG9: */ - 8'hFF, 8'hFF, /*CFG11-10: */ + `CS_CMP_SEL_CFGA, /*CFGRAM7: RX*/ + 8'hFF, 8'h00, /*CFG9: */ + 8'hFF, 8'hFF, /*CFG11-10: */ `SC_CMPB_A1_D1, `SC_CMPA_A1_D1, `SC_CI_B_ARITH, `SC_CI_A_ARITH, `SC_C1_MASK_DSBL, `SC_C0_MASK_DSBL, `SC_A_MASK_DSBL, `SC_DEF_SI_0, `SC_SI_B_DEFSI, - `SC_SI_A_DEFSI, /*CFG13-12: */ + `SC_SI_A_DEFSI, /*CFG13-12: */ `SC_A0_SRC_ACC, `SC_SHIFT_SL, `SC_PI_DYN_EN, 1'h0, `SC_FIFO1_ALU, `SC_FIFO0_BUS, `SC_MSB_DSBL, `SC_MSB_BIT0, `SC_MSB_NOCHN, `SC_FB_NOCHN, `SC_CMP1_NOCHN, - `SC_CMP0_NOCHN, /*CFG15-14: */ + `SC_CMP0_NOCHN, /*CFG15-14: */ 10'h00, `SC_FIFO_CLK__DP,`SC_FIFO_CAP_AX, `SC_FIFO_LEVEL,`SC_FIFO__SYNC,`SC_EXTCRC_DSBL, - `SC_WRK16CAT_DSBL /*CFG17-16: */ + `SC_WRK16CAT_DSBL /*CFG17-16: */ } )) datapath( /* input */ .reset(1'b0), @@ -318,3 +318,5 @@ endmodule + + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/sd.c b/software/SCSI2SD/SCSI2SD.cydsn/sd.c index 7affe5b..1d239ba 100755 --- a/software/SCSI2SD/SCSI2SD.cydsn/sd.c +++ b/software/SCSI2SD/SCSI2SD.cydsn/sd.c @@ -68,6 +68,8 @@ static void sdSendCRCCommand(uint8 cmd, uint32 param) { sdSpiByte(send[cmd]); } + // Allow command to process before reading result code. + sdSpiByte(0xFF); } static void sdSendCommand(uint8 cmd, uint32 param) @@ -85,6 +87,8 @@ static void sdSendCommand(uint8 cmd, uint32 param) { sdSpiByte(send[cmd]); } + // Allow command to process before reading result code. + sdSpiByte(0xFF); } static uint8 sdReadResp() @@ -149,11 +153,26 @@ void sdPrepareRead() void sdReadSector() { // Wait for a start-block token. - uint8 token; - do + // Don't wait more than 200ms. + int maxWait = 200000; + uint8 token = sdSpiByte(0xFF); + while (token != 0xFE && (maxWait-- > 0)) { + CyDelayUs(1); token = sdSpiByte(0xFF); - } while(token != 0xFE); // TODO don't loop forever here in case of error! + } + if (token != 0xFE) + { + sdCompleteRead(); + if (scsiDev.status != CHECK_CONDITION) + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = HARDWARE_ERROR; + scsiDev.sense.asc = UNRECOVERED_READ_ERROR; + scsiDev.phase = STATUS; + } + return; + } int prep = 0; int i = 0; @@ -181,10 +200,12 @@ void sdReadSector() void sdCompleteRead() { - //uint8 r1b = sdCommandAndResponse(SD_STOP_TRANSMISSION, 0); - sdSendCommand(SD_STOP_TRANSMISSION, 0); - sdSpiByte(0xFF); // NEED STUFF BYTE for cmd12 - uint8 r1b = sdReadResp(); + int counter = 512; + uint8 r1b; + do + { + r1b = sdCommandAndResponse(SD_STOP_TRANSMISSION, 0); + } while (r1b && (counter-- > 0)); if (r1b) { scsiDev.status = CHECK_CONDITION; @@ -230,14 +251,38 @@ int sdWriteSector() SDCard_ReadRxData(); SDCard_ReadRxData(); SDCard_ReadRxData(); + SDCard_ReadRxData(); sdSpiByte(0x00); // CRC sdSpiByte(0x00); // CRC + + // Don't wait more than 1000ms. + // My 2g Kingston micro-sd card doesn't respond immediately. + // My 16Gb card does. + int maxWait = 1000; uint8 dataToken = sdSpiByte(0xFF); // Response + while (dataToken == 0xFF && maxWait-- > 0) + { + CyDelay(1); // 1ms. + dataToken = sdSpiByte(0xFF); + } if (((dataToken & 0x1F) >> 1) != 0x2) // Accepted. { sdWaitWriteBusy(); - sdSpiByte(0xFD); // STOP TOKEN + + int counter = 512; + uint8 r1b; + do + { + r1b = sdCommandAndResponse(SD_STOP_TRANSMISSION, 0); + } while (r1b && (counter-- > 0)); + // R1b has an optional trailing "busy" signal. + uint8 busy; + do + { + busy = sdSpiByte(0xFF); + } while (busy == 0); + // Wait for the card to come out of busy. sdWaitWriteBusy(); @@ -432,7 +477,7 @@ int sdInit() // now set the sd card up for full speed SD_Data_Clk_Start(); // Turn on the fast clock - SD_Clk_Ctl_Write(config->overclockSPI ? 2 : 1); // Select the fast clock source. + SD_Clk_Ctl_Write(1); // Select the fast clock source. SD_Init_Clk_Stop(); // Stop the slow clock. if (!sdReadCSD()) goto bad; diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/ARM_C_FILE.P b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/ARM_C_FILE.P new file mode 100755 index 0000000..21d49a4 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/ARM_C_FILE.P @@ -0,0 +1,162 @@ +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/Cm3Start.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Start.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/Cm3Start.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyDmac.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyFlash.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyLib.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CySpc.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dm.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_Dp.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_audio.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_boot.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_boot.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_boot.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cdc.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_cls.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cls.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cls.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_descr.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_descr.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_descr.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_drv.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_drv.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_drv.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_episr.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_episr.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_episr.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_hid.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_midi.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_pm.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pm.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pm.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_std.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_std.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_std.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/USBFS_vnd.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_vnd.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_vnd.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyPm.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyfitter_cfg.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cymetadata.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cymetadata.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cymetadata.c : + +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/cyutils.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyutils.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyutils.c : + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/C_FILE.P b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/C_FILE.P new file mode 100755 index 0000000..df2efd7 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/C_FILE.P @@ -0,0 +1,66 @@ +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/main.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h W:/SCSI2SD/USB_Bootloader.cydsn/main.c + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/BL_PVT.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyDmac.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyFlash.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyLib.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CySpc.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dm_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_Dp_aliases.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_audio.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_cdc.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_hid.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_midi.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/USBFS_pvt.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmFunc.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/core_cmInstr.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyPm.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevice_trm.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydisabledsheets.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cypins.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cytypes.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/project.h : + +W:/SCSI2SD/USB_Bootloader.cydsn/main.c : + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/GNU_ARM_ASM_FILE.P b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/GNU_ARM_ASM_FILE.P new file mode 100755 index 0000000..0695d22 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/.deps/GNU_ARM_ASM_FILE.P @@ -0,0 +1,10 @@ +W:/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/CyBootAsmGnu.o : W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu.inc W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfittergnu.inc W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu.inc : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/cyfittergnu.inc : + +W:/SCSI2SD/USB_Bootloader.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s : + diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.lst b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.lst new file mode 100755 index 0000000..c781cc1 --- /dev/null +++ b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.lst @@ -0,0 +1,8146 @@ +ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 1 + + + 1 .syntax unified + 2 .cpu cortex-m3 + 3 .fpu softvfp + 4 .eabi_attribute 20, 1 + 5 .eabi_attribute 21, 1 + 6 .eabi_attribute 23, 3 + 7 .eabi_attribute 24, 1 + 8 .eabi_attribute 25, 1 + 9 .eabi_attribute 26, 1 + 10 .eabi_attribute 30, 4 + 11 .eabi_attribute 34, 1 + 12 .eabi_attribute 18, 4 + 13 .thumb + 14 .file "BL.c" + 15 .text + 16 .Ltext0: + 17 .cfi_sections .debug_frame + 18 .section .text.BL_LaunchBootloadable,"ax",%progbits + 19 .align 1 + 20 .thumb + 21 .thumb_func + 22 .type BL_LaunchBootloadable, %function + 23 BL_LaunchBootloadable: + 24 .LFB62: + 25 .file 1 ".\\Generated_Source\\PSoC5\\BL.c" + 1:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 2:.\Generated_Source\PSoC5/BL.c **** * File Name: BL.c + 3:.\Generated_Source\PSoC5/BL.c **** * Version 1.20 + 4:.\Generated_Source\PSoC5/BL.c **** * + 5:.\Generated_Source\PSoC5/BL.c **** * Description: + 6:.\Generated_Source\PSoC5/BL.c **** * Provides an API for the Bootloader component. The API includes functions + 7:.\Generated_Source\PSoC5/BL.c **** * for starting boot loading operations, validating the application and + 8:.\Generated_Source\PSoC5/BL.c **** * jumping to the application. + 9:.\Generated_Source\PSoC5/BL.c **** * + 10:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 11:.\Generated_Source\PSoC5/BL.c **** * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + 12:.\Generated_Source\PSoC5/BL.c **** * You may use this file only in accordance with the license, terms, conditions, + 13:.\Generated_Source\PSoC5/BL.c **** * disclaimers, and limitations in the end user license agreement accompanying + 14:.\Generated_Source\PSoC5/BL.c **** * the software package with which this file was provided. + 15:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 16:.\Generated_Source\PSoC5/BL.c **** + 17:.\Generated_Source\PSoC5/BL.c **** #include "BL_PVT.h" + 18:.\Generated_Source\PSoC5/BL.c **** + 19:.\Generated_Source\PSoC5/BL.c **** #include "project.h" + 20:.\Generated_Source\PSoC5/BL.c **** #include + 21:.\Generated_Source\PSoC5/BL.c **** + 22:.\Generated_Source\PSoC5/BL.c **** + 23:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 24:.\Generated_Source\PSoC5/BL.c **** * The Checksum and SizeBytes are forcefully set in code. We then post process + 25:.\Generated_Source\PSoC5/BL.c **** * the hex file from the linker and inject their values then. When the hex file + 26:.\Generated_Source\PSoC5/BL.c **** * is loaded onto the device these two variables should have valid values. + 27:.\Generated_Source\PSoC5/BL.c **** * Because the compiler can do optimizations remove the constant + 28:.\Generated_Source\PSoC5/BL.c **** * accesses, these should not be accessed directly. Instead, the variables + 29:.\Generated_Source\PSoC5/BL.c **** * CyBtldr_ChecksumAccess & CyBtldr_SizeBytesAccess should be used to get the + 30:.\Generated_Source\PSoC5/BL.c **** * proper values at runtime. + 31:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 32:.\Generated_Source\PSoC5/BL.c **** #if defined(__ARMCC_VERSION) || defined (__GNUC__) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 2 + + + 33:.\Generated_Source\PSoC5/BL.c **** __attribute__((section (".bootloader"))) + 34:.\Generated_Source\PSoC5/BL.c **** #elif defined (__ICCARM__) + 35:.\Generated_Source\PSoC5/BL.c **** #pragma location=".bootloader" + 36:.\Generated_Source\PSoC5/BL.c **** #endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ + 37:.\Generated_Source\PSoC5/BL.c **** + 38:.\Generated_Source\PSoC5/BL.c **** const uint8 CYCODE BL_Checksum = 0u; + 39:.\Generated_Source\PSoC5/BL.c **** const uint8 CYCODE *BL_ChecksumAccess = (const uint8 CYCODE *)(&BL_Checksum); + 40:.\Generated_Source\PSoC5/BL.c **** + 41:.\Generated_Source\PSoC5/BL.c **** #if defined(__ARMCC_VERSION) || defined (__GNUC__) + 42:.\Generated_Source\PSoC5/BL.c **** __attribute__((section (".bootloader"))) + 43:.\Generated_Source\PSoC5/BL.c **** #elif defined (__ICCARM__) + 44:.\Generated_Source\PSoC5/BL.c **** #pragma location=".bootloader" + 45:.\Generated_Source\PSoC5/BL.c **** #endif /* defined(__ARMCC_VERSION) || defined (__GNUC__) */ + 46:.\Generated_Source\PSoC5/BL.c **** + 47:.\Generated_Source\PSoC5/BL.c **** const uint32 CYCODE BL_SizeBytes = 0xFFFFFFFFu; + 48:.\Generated_Source\PSoC5/BL.c **** const uint32 CYCODE *BL_SizeBytesAccess = (const uint32 CYCODE *)(&BL_SizeBytes); + 49:.\Generated_Source\PSoC5/BL.c **** + 50:.\Generated_Source\PSoC5/BL.c **** + 51:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_DUAL_APP_BOOTLOADER) + 52:.\Generated_Source\PSoC5/BL.c **** uint8 BL_activeApp = BL_MD_BTLDB_ACTIVE_NONE; + 53:.\Generated_Source\PSoC5/BL.c **** #else + 54:.\Generated_Source\PSoC5/BL.c **** #define BL_activeApp (BL_MD_BTLDB_ACTIVE_0) + 55:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + 56:.\Generated_Source\PSoC5/BL.c **** + 57:.\Generated_Source\PSoC5/BL.c **** + 58:.\Generated_Source\PSoC5/BL.c **** /*************************************** + 59:.\Generated_Source\PSoC5/BL.c **** * Function Prototypes + 60:.\Generated_Source\PSoC5/BL.c **** ***************************************/ + 61:.\Generated_Source\PSoC5/BL.c **** static cystatus BL_WritePacket(uint8 status, uint8 buffer[], uint16 size) CYSMALL \ + 62:.\Generated_Source\PSoC5/BL.c **** ; + 63:.\Generated_Source\PSoC5/BL.c **** + 64:.\Generated_Source\PSoC5/BL.c **** static uint16 BL_CalcPacketChecksum(const uint8 buffer[], uint16 size) CYSMALL \ + 65:.\Generated_Source\PSoC5/BL.c **** ; + 66:.\Generated_Source\PSoC5/BL.c **** + 67:.\Generated_Source\PSoC5/BL.c **** static uint8 BL_Calc8BitFlashSum(uint32 start, uint32 size) CYSMALL \ + 68:.\Generated_Source\PSoC5/BL.c **** ; + 69:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 70:.\Generated_Source\PSoC5/BL.c **** static uint8 BL_Calc8BitEepromSum(uint32 start, uint32 size) CYSMALL \ + 71:.\Generated_Source\PSoC5/BL.c **** ; + 72:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 73:.\Generated_Source\PSoC5/BL.c **** + 74:.\Generated_Source\PSoC5/BL.c **** static void BL_HostLink(uint8 timeOut) \ + 75:.\Generated_Source\PSoC5/BL.c **** ; + 76:.\Generated_Source\PSoC5/BL.c **** + 77:.\Generated_Source\PSoC5/BL.c **** static void BL_LaunchApplication(void) CYSMALL \ + 78:.\Generated_Source\PSoC5/BL.c **** ; + 79:.\Generated_Source\PSoC5/BL.c **** + 80:.\Generated_Source\PSoC5/BL.c **** static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + 81:.\Generated_Source\PSoC5/BL.c **** ; + 82:.\Generated_Source\PSoC5/BL.c **** + 83:.\Generated_Source\PSoC5/BL.c **** static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId)\ + 84:.\Generated_Source\PSoC5/BL.c **** ; + 85:.\Generated_Source\PSoC5/BL.c **** + 86:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC3) + 87:.\Generated_Source\PSoC5/BL.c **** /* Implementation for the PSoC 3 resides in a BL_psoc3.a51 file. */ + 88:.\Generated_Source\PSoC5/BL.c **** static void BL_LaunchBootloadable(uint32 appAddr); + 89:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC3) */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 3 + + + 90:.\Generated_Source\PSoC5/BL.c **** + 91:.\Generated_Source\PSoC5/BL.c **** + 92:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 93:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_CalcPacketChecksum + 94:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 95:.\Generated_Source\PSoC5/BL.c **** * + 96:.\Generated_Source\PSoC5/BL.c **** * Summary: + 97:.\Generated_Source\PSoC5/BL.c **** * This computes the 16 bit checksum for the provided number of bytes contained + 98:.\Generated_Source\PSoC5/BL.c **** * in the provided buffer + 99:.\Generated_Source\PSoC5/BL.c **** * + 100:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 101:.\Generated_Source\PSoC5/BL.c **** * buffer: + 102:.\Generated_Source\PSoC5/BL.c **** * The buffer containing the data to compute the checksum for + 103:.\Generated_Source\PSoC5/BL.c **** * size: + 104:.\Generated_Source\PSoC5/BL.c **** * The number of bytes in buffer to compute the checksum for + 105:.\Generated_Source\PSoC5/BL.c **** * + 106:.\Generated_Source\PSoC5/BL.c **** * Returns: + 107:.\Generated_Source\PSoC5/BL.c **** * 16 bit checksum for the provided data + 108:.\Generated_Source\PSoC5/BL.c **** * + 109:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 110:.\Generated_Source\PSoC5/BL.c **** static uint16 BL_CalcPacketChecksum(const uint8 buffer[], uint16 size) \ + 111:.\Generated_Source\PSoC5/BL.c **** CYSMALL + 112:.\Generated_Source\PSoC5/BL.c **** { + 113:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_PACKET_CHECKSUM_CRC) + 114:.\Generated_Source\PSoC5/BL.c **** + 115:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA crc = BL_CRC_CCITT_INITIAL_VALUE; + 116:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA tmp; + 117:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA i; + 118:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA tmpIndex = size; + 119:.\Generated_Source\PSoC5/BL.c **** + 120:.\Generated_Source\PSoC5/BL.c **** if(0u == size) + 121:.\Generated_Source\PSoC5/BL.c **** { + 122:.\Generated_Source\PSoC5/BL.c **** crc = ~crc; + 123:.\Generated_Source\PSoC5/BL.c **** } + 124:.\Generated_Source\PSoC5/BL.c **** else + 125:.\Generated_Source\PSoC5/BL.c **** { + 126:.\Generated_Source\PSoC5/BL.c **** do + 127:.\Generated_Source\PSoC5/BL.c **** { + 128:.\Generated_Source\PSoC5/BL.c **** tmp = buffer[tmpIndex - size]; + 129:.\Generated_Source\PSoC5/BL.c **** + 130:.\Generated_Source\PSoC5/BL.c **** for (i = 0u; i < 8u; i++) + 131:.\Generated_Source\PSoC5/BL.c **** { + 132:.\Generated_Source\PSoC5/BL.c **** if (0u != ((crc & 0x0001u) ^ (tmp & 0x0001u))) + 133:.\Generated_Source\PSoC5/BL.c **** { + 134:.\Generated_Source\PSoC5/BL.c **** crc = (crc >> 1u) ^ BL_CRC_CCITT_POLYNOMIAL; + 135:.\Generated_Source\PSoC5/BL.c **** } + 136:.\Generated_Source\PSoC5/BL.c **** else + 137:.\Generated_Source\PSoC5/BL.c **** { + 138:.\Generated_Source\PSoC5/BL.c **** crc >>= 1u; + 139:.\Generated_Source\PSoC5/BL.c **** } + 140:.\Generated_Source\PSoC5/BL.c **** + 141:.\Generated_Source\PSoC5/BL.c **** tmp >>= 1u; + 142:.\Generated_Source\PSoC5/BL.c **** } + 143:.\Generated_Source\PSoC5/BL.c **** + 144:.\Generated_Source\PSoC5/BL.c **** size--; + 145:.\Generated_Source\PSoC5/BL.c **** } + 146:.\Generated_Source\PSoC5/BL.c **** while(0u != size); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 4 + + + 147:.\Generated_Source\PSoC5/BL.c **** + 148:.\Generated_Source\PSoC5/BL.c **** crc = ~crc; + 149:.\Generated_Source\PSoC5/BL.c **** tmp = crc; + 150:.\Generated_Source\PSoC5/BL.c **** crc = ( uint16 )(crc << 8u) | (tmp >> 8u); + 151:.\Generated_Source\PSoC5/BL.c **** } + 152:.\Generated_Source\PSoC5/BL.c **** + 153:.\Generated_Source\PSoC5/BL.c **** return(crc); + 154:.\Generated_Source\PSoC5/BL.c **** + 155:.\Generated_Source\PSoC5/BL.c **** #else + 156:.\Generated_Source\PSoC5/BL.c **** + 157:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA sum = 0u; + 158:.\Generated_Source\PSoC5/BL.c **** + 159:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 160:.\Generated_Source\PSoC5/BL.c **** { + 161:.\Generated_Source\PSoC5/BL.c **** sum += buffer[size - 1u]; + 162:.\Generated_Source\PSoC5/BL.c **** size--; + 163:.\Generated_Source\PSoC5/BL.c **** } + 164:.\Generated_Source\PSoC5/BL.c **** + 165:.\Generated_Source\PSoC5/BL.c **** return(( uint16 )1u + ( uint16 )(~sum)); + 166:.\Generated_Source\PSoC5/BL.c **** + 167:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_PACKET_CHECKSUM_CRC) */ + 168:.\Generated_Source\PSoC5/BL.c **** } + 169:.\Generated_Source\PSoC5/BL.c **** + 170:.\Generated_Source\PSoC5/BL.c **** + 171:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 172:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_Calc8BitFlashSum + 173:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 174:.\Generated_Source\PSoC5/BL.c **** * + 175:.\Generated_Source\PSoC5/BL.c **** * Summary: + 176:.\Generated_Source\PSoC5/BL.c **** * This computes the 8 bit sum for the provided number of bytes contained in + 177:.\Generated_Source\PSoC5/BL.c **** * flash. + 178:.\Generated_Source\PSoC5/BL.c **** * + 179:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 180:.\Generated_Source\PSoC5/BL.c **** * start: + 181:.\Generated_Source\PSoC5/BL.c **** * The starting address to start summing data for + 182:.\Generated_Source\PSoC5/BL.c **** * size: + 183:.\Generated_Source\PSoC5/BL.c **** * The number of bytes to read and compute the sum for + 184:.\Generated_Source\PSoC5/BL.c **** * + 185:.\Generated_Source\PSoC5/BL.c **** * Returns: + 186:.\Generated_Source\PSoC5/BL.c **** * 8 bit sum for the provided data + 187:.\Generated_Source\PSoC5/BL.c **** * + 188:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 189:.\Generated_Source\PSoC5/BL.c **** static uint8 BL_Calc8BitFlashSum(uint32 start, uint32 size) \ + 190:.\Generated_Source\PSoC5/BL.c **** CYSMALL + 191:.\Generated_Source\PSoC5/BL.c **** { + 192:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA sum = 0u; + 193:.\Generated_Source\PSoC5/BL.c **** + 194:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 195:.\Generated_Source\PSoC5/BL.c **** { + 196:.\Generated_Source\PSoC5/BL.c **** size--; + 197:.\Generated_Source\PSoC5/BL.c **** sum += BL_GET_CODE_BYTE(start + size); + 198:.\Generated_Source\PSoC5/BL.c **** } + 199:.\Generated_Source\PSoC5/BL.c **** + 200:.\Generated_Source\PSoC5/BL.c **** return(sum); + 201:.\Generated_Source\PSoC5/BL.c **** } + 202:.\Generated_Source\PSoC5/BL.c **** + 203:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 5 + + + 204:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 205:.\Generated_Source\PSoC5/BL.c **** + 206:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 207:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_Calc8BitEepromSum + 208:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 209:.\Generated_Source\PSoC5/BL.c **** * + 210:.\Generated_Source\PSoC5/BL.c **** * Summary: + 211:.\Generated_Source\PSoC5/BL.c **** * This computes the 8 bit sum for the provided number of bytes contained in + 212:.\Generated_Source\PSoC5/BL.c **** * EEPROM. + 213:.\Generated_Source\PSoC5/BL.c **** * + 214:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 215:.\Generated_Source\PSoC5/BL.c **** * start: + 216:.\Generated_Source\PSoC5/BL.c **** * The starting address to start summing data for + 217:.\Generated_Source\PSoC5/BL.c **** * size: + 218:.\Generated_Source\PSoC5/BL.c **** * The number of bytes to read and compute the sum for + 219:.\Generated_Source\PSoC5/BL.c **** * + 220:.\Generated_Source\PSoC5/BL.c **** * Returns: + 221:.\Generated_Source\PSoC5/BL.c **** * 8 bit sum for the provided data + 222:.\Generated_Source\PSoC5/BL.c **** * + 223:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 224:.\Generated_Source\PSoC5/BL.c **** static uint8 BL_Calc8BitEepromSum(uint32 start, uint32 size) \ + 225:.\Generated_Source\PSoC5/BL.c **** CYSMALL + 226:.\Generated_Source\PSoC5/BL.c **** { + 227:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA sum = 0u; + 228:.\Generated_Source\PSoC5/BL.c **** + 229:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 230:.\Generated_Source\PSoC5/BL.c **** { + 231:.\Generated_Source\PSoC5/BL.c **** size--; + 232:.\Generated_Source\PSoC5/BL.c **** sum += BL_GET_EEPROM_BYTE(start + size); + 233:.\Generated_Source\PSoC5/BL.c **** } + 234:.\Generated_Source\PSoC5/BL.c **** + 235:.\Generated_Source\PSoC5/BL.c **** return(sum); + 236:.\Generated_Source\PSoC5/BL.c **** } + 237:.\Generated_Source\PSoC5/BL.c **** + 238:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 239:.\Generated_Source\PSoC5/BL.c **** + 240:.\Generated_Source\PSoC5/BL.c **** + 241:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 242:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_Start + 243:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 244:.\Generated_Source\PSoC5/BL.c **** * Summary: + 245:.\Generated_Source\PSoC5/BL.c **** * This function is called in order executing following algorithm: + 246:.\Generated_Source\PSoC5/BL.c **** * + 247:.\Generated_Source\PSoC5/BL.c **** * - Identify active bootloadable application (applicable only to + 248:.\Generated_Source\PSoC5/BL.c **** * Multi-application bootloader) + 249:.\Generated_Source\PSoC5/BL.c **** * + 250:.\Generated_Source\PSoC5/BL.c **** * - Validate bootloader application (desing-time configurable, Bootloader + 251:.\Generated_Source\PSoC5/BL.c **** * application validation option of the component customizer) + 252:.\Generated_Source\PSoC5/BL.c **** * + 253:.\Generated_Source\PSoC5/BL.c **** * - Validate active bootloadable application + 254:.\Generated_Source\PSoC5/BL.c **** * + 255:.\Generated_Source\PSoC5/BL.c **** * - Run communication subroutine (desing-time configurable, Wait for command + 256:.\Generated_Source\PSoC5/BL.c **** * option of the component customizer) + 257:.\Generated_Source\PSoC5/BL.c **** * + 258:.\Generated_Source\PSoC5/BL.c **** * - Schedule bootloadable and reset device + 259:.\Generated_Source\PSoC5/BL.c **** * + 260:.\Generated_Source\PSoC5/BL.c **** * Parameters: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 6 + + + 261:.\Generated_Source\PSoC5/BL.c **** * None + 262:.\Generated_Source\PSoC5/BL.c **** * + 263:.\Generated_Source\PSoC5/BL.c **** * Return: + 264:.\Generated_Source\PSoC5/BL.c **** * This method will never return. It will either load a new application and + 265:.\Generated_Source\PSoC5/BL.c **** * reset the device or it will jump directly to the existing application. + 266:.\Generated_Source\PSoC5/BL.c **** * + 267:.\Generated_Source\PSoC5/BL.c **** * Side Effects: + 268:.\Generated_Source\PSoC5/BL.c **** * If this method determines that the bootloader appliation itself is corrupt, + 269:.\Generated_Source\PSoC5/BL.c **** * this method will not return, instead it will simply hang the application. + 270:.\Generated_Source\PSoC5/BL.c **** * + 271:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 272:.\Generated_Source\PSoC5/BL.c **** void BL_Start(void) CYSMALL + 273:.\Generated_Source\PSoC5/BL.c **** { + 274:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_BOOTLOADER_APP_VALIDATION) + 275:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA calcedChecksum; + 276:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_BOOTLOADER_APP_VALIDATION) */ + 277:.\Generated_Source\PSoC5/BL.c **** + 278:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 279:.\Generated_Source\PSoC5/BL.c **** uint8 CYXDATA BL_flashBuffer[BL_FROW_SIZE]; + 280:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 281:.\Generated_Source\PSoC5/BL.c **** + 282:.\Generated_Source\PSoC5/BL.c **** cystatus tmpStatus; + 283:.\Generated_Source\PSoC5/BL.c **** + 284:.\Generated_Source\PSoC5/BL.c **** + 285:.\Generated_Source\PSoC5/BL.c **** /* Identify active bootloadable application */ + 286:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_DUAL_APP_BOOTLOADER) + 287:.\Generated_Source\PSoC5/BL.c **** + 288:.\Generated_Source\PSoC5/BL.c **** if(BL_MD_BTLDB_ACTIVE_VALUE(0u) == BL_MD_BTLDB_IS_ACTIVE) + 289:.\Generated_Source\PSoC5/BL.c **** { + 290:.\Generated_Source\PSoC5/BL.c **** BL_activeApp = BL_MD_BTLDB_ACTIVE_0; + 291:.\Generated_Source\PSoC5/BL.c **** } + 292:.\Generated_Source\PSoC5/BL.c **** else if (BL_MD_BTLDB_ACTIVE_VALUE(1u) == BL_MD_BTLDB_IS_ACTIVE) + 293:.\Generated_Source\PSoC5/BL.c **** { + 294:.\Generated_Source\PSoC5/BL.c **** BL_activeApp = BL_MD_BTLDB_ACTIVE_1; + 295:.\Generated_Source\PSoC5/BL.c **** } + 296:.\Generated_Source\PSoC5/BL.c **** else + 297:.\Generated_Source\PSoC5/BL.c **** { + 298:.\Generated_Source\PSoC5/BL.c **** BL_activeApp = BL_MD_BTLDB_ACTIVE_NONE; + 299:.\Generated_Source\PSoC5/BL.c **** } + 300:.\Generated_Source\PSoC5/BL.c **** + 301:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + 302:.\Generated_Source\PSoC5/BL.c **** + 303:.\Generated_Source\PSoC5/BL.c **** + 304:.\Generated_Source\PSoC5/BL.c **** /* Initialize Flash subsystem for non-PSoC 4 devices */ + 305:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 306:.\Generated_Source\PSoC5/BL.c **** if (CYRET_SUCCESS != CySetTemp()) + 307:.\Generated_Source\PSoC5/BL.c **** { + 308:.\Generated_Source\PSoC5/BL.c **** CyHalt(0x00u); + 309:.\Generated_Source\PSoC5/BL.c **** } + 310:.\Generated_Source\PSoC5/BL.c **** + 311:.\Generated_Source\PSoC5/BL.c **** if (CYRET_SUCCESS != CySetFlashEEBuffer(BL_flashBuffer)) + 312:.\Generated_Source\PSoC5/BL.c **** { + 313:.\Generated_Source\PSoC5/BL.c **** CyHalt(0x00u); + 314:.\Generated_Source\PSoC5/BL.c **** } + 315:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC4) */ + 316:.\Generated_Source\PSoC5/BL.c **** + 317:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 7 + + + 318:.\Generated_Source\PSoC5/BL.c **** /*********************************************************************** + 319:.\Generated_Source\PSoC5/BL.c **** * Bootloader Application Validation + 320:.\Generated_Source\PSoC5/BL.c **** * + 321:.\Generated_Source\PSoC5/BL.c **** * Halt device if: + 322:.\Generated_Source\PSoC5/BL.c **** * - Calculated checksum does not much one stored in metadata section + 323:.\Generated_Source\PSoC5/BL.c **** * - Invalid pointer to the place where bootloader application ends + 324:.\Generated_Source\PSoC5/BL.c **** * - Flash subsystem where not initialized correctly + 325:.\Generated_Source\PSoC5/BL.c **** ***********************************************************************/ + 326:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_BOOTLOADER_APP_VALIDATION) + 327:.\Generated_Source\PSoC5/BL.c **** + 328:.\Generated_Source\PSoC5/BL.c **** /* Calculate Bootloader application checksum */ + 329:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = BL_Calc8BitFlashSum(BL_MD_BTLDR_ADDR_PTR, + 330:.\Generated_Source\PSoC5/BL.c **** *BL_SizeBytesAccess - BL_MD_BTLDR_ADDR_PTR); + 331:.\Generated_Source\PSoC5/BL.c **** + 332:.\Generated_Source\PSoC5/BL.c **** /* we actually included the checksum, so remove it */ + 333:.\Generated_Source\PSoC5/BL.c **** calcedChecksum -= *BL_ChecksumAccess; + 334:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + 335:.\Generated_Source\PSoC5/BL.c **** + 336:.\Generated_Source\PSoC5/BL.c **** /* Checksum and pointer to bootloader verification */ + 337:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != *BL_ChecksumAccess) || + 338:.\Generated_Source\PSoC5/BL.c **** (0u == *BL_SizeBytesAccess)) + 339:.\Generated_Source\PSoC5/BL.c **** { + 340:.\Generated_Source\PSoC5/BL.c **** CyHalt(0x00u); + 341:.\Generated_Source\PSoC5/BL.c **** } + 342:.\Generated_Source\PSoC5/BL.c **** + 343:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_BOOTLOADER_APP_VALIDATION) */ + 344:.\Generated_Source\PSoC5/BL.c **** + 345:.\Generated_Source\PSoC5/BL.c **** + 346:.\Generated_Source\PSoC5/BL.c **** /*********************************************************************** + 347:.\Generated_Source\PSoC5/BL.c **** * Active Bootloadable Application Validation + 348:.\Generated_Source\PSoC5/BL.c **** * + 349:.\Generated_Source\PSoC5/BL.c **** * If active bootloadable application is invalid or bootloader + 350:.\Generated_Source\PSoC5/BL.c **** * application is scheduled - do the following: + 351:.\Generated_Source\PSoC5/BL.c **** * - schedule bootloader application to be run after software reset + 352:.\Generated_Source\PSoC5/BL.c **** * - Go to the communication subroutine. Will wait for commands forever + 353:.\Generated_Source\PSoC5/BL.c **** ***********************************************************************/ + 354:.\Generated_Source\PSoC5/BL.c **** tmpStatus = BL_ValidateBootloadable(BL_activeApp); + 355:.\Generated_Source\PSoC5/BL.c **** + 356:.\Generated_Source\PSoC5/BL.c **** if ((BL_GET_RUN_TYPE == BL_START_BTLDR) || + 357:.\Generated_Source\PSoC5/BL.c **** (CYRET_SUCCESS != tmpStatus)) + 358:.\Generated_Source\PSoC5/BL.c **** { + 359:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(0u); + 360:.\Generated_Source\PSoC5/BL.c **** + 361:.\Generated_Source\PSoC5/BL.c **** BL_HostLink(BL_WAIT_FOR_COMMAND_FOREVER); + 362:.\Generated_Source\PSoC5/BL.c **** } + 363:.\Generated_Source\PSoC5/BL.c **** + 364:.\Generated_Source\PSoC5/BL.c **** + 365:.\Generated_Source\PSoC5/BL.c **** /* Go to the communication subroutine. Will wait for commands specifed time */ + 366:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_WAIT_FOR_COMMAND) + 367:.\Generated_Source\PSoC5/BL.c **** + 368:.\Generated_Source\PSoC5/BL.c **** /* Timeout is in 100s of miliseconds */ + 369:.\Generated_Source\PSoC5/BL.c **** BL_HostLink(BL_WAIT_FOR_COMMAND_TIME); + 370:.\Generated_Source\PSoC5/BL.c **** + 371:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_WAIT_FOR_COMMAND) */ + 372:.\Generated_Source\PSoC5/BL.c **** + 373:.\Generated_Source\PSoC5/BL.c **** + 374:.\Generated_Source\PSoC5/BL.c **** /* Schedule bootloadable application and perform software reset */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 8 + + + 375:.\Generated_Source\PSoC5/BL.c **** BL_LaunchApplication(); + 376:.\Generated_Source\PSoC5/BL.c **** } + 377:.\Generated_Source\PSoC5/BL.c **** + 378:.\Generated_Source\PSoC5/BL.c **** + 379:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 380:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_LaunchApplication + 381:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 382:.\Generated_Source\PSoC5/BL.c **** * + 383:.\Generated_Source\PSoC5/BL.c **** * Summary: + 384:.\Generated_Source\PSoC5/BL.c **** * Jumps the PC to the start address of the user application in flash. + 385:.\Generated_Source\PSoC5/BL.c **** * + 386:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 387:.\Generated_Source\PSoC5/BL.c **** * None + 388:.\Generated_Source\PSoC5/BL.c **** * + 389:.\Generated_Source\PSoC5/BL.c **** * Returns: + 390:.\Generated_Source\PSoC5/BL.c **** * This method will never return if it succesfully goes to the user application. + 391:.\Generated_Source\PSoC5/BL.c **** * + 392:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 393:.\Generated_Source\PSoC5/BL.c **** static void BL_LaunchApplication(void) CYSMALL + 394:.\Generated_Source\PSoC5/BL.c **** { + 395:.\Generated_Source\PSoC5/BL.c **** /* Schedule Bootloadable to start after reset */ + 396:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(BL_START_APP); + 397:.\Generated_Source\PSoC5/BL.c **** + 398:.\Generated_Source\PSoC5/BL.c **** CySoftwareReset(); + 399:.\Generated_Source\PSoC5/BL.c **** } + 400:.\Generated_Source\PSoC5/BL.c **** + 401:.\Generated_Source\PSoC5/BL.c **** + 402:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 403:.\Generated_Source\PSoC5/BL.c **** * Function Name: CyBtldr_CheckLaunch + 404:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 405:.\Generated_Source\PSoC5/BL.c **** * + 406:.\Generated_Source\PSoC5/BL.c **** * Summary: + 407:.\Generated_Source\PSoC5/BL.c **** * This routine checks to see if the bootloader or the bootloadable application + 408:.\Generated_Source\PSoC5/BL.c **** * should be run. If the application is to be run, it will start executing. + 409:.\Generated_Source\PSoC5/BL.c **** * If the bootloader is to be run, it will return so the bootloader can + 410:.\Generated_Source\PSoC5/BL.c **** * continue starting up. + 411:.\Generated_Source\PSoC5/BL.c **** * + 412:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 413:.\Generated_Source\PSoC5/BL.c **** * None + 414:.\Generated_Source\PSoC5/BL.c **** * + 415:.\Generated_Source\PSoC5/BL.c **** * Returns: + 416:.\Generated_Source\PSoC5/BL.c **** * None + 417:.\Generated_Source\PSoC5/BL.c **** * + 418:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 419:.\Generated_Source\PSoC5/BL.c **** void CyBtldr_CheckLaunch(void) CYSMALL + 420:.\Generated_Source\PSoC5/BL.c **** { + 421:.\Generated_Source\PSoC5/BL.c **** + 422:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC4) + 423:.\Generated_Source\PSoC5/BL.c **** + 424:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 425:.\Generated_Source\PSoC5/BL.c **** * Set cyBtldrRunType to zero in case of non-software reset occured. This means + 426:.\Generated_Source\PSoC5/BL.c **** * that bootloader application is scheduled - that is initial clean state. The + 427:.\Generated_Source\PSoC5/BL.c **** * value of cyBtldrRunType is valid only in case of software reset. + 428:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 429:.\Generated_Source\PSoC5/BL.c **** if (0u == (BL_RES_CAUSE_REG & BL_RES_CAUSE_RESET_SOFT)) + 430:.\Generated_Source\PSoC5/BL.c **** { + 431:.\Generated_Source\PSoC5/BL.c **** cyBtldrRunType = 0u; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 9 + + + 432:.\Generated_Source\PSoC5/BL.c **** } + 433:.\Generated_Source\PSoC5/BL.c **** + 434:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC4) */ + 435:.\Generated_Source\PSoC5/BL.c **** + 436:.\Generated_Source\PSoC5/BL.c **** + 437:.\Generated_Source\PSoC5/BL.c **** if (BL_GET_RUN_TYPE == BL_START_APP) + 438:.\Generated_Source\PSoC5/BL.c **** { + 439:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(0u); + 440:.\Generated_Source\PSoC5/BL.c **** + 441:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 442:.\Generated_Source\PSoC5/BL.c **** * Indicates that we have told ourselves to jump to the application since we have + 443:.\Generated_Source\PSoC5/BL.c **** * already told ourselves to jump, we do not do any expensive verification of the + 444:.\Generated_Source\PSoC5/BL.c **** * application. We just check to make sure that the value at CY_APP_ADDR_ADDRESS + 445:.\Generated_Source\PSoC5/BL.c **** * is something other than 0. + 446:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 447:.\Generated_Source\PSoC5/BL.c **** if(0u != BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, BL_activeApp)) + 448:.\Generated_Source\PSoC5/BL.c **** { + 449:.\Generated_Source\PSoC5/BL.c **** /* Never return from this method */ + 450:.\Generated_Source\PSoC5/BL.c **** BL_LaunchBootloadable(BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, + 451:.\Generated_Source\PSoC5/BL.c **** BL_activeApp)); + 452:.\Generated_Source\PSoC5/BL.c **** } + 453:.\Generated_Source\PSoC5/BL.c **** } + 454:.\Generated_Source\PSoC5/BL.c **** } + 455:.\Generated_Source\PSoC5/BL.c **** + 456:.\Generated_Source\PSoC5/BL.c **** + 457:.\Generated_Source\PSoC5/BL.c **** /* Moves the arguement appAddr (RO) into PC, moving execution to the appAddr */ + 458:.\Generated_Source\PSoC5/BL.c **** #if defined (__ARMCC_VERSION) + 459:.\Generated_Source\PSoC5/BL.c **** + 460:.\Generated_Source\PSoC5/BL.c **** __asm static void BL_LaunchBootloadable(uint32 appAddr) + 461:.\Generated_Source\PSoC5/BL.c **** { + 462:.\Generated_Source\PSoC5/BL.c **** BX R0 + 463:.\Generated_Source\PSoC5/BL.c **** ALIGN + 464:.\Generated_Source\PSoC5/BL.c **** } + 465:.\Generated_Source\PSoC5/BL.c **** + 466:.\Generated_Source\PSoC5/BL.c **** #elif defined(__GNUC__) + 467:.\Generated_Source\PSoC5/BL.c **** + 468:.\Generated_Source\PSoC5/BL.c **** __attribute__((noinline)) /* Workaround for GCC toolchain bug with inlining */ + 469:.\Generated_Source\PSoC5/BL.c **** __attribute__((naked)) + 470:.\Generated_Source\PSoC5/BL.c **** static void BL_LaunchBootloadable(uint32 appAddr) + 471:.\Generated_Source\PSoC5/BL.c **** { + 26 .loc 1 471 0 + 27 .cfi_startproc + 28 @ Naked Function: prologue and epilogue provided by programmer. + 29 @ args = 0, pretend = 0, frame = 0 + 30 @ frame_needed = 0, uses_anonymous_args = 0 + 31 .LVL0: + 472:.\Generated_Source\PSoC5/BL.c **** __asm volatile(" BX R0\n"); + 32 .loc 1 472 0 + 33 @ 472 ".\Generated_Source\PSoC5\BL.c" 1 + 34 0000 0047 BX R0 + 35 + 36 @ 0 "" 2 + 473:.\Generated_Source\PSoC5/BL.c **** } + 37 .loc 1 473 0 + 38 .thumb + 39 .cfi_endproc + 40 .LFE62: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 10 + + + 41 .size BL_LaunchBootloadable, .-BL_LaunchBootloadable + 42 .section .text.BL_GetMetadata.constprop.1,"ax",%progbits + 43 .align 1 + 44 .thumb + 45 .thumb_func + 46 .type BL_GetMetadata.constprop.1, %function + 47 BL_GetMetadata.constprop.1: + 48 .LFB69: + 474:.\Generated_Source\PSoC5/BL.c **** + 475:.\Generated_Source\PSoC5/BL.c **** #elif defined (__ICCARM__) + 476:.\Generated_Source\PSoC5/BL.c **** + 477:.\Generated_Source\PSoC5/BL.c **** static void BL_LaunchBootloadable(uint32 appAddr) + 478:.\Generated_Source\PSoC5/BL.c **** { + 479:.\Generated_Source\PSoC5/BL.c **** __asm volatile(" BX R0\n"); + 480:.\Generated_Source\PSoC5/BL.c **** } + 481:.\Generated_Source\PSoC5/BL.c **** + 482:.\Generated_Source\PSoC5/BL.c **** #endif /* (__ARMCC_VERSION) */ + 483:.\Generated_Source\PSoC5/BL.c **** + 484:.\Generated_Source\PSoC5/BL.c **** + 485:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 486:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_ValidateBootloadable + 487:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 488:.\Generated_Source\PSoC5/BL.c **** * Summary: + 489:.\Generated_Source\PSoC5/BL.c **** * This routine computes the checksum, zero check, 0xFF check of the + 490:.\Generated_Source\PSoC5/BL.c **** * application area to determine whether a valid application is loaded. + 491:.\Generated_Source\PSoC5/BL.c **** * + 492:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 493:.\Generated_Source\PSoC5/BL.c **** * appId: + 494:.\Generated_Source\PSoC5/BL.c **** * The application number to verify + 495:.\Generated_Source\PSoC5/BL.c **** * + 496:.\Generated_Source\PSoC5/BL.c **** * Returns: + 497:.\Generated_Source\PSoC5/BL.c **** * CYRET_SUCCESS - if successful + 498:.\Generated_Source\PSoC5/BL.c **** * CYRET_BAD_DATA - if the bootloadable is corrupt + 499:.\Generated_Source\PSoC5/BL.c **** * + 500:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 501:.\Generated_Source\PSoC5/BL.c **** static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + 502:.\Generated_Source\PSoC5/BL.c **** + 503:.\Generated_Source\PSoC5/BL.c **** { + 504:.\Generated_Source\PSoC5/BL.c **** uint32 CYDATA idx; + 505:.\Generated_Source\PSoC5/BL.c **** + 506:.\Generated_Source\PSoC5/BL.c **** uint32 CYDATA end = BL_FIRST_APP_BYTE(appId) + + 507:.\Generated_Source\PSoC5/BL.c **** BL_GetMetadata(BL_GET_METADATA_BTLDB_LENGTH, + 508:.\Generated_Source\PSoC5/BL.c **** appId); + 509:.\Generated_Source\PSoC5/BL.c **** + 510:.\Generated_Source\PSoC5/BL.c **** CYBIT valid = 0u; /* Assume bad flash image */ + 511:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA calcedChecksum = 0u; + 512:.\Generated_Source\PSoC5/BL.c **** + 513:.\Generated_Source\PSoC5/BL.c **** + 514:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_DUAL_APP_BOOTLOADER) + 515:.\Generated_Source\PSoC5/BL.c **** + 516:.\Generated_Source\PSoC5/BL.c **** if(appId > 1u) + 517:.\Generated_Source\PSoC5/BL.c **** { + 518:.\Generated_Source\PSoC5/BL.c **** return(CYRET_BAD_DATA); + 519:.\Generated_Source\PSoC5/BL.c **** } + 520:.\Generated_Source\PSoC5/BL.c **** + 521:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + 522:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 11 + + + 523:.\Generated_Source\PSoC5/BL.c **** + 524:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_FAST_APP_VALIDATION) + 525:.\Generated_Source\PSoC5/BL.c **** + 526:.\Generated_Source\PSoC5/BL.c **** if(BL_MD_BTLDB_VERIFIED_VALUE(appId) == BL_MD_BTLDB_IS_VERIFIED) + 527:.\Generated_Source\PSoC5/BL.c **** { + 528:.\Generated_Source\PSoC5/BL.c **** return(CYRET_SUCCESS); + 529:.\Generated_Source\PSoC5/BL.c **** } + 530:.\Generated_Source\PSoC5/BL.c **** + 531:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_FAST_APP_VALIDATION) */ + 532:.\Generated_Source\PSoC5/BL.c **** + 533:.\Generated_Source\PSoC5/BL.c **** + 534:.\Generated_Source\PSoC5/BL.c **** /* Calculate checksum of bootloadable image */ + 535:.\Generated_Source\PSoC5/BL.c **** for(idx = BL_FIRST_APP_BYTE(appId); idx < end; ++idx) + 536:.\Generated_Source\PSoC5/BL.c **** { + 537:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA curByte = BL_GET_CODE_BYTE(idx); + 538:.\Generated_Source\PSoC5/BL.c **** + 539:.\Generated_Source\PSoC5/BL.c **** if((curByte != 0u) && (curByte != 0xFFu)) + 540:.\Generated_Source\PSoC5/BL.c **** { + 541:.\Generated_Source\PSoC5/BL.c **** valid = 1u; + 542:.\Generated_Source\PSoC5/BL.c **** } + 543:.\Generated_Source\PSoC5/BL.c **** + 544:.\Generated_Source\PSoC5/BL.c **** calcedChecksum += curByte; + 545:.\Generated_Source\PSoC5/BL.c **** } + 546:.\Generated_Source\PSoC5/BL.c **** + 547:.\Generated_Source\PSoC5/BL.c **** + 548:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** + 549:.\Generated_Source\PSoC5/BL.c **** * We do not compute checksum over the meta data section, so no need to + 550:.\Generated_Source\PSoC5/BL.c **** * subtract off App Verified or App Active information here like we do when + 551:.\Generated_Source\PSoC5/BL.c **** * verifying a row. + 552:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ + 553:.\Generated_Source\PSoC5/BL.c **** + 554:.\Generated_Source\PSoC5/BL.c **** + 555:.\Generated_Source\PSoC5/BL.c **** #if((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u)) + 556:.\Generated_Source\PSoC5/BL.c **** + 557:.\Generated_Source\PSoC5/BL.c **** /* Add ECC data to checksum */ + 558:.\Generated_Source\PSoC5/BL.c **** idx = ((BL_FIRST_APP_BYTE(appId)) >> 3u); + 559:.\Generated_Source\PSoC5/BL.c **** + 560:.\Generated_Source\PSoC5/BL.c **** /* Flash may run into meta data, ECC does not so use full row */ + 561:.\Generated_Source\PSoC5/BL.c **** end = (end == (CY_FLASH_SIZE - BL_MD_SIZEOF)) + 562:.\Generated_Source\PSoC5/BL.c **** ? (CY_FLASH_SIZE >> 3u) + 563:.\Generated_Source\PSoC5/BL.c **** : (end >> 3u); + 564:.\Generated_Source\PSoC5/BL.c **** + 565:.\Generated_Source\PSoC5/BL.c **** for (; idx < end; ++idx) + 566:.\Generated_Source\PSoC5/BL.c **** { + 567:.\Generated_Source\PSoC5/BL.c **** calcedChecksum += CY_GET_XTND_REG8((volatile uint8 *)(CYDEV_ECC_BASE + idx)); + 568:.\Generated_Source\PSoC5/BL.c **** } + 569:.\Generated_Source\PSoC5/BL.c **** + 570:.\Generated_Source\PSoC5/BL.c **** #endif /* ((!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u)) */ + 571:.\Generated_Source\PSoC5/BL.c **** + 572:.\Generated_Source\PSoC5/BL.c **** + 573:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + 574:.\Generated_Source\PSoC5/BL.c **** + 575:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != BL_MD_BTLDB_CHECKSUM_VALUE(appId)) || + 576:.\Generated_Source\PSoC5/BL.c **** (0u == valid)) + 577:.\Generated_Source\PSoC5/BL.c **** { + 578:.\Generated_Source\PSoC5/BL.c **** return(CYRET_BAD_DATA); + 579:.\Generated_Source\PSoC5/BL.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 12 + + + 580:.\Generated_Source\PSoC5/BL.c **** + 581:.\Generated_Source\PSoC5/BL.c **** + 582:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_FAST_APP_VALIDATION) + 583:.\Generated_Source\PSoC5/BL.c **** BL_SetFlashByte((uint32) BL_MD_BTLDB_VERIFIED_OFFSET(appId), + 584:.\Generated_Source\PSoC5/BL.c **** BL_MD_BTLDB_IS_VERIFIED); + 585:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_FAST_APP_VALIDATION) */ + 586:.\Generated_Source\PSoC5/BL.c **** + 587:.\Generated_Source\PSoC5/BL.c **** + 588:.\Generated_Source\PSoC5/BL.c **** return(CYRET_SUCCESS); + 589:.\Generated_Source\PSoC5/BL.c **** } + 590:.\Generated_Source\PSoC5/BL.c **** + 591:.\Generated_Source\PSoC5/BL.c **** + 592:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* + 593:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_HostLink + 594:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** + 595:.\Generated_Source\PSoC5/BL.c **** * + 596:.\Generated_Source\PSoC5/BL.c **** * Summary: + 597:.\Generated_Source\PSoC5/BL.c **** * Causes the bootloader to attempt to read data being transmitted by the + 598:.\Generated_Source\PSoC5/BL.c **** * host application. If data is sent from the host, this establishes the + 599:.\Generated_Source\PSoC5/BL.c **** * communication interface to process all requests. + 600:.\Generated_Source\PSoC5/BL.c **** * + 601:.\Generated_Source\PSoC5/BL.c **** * Parameters: + 602:.\Generated_Source\PSoC5/BL.c **** * timeOut: + 603:.\Generated_Source\PSoC5/BL.c **** * The amount of time to listen for data before giving up. Timeout is + 604:.\Generated_Source\PSoC5/BL.c **** * measured in 10s of ms. Use 0 for infinite wait. + 605:.\Generated_Source\PSoC5/BL.c **** * + 606:.\Generated_Source\PSoC5/BL.c **** * Return: + 607:.\Generated_Source\PSoC5/BL.c **** * None + 608:.\Generated_Source\PSoC5/BL.c **** * + 609:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + 610:.\Generated_Source\PSoC5/BL.c **** static void BL_HostLink(uint8 timeOut) + 611:.\Generated_Source\PSoC5/BL.c **** { + 612:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA numberRead; + 613:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA rspSize; + 614:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA ackCode; + 615:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA pktChecksum; + 616:.\Generated_Source\PSoC5/BL.c **** cystatus CYDATA readStat; + 617:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA pktSize = 0u; + 618:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA dataOffset = 0u; + 619:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA timeOutCnt = 10u; + 620:.\Generated_Source\PSoC5/BL.c **** + 621:.\Generated_Source\PSoC5/BL.c **** #if(0u == BL_DUAL_APP_BOOTLOADER) + 622:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA clearedMetaData = 0u; + 623:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + 624:.\Generated_Source\PSoC5/BL.c **** + 625:.\Generated_Source\PSoC5/BL.c **** CYBIT communicationState = BL_COMMUNICATION_STATE_IDLE; + 626:.\Generated_Source\PSoC5/BL.c **** + 627:.\Generated_Source\PSoC5/BL.c **** uint8 packetBuffer[BL_SIZEOF_COMMAND_BUFFER]; + 628:.\Generated_Source\PSoC5/BL.c **** uint8 dataBuffer [BL_SIZEOF_COMMAND_BUFFER]; + 629:.\Generated_Source\PSoC5/BL.c **** + 630:.\Generated_Source\PSoC5/BL.c **** + 631:.\Generated_Source\PSoC5/BL.c **** /* Initialize communications channel. */ + 632:.\Generated_Source\PSoC5/BL.c **** CyBtldrCommStart(); + 633:.\Generated_Source\PSoC5/BL.c **** + 634:.\Generated_Source\PSoC5/BL.c **** /* Enable global interrupts */ + 635:.\Generated_Source\PSoC5/BL.c **** CyGlobalIntEnable; + 636:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 13 + + + 637:.\Generated_Source\PSoC5/BL.c **** do + 638:.\Generated_Source\PSoC5/BL.c **** { + 639:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 640:.\Generated_Source\PSoC5/BL.c **** + 641:.\Generated_Source\PSoC5/BL.c **** do + 642:.\Generated_Source\PSoC5/BL.c **** { + 643:.\Generated_Source\PSoC5/BL.c **** readStat = CyBtldrCommRead(packetBuffer, + 644:.\Generated_Source\PSoC5/BL.c **** BL_SIZEOF_COMMAND_BUFFER, + 645:.\Generated_Source\PSoC5/BL.c **** &numberRead, + 646:.\Generated_Source\PSoC5/BL.c **** (0u == timeOut) ? 0xFFu : timeOut); + 647:.\Generated_Source\PSoC5/BL.c **** if (0u != timeOut) + 648:.\Generated_Source\PSoC5/BL.c **** { + 649:.\Generated_Source\PSoC5/BL.c **** timeOutCnt--; + 650:.\Generated_Source\PSoC5/BL.c **** } + 651:.\Generated_Source\PSoC5/BL.c **** + 652:.\Generated_Source\PSoC5/BL.c **** } while ( (0u != timeOutCnt) && (readStat != CYRET_SUCCESS) ); + 653:.\Generated_Source\PSoC5/BL.c **** + 654:.\Generated_Source\PSoC5/BL.c **** + 655:.\Generated_Source\PSoC5/BL.c **** if( readStat != CYRET_SUCCESS ) + 656:.\Generated_Source\PSoC5/BL.c **** { + 657:.\Generated_Source\PSoC5/BL.c **** continue; + 658:.\Generated_Source\PSoC5/BL.c **** } + 659:.\Generated_Source\PSoC5/BL.c **** + 660:.\Generated_Source\PSoC5/BL.c **** if((numberRead < BL_MIN_PKT_SIZE) || + 661:.\Generated_Source\PSoC5/BL.c **** (packetBuffer[BL_SOP_ADDR] != BL_SOP)) + 662:.\Generated_Source\PSoC5/BL.c **** { + 663:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_DATA; + 664:.\Generated_Source\PSoC5/BL.c **** } + 665:.\Generated_Source\PSoC5/BL.c **** else + 666:.\Generated_Source\PSoC5/BL.c **** { + 667:.\Generated_Source\PSoC5/BL.c **** pktSize = ((uint16)((uint16)packetBuffer[BL_SIZE_ADDR + 1u] << 8u)) | + 668:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_SIZE_ADDR]; + 669:.\Generated_Source\PSoC5/BL.c **** + 670:.\Generated_Source\PSoC5/BL.c **** pktChecksum = ((uint16)((uint16)packetBuffer[BL_CHK_ADDR(pktSize) + 1u] << 8u)) | + 671:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_CHK_ADDR(pktSize)]; + 672:.\Generated_Source\PSoC5/BL.c **** + 673:.\Generated_Source\PSoC5/BL.c **** if((pktSize + BL_MIN_PKT_SIZE) > numberRead) + 674:.\Generated_Source\PSoC5/BL.c **** { + 675:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_LENGTH; + 676:.\Generated_Source\PSoC5/BL.c **** } + 677:.\Generated_Source\PSoC5/BL.c **** else if(packetBuffer[BL_EOP_ADDR(pktSize)] != BL_EOP) + 678:.\Generated_Source\PSoC5/BL.c **** { + 679:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_DATA; + 680:.\Generated_Source\PSoC5/BL.c **** } + 681:.\Generated_Source\PSoC5/BL.c **** else if(pktChecksum != BL_CalcPacketChecksum(packetBuffer, + 682:.\Generated_Source\PSoC5/BL.c **** pktSize + BL_DATA_ADDR)) + 683:.\Generated_Source\PSoC5/BL.c **** { + 684:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_CHECKSUM; + 685:.\Generated_Source\PSoC5/BL.c **** } + 686:.\Generated_Source\PSoC5/BL.c **** else + 687:.\Generated_Source\PSoC5/BL.c **** { + 688:.\Generated_Source\PSoC5/BL.c **** /* Empty section */ + 689:.\Generated_Source\PSoC5/BL.c **** } + 690:.\Generated_Source\PSoC5/BL.c **** } + 691:.\Generated_Source\PSoC5/BL.c **** + 692:.\Generated_Source\PSoC5/BL.c **** rspSize = 0u; + 693:.\Generated_Source\PSoC5/BL.c **** if(ackCode == CYRET_SUCCESS) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 14 + + + 694:.\Generated_Source\PSoC5/BL.c **** { + 695:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA btldrData = packetBuffer[BL_DATA_ADDR]; + 696:.\Generated_Source\PSoC5/BL.c **** + 697:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_DATA; + 698:.\Generated_Source\PSoC5/BL.c **** switch(packetBuffer[BL_CMD_ADDR]) + 699:.\Generated_Source\PSoC5/BL.c **** { + 700:.\Generated_Source\PSoC5/BL.c **** + 701:.\Generated_Source\PSoC5/BL.c **** + 702:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** + 703:.\Generated_Source\PSoC5/BL.c **** * Get metadata + 704:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ + 705:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_CMD_GET_METADATA) + 706:.\Generated_Source\PSoC5/BL.c **** + 707:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_GET_METADATA: + 708:.\Generated_Source\PSoC5/BL.c **** + 709:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + 710:.\Generated_Source\PSoC5/BL.c **** { + 711:.\Generated_Source\PSoC5/BL.c **** if (btldrData >= BL_MAX_NUM_OF_BTLDB) + 712:.\Generated_Source\PSoC5/BL.c **** { + 713:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_APP; + 714:.\Generated_Source\PSoC5/BL.c **** } + 715:.\Generated_Source\PSoC5/BL.c **** else if(CYRET_SUCCESS == BL_ValidateBootloadable(btldrData)) + 716:.\Generated_Source\PSoC5/BL.c **** { + 717:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) + 718:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&packetBuffer[BL_DATA_ADDR], + 719:.\Generated_Source\PSoC5/BL.c **** ((uint8 CYCODE *) (BL_META_BASE(btldrData))), 56); + 720:.\Generated_Source\PSoC5/BL.c **** #else + 721:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&packetBuffer[BL_DATA_ADDR], + 722:.\Generated_Source\PSoC5/BL.c **** (uint8 *) BL_META_BASE(btldrData), 56u); + 723:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ + 724:.\Generated_Source\PSoC5/BL.c **** + 725:.\Generated_Source\PSoC5/BL.c **** rspSize = 56u; + 726:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 727:.\Generated_Source\PSoC5/BL.c **** } + 728:.\Generated_Source\PSoC5/BL.c **** else + 729:.\Generated_Source\PSoC5/BL.c **** { + 730:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_APP; + 731:.\Generated_Source\PSoC5/BL.c **** } + 732:.\Generated_Source\PSoC5/BL.c **** } + 733:.\Generated_Source\PSoC5/BL.c **** break; + 734:.\Generated_Source\PSoC5/BL.c **** + 735:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_CMD_GET_METADATA) */ + 736:.\Generated_Source\PSoC5/BL.c **** + 737:.\Generated_Source\PSoC5/BL.c **** + 738:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** + 739:.\Generated_Source\PSoC5/BL.c **** * Verify checksum + 740:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ + 741:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_CHECKSUM: + 742:.\Generated_Source\PSoC5/BL.c **** + 743:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 0u)) + 744:.\Generated_Source\PSoC5/BL.c **** { + 745:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR] = + 746:.\Generated_Source\PSoC5/BL.c **** (uint8)(BL_ValidateBootloadable(BL_activeApp) == CYRET_SUCCESS); + 747:.\Generated_Source\PSoC5/BL.c **** + 748:.\Generated_Source\PSoC5/BL.c **** rspSize = 1u; + 749:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 750:.\Generated_Source\PSoC5/BL.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 15 + + + 751:.\Generated_Source\PSoC5/BL.c **** break; + 752:.\Generated_Source\PSoC5/BL.c **** + 753:.\Generated_Source\PSoC5/BL.c **** + 754:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** + 755:.\Generated_Source\PSoC5/BL.c **** * Get flash size + 756:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ + 757:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_CMD_GET_FLASH_SIZE_AVAIL) + 758:.\Generated_Source\PSoC5/BL.c **** + 759:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_REPORT_SIZE: + 760:.\Generated_Source\PSoC5/BL.c **** + 761:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + 762:.\Generated_Source\PSoC5/BL.c **** { + 763:.\Generated_Source\PSoC5/BL.c **** /* btldrData holds flash array ID sent by host */ + 764:.\Generated_Source\PSoC5/BL.c **** if(btldrData < BL_NUM_OF_FLASH_ARRAYS) + 765:.\Generated_Source\PSoC5/BL.c **** { + 766:.\Generated_Source\PSoC5/BL.c **** #if (1u == BL_NUM_OF_FLASH_ARRAYS) + 767:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA startRow = (uint16)*BL_SizeBytesAccess / CYDEV_FLS_RO + 768:.\Generated_Source\PSoC5/BL.c **** #else + 769:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA startRow = 0u; + 770:.\Generated_Source\PSoC5/BL.c **** #endif /* (1u == BL_NUM_OF_FLASH_ARRAYS) */ + 771:.\Generated_Source\PSoC5/BL.c **** + 772:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR] = LO8(startRow); + 773:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 1u] = HI8(startRow); + 774:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 2u] = LO8(CY_FLASH_NUMBER_ROWS - 1u); + 775:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 3u] = HI8(CY_FLASH_NUMBER_ROWS - 1u); + 776:.\Generated_Source\PSoC5/BL.c **** + 777:.\Generated_Source\PSoC5/BL.c **** rspSize = 4u; + 778:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 779:.\Generated_Source\PSoC5/BL.c **** } + 780:.\Generated_Source\PSoC5/BL.c **** + 781:.\Generated_Source\PSoC5/BL.c **** } + 782:.\Generated_Source\PSoC5/BL.c **** break; + 783:.\Generated_Source\PSoC5/BL.c **** + 784:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_CMD_GET_FLASH_SIZE_AVAIL) */ + 785:.\Generated_Source\PSoC5/BL.c **** + 786:.\Generated_Source\PSoC5/BL.c **** + 787:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** + 788:.\Generated_Source\PSoC5/BL.c **** * Get application status + 789:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ + 790:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_DUAL_APP_BOOTLOADER) + 791:.\Generated_Source\PSoC5/BL.c **** + 792:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_CMD_GET_APP_STATUS_AVAIL) + 793:.\Generated_Source\PSoC5/BL.c **** + 794:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_APP_STATUS: + 795:.\Generated_Source\PSoC5/BL.c **** + 796:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u) + 797:.\Generated_Source\PSoC5/BL.c **** { + 798:.\Generated_Source\PSoC5/BL.c **** + 799:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR] = + 800:.\Generated_Source\PSoC5/BL.c **** (uint8)BL_ValidateBootloadable(btldrData); + 801:.\Generated_Source\PSoC5/BL.c **** + 802:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 1u] = + 803:.\Generated_Source\PSoC5/BL.c **** (uint8)BL_MD_BTLDB_ACTIVE_VALUE(btldrData); + 804:.\Generated_Source\PSoC5/BL.c **** + 805:.\Generated_Source\PSoC5/BL.c **** rspSize = 2u; + 806:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 807:.\Generated_Source\PSoC5/BL.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 16 + + + 808:.\Generated_Source\PSoC5/BL.c **** break; + 809:.\Generated_Source\PSoC5/BL.c **** + 810:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_CMD_GET_APP_STATUS_AVAIL) */ + 811:.\Generated_Source\PSoC5/BL.c **** + 812:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ + 813:.\Generated_Source\PSoC5/BL.c **** + 814:.\Generated_Source\PSoC5/BL.c **** + 815:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** + 816:.\Generated_Source\PSoC5/BL.c **** * Program / Erase row + 817:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ + 818:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_PROGRAM: + 819:.\Generated_Source\PSoC5/BL.c **** + 820:.\Generated_Source\PSoC5/BL.c **** /* The btldrData variable holds Flash Array ID */ + 821:.\Generated_Source\PSoC5/BL.c **** + 822:.\Generated_Source\PSoC5/BL.c **** #if (0u != BL_CMD_ERASE_ROW_AVAIL) + 823:.\Generated_Source\PSoC5/BL.c **** + 824:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_ERASE: + 825:.\Generated_Source\PSoC5/BL.c **** if (BL_COMMAND_ERASE == packetBuffer[BL_CMD_ADDR]) + 826:.\Generated_Source\PSoC5/BL.c **** { + 827:.\Generated_Source\PSoC5/BL.c **** if ((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + 828:.\Generated_Source\PSoC5/BL.c **** { + 829:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 830:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 831:.\Generated_Source\PSoC5/BL.c **** (btldrData <= BL_LAST_EE_ARRAYID)) + 832:.\Generated_Source\PSoC5/BL.c **** { + 833:.\Generated_Source\PSoC5/BL.c **** /* Size of EEPROM row */ + 834:.\Generated_Source\PSoC5/BL.c **** dataOffset = CY_EEPROM_SIZEOF_ROW; + 835:.\Generated_Source\PSoC5/BL.c **** } + 836:.\Generated_Source\PSoC5/BL.c **** else + 837:.\Generated_Source\PSoC5/BL.c **** { + 838:.\Generated_Source\PSoC5/BL.c **** /* Size of FLASH row (depends on ECC configuration) */ + 839:.\Generated_Source\PSoC5/BL.c **** dataOffset = BL_FROW_SIZE; + 840:.\Generated_Source\PSoC5/BL.c **** } + 841:.\Generated_Source\PSoC5/BL.c **** #else + 842:.\Generated_Source\PSoC5/BL.c **** /* Size of FLASH row (no ECC available) */ + 843:.\Generated_Source\PSoC5/BL.c **** dataOffset = BL_FROW_SIZE; + 844:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 845:.\Generated_Source\PSoC5/BL.c **** + 846:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) + 847:.\Generated_Source\PSoC5/BL.c **** (void) memset(dataBuffer, (char8) 0, (int16) dataOffset); + 848:.\Generated_Source\PSoC5/BL.c **** #else + 849:.\Generated_Source\PSoC5/BL.c **** (void) memset(dataBuffer, 0, dataOffset); + 850:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ + 851:.\Generated_Source\PSoC5/BL.c **** } + 852:.\Generated_Source\PSoC5/BL.c **** else + 853:.\Generated_Source\PSoC5/BL.c **** { + 854:.\Generated_Source\PSoC5/BL.c **** break; + 855:.\Generated_Source\PSoC5/BL.c **** } + 856:.\Generated_Source\PSoC5/BL.c **** } + 857:.\Generated_Source\PSoC5/BL.c **** + 858:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_CMD_ERASE_ROW_AVAIL) */ + 859:.\Generated_Source\PSoC5/BL.c **** + 860:.\Generated_Source\PSoC5/BL.c **** + 861:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize >= 3u)) + 862:.\Generated_Source\PSoC5/BL.c **** { + 863:.\Generated_Source\PSoC5/BL.c **** + 864:.\Generated_Source\PSoC5/BL.c **** /* The command may be sent along with the last block of data, to program the ro + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 17 + + + 865:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) + 866:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&dataBuffer[dataOffset], + 867:.\Generated_Source\PSoC5/BL.c **** &packetBuffer[BL_DATA_ADDR + 3u], + 868:.\Generated_Source\PSoC5/BL.c **** ( int16 )pktSize - 3); + 869:.\Generated_Source\PSoC5/BL.c **** #else + 870:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&dataBuffer[dataOffset], + 871:.\Generated_Source\PSoC5/BL.c **** &packetBuffer[BL_DATA_ADDR + 3u], + 872:.\Generated_Source\PSoC5/BL.c **** pktSize - 3u); + 873:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ + 874:.\Generated_Source\PSoC5/BL.c **** + 875:.\Generated_Source\PSoC5/BL.c **** dataOffset += (pktSize - 3u); + 876:.\Generated_Source\PSoC5/BL.c **** + 877:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 878:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 879:.\Generated_Source\PSoC5/BL.c **** (btldrData <= BL_LAST_EE_ARRAYID)) + 880:.\Generated_Source\PSoC5/BL.c **** { + 881:.\Generated_Source\PSoC5/BL.c **** + 882:.\Generated_Source\PSoC5/BL.c **** CyEEPROM_Start(); + 883:.\Generated_Source\PSoC5/BL.c **** + 884:.\Generated_Source\PSoC5/BL.c **** /* Size of EEPROM row */ + 885:.\Generated_Source\PSoC5/BL.c **** pktSize = CY_EEPROM_SIZEOF_ROW; + 886:.\Generated_Source\PSoC5/BL.c **** } + 887:.\Generated_Source\PSoC5/BL.c **** else + 888:.\Generated_Source\PSoC5/BL.c **** { + 889:.\Generated_Source\PSoC5/BL.c **** /* Size of FLASH row (depends on ECC configuration) */ + 890:.\Generated_Source\PSoC5/BL.c **** pktSize = BL_FROW_SIZE; + 891:.\Generated_Source\PSoC5/BL.c **** } + 892:.\Generated_Source\PSoC5/BL.c **** #else + 893:.\Generated_Source\PSoC5/BL.c **** /* Size of FLASH row (no ECC available) */ + 894:.\Generated_Source\PSoC5/BL.c **** pktSize = BL_FROW_SIZE; + 895:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 896:.\Generated_Source\PSoC5/BL.c **** + 897:.\Generated_Source\PSoC5/BL.c **** + 898:.\Generated_Source\PSoC5/BL.c **** /* Check if we have all data to program */ + 899:.\Generated_Source\PSoC5/BL.c **** if(dataOffset == pktSize) + 900:.\Generated_Source\PSoC5/BL.c **** { + 901:.\Generated_Source\PSoC5/BL.c **** /* Get FLASH/EEPROM row number */ + 902:.\Generated_Source\PSoC5/BL.c **** dataOffset = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + 903:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 1u]; + 904:.\Generated_Source\PSoC5/BL.c **** + 905:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 906:.\Generated_Source\PSoC5/BL.c **** if(btldrData <= BL_LAST_FLASH_ARRAYID) + 907:.\Generated_Source\PSoC5/BL.c **** { + 908:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 909:.\Generated_Source\PSoC5/BL.c **** + 910:.\Generated_Source\PSoC5/BL.c **** #if(0u == BL_DUAL_APP_BOOTLOADER) + 911:.\Generated_Source\PSoC5/BL.c **** + 912:.\Generated_Source\PSoC5/BL.c **** if(0u == clearedMetaData) + 913:.\Generated_Source\PSoC5/BL.c **** { + 914:.\Generated_Source\PSoC5/BL.c **** /* Metadata section must be filled with zeroes */ + 915:.\Generated_Source\PSoC5/BL.c **** + 916:.\Generated_Source\PSoC5/BL.c **** uint8 erase[BL_FROW_SIZE]; + 917:.\Generated_Source\PSoC5/BL.c **** + 918:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) + 919:.\Generated_Source\PSoC5/BL.c **** (void) memset(erase, (char8) 0, (int16) BL_FROW_SIZE); + 920:.\Generated_Source\PSoC5/BL.c **** #else + 921:.\Generated_Source\PSoC5/BL.c **** (void) memset(erase, 0, BL_FROW_SIZE); + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 18 + + + 922:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ + 923:.\Generated_Source\PSoC5/BL.c **** + 924:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC4) + 925:.\Generated_Source\PSoC5/BL.c **** (void) CySysFlashWriteRow(BL_MD_ROW, erase); + 926:.\Generated_Source\PSoC5/BL.c **** #else + 927:.\Generated_Source\PSoC5/BL.c **** (void) CyWriteRowFull((uint8) BL_MD_FLASH_ARRAY_NUM, + 928:.\Generated_Source\PSoC5/BL.c **** (uint16) BL_MD_ROW, + 929:.\Generated_Source\PSoC5/BL.c **** erase, + 930:.\Generated_Source\PSoC5/BL.c **** BL_FROW_SIZE); + 931:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC4) */ + 932:.\Generated_Source\PSoC5/BL.c **** + 933:.\Generated_Source\PSoC5/BL.c **** /* Set up flag that metadata was cleared */ + 934:.\Generated_Source\PSoC5/BL.c **** clearedMetaData = 1u; + 935:.\Generated_Source\PSoC5/BL.c **** } + 936:.\Generated_Source\PSoC5/BL.c **** + 937:.\Generated_Source\PSoC5/BL.c **** #else + 938:.\Generated_Source\PSoC5/BL.c **** + 939:.\Generated_Source\PSoC5/BL.c **** if(BL_activeApp < BL_MD_BTLDB_ACTIVE_NONE) + 940:.\Generated_Source\PSoC5/BL.c **** { + 941:.\Generated_Source\PSoC5/BL.c **** /* First active bootloadable application row */ + 942:.\Generated_Source\PSoC5/BL.c **** uint16 firstRow = (uint16) 1u + + 943:.\Generated_Source\PSoC5/BL.c **** (uint16) BL_GetMetadata(BL_GET_METADATA_BTLDR_LAST_ROW, + 944:.\Generated_Source\PSoC5/BL.c **** BL_activeApp); + 945:.\Generated_Source\PSoC5/BL.c **** + 946:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC4) + 947:.\Generated_Source\PSoC5/BL.c **** uint16 row = dataOffset; + 948:.\Generated_Source\PSoC5/BL.c **** #else + 949:.\Generated_Source\PSoC5/BL.c **** uint16 row = (uint16)(btldrData * (CYDEV_FLS_SECTOR_SIZE / CYDE + 950:.\Generated_Source\PSoC5/BL.c **** dataOffset; + 951:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC4) */ + 952:.\Generated_Source\PSoC5/BL.c **** + 953:.\Generated_Source\PSoC5/BL.c **** + 954:.\Generated_Source\PSoC5/BL.c **** /****************************************************************** + 955:.\Generated_Source\PSoC5/BL.c **** * Last row is equal to the first row plus the number of rows availa + 956:.\Generated_Source\PSoC5/BL.c **** * app. To compute this, we first subtract the number of appliaction + 957:.\Generated_Source\PSoC5/BL.c **** * the total flash rows: (CY_FLASH_NUMBER_ROWS - 2u). + 958:.\Generated_Source\PSoC5/BL.c **** * + 959:.\Generated_Source\PSoC5/BL.c **** * Then subtract off the first row: + 960:.\Generated_Source\PSoC5/BL.c **** * App Rows = (CY_FLASH_NUMBER_ROWS - 2u - firstRow) + 961:.\Generated_Source\PSoC5/BL.c **** * Then divide that number by the number of application that must fi + 962:.\Generated_Source\PSoC5/BL.c **** * space, if we are app1 then that number is 2, if app2 then 1. Our + 963:.\Generated_Source\PSoC5/BL.c **** * then: (2u - BL_activeApp). + 964:.\Generated_Source\PSoC5/BL.c **** * + 965:.\Generated_Source\PSoC5/BL.c **** * Adding this number to firstRow gives the address right beyond our + 966:.\Generated_Source\PSoC5/BL.c **** * so we subtract 1. + 967:.\Generated_Source\PSoC5/BL.c **** ******************************************************************* + 968:.\Generated_Source\PSoC5/BL.c **** uint16 lastRow = (firstRow - 1u) + + 969:.\Generated_Source\PSoC5/BL.c **** ((uint16)((CYDEV_FLASH_SIZE / CYDEV_FLS_ROW_SIZE) + 970:.\Generated_Source\PSoC5/BL.c **** ((uint16)2u - (uint16)BL_activeApp)); + 971:.\Generated_Source\PSoC5/BL.c **** + 972:.\Generated_Source\PSoC5/BL.c **** + 973:.\Generated_Source\PSoC5/BL.c **** /****************************************************************** + 974:.\Generated_Source\PSoC5/BL.c **** * Check to see if the row to program is within the range of the act + 975:.\Generated_Source\PSoC5/BL.c **** * application, or if it maches the active application's metadata ro + 976:.\Generated_Source\PSoC5/BL.c **** * refuse to program as it would corrupt the active app. + 977:.\Generated_Source\PSoC5/BL.c **** ******************************************************************* + 978:.\Generated_Source\PSoC5/BL.c **** if(((row >= firstRow) && (row <= lastRow)) || + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 19 + + + 979:.\Generated_Source\PSoC5/BL.c **** ((btldrData == BL_MD_FLASH_ARRAY_NUM) && + 980:.\Generated_Source\PSoC5/BL.c **** (dataOffset == BL_MD_ROW_NUM(BL_activeApp)))) + 981:.\Generated_Source\PSoC5/BL.c **** { + 982:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_ACTIVE; + 983:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; + 984:.\Generated_Source\PSoC5/BL.c **** break; + 985:.\Generated_Source\PSoC5/BL.c **** } + 986:.\Generated_Source\PSoC5/BL.c **** } + 987:.\Generated_Source\PSoC5/BL.c **** + 988:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u == BL_DUAL_APP_BOOTLOADER) */ + 989:.\Generated_Source\PSoC5/BL.c **** + 990:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) + 991:.\Generated_Source\PSoC5/BL.c **** } + 992:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ + 993:.\Generated_Source\PSoC5/BL.c **** + 994:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC4) + 995:.\Generated_Source\PSoC5/BL.c **** + 996:.\Generated_Source\PSoC5/BL.c **** ackCode = (CYRET_SUCCESS != CySysFlashWriteRow((uint32) dataOffset, dat + 997:.\Generated_Source\PSoC5/BL.c **** ? BL_ERR_ROW \ + 998:.\Generated_Source\PSoC5/BL.c **** : CYRET_SUCCESS; + 999:.\Generated_Source\PSoC5/BL.c **** +1000:.\Generated_Source\PSoC5/BL.c **** #else +1001:.\Generated_Source\PSoC5/BL.c **** +1002:.\Generated_Source\PSoC5/BL.c **** ackCode = (CYRET_SUCCESS != CyWriteRowFull(btldrData, dataOffset, dataB +1003:.\Generated_Source\PSoC5/BL.c **** ? BL_ERR_ROW \ +1004:.\Generated_Source\PSoC5/BL.c **** : CYRET_SUCCESS; +1005:.\Generated_Source\PSoC5/BL.c **** +1006:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC4) */ +1007:.\Generated_Source\PSoC5/BL.c **** +1008:.\Generated_Source\PSoC5/BL.c **** } +1009:.\Generated_Source\PSoC5/BL.c **** else +1010:.\Generated_Source\PSoC5/BL.c **** { +1011:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_LENGTH; +1012:.\Generated_Source\PSoC5/BL.c **** } +1013:.\Generated_Source\PSoC5/BL.c **** +1014:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; +1015:.\Generated_Source\PSoC5/BL.c **** } +1016:.\Generated_Source\PSoC5/BL.c **** break; +1017:.\Generated_Source\PSoC5/BL.c **** +1018:.\Generated_Source\PSoC5/BL.c **** +1019:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1020:.\Generated_Source\PSoC5/BL.c **** * Sync bootloader +1021:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1022:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_CMD_SYNC_BOOTLOADER_AVAIL) +1023:.\Generated_Source\PSoC5/BL.c **** +1024:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_SYNC: +1025:.\Generated_Source\PSoC5/BL.c **** +1026:.\Generated_Source\PSoC5/BL.c **** if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) +1027:.\Generated_Source\PSoC5/BL.c **** { +1028:.\Generated_Source\PSoC5/BL.c **** /* If something failed the host would send this command to reset the bootloader +1029:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; +1030:.\Generated_Source\PSoC5/BL.c **** +1031:.\Generated_Source\PSoC5/BL.c **** /* Don't ack the packet, just get ready to accept the next one */ +1032:.\Generated_Source\PSoC5/BL.c **** continue; +1033:.\Generated_Source\PSoC5/BL.c **** } +1034:.\Generated_Source\PSoC5/BL.c **** break; +1035:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 20 + + +1036:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_CMD_SYNC_BOOTLOADER_AVAIL) */ +1037:.\Generated_Source\PSoC5/BL.c **** +1038:.\Generated_Source\PSoC5/BL.c **** +1039:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1040:.\Generated_Source\PSoC5/BL.c **** * Set active application +1041:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1042:.\Generated_Source\PSoC5/BL.c **** #if(0u != BL_DUAL_APP_BOOTLOADER) +1043:.\Generated_Source\PSoC5/BL.c **** +1044:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_APP_ACTIVE: +1045:.\Generated_Source\PSoC5/BL.c **** +1046:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) +1047:.\Generated_Source\PSoC5/BL.c **** { +1048:.\Generated_Source\PSoC5/BL.c **** if(CYRET_SUCCESS == BL_ValidateBootloadable(btldrData)) +1049:.\Generated_Source\PSoC5/BL.c **** { +1050:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA idx; +1051:.\Generated_Source\PSoC5/BL.c **** +1052:.\Generated_Source\PSoC5/BL.c **** for(idx = 0u; idx < BL_MAX_NUM_OF_BTLDB; idx++) +1053:.\Generated_Source\PSoC5/BL.c **** { +1054:.\Generated_Source\PSoC5/BL.c **** BL_SetFlashByte((uint32) BL_MD_BTLDB_ACTIVE_OFFSET(idx), +1055:.\Generated_Source\PSoC5/BL.c **** (uint8 )(idx == btldrData)); +1056:.\Generated_Source\PSoC5/BL.c **** } +1057:.\Generated_Source\PSoC5/BL.c **** BL_activeApp = btldrData; +1058:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; +1059:.\Generated_Source\PSoC5/BL.c **** } +1060:.\Generated_Source\PSoC5/BL.c **** else +1061:.\Generated_Source\PSoC5/BL.c **** { +1062:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_APP; +1063:.\Generated_Source\PSoC5/BL.c **** } +1064:.\Generated_Source\PSoC5/BL.c **** } +1065:.\Generated_Source\PSoC5/BL.c **** break; +1066:.\Generated_Source\PSoC5/BL.c **** +1067:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_DUAL_APP_BOOTLOADER) */ +1068:.\Generated_Source\PSoC5/BL.c **** +1069:.\Generated_Source\PSoC5/BL.c **** +1070:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1071:.\Generated_Source\PSoC5/BL.c **** * Send data +1072:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1073:.\Generated_Source\PSoC5/BL.c **** #if (0u != BL_CMD_SEND_DATA_AVAIL) +1074:.\Generated_Source\PSoC5/BL.c **** +1075:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_DATA: +1076:.\Generated_Source\PSoC5/BL.c **** +1077:.\Generated_Source\PSoC5/BL.c **** if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) +1078:.\Generated_Source\PSoC5/BL.c **** { +1079:.\Generated_Source\PSoC5/BL.c **** /* Make sure that dataOffset is valid before copying the data */ +1080:.\Generated_Source\PSoC5/BL.c **** if((dataOffset + pktSize) <= BL_SIZEOF_COMMAND_BUFFER) +1081:.\Generated_Source\PSoC5/BL.c **** { +1082:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; +1083:.\Generated_Source\PSoC5/BL.c **** +1084:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) +1085:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&dataBuffer[dataOffset], +1086:.\Generated_Source\PSoC5/BL.c **** &packetBuffer[BL_DATA_ADDR], +1087:.\Generated_Source\PSoC5/BL.c **** ( int16 )pktSize); +1088:.\Generated_Source\PSoC5/BL.c **** #else +1089:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&dataBuffer[dataOffset], +1090:.\Generated_Source\PSoC5/BL.c **** &packetBuffer[BL_DATA_ADDR], +1091:.\Generated_Source\PSoC5/BL.c **** pktSize); +1092:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 21 + + +1093:.\Generated_Source\PSoC5/BL.c **** +1094:.\Generated_Source\PSoC5/BL.c **** dataOffset += pktSize; +1095:.\Generated_Source\PSoC5/BL.c **** } +1096:.\Generated_Source\PSoC5/BL.c **** else +1097:.\Generated_Source\PSoC5/BL.c **** { +1098:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_LENGTH; +1099:.\Generated_Source\PSoC5/BL.c **** } +1100:.\Generated_Source\PSoC5/BL.c **** } +1101:.\Generated_Source\PSoC5/BL.c **** +1102:.\Generated_Source\PSoC5/BL.c **** break; +1103:.\Generated_Source\PSoC5/BL.c **** +1104:.\Generated_Source\PSoC5/BL.c **** #endif /* (0u != BL_CMD_SEND_DATA_AVAIL) */ +1105:.\Generated_Source\PSoC5/BL.c **** +1106:.\Generated_Source\PSoC5/BL.c **** +1107:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1108:.\Generated_Source\PSoC5/BL.c **** * Enter bootloader +1109:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1110:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_ENTER: +1111:.\Generated_Source\PSoC5/BL.c **** +1112:.\Generated_Source\PSoC5/BL.c **** if(pktSize == 0u) +1113:.\Generated_Source\PSoC5/BL.c **** { +1114:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) +1115:.\Generated_Source\PSoC5/BL.c **** +1116:.\Generated_Source\PSoC5/BL.c **** BL_ENTER CYDATA BtldrVersion = +1117:.\Generated_Source\PSoC5/BL.c **** {CYSWAP_ENDIAN32(CYDEV_CHIP_JTAG_ID), CYDEV_CHIP_REV_EXPECT, BL_VERSION +1118:.\Generated_Source\PSoC5/BL.c **** +1119:.\Generated_Source\PSoC5/BL.c **** #else +1120:.\Generated_Source\PSoC5/BL.c **** +1121:.\Generated_Source\PSoC5/BL.c **** BL_ENTER CYDATA BtldrVersion = +1122:.\Generated_Source\PSoC5/BL.c **** {CYDEV_CHIP_JTAG_ID, CYDEV_CHIP_REV_EXPECT, BL_VERSION}; +1123:.\Generated_Source\PSoC5/BL.c **** +1124:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ +1125:.\Generated_Source\PSoC5/BL.c **** +1126:.\Generated_Source\PSoC5/BL.c **** communicationState = BL_COMMUNICATION_STATE_ACTIVE; +1127:.\Generated_Source\PSoC5/BL.c **** +1128:.\Generated_Source\PSoC5/BL.c **** rspSize = sizeof(BL_ENTER); +1129:.\Generated_Source\PSoC5/BL.c **** +1130:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC3) +1131:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&packetBuffer[BL_DATA_ADDR], +1132:.\Generated_Source\PSoC5/BL.c **** &BtldrVersion, +1133:.\Generated_Source\PSoC5/BL.c **** ( int16 )rspSize); +1134:.\Generated_Source\PSoC5/BL.c **** #else +1135:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&packetBuffer[BL_DATA_ADDR], +1136:.\Generated_Source\PSoC5/BL.c **** &BtldrVersion, +1137:.\Generated_Source\PSoC5/BL.c **** rspSize); +1138:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC3) */ +1139:.\Generated_Source\PSoC5/BL.c **** +1140:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; +1141:.\Generated_Source\PSoC5/BL.c **** } +1142:.\Generated_Source\PSoC5/BL.c **** break; +1143:.\Generated_Source\PSoC5/BL.c **** +1144:.\Generated_Source\PSoC5/BL.c **** +1145:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1146:.\Generated_Source\PSoC5/BL.c **** * Verify row +1147:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1148:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_VERIFY: +1149:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 22 + + +1150:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) +1151:.\Generated_Source\PSoC5/BL.c **** { +1152:.\Generated_Source\PSoC5/BL.c **** /* Get FLASH/EEPROM row number */ +1153:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA rowNum = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u) +1154:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 1u]; +1155:.\Generated_Source\PSoC5/BL.c **** +1156:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) +1157:.\Generated_Source\PSoC5/BL.c **** +1158:.\Generated_Source\PSoC5/BL.c **** uint32 CYDATA rowAddr; +1159:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA checksum; +1160:.\Generated_Source\PSoC5/BL.c **** +1161:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && +1162:.\Generated_Source\PSoC5/BL.c **** (btldrData <= BL_LAST_EE_ARRAYID)) +1163:.\Generated_Source\PSoC5/BL.c **** { +1164:.\Generated_Source\PSoC5/BL.c **** /* EEPROM */ +1165:.\Generated_Source\PSoC5/BL.c **** /* Both PSoC 3 and PSoC 5LP architectures have one EEPROM array. */ +1166:.\Generated_Source\PSoC5/BL.c **** rowAddr = (uint32)rowNum * CYDEV_EEPROM_ROW_SIZE; +1167:.\Generated_Source\PSoC5/BL.c **** +1168:.\Generated_Source\PSoC5/BL.c **** checksum = BL_Calc8BitEepromSum(rowAddr, CYDEV_EEPROM_ROW_SIZE); +1169:.\Generated_Source\PSoC5/BL.c **** } +1170:.\Generated_Source\PSoC5/BL.c **** else +1171:.\Generated_Source\PSoC5/BL.c **** { +1172:.\Generated_Source\PSoC5/BL.c **** /* FLASH */ +1173:.\Generated_Source\PSoC5/BL.c **** rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) +1174:.\Generated_Source\PSoC5/BL.c **** + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); +1175:.\Generated_Source\PSoC5/BL.c **** +1176:.\Generated_Source\PSoC5/BL.c **** checksum = BL_Calc8BitFlashSum(rowAddr, CYDEV_FLS_ROW_SIZE); +1177:.\Generated_Source\PSoC5/BL.c **** } +1178:.\Generated_Source\PSoC5/BL.c **** +1179:.\Generated_Source\PSoC5/BL.c **** #else +1180:.\Generated_Source\PSoC5/BL.c **** +1181:.\Generated_Source\PSoC5/BL.c **** uint32 CYDATA rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) +1182:.\Generated_Source\PSoC5/BL.c **** + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); +1183:.\Generated_Source\PSoC5/BL.c **** +1184:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA checksum = BL_Calc8BitFlashSum(rowAddr, CYDEV_FLS_ROW_SIZE); +1185:.\Generated_Source\PSoC5/BL.c **** +1186:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) */ +1187:.\Generated_Source\PSoC5/BL.c **** +1188:.\Generated_Source\PSoC5/BL.c **** +1189:.\Generated_Source\PSoC5/BL.c **** /* Calculate checksum on data from ECC */ +1190:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u) +1191:.\Generated_Source\PSoC5/BL.c **** +1192:.\Generated_Source\PSoC5/BL.c **** if(btldrData <= BL_LAST_FLASH_ARRAYID) +1193:.\Generated_Source\PSoC5/BL.c **** { +1194:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA tmpIndex; +1195:.\Generated_Source\PSoC5/BL.c **** +1196:.\Generated_Source\PSoC5/BL.c **** rowAddr = CYDEV_ECC_BASE + ((uint32)btldrData * (CYDEV_FLS_SECTOR_SIZE +1197:.\Generated_Source\PSoC5/BL.c **** + ((uint32)rowNum * CYDEV_ECC_ROW_SIZE); +1198:.\Generated_Source\PSoC5/BL.c **** +1199:.\Generated_Source\PSoC5/BL.c **** for(tmpIndex = 0u; tmpIndex < CYDEV_ECC_ROW_SIZE; tmpIndex++) +1200:.\Generated_Source\PSoC5/BL.c **** { +1201:.\Generated_Source\PSoC5/BL.c **** checksum += CY_GET_XTND_REG8((uint8 CYFAR *)(rowAddr + tmpIndex)); +1202:.\Generated_Source\PSoC5/BL.c **** } +1203:.\Generated_Source\PSoC5/BL.c **** } +1204:.\Generated_Source\PSoC5/BL.c **** +1205:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC4) && (CYDEV_ECC_ENABLE == 0u) */ +1206:.\Generated_Source\PSoC5/BL.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 23 + + +1207:.\Generated_Source\PSoC5/BL.c **** +1208:.\Generated_Source\PSoC5/BL.c **** /****************************************************************************** +1209:.\Generated_Source\PSoC5/BL.c **** * App Verified & App Active are information that is updated in flash at runtime +1210:.\Generated_Source\PSoC5/BL.c **** * remove these items from the checksum to allow the host to verify everything i +1211:.\Generated_Source\PSoC5/BL.c **** * correct. +1212:.\Generated_Source\PSoC5/BL.c **** ****************************************************************************** +1213:.\Generated_Source\PSoC5/BL.c **** if((BL_MD_FLASH_ARRAY_NUM == btldrData) && +1214:.\Generated_Source\PSoC5/BL.c **** (BL_CONTAIN_METADATA(rowNum))) +1215:.\Generated_Source\PSoC5/BL.c **** { +1216:.\Generated_Source\PSoC5/BL.c **** checksum -= BL_MD_BTLDB_ACTIVE_VALUE (BL_GET_APP_ID(rowNum)); +1217:.\Generated_Source\PSoC5/BL.c **** checksum -= BL_MD_BTLDB_VERIFIED_VALUE(BL_GET_APP_ID(rowNum)); +1218:.\Generated_Source\PSoC5/BL.c **** } +1219:.\Generated_Source\PSoC5/BL.c **** +1220:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR] = (uint8)1u + (uint8)(~checksum); +1221:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; +1222:.\Generated_Source\PSoC5/BL.c **** rspSize = 1u; +1223:.\Generated_Source\PSoC5/BL.c **** } +1224:.\Generated_Source\PSoC5/BL.c **** break; +1225:.\Generated_Source\PSoC5/BL.c **** +1226:.\Generated_Source\PSoC5/BL.c **** +1227:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1228:.\Generated_Source\PSoC5/BL.c **** * Exit bootloader +1229:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1230:.\Generated_Source\PSoC5/BL.c **** case BL_COMMAND_EXIT: +1231:.\Generated_Source\PSoC5/BL.c **** +1232:.\Generated_Source\PSoC5/BL.c **** if(CYRET_SUCCESS == BL_ValidateBootloadable(BL_activeApp)) +1233:.\Generated_Source\PSoC5/BL.c **** { +1234:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(BL_START_APP); +1235:.\Generated_Source\PSoC5/BL.c **** } +1236:.\Generated_Source\PSoC5/BL.c **** +1237:.\Generated_Source\PSoC5/BL.c **** CySoftwareReset(); +1238:.\Generated_Source\PSoC5/BL.c **** +1239:.\Generated_Source\PSoC5/BL.c **** /* Will never get here */ +1240:.\Generated_Source\PSoC5/BL.c **** break; +1241:.\Generated_Source\PSoC5/BL.c **** +1242:.\Generated_Source\PSoC5/BL.c **** +1243:.\Generated_Source\PSoC5/BL.c **** /*************************************************************************** +1244:.\Generated_Source\PSoC5/BL.c **** * Unsupported command +1245:.\Generated_Source\PSoC5/BL.c **** ***************************************************************************/ +1246:.\Generated_Source\PSoC5/BL.c **** default: +1247:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_CMD; +1248:.\Generated_Source\PSoC5/BL.c **** break; +1249:.\Generated_Source\PSoC5/BL.c **** } +1250:.\Generated_Source\PSoC5/BL.c **** } +1251:.\Generated_Source\PSoC5/BL.c **** +1252:.\Generated_Source\PSoC5/BL.c **** /* ?CK the packet and function. */ +1253:.\Generated_Source\PSoC5/BL.c **** (void) BL_WritePacket(ackCode, packetBuffer, rspSize); +1254:.\Generated_Source\PSoC5/BL.c **** +1255:.\Generated_Source\PSoC5/BL.c **** } while ((0u == timeOut) || (BL_COMMUNICATION_STATE_ACTIVE == communicationState)); +1256:.\Generated_Source\PSoC5/BL.c **** } +1257:.\Generated_Source\PSoC5/BL.c **** +1258:.\Generated_Source\PSoC5/BL.c **** +1259:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* +1260:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_WritePacket +1261:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** +1262:.\Generated_Source\PSoC5/BL.c **** * +1263:.\Generated_Source\PSoC5/BL.c **** * Summary: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 24 + + +1264:.\Generated_Source\PSoC5/BL.c **** * Creates a bootloader responce packet and transmits it back to the bootloader +1265:.\Generated_Source\PSoC5/BL.c **** * host application over the already established communications protocol. +1266:.\Generated_Source\PSoC5/BL.c **** * +1267:.\Generated_Source\PSoC5/BL.c **** * Parameters: +1268:.\Generated_Source\PSoC5/BL.c **** * status: +1269:.\Generated_Source\PSoC5/BL.c **** * The status code to pass back as the second byte of the packet +1270:.\Generated_Source\PSoC5/BL.c **** * buffer: +1271:.\Generated_Source\PSoC5/BL.c **** * The buffer containing the data portion of the packet +1272:.\Generated_Source\PSoC5/BL.c **** * size: +1273:.\Generated_Source\PSoC5/BL.c **** * The number of bytes contained within the buffer to pass back +1274:.\Generated_Source\PSoC5/BL.c **** * +1275:.\Generated_Source\PSoC5/BL.c **** * Return: +1276:.\Generated_Source\PSoC5/BL.c **** * CYRET_SUCCESS if successful. +1277:.\Generated_Source\PSoC5/BL.c **** * CYRET_UNKNOWN if there was an error tranmitting the packet. +1278:.\Generated_Source\PSoC5/BL.c **** * +1279:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ +1280:.\Generated_Source\PSoC5/BL.c **** static cystatus BL_WritePacket(uint8 status, uint8 buffer[], uint16 size) CYSMALL \ +1281:.\Generated_Source\PSoC5/BL.c **** +1282:.\Generated_Source\PSoC5/BL.c **** { +1283:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA checksum; +1284:.\Generated_Source\PSoC5/BL.c **** +1285:.\Generated_Source\PSoC5/BL.c **** /* Start of the packet. */ +1286:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SOP_ADDR] = BL_SOP; +1287:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CMD_ADDR] = status; +1288:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SIZE_ADDR] = LO8(size); +1289:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SIZE_ADDR + 1u] = HI8(size); +1290:.\Generated_Source\PSoC5/BL.c **** +1291:.\Generated_Source\PSoC5/BL.c **** /* Compute the checksum. */ +1292:.\Generated_Source\PSoC5/BL.c **** checksum = BL_CalcPacketChecksum(buffer, size + BL_DATA_ADDR); +1293:.\Generated_Source\PSoC5/BL.c **** +1294:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(size)] = LO8(checksum); +1295:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(1u + size)] = HI8(checksum); +1296:.\Generated_Source\PSoC5/BL.c **** buffer[BL_EOP_ADDR(size)] = BL_EOP; +1297:.\Generated_Source\PSoC5/BL.c **** +1298:.\Generated_Source\PSoC5/BL.c **** /* Start the packet transmit. */ +1299:.\Generated_Source\PSoC5/BL.c **** return(CyBtldrCommWrite(buffer, size + BL_MIN_PKT_SIZE, &size, 150u)); +1300:.\Generated_Source\PSoC5/BL.c **** } +1301:.\Generated_Source\PSoC5/BL.c **** +1302:.\Generated_Source\PSoC5/BL.c **** +1303:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* +1304:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_SetFlashByte +1305:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** +1306:.\Generated_Source\PSoC5/BL.c **** * +1307:.\Generated_Source\PSoC5/BL.c **** * Summary: +1308:.\Generated_Source\PSoC5/BL.c **** * Writes byte a flash memory location +1309:.\Generated_Source\PSoC5/BL.c **** * +1310:.\Generated_Source\PSoC5/BL.c **** * Parameters: +1311:.\Generated_Source\PSoC5/BL.c **** * address: +1312:.\Generated_Source\PSoC5/BL.c **** * Address in Flash memory where data will be written +1313:.\Generated_Source\PSoC5/BL.c **** * +1314:.\Generated_Source\PSoC5/BL.c **** * runType: +1315:.\Generated_Source\PSoC5/BL.c **** * Byte to be written +1316:.\Generated_Source\PSoC5/BL.c **** * +1317:.\Generated_Source\PSoC5/BL.c **** * Return: +1318:.\Generated_Source\PSoC5/BL.c **** * None +1319:.\Generated_Source\PSoC5/BL.c **** * +1320:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 25 + + +1321:.\Generated_Source\PSoC5/BL.c **** void BL_SetFlashByte(uint32 address, uint8 runType) +1322:.\Generated_Source\PSoC5/BL.c **** { +1323:.\Generated_Source\PSoC5/BL.c **** uint32 flsAddr = address - CYDEV_FLASH_BASE; +1324:.\Generated_Source\PSoC5/BL.c **** uint8 rowData[CYDEV_FLS_ROW_SIZE]; +1325:.\Generated_Source\PSoC5/BL.c **** +1326:.\Generated_Source\PSoC5/BL.c **** #if !(CY_PSOC4) +1327:.\Generated_Source\PSoC5/BL.c **** uint8 arrayId = ( uint8 )(flsAddr / CYDEV_FLS_SECTOR_SIZE); +1328:.\Generated_Source\PSoC5/BL.c **** #endif /* !(CY_PSOC4) */ +1329:.\Generated_Source\PSoC5/BL.c **** +1330:.\Generated_Source\PSoC5/BL.c **** uint16 rowNum = ( uint16 )((flsAddr % CYDEV_FLS_SECTOR_SIZE) / CYDEV_FLS_ROW_SIZE); +1331:.\Generated_Source\PSoC5/BL.c **** uint32 baseAddr = address - (address % CYDEV_FLS_ROW_SIZE); +1332:.\Generated_Source\PSoC5/BL.c **** uint16 idx; +1333:.\Generated_Source\PSoC5/BL.c **** +1334:.\Generated_Source\PSoC5/BL.c **** for(idx = 0u; idx < CYDEV_FLS_ROW_SIZE; idx++) +1335:.\Generated_Source\PSoC5/BL.c **** { +1336:.\Generated_Source\PSoC5/BL.c **** rowData[idx] = BL_GET_CODE_BYTE(baseAddr + idx); +1337:.\Generated_Source\PSoC5/BL.c **** } +1338:.\Generated_Source\PSoC5/BL.c **** +1339:.\Generated_Source\PSoC5/BL.c **** rowData[address % CYDEV_FLS_ROW_SIZE] = runType; +1340:.\Generated_Source\PSoC5/BL.c **** +1341:.\Generated_Source\PSoC5/BL.c **** #if(CY_PSOC4) +1342:.\Generated_Source\PSoC5/BL.c **** (void) CySysFlashWriteRow((uint32) rowNum, rowData); +1343:.\Generated_Source\PSoC5/BL.c **** #else +1344:.\Generated_Source\PSoC5/BL.c **** (void) CyWriteRowData(arrayId, rowNum, rowData); +1345:.\Generated_Source\PSoC5/BL.c **** #endif /* (CY_PSOC4) */ +1346:.\Generated_Source\PSoC5/BL.c **** } +1347:.\Generated_Source\PSoC5/BL.c **** +1348:.\Generated_Source\PSoC5/BL.c **** +1349:.\Generated_Source\PSoC5/BL.c **** /******************************************************************************* +1350:.\Generated_Source\PSoC5/BL.c **** * Function Name: BL_GetMetadata +1351:.\Generated_Source\PSoC5/BL.c **** ******************************************************************************** +1352:.\Generated_Source\PSoC5/BL.c **** * +1353:.\Generated_Source\PSoC5/BL.c **** * Summary: +1354:.\Generated_Source\PSoC5/BL.c **** * Returns value of the multi-byte field. +1355:.\Generated_Source\PSoC5/BL.c **** * +1356:.\Generated_Source\PSoC5/BL.c **** * Parameters: +1357:.\Generated_Source\PSoC5/BL.c **** * fieldName: +1358:.\Generated_Source\PSoC5/BL.c **** * The field to get data from: +1359:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDB_ADDR +1360:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDR_LAST_ROW +1361:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDB_LENGTH +1362:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDR_APP_VERSION +1363:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDB_APP_VERSION +1364:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDB_APP_ID +1365:.\Generated_Source\PSoC5/BL.c **** * BL_GET_METADATA_BTLDB_APP_CUST_ID +1366:.\Generated_Source\PSoC5/BL.c **** * +1367:.\Generated_Source\PSoC5/BL.c **** * appId: +1368:.\Generated_Source\PSoC5/BL.c **** * Number of the bootlodable application. +1369:.\Generated_Source\PSoC5/BL.c **** * +1370:.\Generated_Source\PSoC5/BL.c **** * Return: +1371:.\Generated_Source\PSoC5/BL.c **** * None +1372:.\Generated_Source\PSoC5/BL.c **** * +1373:.\Generated_Source\PSoC5/BL.c **** *******************************************************************************/ +1374:.\Generated_Source\PSoC5/BL.c **** static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId) + 49 .loc 1 1374 0 + 50 .cfi_startproc + 51 @ args = 0, pretend = 0, frame = 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 26 + + + 52 @ frame_needed = 0, uses_anonymous_args = 0 + 53 .LVL1: +1375:.\Generated_Source\PSoC5/BL.c **** { +1376:.\Generated_Source\PSoC5/BL.c **** uint32 fieldPtr; +1377:.\Generated_Source\PSoC5/BL.c **** uint8 fieldSize = 2u; +1378:.\Generated_Source\PSoC5/BL.c **** uint32 result; +1379:.\Generated_Source\PSoC5/BL.c **** +1380:.\Generated_Source\PSoC5/BL.c **** switch (fieldName) + 54 .loc 1 1380 0 + 55 0000 431E subs r3, r0, #1 +1374:.\Generated_Source\PSoC5/BL.c **** static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId) + 56 .loc 1 1374 0 + 57 0002 10B5 push {r4, lr} + 58 .LCFI0: + 59 .cfi_def_cfa_offset 8 + 60 .cfi_offset 4, -8 + 61 .cfi_offset 14, -4 +1374:.\Generated_Source\PSoC5/BL.c **** static uint32 BL_GetMetadata(uint8 fieldName, uint8 appId) + 62 .loc 1 1374 0 + 63 0004 0246 mov r2, r0 + 64 .loc 1 1380 0 + 65 0006 062B cmp r3, #6 + 66 0008 0DD8 bhi .L3 + 67 000a DFE803F0 tbb [pc, r3] + 68 .L11: + 69 000e 06 .byte (.L4-.L11)/2 + 70 000f 0E .byte (.L16-.L11)/2 + 71 0010 23 .byte (.L17-.L11)/2 + 72 0011 04 .byte (.L7-.L11)/2 + 73 0012 08 .byte (.L8-.L11)/2 + 74 0013 0A .byte (.L9-.L11)/2 + 75 0014 21 .byte (.L10-.L11)/2 + 76 0015 00 .align 1 + 77 .L7: +1381:.\Generated_Source\PSoC5/BL.c **** { +1382:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_APP_CUST_ID: +1383:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId); +1384:.\Generated_Source\PSoC5/BL.c **** fieldSize = 4u; +1385:.\Generated_Source\PSoC5/BL.c **** break; +1386:.\Generated_Source\PSoC5/BL.c **** +1387:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDR_APP_VERSION: +1388:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDR_APP_VERSION_OFFSET(appId); + 78 .loc 1 1388 0 + 79 0016 1648 ldr r0, .L26 + 80 .LVL2: + 81 0018 08E0 b .L5 + 82 .LVL3: + 83 .L4: +1389:.\Generated_Source\PSoC5/BL.c **** break; +1390:.\Generated_Source\PSoC5/BL.c **** +1391:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_ADDR: +1392:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_ADDR_OFFSET(appId); + 84 .loc 1 1392 0 + 85 001a 164B ldr r3, .L26+4 + 86 001c 1BE0 b .L6 + 87 .L8: + 88 .LVL4: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 27 + + +1393:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC3) +1394:.\Generated_Source\PSoC5/BL.c **** fieldSize = 4u; +1395:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC3) */ +1396:.\Generated_Source\PSoC5/BL.c **** break; +1397:.\Generated_Source\PSoC5/BL.c **** +1398:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDR_LAST_ROW: +1399:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDR_LAST_ROW_OFFSET(appId); +1400:.\Generated_Source\PSoC5/BL.c **** break; +1401:.\Generated_Source\PSoC5/BL.c **** +1402:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_LENGTH: +1403:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_LENGTH_OFFSET(appId); +1404:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC3) +1405:.\Generated_Source\PSoC5/BL.c **** fieldSize = 4u; +1406:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC3) */ +1407:.\Generated_Source\PSoC5/BL.c **** break; +1408:.\Generated_Source\PSoC5/BL.c **** +1409:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_APP_VERSION: +1410:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_APP_VERSION_OFFSET(appId); + 89 .loc 1 1410 0 + 90 001e 1648 ldr r0, .L26+8 + 91 .LVL5: + 92 0020 04E0 b .L5 + 93 .LVL6: + 94 .L9: +1411:.\Generated_Source\PSoC5/BL.c **** break; +1412:.\Generated_Source\PSoC5/BL.c **** +1413:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_APP_ID: +1414:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_APP_ID_OFFSET(appId); + 95 .loc 1 1414 0 + 96 0022 1648 ldr r0, .L26+12 + 97 .LVL7: + 98 0024 02E0 b .L5 + 99 .LVL8: + 100 .L3: +1415:.\Generated_Source\PSoC5/BL.c **** break; +1416:.\Generated_Source\PSoC5/BL.c **** +1417:.\Generated_Source\PSoC5/BL.c **** default: +1418:.\Generated_Source\PSoC5/BL.c **** /* Should never be here */ +1419:.\Generated_Source\PSoC5/BL.c **** CYASSERT(0u != 0u); +1420:.\Generated_Source\PSoC5/BL.c **** fieldPtr = 0u; + 101 .loc 1 1420 0 + 102 0026 0020 movs r0, #0 + 103 .LVL9: + 104 0028 00E0 b .L5 + 105 .LVL10: + 106 .L16: +1399:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDR_LAST_ROW_OFFSET(appId); + 107 .loc 1 1399 0 + 108 002a 1548 ldr r0, .L26+16 + 109 .LVL11: + 110 .L5: +1421:.\Generated_Source\PSoC5/BL.c **** break; +1422:.\Generated_Source\PSoC5/BL.c **** } +1423:.\Generated_Source\PSoC5/BL.c **** +1424:.\Generated_Source\PSoC5/BL.c **** +1425:.\Generated_Source\PSoC5/BL.c **** /* Read all fields as big-endian */ +1426:.\Generated_Source\PSoC5/BL.c **** if (2u == fieldSize) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 28 + + +1427:.\Generated_Source\PSoC5/BL.c **** { +1428:.\Generated_Source\PSoC5/BL.c **** result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)); + 111 .loc 1 1428 0 + 112 002c 4178 ldrb r1, [r0, #1] @ zero_extendqisi2 + 113 .LVL12: +1429:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *) fieldPtr ) << 8u; + 114 .loc 1 1429 0 + 115 002e 0078 ldrb r0, [r0, #0] @ zero_extendqisi2 + 116 .LVL13: + 117 0030 41EA0020 orr r0, r1, r0, lsl #8 + 118 .LVL14: + 119 .L15: +1430:.\Generated_Source\PSoC5/BL.c **** } +1431:.\Generated_Source\PSoC5/BL.c **** else +1432:.\Generated_Source\PSoC5/BL.c **** { +1433:.\Generated_Source\PSoC5/BL.c **** result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 3u)); +1434:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 2u)) << 8u; +1435:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)) << 16u; +1436:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr )) << 24u; +1437:.\Generated_Source\PSoC5/BL.c **** } +1438:.\Generated_Source\PSoC5/BL.c **** +1439:.\Generated_Source\PSoC5/BL.c **** /* Following fields should be little-endian */ +1440:.\Generated_Source\PSoC5/BL.c **** #if(!CY_PSOC3) +1441:.\Generated_Source\PSoC5/BL.c **** switch (fieldName) + 120 .loc 1 1441 0 + 121 0034 022A cmp r2, #2 + 122 0036 04D0 beq .L14 + 123 0038 032A cmp r2, #3 + 124 003a 07D0 beq .L13 + 125 003c 012A cmp r2, #1 + 126 003e 15D1 bne .L24 + 127 0040 04E0 b .L13 + 128 .L14: +1442:.\Generated_Source\PSoC5/BL.c **** { +1443:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDR_LAST_ROW: +1444:.\Generated_Source\PSoC5/BL.c **** result = CYSWAP_ENDIAN16(result); + 129 .loc 1 1444 0 + 130 0042 0202 lsls r2, r0, #8 + 131 0044 42EA1023 orr r3, r2, r0, lsr #8 + 132 0048 98B2 uxth r0, r3 + 133 .LVL15: + 134 004a 10BD pop {r4, pc} + 135 .L13: + 136 004c 00BA rev r0, r0 + 137 .LVL16: + 138 004e 10BD pop {r4, pc} + 139 .LVL17: + 140 .L10: +1383:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_APP_CUST_ID_OFFSET(appId); + 141 .loc 1 1383 0 + 142 0050 0C4B ldr r3, .L26+20 + 143 0052 00E0 b .L6 + 144 .L17: +1403:.\Generated_Source\PSoC5/BL.c **** fieldPtr = BL_MD_BTLDB_LENGTH_OFFSET(appId); + 145 .loc 1 1403 0 + 146 0054 0C4B ldr r3, .L26+24 + 147 .L6: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 29 + + + 148 .LVL18: +1433:.\Generated_Source\PSoC5/BL.c **** result = (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 3u)); + 149 .loc 1 1433 0 + 150 0056 D878 ldrb r0, [r3, #3] @ zero_extendqisi2 + 151 .LVL19: +1434:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 2u)) << 8u; + 152 .loc 1 1434 0 + 153 0058 9C78 ldrb r4, [r3, #2] @ zero_extendqisi2 + 154 .LVL20: +1435:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)) << 16u; + 155 .loc 1 1435 0 + 156 005a 5978 ldrb r1, [r3, #1] @ zero_extendqisi2 + 157 .LVL21: +1436:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr )) << 24u; + 158 .loc 1 1436 0 + 159 005c 1B78 ldrb r3, [r3, #0] @ zero_extendqisi2 + 160 .LVL22: +1434:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 2u)) << 8u; + 161 .loc 1 1434 0 + 162 005e 40EA0360 orr r0, r0, r3, lsl #24 + 163 .LVL23: +1435:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr + 1u)) << 16u; + 164 .loc 1 1435 0 + 165 0062 40EA0423 orr r3, r0, r4, lsl #8 +1436:.\Generated_Source\PSoC5/BL.c **** result |= (uint32) CY_GET_XTND_REG8((volatile uint8 *)(fieldPtr )) << 24u; + 166 .loc 1 1436 0 + 167 0066 43EA0140 orr r0, r3, r1, lsl #16 + 168 .LVL24: + 169 006a E3E7 b .L15 + 170 .LVL25: + 171 .L24: +1445:.\Generated_Source\PSoC5/BL.c **** break; +1446:.\Generated_Source\PSoC5/BL.c **** +1447:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_ADDR: +1448:.\Generated_Source\PSoC5/BL.c **** case BL_GET_METADATA_BTLDB_LENGTH: +1449:.\Generated_Source\PSoC5/BL.c **** result = CYSWAP_ENDIAN32(result); +1450:.\Generated_Source\PSoC5/BL.c **** break; +1451:.\Generated_Source\PSoC5/BL.c **** +1452:.\Generated_Source\PSoC5/BL.c **** default: +1453:.\Generated_Source\PSoC5/BL.c **** break; +1454:.\Generated_Source\PSoC5/BL.c **** } +1455:.\Generated_Source\PSoC5/BL.c **** +1456:.\Generated_Source\PSoC5/BL.c **** #endif /* (!CY_PSOC3) */ +1457:.\Generated_Source\PSoC5/BL.c **** +1458:.\Generated_Source\PSoC5/BL.c **** return (result); +1459:.\Generated_Source\PSoC5/BL.c **** } + 172 .loc 1 1459 0 + 173 006c 10BD pop {r4, pc} + 174 .L27: + 175 006e 00BF .align 2 + 176 .L26: + 177 0070 D2FF0100 .word 131026 + 178 0074 C1FF0100 .word 131009 + 179 0078 D6FF0100 .word 131030 + 180 007c D4FF0100 .word 131028 + 181 0080 C5FF0100 .word 131013 + 182 0084 D8FF0100 .word 131032 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 30 + + + 183 0088 C9FF0100 .word 131017 + 184 .cfi_endproc + 185 .LFE69: + 186 .size BL_GetMetadata.constprop.1, .-BL_GetMetadata.constprop.1 + 187 .section .text.BL_ValidateBootloadable.constprop.0,"ax",%progbits + 188 .align 1 + 189 .thumb + 190 .thumb_func + 191 .type BL_ValidateBootloadable.constprop.0, %function + 192 BL_ValidateBootloadable.constprop.0: + 193 .LFB70: + 501:.\Generated_Source\PSoC5/BL.c **** static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + 194 .loc 1 501 0 + 195 .cfi_startproc + 196 @ args = 0, pretend = 0, frame = 0 + 197 @ frame_needed = 0, uses_anonymous_args = 0 + 198 .LVL26: + 199 0000 70B5 push {r4, r5, r6, lr} + 200 .LCFI1: + 201 .cfi_def_cfa_offset 16 + 202 .cfi_offset 4, -16 + 203 .cfi_offset 5, -12 + 204 .cfi_offset 6, -8 + 205 .cfi_offset 14, -4 + 506:.\Generated_Source\PSoC5/BL.c **** uint32 CYDATA end = BL_FIRST_APP_BYTE(appId) + + 206 .loc 1 506 0 + 207 0002 0220 movs r0, #2 + 208 0004 FFF7FEFF bl BL_GetMetadata.constprop.1 + 209 .LVL27: + 210 0008 0646 mov r6, r0 + 507:.\Generated_Source\PSoC5/BL.c **** BL_GetMetadata(BL_GET_METADATA_BTLDB_LENGTH, + 211 .loc 1 507 0 + 212 000a 0320 movs r0, #3 + 213 000c FFF7FEFF bl BL_GetMetadata.constprop.1 + 214 .LVL28: + 506:.\Generated_Source\PSoC5/BL.c **** uint32 CYDATA end = BL_FIRST_APP_BYTE(appId) + + 215 .loc 1 506 0 + 216 0010 711C adds r1, r6, #1 + 217 0012 00EB0126 add r6, r0, r1, lsl #8 + 218 .LVL29: + 535:.\Generated_Source\PSoC5/BL.c **** for(idx = BL_FIRST_APP_BYTE(appId); idx < end; ++idx) + 219 .loc 1 535 0 + 220 0016 0220 movs r0, #2 + 221 0018 FFF7FEFF bl BL_GetMetadata.constprop.1 + 222 .LVL30: + 511:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA calcedChecksum = 0u; + 223 .loc 1 511 0 + 224 001c 0024 movs r4, #0 + 535:.\Generated_Source\PSoC5/BL.c **** for(idx = BL_FIRST_APP_BYTE(appId); idx < end; ++idx) + 225 .loc 1 535 0 + 226 001e 0130 adds r0, r0, #1 + 227 0020 0102 lsls r1, r0, #8 + 228 .LVL31: + 510:.\Generated_Source\PSoC5/BL.c **** CYBIT valid = 0u; /* Assume bad flash image */ + 229 .loc 1 510 0 + 230 0022 2546 mov r5, r4 + 231 .LVL32: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 31 + + + 232 .L29: + 535:.\Generated_Source\PSoC5/BL.c **** for(idx = BL_FIRST_APP_BYTE(appId); idx < end; ++idx) + 233 .loc 1 535 0 + 234 0024 B142 cmp r1, r6 + 235 0026 09D2 bcs .L44 + 236 .L31: + 237 .LBB3: + 537:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA curByte = BL_GET_CODE_BYTE(idx); + 238 .loc 1 537 0 + 239 0028 11F8010B ldrb r0, [r1], #1 @ zero_extendqisi2 + 240 .LVL33: + 539:.\Generated_Source\PSoC5/BL.c **** if((curByte != 0u) && (curByte != 0xFFu)) + 241 .loc 1 539 0 + 242 002c 421E subs r2, r0, #1 + 243 002e D3B2 uxtb r3, r2 + 544:.\Generated_Source\PSoC5/BL.c **** calcedChecksum += curByte; + 244 .loc 1 544 0 + 245 0030 0419 adds r4, r0, r4 + 246 .LVL34: + 541:.\Generated_Source\PSoC5/BL.c **** valid = 1u; + 247 .loc 1 541 0 + 248 0032 FD2B cmp r3, #253 + 249 0034 98BF it ls + 250 0036 0125 movls r5, #1 + 251 .LVL35: + 544:.\Generated_Source\PSoC5/BL.c **** calcedChecksum += curByte; + 252 .loc 1 544 0 + 253 0038 E4B2 uxtb r4, r4 + 254 .LVL36: + 255 003a F3E7 b .L29 + 256 .LVL37: + 257 .L44: + 258 .LBE3: + 558:.\Generated_Source\PSoC5/BL.c **** idx = ((BL_FIRST_APP_BYTE(appId)) >> 3u); + 259 .loc 1 558 0 + 260 003c 0220 movs r0, #2 + 261 003e FFF7FEFF bl BL_GetMetadata.constprop.1 + 262 .LVL38: + 563:.\Generated_Source\PSoC5/BL.c **** : (end >> 3u); + 263 .loc 1 563 0 + 264 0042 0F49 ldr r1, .L46 + 558:.\Generated_Source\PSoC5/BL.c **** idx = ((BL_FIRST_APP_BYTE(appId)) >> 3u); + 265 .loc 1 558 0 + 266 0044 421C adds r2, r0, #1 + 267 0046 1302 lsls r3, r2, #8 + 268 0048 DB08 lsrs r3, r3, #3 + 269 .LVL39: + 563:.\Generated_Source\PSoC5/BL.c **** : (end >> 3u); + 270 .loc 1 563 0 + 271 004a 8E42 cmp r6, r1 + 272 004c 01D0 beq .L39 + 273 004e F608 lsrs r6, r6, #3 + 274 .LVL40: + 275 0050 01E0 b .L43 + 276 .LVL41: + 277 .L39: + 278 0052 4FF48046 mov r6, #16384 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 32 + + + 279 .LVL42: + 280 .L43: + 565:.\Generated_Source\PSoC5/BL.c **** for (; idx < end; ++idx) + 281 .loc 1 565 0 + 282 0056 B342 cmp r3, r6 + 283 0058 06D2 bcs .L45 + 284 .L34: + 501:.\Generated_Source\PSoC5/BL.c **** static cystatus BL_ValidateBootloadable(uint8 appId) CYSMALL \ + 285 .loc 1 501 0 + 286 005a 03F19041 add r1, r3, #1207959552 + 567:.\Generated_Source\PSoC5/BL.c **** calcedChecksum += CY_GET_XTND_REG8((volatile uint8 *)(CYDEV_ECC_BASE + idx)); + 287 .loc 1 567 0 + 288 005e 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 565:.\Generated_Source\PSoC5/BL.c **** for (; idx < end; ++idx) + 289 .loc 1 565 0 + 290 0060 0133 adds r3, r3, #1 + 291 .LVL43: + 567:.\Generated_Source\PSoC5/BL.c **** calcedChecksum += CY_GET_XTND_REG8((volatile uint8 *)(CYDEV_ECC_BASE + idx)); + 292 .loc 1 567 0 + 293 0062 0219 adds r2, r0, r4 + 294 0064 D4B2 uxtb r4, r2 + 295 .LVL44: + 296 0066 F6E7 b .L43 + 297 .LVL45: + 298 .L45: + 575:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != BL_MD_BTLDB_CHECKSUM_VALUE(appId)) || + 299 .loc 1 575 0 + 300 0068 0548 ldr r0, .L46 + 573:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + 301 .loc 1 573 0 + 302 006a 6442 negs r4, r4 + 303 .LVL46: + 575:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != BL_MD_BTLDB_CHECKSUM_VALUE(appId)) || + 304 .loc 1 575 0 + 305 006c 0278 ldrb r2, [r0, #0] @ zero_extendqisi2 + 306 006e E4B2 uxtb r4, r4 + 307 .LVL47: + 308 0070 9442 cmp r4, r2 + 309 0072 01D0 beq .L35 + 310 .L37: + 578:.\Generated_Source\PSoC5/BL.c **** return(CYRET_BAD_DATA); + 311 .loc 1 578 0 + 312 0074 0620 movs r0, #6 + 313 0076 70BD pop {r4, r5, r6, pc} + 314 .L35: + 575:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != BL_MD_BTLDB_CHECKSUM_VALUE(appId)) || + 315 .loc 1 575 0 + 316 0078 002D cmp r5, #0 + 317 007a FBD0 beq .L37 + 588:.\Generated_Source\PSoC5/BL.c **** return(CYRET_SUCCESS); + 318 .loc 1 588 0 + 319 007c 0020 movs r0, #0 + 589:.\Generated_Source\PSoC5/BL.c **** } + 320 .loc 1 589 0 + 321 007e 70BD pop {r4, r5, r6, pc} + 322 .L47: + 323 .align 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 33 + + + 324 .L46: + 325 0080 C0FF0100 .word 131008 + 326 .cfi_endproc + 327 .LFE70: + 328 .size BL_ValidateBootloadable.constprop.0, .-BL_ValidateBootloadable.constprop.0 + 329 .section .text.BL_HostLink,"ax",%progbits + 330 .align 1 + 331 .thumb + 332 .thumb_func + 333 .type BL_HostLink, %function + 334 BL_HostLink: + 335 .LFB64: + 611:.\Generated_Source\PSoC5/BL.c **** { + 336 .loc 1 611 0 + 337 .cfi_startproc + 338 @ args = 0, pretend = 0, frame = 896 + 339 @ frame_needed = 0, uses_anonymous_args = 0 + 340 .LVL48: + 341 0000 2DE9F04F push {r4, r5, r6, r7, r8, r9, sl, fp, lr} + 342 .LCFI2: + 343 .cfi_def_cfa_offset 36 + 344 .cfi_offset 4, -36 + 345 .cfi_offset 5, -32 + 346 .cfi_offset 6, -28 + 347 .cfi_offset 7, -24 + 348 .cfi_offset 8, -20 + 349 .cfi_offset 9, -16 + 350 .cfi_offset 10, -12 + 351 .cfi_offset 11, -8 + 352 .cfi_offset 14, -4 + 353 0004 ADF5617D sub sp, sp, #900 + 354 .LCFI3: + 355 .cfi_def_cfa_offset 936 + 611:.\Generated_Source\PSoC5/BL.c **** { + 356 .loc 1 611 0 + 357 0008 8046 mov r8, r0 + 632:.\Generated_Source\PSoC5/BL.c **** CyBtldrCommStart(); + 358 .loc 1 632 0 + 359 000a FFF7FEFF bl USBFS_CyBtldrCommStart + 360 .LVL49: + 635:.\Generated_Source\PSoC5/BL.c **** CyGlobalIntEnable; + 361 .loc 1 635 0 + 362 @ 635 ".\Generated_Source\PSoC5\BL.c" 1 + 363 000e 62B6 CPSIE i + 364 @ 0 "" 2 + 625:.\Generated_Source\PSoC5/BL.c **** CYBIT communicationState = BL_COMMUNICATION_STATE_IDLE; + 365 .loc 1 625 0 + 366 .thumb + 367 0010 0026 movs r6, #0 + 622:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA clearedMetaData = 0u; + 368 .loc 1 622 0 + 369 0012 B246 mov sl, r6 + 619:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA timeOutCnt = 10u; + 370 .loc 1 619 0 + 371 0014 4FF00A09 mov r9, #10 + 618:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA dataOffset = 0u; + 372 .loc 1 618 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 34 + + + 373 0018 3746 mov r7, r6 + 374 .LVL50: + 375 .L128: + 643:.\Generated_Source\PSoC5/BL.c **** readStat = CyBtldrCommRead(packetBuffer, + 376 .loc 1 643 0 + 377 001a B8F1000F cmp r8, #0 + 378 001e 01D1 bne .L86 + 379 .LVL51: + 380 .L112: + 381 0020 FF23 movs r3, #255 + 382 0022 00E0 b .L50 + 383 .LVL52: + 384 .L86: + 385 0024 4346 mov r3, r8 + 386 .LVL53: + 387 .L50: + 643:.\Generated_Source\PSoC5/BL.c **** readStat = CyBtldrCommRead(packetBuffer, + 388 .loc 1 643 0 is_stmt 0 discriminator 3 + 389 0026 4AA8 add r0, sp, #296 + 390 0028 4FF49671 mov r1, #300 + 391 002c 01AA add r2, sp, #4 + 392 002e FFF7FEFF bl USBFS_CyBtldrCommRead + 393 .LVL54: + 647:.\Generated_Source\PSoC5/BL.c **** if (0u != timeOut) + 394 .loc 1 647 0 is_stmt 1 discriminator 3 + 395 0032 B8F1000F cmp r8, #0 + 396 0036 03D0 beq .L51 + 649:.\Generated_Source\PSoC5/BL.c **** timeOutCnt--; + 397 .loc 1 649 0 + 398 0038 09F1FF39 add r9, r9, #-1 + 399 003c 5FFA89F9 uxtb r9, r9 + 400 .LVL55: + 401 .L51: + 652:.\Generated_Source\PSoC5/BL.c **** } while ( (0u != timeOutCnt) && (readStat != CYRET_SUCCESS) ); + 402 .loc 1 652 0 + 403 0040 B9F1000F cmp r9, #0 + 404 0044 02D0 beq .L52 + 652:.\Generated_Source\PSoC5/BL.c **** } while ( (0u != timeOutCnt) && (readStat != CYRET_SUCCESS) ); + 405 .loc 1 652 0 is_stmt 0 discriminator 1 + 406 0046 0028 cmp r0, #0 + 407 0048 E7D1 bne .L128 + 408 004a 01E0 b .L54 + 409 .L52: + 655:.\Generated_Source\PSoC5/BL.c **** if( readStat != CYRET_SUCCESS ) + 410 .loc 1 655 0 is_stmt 1 + 411 004c 0028 cmp r0, #0 + 412 004e 71D1 bne .L55 + 413 .L54: + 660:.\Generated_Source\PSoC5/BL.c **** if((numberRead < BL_MIN_PKT_SIZE) || + 414 .loc 1 660 0 + 415 0050 BDF80420 ldrh r2, [sp, #4] + 416 0054 062A cmp r2, #6 + 417 0056 40F27B81 bls .L90 + 660:.\Generated_Source\PSoC5/BL.c **** if((numberRead < BL_MIN_PKT_SIZE) || + 418 .loc 1 660 0 is_stmt 0 discriminator 1 + 419 005a 9DF82831 ldrb r3, [sp, #296] @ zero_extendqisi2 + 420 005e 012B cmp r3, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 35 + + + 421 0060 40F07681 bne .L90 + 667:.\Generated_Source\PSoC5/BL.c **** pktSize = ((uint16)((uint16)packetBuffer[BL_SIZE_ADDR + 1u] << 8u)) | + 422 .loc 1 667 0 is_stmt 1 + 423 0064 9DF82A01 ldrb r0, [sp, #298] @ zero_extendqisi2 + 424 .LVL56: + 425 0068 9DF82B51 ldrb r5, [sp, #299] @ zero_extendqisi2 + 670:.\Generated_Source\PSoC5/BL.c **** pktChecksum = ((uint16)((uint16)packetBuffer[BL_CHK_ADDR(pktSize) + 1u] << 8u)) | + 426 .loc 1 670 0 + 427 006c 4AA9 add r1, sp, #296 + 667:.\Generated_Source\PSoC5/BL.c **** pktSize = ((uint16)((uint16)packetBuffer[BL_SIZE_ADDR + 1u] << 8u)) | + 428 .loc 1 667 0 + 429 006e 40EA0525 orr r5, r0, r5, lsl #8 + 430 .LVL57: + 673:.\Generated_Source\PSoC5/BL.c **** if((pktSize + BL_MIN_PKT_SIZE) > numberRead) + 431 .loc 1 673 0 + 432 0072 EC1D adds r4, r5, #7 + 670:.\Generated_Source\PSoC5/BL.c **** pktChecksum = ((uint16)((uint16)packetBuffer[BL_CHK_ADDR(pktSize) + 1u] << 8u)) | + 433 .loc 1 670 0 + 434 0074 4B19 adds r3, r1, r5 + 673:.\Generated_Source\PSoC5/BL.c **** if((pktSize + BL_MIN_PKT_SIZE) > numberRead) + 435 .loc 1 673 0 + 436 0076 9442 cmp r4, r2 + 670:.\Generated_Source\PSoC5/BL.c **** pktChecksum = ((uint16)((uint16)packetBuffer[BL_CHK_ADDR(pktSize) + 1u] << 8u)) | + 437 .loc 1 670 0 + 438 0078 5879 ldrb r0, [r3, #5] @ zero_extendqisi2 + 671:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_CHK_ADDR(pktSize)]; + 439 .loc 1 671 0 + 440 007a 1979 ldrb r1, [r3, #4] @ zero_extendqisi2 + 441 .LVL58: + 673:.\Generated_Source\PSoC5/BL.c **** if((pktSize + BL_MIN_PKT_SIZE) > numberRead) + 442 .loc 1 673 0 + 443 007c 00F26681 bhi .L89 + 677:.\Generated_Source\PSoC5/BL.c **** else if(packetBuffer[BL_EOP_ADDR(pktSize)] != BL_EOP) + 444 .loc 1 677 0 + 445 0080 9A79 ldrb r2, [r3, #6] @ zero_extendqisi2 + 446 0082 172A cmp r2, #23 + 447 0084 40F06481 bne .L90 + 681:.\Generated_Source\PSoC5/BL.c **** else if(pktChecksum != BL_CalcPacketChecksum(packetBuffer, + 448 .loc 1 681 0 + 449 0088 2B1D adds r3, r5, #4 + 450 008a 9BB2 uxth r3, r3 + 451 .LVL59: + 157:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA sum = 0u; + 452 .loc 1 157 0 + 453 008c 0022 movs r2, #0 + 454 .LVL60: + 455 .L57: + 456 .LBB20: + 457 .LBB21: + 159:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 458 .loc 1 159 0 + 459 008e 3BB1 cbz r3, .L134 + 460 .L58: + 161:.\Generated_Source\PSoC5/BL.c **** sum += buffer[size - 1u]; + 461 .loc 1 161 0 + 462 0090 0DF22714 addw r4, sp, #295 + 463 0094 E45C ldrb r4, [r4, r3] @ zero_extendqisi2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 36 + + + 162:.\Generated_Source\PSoC5/BL.c **** size--; + 464 .loc 1 162 0 + 465 0096 013B subs r3, r3, #1 + 466 .LVL61: + 161:.\Generated_Source\PSoC5/BL.c **** sum += buffer[size - 1u]; + 467 .loc 1 161 0 + 468 0098 1219 adds r2, r2, r4 + 469 .LVL62: + 470 009a 92B2 uxth r2, r2 + 471 .LVL63: + 162:.\Generated_Source\PSoC5/BL.c **** size--; + 472 .loc 1 162 0 + 473 009c 9BB2 uxth r3, r3 + 474 .LVL64: + 475 009e F6E7 b .L57 + 476 .L134: + 165:.\Generated_Source\PSoC5/BL.c **** return(( uint16 )1u + ( uint16 )(~sum)); + 477 .loc 1 165 0 + 478 00a0 5242 negs r2, r2 + 479 .LVL65: + 480 .LBE21: + 481 .LBE20: + 681:.\Generated_Source\PSoC5/BL.c **** else if(pktChecksum != BL_CalcPacketChecksum(packetBuffer, + 482 .loc 1 681 0 + 483 00a2 41EA0020 orr r0, r1, r0, lsl #8 + 484 .LVL66: + 485 00a6 91B2 uxth r1, r2 + 486 .LVL67: + 487 00a8 8842 cmp r0, r1 + 488 00aa 40F05381 bne .L91 + 489 00ae 4AE0 b .L135 + 490 .LVL68: + 491 .L62: + 492 .LBB22: + 761:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + 493 .loc 1 761 0 + 494 00b0 002E cmp r6, #0 + 495 00b2 00F04D81 beq .L90 + 761:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 1u)) + 496 .loc 1 761 0 is_stmt 0 discriminator 1 + 497 00b6 012D cmp r5, #1 + 498 00b8 4FF00004 mov r4, #0 + 499 00bc 40F03C81 bne .L96 + 764:.\Generated_Source\PSoC5/BL.c **** if(btldrData < BL_NUM_OF_FLASH_ARRAYS) + 500 .loc 1 764 0 is_stmt 1 + 501 00c0 BBF1010F cmp fp, #1 + 502 00c4 00F23881 bhi .L96 + 503 .LVL69: + 504 .LBB23: + 774:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 2u] = LO8(CY_FLASH_NUMBER_ROWS - 1u); + 505 .loc 1 774 0 + 506 00c8 FF23 movs r3, #255 + 772:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR] = LO8(startRow); + 507 .loc 1 772 0 + 508 00ca 8DF82C41 strb r4, [sp, #300] + 773:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 1u] = HI8(startRow); + 509 .loc 1 773 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 37 + + + 510 00ce 8DF82D41 strb r4, [sp, #301] + 778:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 511 .loc 1 778 0 + 512 00d2 2546 mov r5, r4 + 513 .LVL70: + 774:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 2u] = LO8(CY_FLASH_NUMBER_ROWS - 1u); + 514 .loc 1 774 0 + 515 00d4 8DF82E31 strb r3, [sp, #302] + 775:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR + 3u] = HI8(CY_FLASH_NUMBER_ROWS - 1u); + 516 .loc 1 775 0 + 517 00d8 8DF82F61 strb r6, [sp, #303] + 518 .LVL71: + 777:.\Generated_Source\PSoC5/BL.c **** rspSize = 4u; + 519 .loc 1 777 0 + 520 00dc 0424 movs r4, #4 + 521 .LVL72: + 522 .L61: + 523 .LBE23: + 524 .LBE22: + 525 .LBB32: + 526 .LBB33: +1286:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SOP_ADDR] = BL_SOP; + 527 .loc 1 1286 0 + 528 00de 0120 movs r0, #1 +1289:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SIZE_ADDR + 1u] = HI8(size); + 529 .loc 1 1289 0 + 530 00e0 0022 movs r2, #0 +1292:.\Generated_Source\PSoC5/BL.c **** checksum = BL_CalcPacketChecksum(buffer, size + BL_DATA_ADDR); + 531 .loc 1 1292 0 + 532 00e2 211D adds r1, r4, #4 + 533 00e4 ADF80640 strh r4, [sp, #6] @ movhi +1286:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SOP_ADDR] = BL_SOP; + 534 .loc 1 1286 0 + 535 00e8 8DF82801 strb r0, [sp, #296] +1287:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CMD_ADDR] = status; + 536 .loc 1 1287 0 + 537 00ec 8DF82951 strb r5, [sp, #297] +1288:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SIZE_ADDR] = LO8(size); + 538 .loc 1 1288 0 + 539 00f0 8DF82A41 strb r4, [sp, #298] +1289:.\Generated_Source\PSoC5/BL.c **** buffer[BL_SIZE_ADDR + 1u] = HI8(size); + 540 .loc 1 1289 0 + 541 00f4 8DF82B21 strb r2, [sp, #299] +1292:.\Generated_Source\PSoC5/BL.c **** checksum = BL_CalcPacketChecksum(buffer, size + BL_DATA_ADDR); + 542 .loc 1 1292 0 + 543 00f8 8BB2 uxth r3, r1 + 544 .LVL73: + 545 .L82: + 546 .LBB34: + 547 .LBB35: + 161:.\Generated_Source\PSoC5/BL.c **** sum += buffer[size - 1u]; + 548 .loc 1 161 0 + 549 00fa 0DF22710 addw r0, sp, #295 + 550 00fe C15C ldrb r1, [r0, r3] @ zero_extendqisi2 + 162:.\Generated_Source\PSoC5/BL.c **** size--; + 551 .loc 1 162 0 + 552 0100 013B subs r3, r3, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 38 + + + 161:.\Generated_Source\PSoC5/BL.c **** sum += buffer[size - 1u]; + 553 .loc 1 161 0 + 554 0102 5218 adds r2, r2, r1 + 162:.\Generated_Source\PSoC5/BL.c **** size--; + 555 .loc 1 162 0 + 556 0104 9BB2 uxth r3, r3 + 161:.\Generated_Source\PSoC5/BL.c **** sum += buffer[size - 1u]; + 557 .loc 1 161 0 + 558 0106 92B2 uxth r2, r2 + 559 .LVL74: + 159:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 560 .loc 1 159 0 + 561 0108 002B cmp r3, #0 + 562 010a F6D1 bne .L82 + 165:.\Generated_Source\PSoC5/BL.c **** return(( uint16 )1u + ( uint16 )(~sum)); + 563 .loc 1 165 0 + 564 010c 5042 negs r0, r2 + 565 010e 81B2 uxth r1, r0 + 566 .LBE35: + 567 .LBE34: +1295:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(1u + size)] = HI8(checksum); + 568 .loc 1 1295 0 + 569 0110 080A lsrs r0, r1, #8 +1294:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(size)] = LO8(checksum); + 570 .loc 1 1294 0 + 571 0112 4BAA add r2, sp, #300 + 572 .LVL75: +1295:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(1u + size)] = HI8(checksum); + 573 .loc 1 1295 0 + 574 0114 0DF22D13 addw r3, sp, #301 + 575 .LVL76: +1294:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(size)] = LO8(checksum); + 576 .loc 1 1294 0 + 577 0118 1155 strb r1, [r2, r4] +1295:.\Generated_Source\PSoC5/BL.c **** buffer[BL_CHK_ADDR(1u + size)] = HI8(checksum); + 578 .loc 1 1295 0 + 579 011a 1855 strb r0, [r3, r4] +1296:.\Generated_Source\PSoC5/BL.c **** buffer[BL_EOP_ADDR(size)] = BL_EOP; + 580 .loc 1 1296 0 + 581 011c 1721 movs r1, #23 + 582 011e 0DF59772 add r2, sp, #302 +1299:.\Generated_Source\PSoC5/BL.c **** return(CyBtldrCommWrite(buffer, size + BL_MIN_PKT_SIZE, &size, 150u)); + 583 .loc 1 1299 0 + 584 0122 E31D adds r3, r4, #7 +1296:.\Generated_Source\PSoC5/BL.c **** buffer[BL_EOP_ADDR(size)] = BL_EOP; + 585 .loc 1 1296 0 + 586 0124 1155 strb r1, [r2, r4] +1299:.\Generated_Source\PSoC5/BL.c **** return(CyBtldrCommWrite(buffer, size + BL_MIN_PKT_SIZE, &size, 150u)); + 587 .loc 1 1299 0 + 588 0126 4AA8 add r0, sp, #296 + 589 .LVL77: + 590 0128 99B2 uxth r1, r3 + 591 012a 0DF10602 add r2, sp, #6 + 592 012e 9623 movs r3, #150 + 593 0130 FFF7FEFF bl USBFS_CyBtldrCommWrite + 594 .LVL78: + 595 .L55: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 39 + + + 596 .LBE33: + 597 .LBE32: +1255:.\Generated_Source\PSoC5/BL.c **** } while ((0u == timeOut) || (BL_COMMUNICATION_STATE_ACTIVE == communicationState)); + 598 .loc 1 1255 0 + 599 0134 B8F1000F cmp r8, #0 + 600 0138 3FF472AF beq .L112 +1255:.\Generated_Source\PSoC5/BL.c **** } while ((0u == timeOut) || (BL_COMMUNICATION_STATE_ACTIVE == communicationState)); + 601 .loc 1 1255 0 is_stmt 0 discriminator 1 + 602 013c 002E cmp r6, #0 + 603 013e 00F01281 beq .L136 + 604 .LVL79: + 605 .L84: +1029:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; + 606 .loc 1 1029 0 is_stmt 1 + 607 0142 0126 movs r6, #1 + 608 0144 69E7 b .L128 + 609 .LVL80: + 610 .L135: + 611 .LBB36: + 698:.\Generated_Source\PSoC5/BL.c **** switch(packetBuffer[BL_CMD_ADDR]) + 612 .loc 1 698 0 + 613 0146 9DF82921 ldrb r2, [sp, #297] @ zero_extendqisi2 + 614 .LVL81: + 695:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA btldrData = packetBuffer[BL_DATA_ADDR]; + 615 .loc 1 695 0 + 616 014a 9DF82CB1 ldrb fp, [sp, #300] @ zero_extendqisi2 + 617 .LVL82: + 698:.\Generated_Source\PSoC5/BL.c **** switch(packetBuffer[BL_CMD_ADDR]) + 618 .loc 1 698 0 + 619 014e A2F13103 sub r3, r2, #49 + 620 .LVL83: + 621 0152 0A2B cmp r3, #10 + 622 0154 00F2F780 bhi .L110 + 623 0158 01A1 adr r1, .L85 + 624 015a 51F823F0 ldr pc, [r1, r3, lsl #2] + 625 015e 00BF .align 2 + 626 .L85: + 627 0160 8D010000 .word .L60+1 + 628 0164 B1000000 .word .L62+1 + 629 0168 47030000 .word .L110+1 + 630 016c AB010000 .word .L63+1 + 631 0170 5D020000 .word .L69+1 + 632 0174 47030000 .word .L110+1 + 633 0178 63020000 .word .L71+1 + 634 017c 81020000 .word .L72+1 + 635 0180 AB010000 .word .L63+1 + 636 0184 9B020000 .word .L73+1 + 637 0188 27030000 .word .L80+1 + 638 .L60: + 743:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 0u)) + 639 .loc 1 743 0 + 640 018c 002E cmp r6, #0 + 641 018e 00F0DF80 beq .L90 + 743:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 0u)) + 642 .loc 1 743 0 is_stmt 0 discriminator 1 + 643 0192 002D cmp r5, #0 + 644 0194 40F0DC80 bne .L90 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 40 + + + 746:.\Generated_Source\PSoC5/BL.c **** (uint8)(BL_ValidateBootloadable(BL_activeApp) == CYRET_SUCCESS); + 645 .loc 1 746 0 is_stmt 1 + 646 0198 FFF7FEFF bl BL_ValidateBootloadable.constprop.0 + 647 .LVL84: + 648 019c D0F10102 rsbs r2, r0, #1 + 649 01a0 38BF it cc + 650 01a2 0022 movcc r2, #0 + 651 01a4 8DF82C21 strb r2, [sp, #300] + 652 .LVL85: + 653 01a8 BBE0 b .L132 + 654 .LVL86: + 655 .L63: + 825:.\Generated_Source\PSoC5/BL.c **** if (BL_COMMAND_ERASE == packetBuffer[BL_CMD_ADDR]) + 656 .loc 1 825 0 + 657 01aa 342A cmp r2, #52 + 658 01ac 12D1 bne .L64 + 827:.\Generated_Source\PSoC5/BL.c **** if ((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + 659 .loc 1 827 0 + 660 01ae 002E cmp r6, #0 + 661 01b0 00F0CE80 beq .L90 + 827:.\Generated_Source\PSoC5/BL.c **** if ((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + 662 .loc 1 827 0 is_stmt 0 discriminator 1 + 663 01b4 032D cmp r5, #3 + 664 01b6 40F0CB80 bne .L90 + 830:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 665 .loc 1 830 0 is_stmt 1 + 666 01ba ABF14007 sub r7, fp, #64 + 834:.\Generated_Source\PSoC5/BL.c **** dataOffset = CY_EEPROM_SIZEOF_ROW; + 667 .loc 1 834 0 + 668 01be 3F2F cmp r7, #63 + 669 01c0 8CBF ite hi + 670 01c2 4FF49077 movhi r7, #288 + 671 01c6 1027 movls r7, #16 + 672 .LVL87: + 849:.\Generated_Source\PSoC5/BL.c **** (void) memset(dataBuffer, 0, dataOffset); + 673 .loc 1 849 0 + 674 01c8 95A8 add r0, sp, #596 + 675 01ca 0021 movs r1, #0 + 676 01cc 3A46 mov r2, r7 + 677 01ce FFF7FEFF bl memset + 678 .LVL88: + 679 01d2 05E0 b .L66 + 680 .LVL89: + 681 .L64: + 861:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize >= 3u)) + 682 .loc 1 861 0 + 683 01d4 002E cmp r6, #0 + 684 01d6 00F0BB80 beq .L90 + 861:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize >= 3u)) + 685 .loc 1 861 0 is_stmt 0 discriminator 1 + 686 01da 022D cmp r5, #2 + 687 01dc 40F2B880 bls .L90 + 688 .LVL90: + 689 .L66: + 870:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&dataBuffer[dataOffset], + 690 .loc 1 870 0 is_stmt 1 + 691 01e0 033D subs r5, r5, #3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 41 + + + 692 .LVL91: + 693 01e2 95AB add r3, sp, #596 + 694 01e4 2A46 mov r2, r5 + 695 01e6 D819 adds r0, r3, r7 + 696 01e8 0DF22F11 addw r1, sp, #303 + 697 01ec FFF7FEFF bl memcpy + 698 .LVL92: + 878:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 699 .loc 1 878 0 + 700 01f0 ABF14000 sub r0, fp, #64 + 875:.\Generated_Source\PSoC5/BL.c **** dataOffset += (pktSize - 3u); + 701 .loc 1 875 0 + 702 01f4 7A19 adds r2, r7, r5 + 878:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 703 .loc 1 878 0 + 704 01f6 3F28 cmp r0, #63 + 875:.\Generated_Source\PSoC5/BL.c **** dataOffset += (pktSize - 3u); + 705 .loc 1 875 0 + 706 01f8 96B2 uxth r6, r2 + 707 .LVL93: + 878:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 708 .loc 1 878 0 + 709 01fa 03D8 bhi .L102 + 882:.\Generated_Source\PSoC5/BL.c **** CyEEPROM_Start(); + 710 .loc 1 882 0 + 711 01fc FFF7FEFF bl CyEEPROM_Start + 712 .LVL94: + 885:.\Generated_Source\PSoC5/BL.c **** pktSize = CY_EEPROM_SIZEOF_ROW; + 713 .loc 1 885 0 + 714 0200 1024 movs r4, #16 + 715 0202 01E0 b .L67 + 716 .LVL95: + 717 .L102: + 890:.\Generated_Source\PSoC5/BL.c **** pktSize = BL_FROW_SIZE; + 718 .loc 1 890 0 + 719 0204 4FF49074 mov r4, #288 + 720 .LVL96: + 721 .L67: + 899:.\Generated_Source\PSoC5/BL.c **** if(dataOffset == pktSize) + 722 .loc 1 899 0 + 723 0208 A642 cmp r6, r4 + 724 020a 40F09780 bne .L103 + 902:.\Generated_Source\PSoC5/BL.c **** dataOffset = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + 725 .loc 1 902 0 + 726 020e 9DF82E11 ldrb r1, [sp, #302] @ zero_extendqisi2 + 727 0212 9DF82D71 ldrb r7, [sp, #301] @ zero_extendqisi2 + 906:.\Generated_Source\PSoC5/BL.c **** if(btldrData <= BL_LAST_FLASH_ARRAYID) + 728 .loc 1 906 0 + 729 0216 BBF13F0F cmp fp, #63 + 902:.\Generated_Source\PSoC5/BL.c **** dataOffset = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u)) | + 730 .loc 1 902 0 + 731 021a 47EA0125 orr r5, r7, r1, lsl #8 + 732 .LVL97: + 906:.\Generated_Source\PSoC5/BL.c **** if(btldrData <= BL_LAST_FLASH_ARRAYID) + 733 .loc 1 906 0 + 734 021e 11D8 bhi .L68 + 912:.\Generated_Source\PSoC5/BL.c **** if(0u == clearedMetaData) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 42 + + + 735 .loc 1 912 0 + 736 0220 BAF1000F cmp sl, #0 + 737 0224 0ED1 bne .L68 + 738 .LBB24: + 921:.\Generated_Source\PSoC5/BL.c **** (void) memset(erase, 0, BL_FROW_SIZE); + 739 .loc 1 921 0 + 740 0226 5146 mov r1, sl + 741 0228 4FF49072 mov r2, #288 + 742 022c 02A8 add r0, sp, #8 + 743 022e FFF7FEFF bl memset + 744 .LVL98: + 927:.\Generated_Source\PSoC5/BL.c **** (void) CyWriteRowFull((uint8) BL_MD_FLASH_ARRAY_NUM, + 745 .loc 1 927 0 + 746 0232 0120 movs r0, #1 + 747 0234 FF21 movs r1, #255 + 748 0236 02AA add r2, sp, #8 + 749 0238 4FF49073 mov r3, #288 + 750 023c FFF7FEFF bl CyWriteRowFull + 751 .LVL99: + 934:.\Generated_Source\PSoC5/BL.c **** clearedMetaData = 1u; + 752 .loc 1 934 0 + 753 0240 4FF0010A mov sl, #1 + 754 .LVL100: + 755 .L68: + 756 .LBE24: +1002:.\Generated_Source\PSoC5/BL.c **** ackCode = (CYRET_SUCCESS != CyWriteRowFull(btldrData, dataOffset, dataB + 757 .loc 1 1002 0 + 758 0244 3346 mov r3, r6 + 759 0246 5846 mov r0, fp + 760 0248 2946 mov r1, r5 + 761 024a 95AA add r2, sp, #596 + 762 024c FFF7FEFF bl CyWriteRowFull + 763 .LVL101: + 764 0250 0126 movs r6, #1 + 765 0252 0028 cmp r0, #0 + 766 0254 75D0 beq .L104 +1014:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; + 767 .loc 1 1014 0 + 768 0256 0027 movs r7, #0 +1002:.\Generated_Source\PSoC5/BL.c **** ackCode = (CYRET_SUCCESS != CyWriteRowFull(btldrData, dataOffset, dataB + 769 .loc 1 1002 0 + 770 0258 0A25 movs r5, #10 + 771 .LVL102: + 772 025a 75E0 b .L131 + 773 .LVL103: + 774 .L69: +1026:.\Generated_Source\PSoC5/BL.c **** if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) + 775 .loc 1 1026 0 + 776 025c 002E cmp r6, #0 + 777 025e 77D0 beq .L90 + 778 0260 7AE0 b .L70 + 779 .L71: +1077:.\Generated_Source\PSoC5/BL.c **** if(BL_COMMUNICATION_STATE_ACTIVE == communicationState) + 780 .loc 1 1077 0 + 781 0262 002E cmp r6, #0 + 782 0264 74D0 beq .L90 +1080:.\Generated_Source\PSoC5/BL.c **** if((dataOffset + pktSize) <= BL_SIZEOF_COMMAND_BUFFER) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 43 + + + 783 .loc 1 1080 0 + 784 0266 7C19 adds r4, r7, r5 + 785 0268 B4F5967F cmp r4, #300 + 786 026c 6ED8 bhi .L89 + 787 .LVL104: +1089:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&dataBuffer[dataOffset], + 788 .loc 1 1089 0 + 789 026e 95A9 add r1, sp, #596 + 790 0270 C819 adds r0, r1, r7 + 791 0272 2A46 mov r2, r5 + 792 0274 4BA9 add r1, sp, #300 + 793 .LVL105: + 794 0276 FFF7FEFF bl memcpy + 795 .LVL106: +1094:.\Generated_Source\PSoC5/BL.c **** dataOffset += pktSize; + 796 .loc 1 1094 0 + 797 027a A7B2 uxth r7, r4 + 798 .LVL107: + 799 .L133: +1082:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 800 .loc 1 1082 0 + 801 027c 0025 movs r5, #0 + 802 027e 63E0 b .L131 + 803 .LVL108: + 804 .L72: +1112:.\Generated_Source\PSoC5/BL.c **** if(pktSize == 0u) + 805 .loc 1 1112 0 + 806 0280 002D cmp r5, #0 + 807 0282 65D1 bne .L90 + 808 .LBB25: +1121:.\Generated_Source\PSoC5/BL.c **** BL_ENTER CYDATA BtldrVersion = + 809 .loc 1 1121 0 + 810 0284 3A48 ldr r0, .L138 + 811 0286 02AE add r6, sp, #8 +1135:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&packetBuffer[BL_DATA_ADDR], + 812 .loc 1 1135 0 + 813 0288 4BAC add r4, sp, #300 + 814 .LVL109: +1121:.\Generated_Source\PSoC5/BL.c **** BL_ENTER CYDATA BtldrVersion = + 815 .loc 1 1121 0 + 816 028a 03C8 ldmia r0, {r0, r1} + 817 028c 86E80300 stmia r6, {r0, r1} + 818 .LVL110: +1135:.\Generated_Source\PSoC5/BL.c **** (void) memcpy(&packetBuffer[BL_DATA_ADDR], + 819 .loc 1 1135 0 + 820 0290 84E80300 stmia r4, {r0, r1} + 821 .LVL111: +1126:.\Generated_Source\PSoC5/BL.c **** communicationState = BL_COMMUNICATION_STATE_ACTIVE; + 822 .loc 1 1126 0 + 823 0294 0126 movs r6, #1 +1128:.\Generated_Source\PSoC5/BL.c **** rspSize = sizeof(BL_ENTER); + 824 .loc 1 1128 0 + 825 0296 0824 movs r4, #8 + 826 .LVL112: + 827 0298 21E7 b .L61 + 828 .LVL113: + 829 .L73: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 44 + + + 830 .LBE25: +1150:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + 831 .loc 1 1150 0 + 832 029a 002E cmp r6, #0 + 833 029c 58D0 beq .L90 +1150:.\Generated_Source\PSoC5/BL.c **** if((BL_COMMUNICATION_STATE_ACTIVE == communicationState) && (pktSize == 3u)) + 834 .loc 1 1150 0 is_stmt 0 discriminator 1 + 835 029e 032D cmp r5, #3 + 836 02a0 56D1 bne .L90 + 837 .LBB26: +1153:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA rowNum = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u) + 838 .loc 1 1153 0 is_stmt 1 + 839 02a2 9DF82E01 ldrb r0, [sp, #302] @ zero_extendqisi2 + 840 02a6 9DF82D11 ldrb r1, [sp, #301] @ zero_extendqisi2 +1161:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 841 .loc 1 1161 0 + 842 02aa ABF14002 sub r2, fp, #64 + 843 02ae 3F2A cmp r2, #63 +1153:.\Generated_Source\PSoC5/BL.c **** uint16 CYDATA rowNum = ((uint16)((uint16)packetBuffer[BL_DATA_ADDR + 2u] << 8u) + 844 .loc 1 1153 0 + 845 02b0 41EA0025 orr r5, r1, r0, lsl #8 + 846 .LVL114: +1161:.\Generated_Source\PSoC5/BL.c **** if((btldrData >= BL_FIRST_EE_ARRAYID) && + 847 .loc 1 1161 0 + 848 02b4 0AD8 bhi .L74 +1166:.\Generated_Source\PSoC5/BL.c **** rowAddr = (uint32)rowNum * CYDEV_EEPROM_ROW_SIZE; + 849 .loc 1 1166 0 + 850 02b6 2D01 lsls r5, r5, #4 + 851 .LVL115: + 227:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA sum = 0u; + 852 .loc 1 227 0 + 853 02b8 0023 movs r3, #0 +1166:.\Generated_Source\PSoC5/BL.c **** rowAddr = (uint32)rowNum * CYDEV_EEPROM_ROW_SIZE; + 854 .loc 1 1166 0 + 855 02ba 1022 movs r2, #16 + 856 .LVL116: + 857 .L75: + 858 .LBB27: + 859 .LBB28: + 610:.\Generated_Source\PSoC5/BL.c **** static void BL_HostLink(uint8 timeOut) + 860 .loc 1 610 0 + 861 02bc 2D48 ldr r0, .L138+4 + 862 02be 1118 adds r1, r2, r0 + 232:.\Generated_Source\PSoC5/BL.c **** sum += BL_GET_EEPROM_BYTE(start + size); + 863 .loc 1 232 0 + 864 02c0 4C5D ldrb r4, [r1, r5] @ zero_extendqisi2 + 229:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 865 .loc 1 229 0 + 866 02c2 013A subs r2, r2, #1 + 867 .LVL117: + 232:.\Generated_Source\PSoC5/BL.c **** sum += BL_GET_EEPROM_BYTE(start + size); + 868 .loc 1 232 0 + 869 02c4 2344 add r3, r3, r4 + 870 02c6 DBB2 uxtb r3, r3 + 871 .LVL118: + 229:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 872 .loc 1 229 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 45 + + + 873 02c8 F8D1 bne .L75 + 874 02ca 26E0 b .L79 + 875 .LVL119: + 876 .L74: + 877 .LBE28: + 878 .LBE27: +1174:.\Generated_Source\PSoC5/BL.c **** + ((uint32)rowNum * CYDEV_FLS_ROW_SIZE); + 879 .loc 1 1174 0 + 880 02cc 05EB0B23 add r3, r5, fp, lsl #8 +1173:.\Generated_Source\PSoC5/BL.c **** rowAddr = ((uint32)btldrData * CYDEV_FLS_SECTOR_SIZE) + 881 .loc 1 1173 0 + 882 02d0 1C02 lsls r4, r3, #8 + 883 .LVL120: + 884 02d2 4FF48072 mov r2, #256 + 192:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA sum = 0u; + 885 .loc 1 192 0 + 886 02d6 0023 movs r3, #0 + 887 .LVL121: + 888 .L77: + 889 .LBB29: + 890 .LBB30: + 196:.\Generated_Source\PSoC5/BL.c **** size--; + 891 .loc 1 196 0 + 892 02d8 013A subs r2, r2, #1 + 893 .LVL122: + 197:.\Generated_Source\PSoC5/BL.c **** sum += BL_GET_CODE_BYTE(start + size); + 894 .loc 1 197 0 + 895 02da 105D ldrb r0, [r2, r4] @ zero_extendqisi2 + 896 02dc 1918 adds r1, r3, r0 + 897 02de CBB2 uxtb r3, r1 + 898 .LVL123: + 194:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 899 .loc 1 194 0 + 900 02e0 002A cmp r2, #0 + 901 02e2 F9D1 bne .L77 + 902 .LVL124: + 903 .LBE30: + 904 .LBE29: +1192:.\Generated_Source\PSoC5/BL.c **** if(btldrData <= BL_LAST_FLASH_ARRAYID) + 905 .loc 1 1192 0 + 906 02e4 BBF13F0F cmp fp, #63 + 907 02e8 17D8 bhi .L79 + 908 .LBB31: +1197:.\Generated_Source\PSoC5/BL.c **** + ((uint32)rowNum * CYDEV_ECC_ROW_SIZE); + 909 .loc 1 1197 0 + 910 02ea 0BF51034 add r4, fp, #147456 + 911 .LVL125: + 912 02ee 05EB0420 add r0, r5, r4, lsl #8 +1196:.\Generated_Source\PSoC5/BL.c **** rowAddr = CYDEV_ECC_BASE + ((uint32)btldrData * (CYDEV_FLS_SECTOR_SIZE + 913 .loc 1 1196 0 + 914 02f2 4101 lsls r1, r0, #5 + 915 .LVL126: + 916 .L78: +1201:.\Generated_Source\PSoC5/BL.c **** checksum += CY_GET_XTND_REG8((uint8 CYFAR *)(rowAddr + tmpIndex)); + 917 .loc 1 1201 0 discriminator 2 + 918 02f4 545C ldrb r4, [r2, r1] @ zero_extendqisi2 + 919 02f6 0132 adds r2, r2, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 46 + + + 920 02f8 1B19 adds r3, r3, r4 +1199:.\Generated_Source\PSoC5/BL.c **** for(tmpIndex = 0u; tmpIndex < CYDEV_ECC_ROW_SIZE; tmpIndex++) + 921 .loc 1 1199 0 discriminator 2 + 922 02fa 202A cmp r2, #32 +1201:.\Generated_Source\PSoC5/BL.c **** checksum += CY_GET_XTND_REG8((uint8 CYFAR *)(rowAddr + tmpIndex)); + 923 .loc 1 1201 0 discriminator 2 + 924 02fc DBB2 uxtb r3, r3 + 925 .LVL127: +1199:.\Generated_Source\PSoC5/BL.c **** for(tmpIndex = 0u; tmpIndex < CYDEV_ECC_ROW_SIZE; tmpIndex++) + 926 .loc 1 1199 0 discriminator 2 + 927 02fe F9D1 bne .L78 + 928 .LBE31: +1213:.\Generated_Source\PSoC5/BL.c **** if((BL_MD_FLASH_ARRAY_NUM == btldrData) && + 929 .loc 1 1213 0 + 930 0300 BBF1010F cmp fp, #1 + 931 0304 09D1 bne .L79 +1213:.\Generated_Source\PSoC5/BL.c **** if((BL_MD_FLASH_ARRAY_NUM == btldrData) && + 932 .loc 1 1213 0 is_stmt 0 discriminator 1 + 933 0306 FF2D cmp r5, #255 + 934 0308 07D1 bne .L79 +1216:.\Generated_Source\PSoC5/BL.c **** checksum -= BL_MD_BTLDB_ACTIVE_VALUE (BL_GET_APP_ID(rowNum)); + 935 .loc 1 1216 0 is_stmt 1 + 936 030a 1B4D ldr r5, .L138+8 + 937 .LVL128: +1217:.\Generated_Source\PSoC5/BL.c **** checksum -= BL_MD_BTLDB_VERIFIED_VALUE(BL_GET_APP_ID(rowNum)); + 938 .loc 1 1217 0 + 939 030c 1B4C ldr r4, .L138+12 +1216:.\Generated_Source\PSoC5/BL.c **** checksum -= BL_MD_BTLDB_ACTIVE_VALUE (BL_GET_APP_ID(rowNum)); + 940 .loc 1 1216 0 + 941 030e 2878 ldrb r0, [r5, #0] @ zero_extendqisi2 + 942 0310 191A subs r1, r3, r0 + 943 .LVL129: +1217:.\Generated_Source\PSoC5/BL.c **** checksum -= BL_MD_BTLDB_VERIFIED_VALUE(BL_GET_APP_ID(rowNum)); + 944 .loc 1 1217 0 + 945 0312 2378 ldrb r3, [r4, #0] @ zero_extendqisi2 + 946 0314 CA1A subs r2, r1, r3 + 947 0316 02F0FF03 and r3, r2, #255 + 948 .LVL130: + 949 .L79: +1220:.\Generated_Source\PSoC5/BL.c **** packetBuffer[BL_DATA_ADDR] = (uint8)1u + (uint8)(~checksum); + 950 .loc 1 1220 0 + 951 031a 5D42 negs r5, r3 + 952 031c 8DF82C51 strb r5, [sp, #300] + 953 .LVL131: +1221:.\Generated_Source\PSoC5/BL.c **** ackCode = CYRET_SUCCESS; + 954 .loc 1 1221 0 + 955 0320 0025 movs r5, #0 + 956 .LVL132: + 957 .L132: +1222:.\Generated_Source\PSoC5/BL.c **** rspSize = 1u; + 958 .loc 1 1222 0 + 959 0322 0124 movs r4, #1 + 960 0324 DBE6 b .L61 + 961 .LVL133: + 962 .L80: + 963 .LBE26: +1232:.\Generated_Source\PSoC5/BL.c **** if(CYRET_SUCCESS == BL_ValidateBootloadable(BL_activeApp)) + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 47 + + + 964 .loc 1 1232 0 + 965 0326 FFF7FEFF bl BL_ValidateBootloadable.constprop.0 + 966 .LVL134: + 967 032a 10B9 cbnz r0, .L81 +1234:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(BL_START_APP); + 968 .loc 1 1234 0 + 969 032c 144D ldr r5, .L138+16 + 970 .LVL135: + 971 032e 8024 movs r4, #128 + 972 0330 2C70 strb r4, [r5, #0] + 973 .L81: +1237:.\Generated_Source\PSoC5/BL.c **** CySoftwareReset(); + 974 .loc 1 1237 0 + 975 0332 FFF7FEFF bl CySoftwareReset + 976 .LVL136: + 977 0336 0BE0 b .L90 + 978 .LVL137: + 979 .L96: + 697:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_DATA; + 980 .loc 1 697 0 + 981 0338 0425 movs r5, #4 + 982 .LVL138: + 983 033a D0E6 b .L61 + 984 .LVL139: + 985 .L103: + 899:.\Generated_Source\PSoC5/BL.c **** if(dataOffset == pktSize) + 986 .loc 1 899 0 + 987 033c 0126 movs r6, #1 + 988 .LVL140: +1014:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; + 989 .loc 1 1014 0 + 990 033e 0027 movs r7, #0 + 991 .LVL141: + 992 0340 04E0 b .L89 + 993 .LVL142: + 994 .L104: + 995 0342 0746 mov r7, r0 + 996 0344 9AE7 b .L133 + 997 .LVL143: + 998 .L110: +1247:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_CMD; + 999 .loc 1 1247 0 + 1000 0346 0525 movs r5, #5 + 1001 .LVL144: + 1002 .L131: + 692:.\Generated_Source\PSoC5/BL.c **** rspSize = 0u; + 1003 .loc 1 692 0 + 1004 0348 0024 movs r4, #0 + 1005 034a C8E6 b .L61 + 1006 .L89: + 1007 .LBE36: + 675:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_LENGTH; + 1008 .loc 1 675 0 + 1009 034c 0325 movs r5, #3 + 1010 034e FBE7 b .L131 + 1011 .L90: + 663:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_DATA; + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 48 + + + 1012 .loc 1 663 0 + 1013 0350 0425 movs r5, #4 + 1014 0352 F9E7 b .L131 + 1015 .LVL145: + 1016 .L91: + 684:.\Generated_Source\PSoC5/BL.c **** ackCode = BL_ERR_CHECKSUM; + 1017 .loc 1 684 0 + 1018 0354 0825 movs r5, #8 + 1019 .LVL146: + 1020 0356 F7E7 b .L131 + 1021 .LVL147: + 1022 .L70: +1255:.\Generated_Source\PSoC5/BL.c **** } while ((0u == timeOut) || (BL_COMMUNICATION_STATE_ACTIVE == communicationState)); + 1023 .loc 1 1255 0 + 1024 0358 B8F1000F cmp r8, #0 + 1025 035c 01D1 bne .L137 + 1026 .L111: +1029:.\Generated_Source\PSoC5/BL.c **** dataOffset = 0u; + 1027 .loc 1 1029 0 + 1028 035e 4746 mov r7, r8 + 1029 0360 5EE6 b .L112 + 1030 .L137: + 1031 0362 0027 movs r7, #0 + 1032 0364 EDE6 b .L84 + 1033 .LVL148: + 1034 .L136: +1256:.\Generated_Source\PSoC5/BL.c **** } + 1035 .loc 1 1256 0 + 1036 0366 0DF5617D add sp, sp, #900 + 1037 036a BDE8F08F pop {r4, r5, r6, r7, r8, r9, sl, fp, pc} + 1038 .L139: + 1039 036e 00BF .align 2 + 1040 .L138: + 1041 0370 00000000 .word .LANCHOR0 + 1042 0374 FF7F0040 .word 1073774591 + 1043 0378 D0FF0100 .word 131024 + 1044 037c D1FF0100 .word 131025 + 1045 0380 FA460040 .word 1073759994 + 1046 .cfi_endproc + 1047 .LFE64: + 1048 .size BL_HostLink, .-BL_HostLink + 1049 .section .text.BL_Start,"ax",%progbits + 1050 .align 1 + 1051 .global BL_Start + 1052 .thumb + 1053 .thumb_func + 1054 .type BL_Start, %function + 1055 BL_Start: + 1056 .LFB59: + 273:.\Generated_Source\PSoC5/BL.c **** { + 1057 .loc 1 273 0 + 1058 .cfi_startproc + 1059 @ args = 0, pretend = 0, frame = 288 + 1060 @ frame_needed = 0, uses_anonymous_args = 0 + 1061 0000 10B5 push {r4, lr} + 1062 .LCFI4: + 1063 .cfi_def_cfa_offset 8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 49 + + + 1064 .cfi_offset 4, -8 + 1065 .cfi_offset 14, -4 + 1066 0002 C8B0 sub sp, sp, #288 + 1067 .LCFI5: + 1068 .cfi_def_cfa_offset 296 + 306:.\Generated_Source\PSoC5/BL.c **** if (CYRET_SUCCESS != CySetTemp()) + 1069 .loc 1 306 0 + 1070 0004 FFF7FEFF bl CySetTemp + 1071 .LVL149: + 1072 0008 10B1 cbz r0, .L141 + 308:.\Generated_Source\PSoC5/BL.c **** CyHalt(0x00u); + 1073 .loc 1 308 0 + 1074 000a 0020 movs r0, #0 + 1075 000c FFF7FEFF bl CyHalt + 1076 .LVL150: + 1077 .L141: + 311:.\Generated_Source\PSoC5/BL.c **** if (CYRET_SUCCESS != CySetFlashEEBuffer(BL_flashBuffer)) + 1078 .loc 1 311 0 + 1079 0010 6846 mov r0, sp + 1080 0012 FFF7FEFF bl CySetFlashEEBuffer + 1081 .LVL151: + 1082 0016 10B1 cbz r0, .L142 + 313:.\Generated_Source\PSoC5/BL.c **** CyHalt(0x00u); + 1083 .loc 1 313 0 + 1084 0018 0020 movs r0, #0 + 1085 001a FFF7FEFF bl CyHalt + 1086 .LVL152: + 1087 .L142: + 329:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = BL_Calc8BitFlashSum(BL_MD_BTLDR_ADDR_PTR, + 1088 .loc 1 329 0 + 1089 001e 1648 ldr r0, .L162 + 1090 0020 0368 ldr r3, [r0, #0] + 1091 0022 1968 ldr r1, [r3, #0] + 1092 .LVL153: + 192:.\Generated_Source\PSoC5/BL.c **** uint8 CYDATA sum = 0u; + 1093 .loc 1 192 0 + 1094 0024 0023 movs r3, #0 + 329:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = BL_Calc8BitFlashSum(BL_MD_BTLDR_ADDR_PTR, + 1095 .loc 1 329 0 + 1096 0026 0A46 mov r2, r1 + 1097 .LVL154: + 1098 .L143: + 1099 .LBB41: + 1100 .LBB42: + 194:.\Generated_Source\PSoC5/BL.c **** while (size > 0u) + 1101 .loc 1 194 0 + 1102 0028 22B1 cbz r2, .L161 + 1103 .L144: + 197:.\Generated_Source\PSoC5/BL.c **** sum += BL_GET_CODE_BYTE(start + size); + 1104 .loc 1 197 0 + 1105 002a 12F8014D ldrb r4, [r2, #-1]! @ zero_extendqisi2 + 1106 002e E318 adds r3, r4, r3 + 1107 .LVL155: + 1108 0030 DBB2 uxtb r3, r3 + 1109 .LVL156: + 1110 0032 F9E7 b .L143 + 1111 .L161: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 50 + + + 1112 .LBE42: + 1113 .LBE41: + 333:.\Generated_Source\PSoC5/BL.c **** calcedChecksum -= *BL_ChecksumAccess; + 1114 .loc 1 333 0 + 1115 0034 4268 ldr r2, [r0, #4] + 1116 0036 1078 ldrb r0, [r2, #0] @ zero_extendqisi2 + 1117 .LVL157: + 334:.\Generated_Source\PSoC5/BL.c **** calcedChecksum = ( uint8 )1u + ( uint8 )(~calcedChecksum); + 1118 .loc 1 334 0 + 1119 0038 C41A subs r4, r0, r3 + 337:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != *BL_ChecksumAccess) || + 1120 .loc 1 337 0 + 1121 003a 04F0FF03 and r3, r4, #255 + 1122 .LVL158: + 1123 003e 8342 cmp r3, r0 + 1124 0040 00D1 bne .L145 + 337:.\Generated_Source\PSoC5/BL.c **** if((calcedChecksum != *BL_ChecksumAccess) || + 1125 .loc 1 337 0 is_stmt 0 discriminator 1 + 1126 0042 11B9 cbnz r1, .L146 + 1127 .L145: + 340:.\Generated_Source\PSoC5/BL.c **** CyHalt(0x00u); + 1128 .loc 1 340 0 is_stmt 1 + 1129 0044 0020 movs r0, #0 + 1130 0046 FFF7FEFF bl CyHalt + 1131 .LVL159: + 1132 .L146: + 356:.\Generated_Source\PSoC5/BL.c **** if ((BL_GET_RUN_TYPE == BL_START_BTLDR) || + 1133 .loc 1 356 0 + 1134 004a 0C4C ldr r4, .L162+4 + 354:.\Generated_Source\PSoC5/BL.c **** tmpStatus = BL_ValidateBootloadable(BL_activeApp); + 1135 .loc 1 354 0 + 1136 004c FFF7FEFF bl BL_ValidateBootloadable.constprop.0 + 1137 .LVL160: + 356:.\Generated_Source\PSoC5/BL.c **** if ((BL_GET_RUN_TYPE == BL_START_BTLDR) || + 1138 .loc 1 356 0 + 1139 0050 2178 ldrb r1, [r4, #0] @ zero_extendqisi2 + 1140 0052 01F0C002 and r2, r1, #192 + 1141 0056 402A cmp r2, #64 + 1142 0058 00D0 beq .L147 + 356:.\Generated_Source\PSoC5/BL.c **** if ((BL_GET_RUN_TYPE == BL_START_BTLDR) || + 1143 .loc 1 356 0 is_stmt 0 discriminator 1 + 1144 005a 18B1 cbz r0, .L148 + 1145 .L147: + 359:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(0u); + 1146 .loc 1 359 0 is_stmt 1 + 1147 005c 0020 movs r0, #0 + 1148 .LVL161: + 1149 005e 2070 strb r0, [r4, #0] + 361:.\Generated_Source\PSoC5/BL.c **** BL_HostLink(BL_WAIT_FOR_COMMAND_FOREVER); + 1150 .loc 1 361 0 + 1151 0060 FFF7FEFF bl BL_HostLink + 1152 .LVL162: + 1153 .L148: + 369:.\Generated_Source\PSoC5/BL.c **** BL_HostLink(BL_WAIT_FOR_COMMAND_TIME); + 1154 .loc 1 369 0 + 1155 0064 1420 movs r0, #20 + 1156 0066 FFF7FEFF bl BL_HostLink + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 51 + + + 1157 .LVL163: + 1158 .LBB43: + 1159 .LBB44: + 396:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(BL_START_APP); + 1160 .loc 1 396 0 + 1161 006a 8020 movs r0, #128 + 1162 006c 2070 strb r0, [r4, #0] + 398:.\Generated_Source\PSoC5/BL.c **** CySoftwareReset(); + 1163 .loc 1 398 0 + 1164 006e FFF7FEFF bl CySoftwareReset + 1165 .LVL164: + 1166 .LBE44: + 1167 .LBE43: + 376:.\Generated_Source\PSoC5/BL.c **** } + 1168 .loc 1 376 0 + 1169 0072 48B0 add sp, sp, #288 + 1170 0074 10BD pop {r4, pc} + 1171 .L163: + 1172 0076 00BF .align 2 + 1173 .L162: + 1174 0078 00000000 .word .LANCHOR1 + 1175 007c FA460040 .word 1073759994 + 1176 .cfi_endproc + 1177 .LFE59: + 1178 .size BL_Start, .-BL_Start + 1179 .section .text.CyBtldr_CheckLaunch,"ax",%progbits + 1180 .align 1 + 1181 .global CyBtldr_CheckLaunch + 1182 .thumb + 1183 .thumb_func + 1184 .type CyBtldr_CheckLaunch, %function + 1185 CyBtldr_CheckLaunch: + 1186 .LFB61: + 420:.\Generated_Source\PSoC5/BL.c **** { + 1187 .loc 1 420 0 + 1188 .cfi_startproc + 1189 @ args = 0, pretend = 0, frame = 0 + 1190 @ frame_needed = 0, uses_anonymous_args = 0 + 1191 0000 08B5 push {r3, lr} + 1192 .LCFI6: + 1193 .cfi_def_cfa_offset 8 + 1194 .cfi_offset 3, -8 + 1195 .cfi_offset 14, -4 + 437:.\Generated_Source\PSoC5/BL.c **** if (BL_GET_RUN_TYPE == BL_START_APP) + 1196 .loc 1 437 0 + 1197 0002 0A4B ldr r3, .L171 + 1198 0004 1A78 ldrb r2, [r3, #0] @ zero_extendqisi2 + 1199 0006 02F0C000 and r0, r2, #192 + 1200 000a 8028 cmp r0, #128 + 1201 000c 0CD1 bne .L164 + 439:.\Generated_Source\PSoC5/BL.c **** BL_SET_RUN_TYPE(0u); + 1202 .loc 1 439 0 + 1203 000e 0021 movs r1, #0 + 1204 0010 1970 strb r1, [r3, #0] + 447:.\Generated_Source\PSoC5/BL.c **** if(0u != BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, BL_activeApp)) + 1205 .loc 1 447 0 + 1206 0012 0120 movs r0, #1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 52 + + + 1207 0014 FFF7FEFF bl BL_GetMetadata.constprop.1 + 1208 .LVL165: + 1209 0018 30B1 cbz r0, .L164 + 450:.\Generated_Source\PSoC5/BL.c **** BL_LaunchBootloadable(BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, + 1210 .loc 1 450 0 + 1211 001a 0120 movs r0, #1 + 1212 001c FFF7FEFF bl BL_GetMetadata.constprop.1 + 1213 .LVL166: + 454:.\Generated_Source\PSoC5/BL.c **** } + 1214 .loc 1 454 0 + 1215 0020 BDE80840 pop {r3, lr} + 450:.\Generated_Source\PSoC5/BL.c **** BL_LaunchBootloadable(BL_GetMetadata(BL_GET_METADATA_BTLDB_ADDR, + 1216 .loc 1 450 0 + 1217 0024 FFF7FEBF b BL_LaunchBootloadable + 1218 .LVL167: + 1219 .L164: + 1220 0028 08BD pop {r3, pc} + 1221 .L172: + 1222 002a 00BF .align 2 + 1223 .L171: + 1224 002c FA460040 .word 1073759994 + 1225 .cfi_endproc + 1226 .LFE61: + 1227 .size CyBtldr_CheckLaunch, .-CyBtldr_CheckLaunch + 1228 .section .text.BL_SetFlashByte,"ax",%progbits + 1229 .align 1 + 1230 .global BL_SetFlashByte + 1231 .thumb + 1232 .thumb_func + 1233 .type BL_SetFlashByte, %function + 1234 BL_SetFlashByte: + 1235 .LFB66: +1322:.\Generated_Source\PSoC5/BL.c **** { + 1236 .loc 1 1322 0 + 1237 .cfi_startproc + 1238 @ args = 0, pretend = 0, frame = 256 + 1239 @ frame_needed = 0, uses_anonymous_args = 0 + 1240 .LVL168: + 1241 0000 70B5 push {r4, r5, r6, lr} + 1242 .LCFI7: + 1243 .cfi_def_cfa_offset 16 + 1244 .cfi_offset 4, -16 + 1245 .cfi_offset 5, -12 + 1246 .cfi_offset 6, -8 + 1247 .cfi_offset 14, -4 +1330:.\Generated_Source\PSoC5/BL.c **** uint16 rowNum = ( uint16 )((flsAddr % CYDEV_FLS_SECTOR_SIZE) / CYDEV_FLS_ROW_SIZE); + 1248 .loc 1 1330 0 + 1249 0002 C0F30722 ubfx r2, r0, #8, #8 +1322:.\Generated_Source\PSoC5/BL.c **** { + 1250 .loc 1 1322 0 + 1251 0006 C0B0 sub sp, sp, #256 + 1252 .LCFI8: + 1253 .cfi_def_cfa_offset 272 +1327:.\Generated_Source\PSoC5/BL.c **** uint8 arrayId = ( uint8 )(flsAddr / CYDEV_FLS_SECTOR_SIZE); + 1254 .loc 1 1327 0 + 1255 0008 C0F30744 ubfx r4, r0, #16, #8 + 1256 .LVL169: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 53 + + +1331:.\Generated_Source\PSoC5/BL.c **** uint32 baseAddr = address - (address % CYDEV_FLS_ROW_SIZE); + 1257 .loc 1 1331 0 + 1258 000c 20F0FF06 bic r6, r0, #255 + 1259 .LVL170: + 1260 0010 0023 movs r3, #0 + 1261 .LVL171: + 1262 .L174: +1336:.\Generated_Source\PSoC5/BL.c **** rowData[idx] = BL_GET_CODE_BYTE(baseAddr + idx); + 1263 .loc 1 1336 0 discriminator 2 + 1264 0012 9D5D ldrb r5, [r3, r6] @ zero_extendqisi2 + 1265 0014 0DF80350 strb r5, [sp, r3] + 1266 0018 0133 adds r3, r3, #1 +1334:.\Generated_Source\PSoC5/BL.c **** for(idx = 0u; idx < CYDEV_FLS_ROW_SIZE; idx++) + 1267 .loc 1 1334 0 discriminator 2 + 1268 001a B3F5807F cmp r3, #256 + 1269 001e F8D1 bne .L174 +1339:.\Generated_Source\PSoC5/BL.c **** rowData[address % CYDEV_FLS_ROW_SIZE] = runType; + 1270 .loc 1 1339 0 + 1271 0020 C0B2 uxtb r0, r0 + 1272 .LVL172: + 1273 0022 0DF80010 strb r1, [sp, r0] +1344:.\Generated_Source\PSoC5/BL.c **** (void) CyWriteRowData(arrayId, rowNum, rowData); + 1274 .loc 1 1344 0 + 1275 0026 1146 mov r1, r2 + 1276 .LVL173: + 1277 0028 2046 mov r0, r4 + 1278 .LVL174: + 1279 002a 6A46 mov r2, sp + 1280 .LVL175: + 1281 002c FFF7FEFF bl CyWriteRowData + 1282 .LVL176: +1346:.\Generated_Source\PSoC5/BL.c **** } + 1283 .loc 1 1346 0 + 1284 0030 40B0 add sp, sp, #256 + 1285 0032 70BD pop {r4, r5, r6, pc} + 1286 .cfi_endproc + 1287 .LFE66: + 1288 .size BL_SetFlashByte, .-BL_SetFlashByte + 1289 .global BL_SizeBytesAccess + 1290 .global BL_SizeBytes + 1291 .global BL_ChecksumAccess + 1292 .global BL_Checksum + 1293 .section .rodata + 1294 .align 2 + 1295 .set .LANCHOR0,. + 0 + 1296 .LC0: + 1297 0000 6930132E .word 773009513 + 1298 0004 00 .byte 0 + 1299 0005 14 .byte 20 + 1300 0006 01 .byte 1 + 1301 0007 01 .byte 1 + 1302 .section .bootloader,"a",%progbits + 1303 .align 2 + 1304 .type BL_SizeBytes, %object + 1305 .size BL_SizeBytes, 4 + 1306 BL_SizeBytes: + 1307 0000 FFFFFFFF .word -1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 54 + + + 1308 .type BL_Checksum, %object + 1309 .size BL_Checksum, 1 + 1310 BL_Checksum: + 1311 0004 00 .space 1 + 1312 0005 000000 .data + 1313 .align 2 + 1314 .set .LANCHOR1,. + 0 + 1315 .type BL_SizeBytesAccess, %object + 1316 .size BL_SizeBytesAccess, 4 + 1317 BL_SizeBytesAccess: + 1318 0000 00000000 .word BL_SizeBytes + 1319 .type BL_ChecksumAccess, %object + 1320 .size BL_ChecksumAccess, 4 + 1321 BL_ChecksumAccess: + 1322 0004 00000000 .word BL_Checksum + 1323 .text + 1324 .Letext0: + 1325 .file 2 "c:\\program files (x86)\\cypress\\psoc creator\\3.0\\psoc creator\\import\\gnu_cs\\arm\\4 + 1326 .file 3 ".\\Generated_Source\\PSoC5\\cytypes.h" + 1327 .file 4 ".\\Generated_Source\\PSoC5\\BL_PVT.h" + 1328 .file 5 "./Generated_Source/PSoC5/core_cm3.h" + 1329 .file 6 "./Generated_Source/PSoC5/CyFlash.h" + 1330 .file 7 "./Generated_Source/PSoC5/CyLib.h" + 1331 .file 8 "./Generated_Source/PSoC5/USBFS.h" + 1332 .section .debug_info,"",%progbits + 1333 .Ldebug_info0: + 1334 0000 EE0B0000 .4byte 0xbee + 1335 0004 0200 .2byte 0x2 + 1336 0006 00000000 .4byte .Ldebug_abbrev0 + 1337 000a 04 .byte 0x4 + 1338 000b 01 .uleb128 0x1 + 1339 000c F9010000 .4byte .LASF92 + 1340 0010 01 .byte 0x1 + 1341 0011 42020000 .4byte .LASF93 + 1342 0015 E3000000 .4byte .LASF94 + 1343 0019 18000000 .4byte .Ldebug_ranges0+0x18 + 1344 001d 00000000 .4byte 0 + 1345 0021 00000000 .4byte 0 + 1346 0025 00000000 .4byte .Ldebug_line0 + 1347 0029 02 .uleb128 0x2 + 1348 002a 01 .byte 0x1 + 1349 002b 06 .byte 0x6 + 1350 002c 5E010000 .4byte .LASF0 + 1351 0030 02 .uleb128 0x2 + 1352 0031 01 .byte 0x1 + 1353 0032 08 .byte 0x8 + 1354 0033 9F020000 .4byte .LASF1 + 1355 0037 02 .uleb128 0x2 + 1356 0038 02 .byte 0x2 + 1357 0039 05 .byte 0x5 + 1358 003a 5D000000 .4byte .LASF2 + 1359 003e 02 .uleb128 0x2 + 1360 003f 02 .byte 0x2 + 1361 0040 07 .byte 0x7 + 1362 0041 A9030000 .4byte .LASF3 + 1363 0045 03 .uleb128 0x3 + 1364 0046 CE020000 .4byte .LASF9 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 55 + + + 1365 004a 02 .byte 0x2 + 1366 004b 4F .byte 0x4f + 1367 004c 50000000 .4byte 0x50 + 1368 0050 02 .uleb128 0x2 + 1369 0051 04 .byte 0x4 + 1370 0052 05 .byte 0x5 + 1371 0053 88030000 .4byte .LASF4 + 1372 0057 02 .uleb128 0x2 + 1373 0058 04 .byte 0x4 + 1374 0059 07 .byte 0x7 + 1375 005a 16040000 .4byte .LASF5 + 1376 005e 02 .uleb128 0x2 + 1377 005f 08 .byte 0x8 + 1378 0060 05 .byte 0x5 + 1379 0061 70010000 .4byte .LASF6 + 1380 0065 02 .uleb128 0x2 + 1381 0066 08 .byte 0x8 + 1382 0067 07 .byte 0x7 + 1383 0068 D6020000 .4byte .LASF7 + 1384 006c 04 .uleb128 0x4 + 1385 006d 04 .byte 0x4 + 1386 006e 05 .byte 0x5 + 1387 006f 696E7400 .ascii "int\000" + 1388 0073 02 .uleb128 0x2 + 1389 0074 04 .byte 0x4 + 1390 0075 07 .byte 0x7 + 1391 0076 A9040000 .4byte .LASF8 + 1392 007a 03 .uleb128 0x3 + 1393 007b 33010000 .4byte .LASF10 + 1394 007f 03 .byte 0x3 + 1395 0080 5B .byte 0x5b + 1396 0081 30000000 .4byte 0x30 + 1397 0085 03 .uleb128 0x3 + 1398 0086 0B010000 .4byte .LASF11 + 1399 008a 03 .byte 0x3 + 1400 008b 5C .byte 0x5c + 1401 008c 3E000000 .4byte 0x3e + 1402 0090 03 .uleb128 0x3 + 1403 0091 0A040000 .4byte .LASF12 + 1404 0095 03 .byte 0x3 + 1405 0096 5D .byte 0x5d + 1406 0097 57000000 .4byte 0x57 + 1407 009b 02 .uleb128 0x2 + 1408 009c 04 .byte 0x4 + 1409 009d 04 .byte 0x4 + 1410 009e 6A010000 .4byte .LASF13 + 1411 00a2 02 .uleb128 0x2 + 1412 00a3 08 .byte 0x8 + 1413 00a4 04 .byte 0x4 + 1414 00a5 30040000 .4byte .LASF14 + 1415 00a9 02 .uleb128 0x2 + 1416 00aa 01 .byte 0x1 + 1417 00ab 08 .byte 0x8 + 1418 00ac 85010000 .4byte .LASF15 + 1419 00b0 03 .uleb128 0x3 + 1420 00b1 0D030000 .4byte .LASF16 + 1421 00b5 03 .byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 56 + + + 1422 00b6 E8 .byte 0xe8 + 1423 00b7 57000000 .4byte 0x57 + 1424 00bb 03 .uleb128 0x3 + 1425 00bc 11040000 .4byte .LASF17 + 1426 00c0 03 .byte 0x3 + 1427 00c1 F0 .byte 0xf0 + 1428 00c2 C6000000 .4byte 0xc6 + 1429 00c6 05 .uleb128 0x5 + 1430 00c7 7A000000 .4byte 0x7a + 1431 00cb 06 .uleb128 0x6 + 1432 00cc 08 .byte 0x8 + 1433 00cd 04 .byte 0x4 + 1434 00ce 15 .byte 0x15 + 1435 00cf FE000000 .4byte 0xfe + 1436 00d3 07 .uleb128 0x7 + 1437 00d4 CC030000 .4byte .LASF18 + 1438 00d8 04 .byte 0x4 + 1439 00d9 17 .byte 0x17 + 1440 00da 90000000 .4byte 0x90 + 1441 00de 02 .byte 0x2 + 1442 00df 23 .byte 0x23 + 1443 00e0 00 .uleb128 0 + 1444 00e1 07 .uleb128 0x7 + 1445 00e2 93040000 .4byte .LASF19 + 1446 00e6 04 .byte 0x4 + 1447 00e7 18 .byte 0x18 + 1448 00e8 7A000000 .4byte 0x7a + 1449 00ec 02 .byte 0x2 + 1450 00ed 23 .byte 0x23 + 1451 00ee 04 .uleb128 0x4 + 1452 00ef 07 .uleb128 0x7 + 1453 00f0 4C010000 .4byte .LASF20 + 1454 00f4 04 .byte 0x4 + 1455 00f5 19 .byte 0x19 + 1456 00f6 FE000000 .4byte 0xfe + 1457 00fa 02 .byte 0x2 + 1458 00fb 23 .byte 0x23 + 1459 00fc 05 .uleb128 0x5 + 1460 00fd 00 .byte 0 + 1461 00fe 08 .uleb128 0x8 + 1462 00ff 7A000000 .4byte 0x7a + 1463 0103 0E010000 .4byte 0x10e + 1464 0107 09 .uleb128 0x9 + 1465 0108 0E010000 .4byte 0x10e + 1466 010c 02 .byte 0x2 + 1467 010d 00 .byte 0 + 1468 010e 02 .uleb128 0x2 + 1469 010f 04 .byte 0x4 + 1470 0110 07 .byte 0x7 + 1471 0111 7E000000 .4byte .LASF21 + 1472 0115 03 .uleb128 0x3 + 1473 0116 8A040000 .4byte .LASF22 + 1474 011a 04 .byte 0x4 + 1475 011b 1B .byte 0x1b + 1476 011c CB000000 .4byte 0xcb + 1477 0120 0A .uleb128 0xa + 1478 0121 04 .byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 57 + + + 1479 0122 0B .uleb128 0xb + 1480 0123 04 .byte 0x4 + 1481 0124 28010000 .4byte 0x128 + 1482 0128 0C .uleb128 0xc + 1483 0129 0D .uleb128 0xd + 1484 012a 8A010000 .4byte .LASF25 + 1485 012e 01 .byte 0x1 + 1486 012f E0 .byte 0xe0 + 1487 0130 01 .byte 0x1 + 1488 0131 7A000000 .4byte 0x7a + 1489 0135 01 .byte 0x1 + 1490 0136 5C010000 .4byte 0x15c + 1491 013a 0E .uleb128 0xe + 1492 013b 99020000 .4byte .LASF23 + 1493 013f 01 .byte 0x1 + 1494 0140 E0 .byte 0xe0 + 1495 0141 90000000 .4byte 0x90 + 1496 0145 0E .uleb128 0xe + 1497 0146 43040000 .4byte .LASF24 + 1498 014a 01 .byte 0x1 + 1499 014b E0 .byte 0xe0 + 1500 014c 90000000 .4byte 0x90 + 1501 0150 0F .uleb128 0xf + 1502 0151 73756D00 .ascii "sum\000" + 1503 0155 01 .byte 0x1 + 1504 0156 E3 .byte 0xe3 + 1505 0157 7A000000 .4byte 0x7a + 1506 015b 00 .byte 0 + 1507 015c 10 .uleb128 0x10 + 1508 015d 9A030000 .4byte .LASF26 + 1509 0161 01 .byte 0x1 + 1510 0162 5E05 .2byte 0x55e + 1511 0164 01 .byte 0x1 + 1512 0165 90000000 .4byte 0x90 + 1513 0169 01 .byte 0x1 + 1514 016a AB010000 .4byte 0x1ab + 1515 016e 11 .uleb128 0x11 + 1516 016f C5040000 .4byte .LASF27 + 1517 0173 01 .byte 0x1 + 1518 0174 5E05 .2byte 0x55e + 1519 0176 7A000000 .4byte 0x7a + 1520 017a 11 .uleb128 0x11 + 1521 017b 15000000 .4byte .LASF28 + 1522 017f 01 .byte 0x1 + 1523 0180 5E05 .2byte 0x55e + 1524 0182 7A000000 .4byte 0x7a + 1525 0186 12 .uleb128 0x12 + 1526 0187 70020000 .4byte .LASF29 + 1527 018b 01 .byte 0x1 + 1528 018c 6005 .2byte 0x560 + 1529 018e 90000000 .4byte 0x90 + 1530 0192 12 .uleb128 0x12 + 1531 0193 42030000 .4byte .LASF30 + 1532 0197 01 .byte 0x1 + 1533 0198 6105 .2byte 0x561 + 1534 019a 7A000000 .4byte 0x7a + 1535 019e 12 .uleb128 0x12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 58 + + + 1536 019f 1B000000 .4byte .LASF31 + 1537 01a3 01 .byte 0x1 + 1538 01a4 6205 .2byte 0x562 + 1539 01a6 90000000 .4byte 0x90 + 1540 01aa 00 .byte 0 + 1541 01ab 10 .uleb128 0x10 + 1542 01ac 1B010000 .4byte .LASF32 + 1543 01b0 01 .byte 0x1 + 1544 01b1 F501 .2byte 0x1f5 + 1545 01b3 01 .byte 0x1 + 1546 01b4 B0000000 .4byte 0xb0 + 1547 01b8 01 .byte 0x1 + 1548 01b9 08020000 .4byte 0x208 + 1549 01bd 11 .uleb128 0x11 + 1550 01be 15000000 .4byte .LASF28 + 1551 01c2 01 .byte 0x1 + 1552 01c3 F501 .2byte 0x1f5 + 1553 01c5 7A000000 .4byte 0x7a + 1554 01c9 13 .uleb128 0x13 + 1555 01ca 69647800 .ascii "idx\000" + 1556 01ce 01 .byte 0x1 + 1557 01cf F801 .2byte 0x1f8 + 1558 01d1 90000000 .4byte 0x90 + 1559 01d5 13 .uleb128 0x13 + 1560 01d6 656E6400 .ascii "end\000" + 1561 01da 01 .byte 0x1 + 1562 01db FA01 .2byte 0x1fa + 1563 01dd 90000000 .4byte 0x90 + 1564 01e1 12 .uleb128 0x12 + 1565 01e2 00000000 .4byte .LASF33 + 1566 01e6 01 .byte 0x1 + 1567 01e7 FE01 .2byte 0x1fe + 1568 01e9 7A000000 .4byte 0x7a + 1569 01ed 12 .uleb128 0x12 + 1570 01ee CB010000 .4byte .LASF34 + 1571 01f2 01 .byte 0x1 + 1572 01f3 FF01 .2byte 0x1ff + 1573 01f5 7A000000 .4byte 0x7a + 1574 01f9 14 .uleb128 0x14 + 1575 01fa 12 .uleb128 0x12 + 1576 01fb AF010000 .4byte .LASF35 + 1577 01ff 01 .byte 0x1 + 1578 0200 1902 .2byte 0x219 + 1579 0202 7A000000 .4byte 0x7a + 1580 0206 00 .byte 0 + 1581 0207 00 .byte 0 + 1582 0208 15 .uleb128 0x15 + 1583 0209 16030000 .4byte .LASF42 + 1584 020d 01 .byte 0x1 + 1585 020e D601 .2byte 0x1d6 + 1586 0210 01 .byte 0x1 + 1587 0211 00000000 .4byte .LFB62 + 1588 0215 02000000 .4byte .LFE62 + 1589 0219 02 .byte 0x2 + 1590 021a 7D .byte 0x7d + 1591 021b 00 .sleb128 0 + 1592 021c 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 59 + + + 1593 021d 30020000 .4byte 0x230 + 1594 0221 16 .uleb128 0x16 + 1595 0222 4D000000 .4byte .LASF44 + 1596 0226 01 .byte 0x1 + 1597 0227 D601 .2byte 0x1d6 + 1598 0229 90000000 .4byte 0x90 + 1599 022d 01 .byte 0x1 + 1600 022e 50 .byte 0x50 + 1601 022f 00 .byte 0 + 1602 0230 17 .uleb128 0x17 + 1603 0231 5C010000 .4byte 0x15c + 1604 0235 00000000 .4byte .LFB69 + 1605 0239 8C000000 .4byte .LFE69 + 1606 023d 00000000 .4byte .LLST0 + 1607 0241 01 .byte 0x1 + 1608 0242 71020000 .4byte 0x271 + 1609 0246 18 .uleb128 0x18 + 1610 0247 6E010000 .4byte 0x16e + 1611 024b 20000000 .4byte .LLST1 + 1612 024f 19 .uleb128 0x19 + 1613 0250 86010000 .4byte 0x186 + 1614 0254 BE000000 .4byte .LLST2 + 1615 0258 19 .uleb128 0x19 + 1616 0259 92010000 .4byte 0x192 + 1617 025d FC000000 .4byte .LLST3 + 1618 0261 19 .uleb128 0x19 + 1619 0262 9E010000 .4byte 0x19e + 1620 0266 28010000 .4byte .LLST4 + 1621 026a 1A .uleb128 0x1a + 1622 026b 7A010000 .4byte 0x17a + 1623 026f 00 .byte 0 + 1624 0270 00 .byte 0 + 1625 0271 17 .uleb128 0x17 + 1626 0272 AB010000 .4byte 0x1ab + 1627 0276 00000000 .4byte .LFB70 + 1628 027a 84000000 .4byte .LFE70 + 1629 027e 8E010000 .4byte .LLST5 + 1630 0282 01 .byte 0x1 + 1631 0283 11030000 .4byte 0x311 + 1632 0287 19 .uleb128 0x19 + 1633 0288 C9010000 .4byte 0x1c9 + 1634 028c AE010000 .4byte .LLST6 + 1635 0290 19 .uleb128 0x19 + 1636 0291 D5010000 .4byte 0x1d5 + 1637 0295 E4010000 .4byte .LLST7 + 1638 0299 19 .uleb128 0x19 + 1639 029a E1010000 .4byte 0x1e1 + 1640 029e 02020000 .4byte .LLST8 + 1641 02a2 19 .uleb128 0x19 + 1642 02a3 ED010000 .4byte 0x1ed + 1643 02a7 21020000 .4byte .LLST9 + 1644 02ab 1A .uleb128 0x1a + 1645 02ac BD010000 .4byte 0x1bd + 1646 02b0 00 .byte 0 + 1647 02b1 1B .uleb128 0x1b + 1648 02b2 28000000 .4byte .LBB3 + 1649 02b6 3C000000 .4byte .LBE3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 60 + + + 1650 02ba C8020000 .4byte 0x2c8 + 1651 02be 19 .uleb128 0x19 + 1652 02bf FA010000 .4byte 0x1fa + 1653 02c3 73020000 .4byte .LLST10 + 1654 02c7 00 .byte 0 + 1655 02c8 1C .uleb128 0x1c + 1656 02c9 08000000 .4byte .LVL27 + 1657 02cd 30020000 .4byte 0x230 + 1658 02d1 DB020000 .4byte 0x2db + 1659 02d5 1D .uleb128 0x1d + 1660 02d6 01 .byte 0x1 + 1661 02d7 50 .byte 0x50 + 1662 02d8 01 .byte 0x1 + 1663 02d9 32 .byte 0x32 + 1664 02da 00 .byte 0 + 1665 02db 1C .uleb128 0x1c + 1666 02dc 10000000 .4byte .LVL28 + 1667 02e0 30020000 .4byte 0x230 + 1668 02e4 EE020000 .4byte 0x2ee + 1669 02e8 1D .uleb128 0x1d + 1670 02e9 01 .byte 0x1 + 1671 02ea 50 .byte 0x50 + 1672 02eb 01 .byte 0x1 + 1673 02ec 33 .byte 0x33 + 1674 02ed 00 .byte 0 + 1675 02ee 1C .uleb128 0x1c + 1676 02ef 1C000000 .4byte .LVL30 + 1677 02f3 30020000 .4byte 0x230 + 1678 02f7 01030000 .4byte 0x301 + 1679 02fb 1D .uleb128 0x1d + 1680 02fc 01 .byte 0x1 + 1681 02fd 50 .byte 0x50 + 1682 02fe 01 .byte 0x1 + 1683 02ff 32 .byte 0x32 + 1684 0300 00 .byte 0 + 1685 0301 1E .uleb128 0x1e + 1686 0302 42000000 .4byte .LVL38 + 1687 0306 30020000 .4byte 0x230 + 1688 030a 1D .uleb128 0x1d + 1689 030b 01 .byte 0x1 + 1690 030c 50 .byte 0x50 + 1691 030d 01 .byte 0x1 + 1692 030e 32 .byte 0x32 + 1693 030f 00 .byte 0 + 1694 0310 00 .byte 0 + 1695 0311 0D .uleb128 0xd + 1696 0312 2C030000 .4byte .LASF36 + 1697 0316 01 .byte 0x1 + 1698 0317 6E .byte 0x6e + 1699 0318 01 .byte 0x1 + 1700 0319 85000000 .4byte 0x85 + 1701 031d 01 .byte 0x1 + 1702 031e 44030000 .4byte 0x344 + 1703 0322 0E .uleb128 0xe + 1704 0323 EC030000 .4byte .LASF37 + 1705 0327 01 .byte 0x1 + 1706 0328 6E .byte 0x6e + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 61 + + + 1707 0329 44030000 .4byte 0x344 + 1708 032d 0E .uleb128 0xe + 1709 032e 43040000 .4byte .LASF24 + 1710 0332 01 .byte 0x1 + 1711 0333 6E .byte 0x6e + 1712 0334 85000000 .4byte 0x85 + 1713 0338 0F .uleb128 0xf + 1714 0339 73756D00 .ascii "sum\000" + 1715 033d 01 .byte 0x1 + 1716 033e 9D .byte 0x9d + 1717 033f 85000000 .4byte 0x85 + 1718 0343 00 .byte 0 + 1719 0344 0B .uleb128 0xb + 1720 0345 04 .byte 0x4 + 1721 0346 4A030000 .4byte 0x34a + 1722 034a 1F .uleb128 0x1f + 1723 034b 7A000000 .4byte 0x7a + 1724 034f 0D .uleb128 0xd + 1725 0350 67030000 .4byte .LASF38 + 1726 0354 01 .byte 0x1 + 1727 0355 BD .byte 0xbd + 1728 0356 01 .byte 0x1 + 1729 0357 7A000000 .4byte 0x7a + 1730 035b 01 .byte 0x1 + 1731 035c 82030000 .4byte 0x382 + 1732 0360 0E .uleb128 0xe + 1733 0361 99020000 .4byte .LASF23 + 1734 0365 01 .byte 0x1 + 1735 0366 BD .byte 0xbd + 1736 0367 90000000 .4byte 0x90 + 1737 036b 0E .uleb128 0xe + 1738 036c 43040000 .4byte .LASF24 + 1739 0370 01 .byte 0x1 + 1740 0371 BD .byte 0xbd + 1741 0372 90000000 .4byte 0x90 + 1742 0376 0F .uleb128 0xf + 1743 0377 73756D00 .ascii "sum\000" + 1744 037b 01 .byte 0x1 + 1745 037c C0 .byte 0xc0 + 1746 037d 7A000000 .4byte 0x7a + 1747 0381 00 .byte 0 + 1748 0382 10 .uleb128 0x10 + 1749 0383 06000000 .4byte .LASF39 + 1750 0387 01 .byte 0x1 + 1751 0388 0005 .2byte 0x500 + 1752 038a 01 .byte 0x1 + 1753 038b B0000000 .4byte 0xb0 + 1754 038f 01 .byte 0x1 + 1755 0390 C5030000 .4byte 0x3c5 + 1756 0394 11 .uleb128 0x11 + 1757 0395 4C030000 .4byte .LASF40 + 1758 0399 01 .byte 0x1 + 1759 039a 0005 .2byte 0x500 + 1760 039c 7A000000 .4byte 0x7a + 1761 03a0 11 .uleb128 0x11 + 1762 03a1 EC030000 .4byte .LASF37 + 1763 03a5 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 62 + + + 1764 03a6 0005 .2byte 0x500 + 1765 03a8 C5030000 .4byte 0x3c5 + 1766 03ac 11 .uleb128 0x11 + 1767 03ad 43040000 .4byte .LASF24 + 1768 03b1 01 .byte 0x1 + 1769 03b2 0005 .2byte 0x500 + 1770 03b4 85000000 .4byte 0x85 + 1771 03b8 12 .uleb128 0x12 + 1772 03b9 12010000 .4byte .LASF41 + 1773 03bd 01 .byte 0x1 + 1774 03be 0305 .2byte 0x503 + 1775 03c0 85000000 .4byte 0x85 + 1776 03c4 00 .byte 0 + 1777 03c5 0B .uleb128 0xb + 1778 03c6 04 .byte 0x4 + 1779 03c7 7A000000 .4byte 0x7a + 1780 03cb 20 .uleb128 0x20 + 1781 03cc DA010000 .4byte .LASF43 + 1782 03d0 01 .byte 0x1 + 1783 03d1 6202 .2byte 0x262 + 1784 03d3 01 .byte 0x1 + 1785 03d4 00000000 .4byte .LFB64 + 1786 03d8 84030000 .4byte .LFE64 + 1787 03dc 87020000 .4byte .LLST11 + 1788 03e0 01 .byte 0x1 + 1789 03e1 F3070000 .4byte 0x7f3 + 1790 03e5 21 .uleb128 0x21 + 1791 03e6 BC030000 .4byte .LASF45 + 1792 03ea 01 .byte 0x1 + 1793 03eb 6202 .2byte 0x262 + 1794 03ed 7A000000 .4byte 0x7a + 1795 03f1 B4020000 .4byte .LLST12 + 1796 03f5 22 .uleb128 0x22 + 1797 03f6 C0010000 .4byte .LASF46 + 1798 03fa 01 .byte 0x1 + 1799 03fb 6402 .2byte 0x264 + 1800 03fd 85000000 .4byte 0x85 + 1801 0401 03 .byte 0x3 + 1802 0402 91 .byte 0x91 + 1803 0403 DC78 .sleb128 -932 + 1804 0405 23 .uleb128 0x23 + 1805 0406 C4030000 .4byte .LASF47 + 1806 040a 01 .byte 0x1 + 1807 040b 6502 .2byte 0x265 + 1808 040d 85000000 .4byte 0x85 + 1809 0411 D5020000 .4byte .LLST13 + 1810 0415 23 .uleb128 0x23 + 1811 0416 76000000 .4byte .LASF48 + 1812 041a 01 .byte 0x1 + 1813 041b 6602 .2byte 0x266 + 1814 041d 7A000000 .4byte 0x7a + 1815 0421 60030000 .4byte .LLST14 + 1816 0425 23 .uleb128 0x23 + 1817 0426 ED020000 .4byte .LASF49 + 1818 042a 01 .byte 0x1 + 1819 042b 6702 .2byte 0x267 + 1820 042d 85000000 .4byte 0x85 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 63 + + + 1821 0431 1A040000 .4byte .LLST15 + 1822 0435 23 .uleb128 0x23 + 1823 0436 91030000 .4byte .LASF50 + 1824 043a 01 .byte 0x1 + 1825 043b 6802 .2byte 0x268 + 1826 043d B0000000 .4byte 0xb0 + 1827 0441 59070000 .4byte .LLST16 + 1828 0445 23 .uleb128 0x23 + 1829 0446 45000000 .4byte .LASF51 + 1830 044a 01 .byte 0x1 + 1831 044b 6902 .2byte 0x269 + 1832 044d 85000000 .4byte 0x85 + 1833 0451 6C070000 .4byte .LLST17 + 1834 0455 23 .uleb128 0x23 + 1835 0456 9A000000 .4byte .LASF52 + 1836 045a 01 .byte 0x1 + 1837 045b 6A02 .2byte 0x26a + 1838 045d 85000000 .4byte 0x85 + 1839 0461 6E080000 .4byte .LLST18 + 1840 0465 23 .uleb128 0x23 + 1841 0466 79020000 .4byte .LASF53 + 1842 046a 01 .byte 0x1 + 1843 046b 6B02 .2byte 0x26b + 1844 046d 7A000000 .4byte 0x7a + 1845 0471 17090000 .4byte .LLST19 + 1846 0475 23 .uleb128 0x23 + 1847 0476 60020000 .4byte .LASF54 + 1848 047a 01 .byte 0x1 + 1849 047b 6E02 .2byte 0x26e + 1850 047d 7A000000 .4byte 0x7a + 1851 0481 4C090000 .4byte .LLST20 + 1852 0485 23 .uleb128 0x23 + 1853 0486 E6010000 .4byte .LASF55 + 1854 048a 01 .byte 0x1 + 1855 048b 7102 .2byte 0x271 + 1856 048d 7A000000 .4byte 0x7a + 1857 0491 98090000 .4byte .LLST21 + 1858 0495 22 .uleb128 0x22 + 1859 0496 5A030000 .4byte .LASF56 + 1860 049a 01 .byte 0x1 + 1861 049b 7302 .2byte 0x273 + 1862 049d F3070000 .4byte 0x7f3 + 1863 04a1 03 .byte 0x3 + 1864 04a2 91 .byte 0x91 + 1865 04a3 807B .sleb128 -640 + 1866 04a5 22 .uleb128 0x22 + 1867 04a6 AD020000 .4byte .LASF57 + 1868 04aa 01 .byte 0x1 + 1869 04ab 7402 .2byte 0x274 + 1870 04ad F3070000 .4byte 0x7f3 + 1871 04b1 03 .byte 0x3 + 1872 04b2 91 .byte 0x91 + 1873 04b3 AC7D .sleb128 -340 + 1874 04b5 24 .uleb128 0x24 + 1875 04b6 11030000 .4byte 0x311 + 1876 04ba 8E000000 .4byte .LBB20 + 1877 04be A2000000 .4byte .LBE20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 64 + + + 1878 04c2 01 .byte 0x1 + 1879 04c3 A902 .2byte 0x2a9 + 1880 04c5 EF040000 .4byte 0x4ef + 1881 04c9 18 .uleb128 0x18 + 1882 04ca 2D030000 .4byte 0x32d + 1883 04ce DA090000 .4byte .LLST22 + 1884 04d2 18 .uleb128 0x18 + 1885 04d3 22030000 .4byte 0x322 + 1886 04d7 1B0A0000 .4byte .LLST23 + 1887 04db 25 .uleb128 0x25 + 1888 04dc 8E000000 .4byte .LBB21 + 1889 04e0 A2000000 .4byte .LBE21 + 1890 04e4 19 .uleb128 0x19 + 1891 04e5 38030000 .4byte 0x338 + 1892 04e9 4D0A0000 .4byte .LLST24 + 1893 04ed 00 .byte 0 + 1894 04ee 00 .byte 0 + 1895 04ef 26 .uleb128 0x26 + 1896 04f0 00000000 .4byte .Ldebug_ranges0+0 + 1897 04f4 2B070000 .4byte 0x72b + 1898 04f8 23 .uleb128 0x23 + 1899 04f9 90000000 .4byte .LASF58 + 1900 04fd 01 .byte 0x1 + 1901 04fe B702 .2byte 0x2b7 + 1902 0500 7A000000 .4byte 0x7a + 1903 0504 A10A0000 .4byte .LLST25 + 1904 0508 1B .uleb128 0x1b + 1905 0509 C8000000 .4byte .LBB23 + 1906 050d DE000000 .4byte .LBE23 + 1907 0511 26050000 .4byte 0x526 + 1908 0515 23 .uleb128 0x23 + 1909 0516 87000000 .4byte .LASF59 + 1910 051a 01 .byte 0x1 + 1911 051b 0103 .2byte 0x301 + 1912 051d 85000000 .4byte 0x85 + 1913 0521 9D0B0000 .4byte .LLST26 + 1914 0525 00 .byte 0 + 1915 0526 1B .uleb128 0x1b + 1916 0527 26020000 .4byte .LBB24 + 1917 052b 44020000 .4byte .LBE24 + 1918 052f 89050000 .4byte 0x589 + 1919 0533 22 .uleb128 0x22 + 1920 0534 84040000 .4byte .LASF60 + 1921 0538 01 .byte 0x1 + 1922 0539 9403 .2byte 0x394 + 1923 053b 04080000 .4byte 0x804 + 1924 053f 03 .byte 0x3 + 1925 0540 91 .byte 0x91 + 1926 0541 E078 .sleb128 -928 + 1927 0543 1C .uleb128 0x1c + 1928 0544 32020000 .4byte .LVL98 + 1929 0548 BE0A0000 .4byte 0xabe + 1930 054c 65050000 .4byte 0x565 + 1931 0550 1D .uleb128 0x1d + 1932 0551 01 .byte 0x1 + 1933 0552 52 .byte 0x52 + 1934 0553 03 .byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 65 + + + 1935 0554 0A .byte 0xa + 1936 0555 2001 .2byte 0x120 + 1937 0557 1D .uleb128 0x1d + 1938 0558 01 .byte 0x1 + 1939 0559 51 .byte 0x51 + 1940 055a 02 .byte 0x2 + 1941 055b 7A .byte 0x7a + 1942 055c 00 .sleb128 0 + 1943 055d 1D .uleb128 0x1d + 1944 055e 01 .byte 0x1 + 1945 055f 50 .byte 0x50 + 1946 0560 03 .byte 0x3 + 1947 0561 91 .byte 0x91 + 1948 0562 E078 .sleb128 -928 + 1949 0564 00 .byte 0 + 1950 0565 1E .uleb128 0x1e + 1951 0566 40020000 .4byte .LVL99 + 1952 056a DF0A0000 .4byte 0xadf + 1953 056e 1D .uleb128 0x1d + 1954 056f 01 .byte 0x1 + 1955 0570 53 .byte 0x53 + 1956 0571 03 .byte 0x3 + 1957 0572 0A .byte 0xa + 1958 0573 2001 .2byte 0x120 + 1959 0575 1D .uleb128 0x1d + 1960 0576 01 .byte 0x1 + 1961 0577 52 .byte 0x52 + 1962 0578 03 .byte 0x3 + 1963 0579 91 .byte 0x91 + 1964 057a E078 .sleb128 -928 + 1965 057c 1D .uleb128 0x1d + 1966 057d 01 .byte 0x1 + 1967 057e 51 .byte 0x51 + 1968 057f 02 .byte 0x2 + 1969 0580 08 .byte 0x8 + 1970 0581 FF .byte 0xff + 1971 0582 1D .uleb128 0x1d + 1972 0583 01 .byte 0x1 + 1973 0584 50 .byte 0x50 + 1974 0585 01 .byte 0x1 + 1975 0586 31 .byte 0x31 + 1976 0587 00 .byte 0 + 1977 0588 00 .byte 0 + 1978 0589 1B .uleb128 0x1b + 1979 058a 84020000 .4byte .LBB25 + 1980 058e 9A020000 .4byte .LBE25 + 1981 0592 A7050000 .4byte 0x5a7 + 1982 0596 22 .uleb128 0x22 + 1983 0597 B8000000 .4byte .LASF61 + 1984 059b 01 .byte 0x1 + 1985 059c 6104 .2byte 0x461 + 1986 059e 15010000 .4byte 0x115 + 1987 05a2 03 .byte 0x3 + 1988 05a3 91 .byte 0x91 + 1989 05a4 E078 .sleb128 -928 + 1990 05a6 00 .byte 0 + 1991 05a7 1B .uleb128 0x1b + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 66 + + + 1992 05a8 A2020000 .4byte .LBB26 + 1993 05ac 26030000 .4byte .LBE26 + 1994 05b0 6F060000 .4byte 0x66f + 1995 05b4 23 .uleb128 0x23 + 1996 05b5 53030000 .4byte .LASF62 + 1997 05b9 01 .byte 0x1 + 1998 05ba 8104 .2byte 0x481 + 1999 05bc 85000000 .4byte 0x85 + 2000 05c0 B10B0000 .4byte .LLST27 + 2001 05c4 23 .uleb128 0x23 + 2002 05c5 28040000 .4byte .LASF63 + 2003 05c9 01 .byte 0x1 + 2004 05ca 8604 .2byte 0x486 + 2005 05cc 90000000 .4byte 0x90 + 2006 05d0 1D0C0000 .4byte .LLST28 + 2007 05d4 23 .uleb128 0x23 + 2008 05d5 12010000 .4byte .LASF41 + 2009 05d9 01 .byte 0x1 + 2010 05da 8704 .2byte 0x487 + 2011 05dc 7A000000 .4byte 0x7a + 2012 05e0 810C0000 .4byte .LLST29 + 2013 05e4 24 .uleb128 0x24 + 2014 05e5 29010000 .4byte 0x129 + 2015 05e9 BC020000 .4byte .LBB27 + 2016 05ed CC020000 .4byte .LBE27 + 2017 05f1 01 .byte 0x1 + 2018 05f2 9004 .2byte 0x490 + 2019 05f4 1E060000 .4byte 0x61e + 2020 05f8 18 .uleb128 0x18 + 2021 05f9 3A010000 .4byte 0x13a + 2022 05fd B50C0000 .4byte .LLST30 + 2023 0601 25 .uleb128 0x25 + 2024 0602 BC020000 .4byte .LBB28 + 2025 0606 CC020000 .4byte .LBE28 + 2026 060a 19 .uleb128 0x19 + 2027 060b 50010000 .4byte 0x150 + 2028 060f C80C0000 .4byte .LLST31 + 2029 0613 18 .uleb128 0x18 + 2030 0614 45010000 .4byte 0x145 + 2031 0618 E70C0000 .4byte .LLST32 + 2032 061c 00 .byte 0 + 2033 061d 00 .byte 0 + 2034 061e 24 .uleb128 0x24 + 2035 061f 4F030000 .4byte 0x34f + 2036 0623 D8020000 .4byte .LBB29 + 2037 0627 E4020000 .4byte .LBE29 + 2038 062b 01 .byte 0x1 + 2039 062c 9804 .2byte 0x498 + 2040 062e 58060000 .4byte 0x658 + 2041 0632 18 .uleb128 0x18 + 2042 0633 6B030000 .4byte 0x36b + 2043 0637 130D0000 .4byte .LLST33 + 2044 063b 18 .uleb128 0x18 + 2045 063c 60030000 .4byte 0x360 + 2046 0640 340D0000 .4byte .LLST34 + 2047 0644 25 .uleb128 0x25 + 2048 0645 D8020000 .4byte .LBB30 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 67 + + + 2049 0649 E4020000 .4byte .LBE30 + 2050 064d 19 .uleb128 0x19 + 2051 064e 76030000 .4byte 0x376 + 2052 0652 800D0000 .4byte .LLST35 + 2053 0656 00 .byte 0 + 2054 0657 00 .byte 0 + 2055 0658 25 .uleb128 0x25 + 2056 0659 EA020000 .4byte .LBB31 + 2057 065d 00030000 .4byte .LBE31 + 2058 0661 12 .uleb128 0x12 + 2059 0662 B7010000 .4byte .LASF64 + 2060 0666 01 .byte 0x1 + 2061 0667 AA04 .2byte 0x4aa + 2062 0669 85000000 .4byte 0x85 + 2063 066d 00 .byte 0 + 2064 066e 00 .byte 0 + 2065 066f 27 .uleb128 0x27 + 2066 0670 9C010000 .4byte .LVL84 + 2067 0674 71020000 .4byte 0x271 + 2068 0678 1C .uleb128 0x1c + 2069 0679 D2010000 .4byte .LVL88 + 2070 067d BE0A0000 .4byte 0xabe + 2071 0681 98060000 .4byte 0x698 + 2072 0685 1D .uleb128 0x1d + 2073 0686 01 .byte 0x1 + 2074 0687 52 .byte 0x52 + 2075 0688 02 .byte 0x2 + 2076 0689 77 .byte 0x77 + 2077 068a 00 .sleb128 0 + 2078 068b 1D .uleb128 0x1d + 2079 068c 01 .byte 0x1 + 2080 068d 51 .byte 0x51 + 2081 068e 01 .byte 0x1 + 2082 068f 30 .byte 0x30 + 2083 0690 1D .uleb128 0x1d + 2084 0691 01 .byte 0x1 + 2085 0692 50 .byte 0x50 + 2086 0693 03 .byte 0x3 + 2087 0694 91 .byte 0x91 + 2088 0695 AC7D .sleb128 -340 + 2089 0697 00 .byte 0 + 2090 0698 1C .uleb128 0x1c + 2091 0699 F0010000 .4byte .LVL92 + 2092 069d 060B0000 .4byte 0xb06 + 2093 06a1 C0060000 .4byte 0x6c0 + 2094 06a5 1D .uleb128 0x1d + 2095 06a6 01 .byte 0x1 + 2096 06a7 52 .byte 0x52 + 2097 06a8 02 .byte 0x2 + 2098 06a9 75 .byte 0x75 + 2099 06aa 00 .sleb128 0 + 2100 06ab 1D .uleb128 0x1d + 2101 06ac 01 .byte 0x1 + 2102 06ad 51 .byte 0x51 + 2103 06ae 03 .byte 0x3 + 2104 06af 91 .byte 0x91 + 2105 06b0 877B .sleb128 -633 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 68 + + + 2106 06b2 1D .uleb128 0x1d + 2107 06b3 01 .byte 0x1 + 2108 06b4 50 .byte 0x50 + 2109 06b5 09 .byte 0x9 + 2110 06b6 91 .byte 0x91 + 2111 06b7 00 .sleb128 0 + 2112 06b8 77 .byte 0x77 + 2113 06b9 00 .sleb128 0 + 2114 06ba 22 .byte 0x22 + 2115 06bb 0A .byte 0xa + 2116 06bc 5401 .2byte 0x154 + 2117 06be 1C .byte 0x1c + 2118 06bf 00 .byte 0 + 2119 06c0 27 .uleb128 0x27 + 2120 06c1 00020000 .4byte .LVL94 + 2121 06c5 270B0000 .4byte 0xb27 + 2122 06c9 1C .uleb128 0x1c + 2123 06ca 50020000 .4byte .LVL101 + 2124 06ce DF0A0000 .4byte 0xadf + 2125 06d2 F0060000 .4byte 0x6f0 + 2126 06d6 1D .uleb128 0x1d + 2127 06d7 01 .byte 0x1 + 2128 06d8 53 .byte 0x53 + 2129 06d9 02 .byte 0x2 + 2130 06da 76 .byte 0x76 + 2131 06db 00 .sleb128 0 + 2132 06dc 1D .uleb128 0x1d + 2133 06dd 01 .byte 0x1 + 2134 06de 52 .byte 0x52 + 2135 06df 03 .byte 0x3 + 2136 06e0 91 .byte 0x91 + 2137 06e1 AC7D .sleb128 -340 + 2138 06e3 1D .uleb128 0x1d + 2139 06e4 01 .byte 0x1 + 2140 06e5 51 .byte 0x51 + 2141 06e6 02 .byte 0x2 + 2142 06e7 75 .byte 0x75 + 2143 06e8 00 .sleb128 0 + 2144 06e9 1D .uleb128 0x1d + 2145 06ea 01 .byte 0x1 + 2146 06eb 50 .byte 0x50 + 2147 06ec 02 .byte 0x2 + 2148 06ed 7B .byte 0x7b + 2149 06ee 00 .sleb128 0 + 2150 06ef 00 .byte 0 + 2151 06f0 1C .uleb128 0x1c + 2152 06f1 7A020000 .4byte .LVL106 + 2153 06f5 060B0000 .4byte 0xb06 + 2154 06f9 18070000 .4byte 0x718 + 2155 06fd 1D .uleb128 0x1d + 2156 06fe 01 .byte 0x1 + 2157 06ff 52 .byte 0x52 + 2158 0700 02 .byte 0x2 + 2159 0701 75 .byte 0x75 + 2160 0702 00 .sleb128 0 + 2161 0703 1D .uleb128 0x1d + 2162 0704 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 69 + + + 2163 0705 51 .byte 0x51 + 2164 0706 03 .byte 0x3 + 2165 0707 91 .byte 0x91 + 2166 0708 847B .sleb128 -636 + 2167 070a 1D .uleb128 0x1d + 2168 070b 01 .byte 0x1 + 2169 070c 50 .byte 0x50 + 2170 070d 09 .byte 0x9 + 2171 070e 91 .byte 0x91 + 2172 070f 00 .sleb128 0 + 2173 0710 77 .byte 0x77 + 2174 0711 00 .sleb128 0 + 2175 0712 22 .byte 0x22 + 2176 0713 0A .byte 0xa + 2177 0714 5401 .2byte 0x154 + 2178 0716 1C .byte 0x1c + 2179 0717 00 .byte 0 + 2180 0718 27 .uleb128 0x27 + 2181 0719 2A030000 .4byte .LVL134 + 2182 071d 71020000 .4byte 0x271 + 2183 0721 27 .uleb128 0x27 + 2184 0722 36030000 .4byte .LVL136 + 2185 0726 310B0000 .4byte 0xb31 + 2186 072a 00 .byte 0 + 2187 072b 24 .uleb128 0x24 + 2188 072c 82030000 .4byte 0x382 + 2189 0730 DE000000 .4byte .LBB32 + 2190 0734 34010000 .4byte .LBE32 + 2191 0738 01 .byte 0x1 + 2192 0739 E504 .2byte 0x4e5 + 2193 073b CA070000 .4byte 0x7ca + 2194 073f 28 .uleb128 0x28 + 2195 0740 AC030000 .4byte 0x3ac + 2196 0744 03 .byte 0x3 + 2197 0745 91 .byte 0x91 + 2198 0746 DE78 .sleb128 -930 + 2199 0748 18 .uleb128 0x18 + 2200 0749 A0030000 .4byte 0x3a0 + 2201 074d 9F0D0000 .4byte .LLST36 + 2202 0751 18 .uleb128 0x18 + 2203 0752 94030000 .4byte 0x394 + 2204 0756 CE0D0000 .4byte .LLST37 + 2205 075a 25 .uleb128 0x25 + 2206 075b DE000000 .4byte .LBB33 + 2207 075f 34010000 .4byte .LBE33 + 2208 0763 29 .uleb128 0x29 + 2209 0764 B8030000 .4byte 0x3b8 + 2210 0768 01 .byte 0x1 + 2211 0769 52 .byte 0x52 + 2212 076a 24 .uleb128 0x24 + 2213 076b 11030000 .4byte 0x311 + 2214 076f FA000000 .4byte .LBB34 + 2215 0773 10010000 .4byte .LBE34 + 2216 0777 01 .byte 0x1 + 2217 0778 0C05 .2byte 0x50c + 2218 077a A4070000 .4byte 0x7a4 + 2219 077e 18 .uleb128 0x18 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 70 + + + 2220 077f 2D030000 .4byte 0x32d + 2221 0783 E10D0000 .4byte .LLST38 + 2222 0787 18 .uleb128 0x18 + 2223 0788 22030000 .4byte 0x322 + 2224 078c F40D0000 .4byte .LLST39 + 2225 0790 25 .uleb128 0x25 + 2226 0791 FA000000 .4byte .LBB35 + 2227 0795 10010000 .4byte .LBE35 + 2228 0799 19 .uleb128 0x19 + 2229 079a 38030000 .4byte 0x338 + 2230 079e 230E0000 .4byte .LLST40 + 2231 07a2 00 .byte 0 + 2232 07a3 00 .byte 0 + 2233 07a4 1E .uleb128 0x1e + 2234 07a5 34010000 .4byte .LVL78 + 2235 07a9 3B0B0000 .4byte 0xb3b + 2236 07ad 1D .uleb128 0x1d + 2237 07ae 01 .byte 0x1 + 2238 07af 53 .byte 0x53 + 2239 07b0 02 .byte 0x2 + 2240 07b1 09 .byte 0x9 + 2241 07b2 96 .byte 0x96 + 2242 07b3 1D .uleb128 0x1d + 2243 07b4 01 .byte 0x1 + 2244 07b5 52 .byte 0x52 + 2245 07b6 03 .byte 0x3 + 2246 07b7 91 .byte 0x91 + 2247 07b8 DE78 .sleb128 -930 + 2248 07ba 1D .uleb128 0x1d + 2249 07bb 01 .byte 0x1 + 2250 07bc 51 .byte 0x51 + 2251 07bd 02 .byte 0x2 + 2252 07be 74 .byte 0x74 + 2253 07bf 07 .sleb128 7 + 2254 07c0 1D .uleb128 0x1d + 2255 07c1 01 .byte 0x1 + 2256 07c2 50 .byte 0x50 + 2257 07c3 03 .byte 0x3 + 2258 07c4 91 .byte 0x91 + 2259 07c5 807B .sleb128 -640 + 2260 07c7 00 .byte 0 + 2261 07c8 00 .byte 0 + 2262 07c9 00 .byte 0 + 2263 07ca 27 .uleb128 0x27 + 2264 07cb 0E000000 .4byte .LVL49 + 2265 07cf 680B0000 .4byte 0xb68 + 2266 07d3 1E .uleb128 0x1e + 2267 07d4 32000000 .4byte .LVL54 + 2268 07d8 720B0000 .4byte 0xb72 + 2269 07dc 1D .uleb128 0x1d + 2270 07dd 01 .byte 0x1 + 2271 07de 52 .byte 0x52 + 2272 07df 03 .byte 0x3 + 2273 07e0 91 .byte 0x91 + 2274 07e1 DC78 .sleb128 -932 + 2275 07e3 1D .uleb128 0x1d + 2276 07e4 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 71 + + + 2277 07e5 51 .byte 0x51 + 2278 07e6 03 .byte 0x3 + 2279 07e7 0A .byte 0xa + 2280 07e8 2C01 .2byte 0x12c + 2281 07ea 1D .uleb128 0x1d + 2282 07eb 01 .byte 0x1 + 2283 07ec 50 .byte 0x50 + 2284 07ed 03 .byte 0x3 + 2285 07ee 91 .byte 0x91 + 2286 07ef 807B .sleb128 -640 + 2287 07f1 00 .byte 0 + 2288 07f2 00 .byte 0 + 2289 07f3 08 .uleb128 0x8 + 2290 07f4 7A000000 .4byte 0x7a + 2291 07f8 04080000 .4byte 0x804 + 2292 07fc 2A .uleb128 0x2a + 2293 07fd 0E010000 .4byte 0x10e + 2294 0801 2B01 .2byte 0x12b + 2295 0803 00 .byte 0 + 2296 0804 08 .uleb128 0x8 + 2297 0805 7A000000 .4byte 0x7a + 2298 0809 15080000 .4byte 0x815 + 2299 080d 2A .uleb128 0x2a + 2300 080e 0E010000 .4byte 0x10e + 2301 0812 1F01 .2byte 0x11f + 2302 0814 00 .byte 0 + 2303 0815 2B .uleb128 0x2b + 2304 0816 84020000 .4byte .LASF95 + 2305 081a 01 .byte 0x1 + 2306 081b 8901 .2byte 0x189 + 2307 081d 01 .byte 0x1 + 2308 081e 01 .byte 0x1 + 2309 081f 2C .uleb128 0x2c + 2310 0820 01 .byte 0x1 + 2311 0821 43010000 .4byte .LASF67 + 2312 0825 01 .byte 0x1 + 2313 0826 1001 .2byte 0x110 + 2314 0828 01 .byte 0x1 + 2315 0829 00000000 .4byte .LFB59 + 2316 082d 80000000 .4byte .LFE59 + 2317 0831 360E0000 .4byte .LLST41 + 2318 0835 01 .byte 0x1 + 2319 0836 41090000 .4byte 0x941 + 2320 083a 23 .uleb128 0x23 + 2321 083b CB010000 .4byte .LASF34 + 2322 083f 01 .byte 0x1 + 2323 0840 1301 .2byte 0x113 + 2324 0842 7A000000 .4byte 0x7a + 2325 0846 630E0000 .4byte .LLST42 + 2326 084a 22 .uleb128 0x22 + 2327 084b 67000000 .4byte .LASF65 + 2328 084f 01 .byte 0x1 + 2329 0850 1701 .2byte 0x117 + 2330 0852 04080000 .4byte 0x804 + 2331 0856 03 .byte 0x3 + 2332 0857 91 .byte 0x91 + 2333 0858 D87D .sleb128 -296 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 72 + + + 2334 085a 23 .uleb128 0x23 + 2335 085b 22000000 .4byte .LASF66 + 2336 085f 01 .byte 0x1 + 2337 0860 1A01 .2byte 0x11a + 2338 0862 B0000000 .4byte 0xb0 + 2339 0866 7C0E0000 .4byte .LLST43 + 2340 086a 24 .uleb128 0x24 + 2341 086b 4F030000 .4byte 0x34f + 2342 086f 28000000 .4byte .LBB41 + 2343 0873 34000000 .4byte .LBE41 + 2344 0877 01 .byte 0x1 + 2345 0878 4901 .2byte 0x149 + 2346 087a A1080000 .4byte 0x8a1 + 2347 087e 18 .uleb128 0x18 + 2348 087f 6B030000 .4byte 0x36b + 2349 0883 8F0E0000 .4byte .LLST44 + 2350 0887 1A .uleb128 0x1a + 2351 0888 60030000 .4byte 0x360 + 2352 088c 00 .byte 0 + 2353 088d 25 .uleb128 0x25 + 2354 088e 28000000 .4byte .LBB42 + 2355 0892 34000000 .4byte .LBE42 + 2356 0896 19 .uleb128 0x19 + 2357 0897 76030000 .4byte 0x376 + 2358 089b A20E0000 .4byte .LLST45 + 2359 089f 00 .byte 0 + 2360 08a0 00 .byte 0 + 2361 08a1 24 .uleb128 0x24 + 2362 08a2 15080000 .4byte 0x815 + 2363 08a6 6A000000 .4byte .LBB43 + 2364 08aa 72000000 .4byte .LBE43 + 2365 08ae 01 .byte 0x1 + 2366 08af 7701 .2byte 0x177 + 2367 08b1 BF080000 .4byte 0x8bf + 2368 08b5 27 .uleb128 0x27 + 2369 08b6 72000000 .4byte .LVL164 + 2370 08ba 310B0000 .4byte 0xb31 + 2371 08be 00 .byte 0 + 2372 08bf 27 .uleb128 0x27 + 2373 08c0 08000000 .4byte .LVL149 + 2374 08c4 990B0000 .4byte 0xb99 + 2375 08c8 1C .uleb128 0x1c + 2376 08c9 10000000 .4byte .LVL150 + 2377 08cd A70B0000 .4byte 0xba7 + 2378 08d1 DB080000 .4byte 0x8db + 2379 08d5 1D .uleb128 0x1d + 2380 08d6 01 .byte 0x1 + 2381 08d7 50 .byte 0x50 + 2382 08d8 01 .byte 0x1 + 2383 08d9 30 .byte 0x30 + 2384 08da 00 .byte 0 + 2385 08db 1C .uleb128 0x1c + 2386 08dc 16000000 .4byte .LVL151 + 2387 08e0 BB0B0000 .4byte 0xbbb + 2388 08e4 EF080000 .4byte 0x8ef + 2389 08e8 1D .uleb128 0x1d + 2390 08e9 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 73 + + + 2391 08ea 50 .byte 0x50 + 2392 08eb 02 .byte 0x2 + 2393 08ec 7D .byte 0x7d + 2394 08ed 00 .sleb128 0 + 2395 08ee 00 .byte 0 + 2396 08ef 1C .uleb128 0x1c + 2397 08f0 1E000000 .4byte .LVL152 + 2398 08f4 A70B0000 .4byte 0xba7 + 2399 08f8 02090000 .4byte 0x902 + 2400 08fc 1D .uleb128 0x1d + 2401 08fd 01 .byte 0x1 + 2402 08fe 50 .byte 0x50 + 2403 08ff 01 .byte 0x1 + 2404 0900 30 .byte 0x30 + 2405 0901 00 .byte 0 + 2406 0902 1C .uleb128 0x1c + 2407 0903 4A000000 .4byte .LVL159 + 2408 0907 A70B0000 .4byte 0xba7 + 2409 090b 15090000 .4byte 0x915 + 2410 090f 1D .uleb128 0x1d + 2411 0910 01 .byte 0x1 + 2412 0911 50 .byte 0x50 + 2413 0912 01 .byte 0x1 + 2414 0913 30 .byte 0x30 + 2415 0914 00 .byte 0 + 2416 0915 27 .uleb128 0x27 + 2417 0916 50000000 .4byte .LVL160 + 2418 091a 71020000 .4byte 0x271 + 2419 091e 1C .uleb128 0x1c + 2420 091f 64000000 .4byte .LVL162 + 2421 0923 CB030000 .4byte 0x3cb + 2422 0927 31090000 .4byte 0x931 + 2423 092b 1D .uleb128 0x1d + 2424 092c 01 .byte 0x1 + 2425 092d 50 .byte 0x50 + 2426 092e 01 .byte 0x1 + 2427 092f 30 .byte 0x30 + 2428 0930 00 .byte 0 + 2429 0931 1E .uleb128 0x1e + 2430 0932 6A000000 .4byte .LVL163 + 2431 0936 CB030000 .4byte 0x3cb + 2432 093a 1D .uleb128 0x1d + 2433 093b 01 .byte 0x1 + 2434 093c 50 .byte 0x50 + 2435 093d 01 .byte 0x1 + 2436 093e 44 .byte 0x44 + 2437 093f 00 .byte 0 + 2438 0940 00 .byte 0 + 2439 0941 2C .uleb128 0x2c + 2440 0942 01 .byte 0x1 + 2441 0943 F9020000 .4byte .LASF68 + 2442 0947 01 .byte 0x1 + 2443 0948 A301 .2byte 0x1a3 + 2444 094a 01 .byte 0x1 + 2445 094b 00000000 .4byte .LFB61 + 2446 094f 30000000 .4byte .LFE61 + 2447 0953 CC0E0000 .4byte .LLST46 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 74 + + + 2448 0957 01 .byte 0x1 + 2449 0958 8D090000 .4byte 0x98d + 2450 095c 1C .uleb128 0x1c + 2451 095d 18000000 .4byte .LVL165 + 2452 0961 30020000 .4byte 0x230 + 2453 0965 6F090000 .4byte 0x96f + 2454 0969 1D .uleb128 0x1d + 2455 096a 01 .byte 0x1 + 2456 096b 50 .byte 0x50 + 2457 096c 01 .byte 0x1 + 2458 096d 31 .byte 0x31 + 2459 096e 00 .byte 0 + 2460 096f 1C .uleb128 0x1c + 2461 0970 20000000 .4byte .LVL166 + 2462 0974 30020000 .4byte 0x230 + 2463 0978 82090000 .4byte 0x982 + 2464 097c 1D .uleb128 0x1d + 2465 097d 01 .byte 0x1 + 2466 097e 50 .byte 0x50 + 2467 097f 01 .byte 0x1 + 2468 0980 31 .byte 0x31 + 2469 0981 00 .byte 0 + 2470 0982 2D .uleb128 0x2d + 2471 0983 28000000 .4byte .LVL167 + 2472 0987 01 .byte 0x1 + 2473 0988 08020000 .4byte 0x208 + 2474 098c 00 .byte 0 + 2475 098d 2C .uleb128 0x2c + 2476 098e 01 .byte 0x1 + 2477 098f CF040000 .4byte .LASF69 + 2478 0993 01 .byte 0x1 + 2479 0994 2905 .2byte 0x529 + 2480 0996 01 .byte 0x1 + 2481 0997 00000000 .4byte .LFB66 + 2482 099b 34000000 .4byte .LFE66 + 2483 099f EC0E0000 .4byte .LLST47 + 2484 09a3 01 .byte 0x1 + 2485 09a4 480A0000 .4byte 0xa48 + 2486 09a8 21 .uleb128 0x21 + 2487 09a9 A7010000 .4byte .LASF70 + 2488 09ad 01 .byte 0x1 + 2489 09ae 2905 .2byte 0x529 + 2490 09b0 90000000 .4byte 0x90 + 2491 09b4 190F0000 .4byte .LLST48 + 2492 09b8 21 .uleb128 0x21 + 2493 09b9 03010000 .4byte .LASF71 + 2494 09bd 01 .byte 0x1 + 2495 09be 2905 .2byte 0x529 + 2496 09c0 7A000000 .4byte 0x7a + 2497 09c4 3A0F0000 .4byte .LLST49 + 2498 09c8 23 .uleb128 0x23 + 2499 09c9 9F010000 .4byte .LASF72 + 2500 09cd 01 .byte 0x1 + 2501 09ce 2B05 .2byte 0x52b + 2502 09d0 90000000 .4byte 0x90 + 2503 09d4 190F0000 .4byte .LLST48 + 2504 09d8 22 .uleb128 0x22 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 75 + + + 2505 09d9 55000000 .4byte .LASF73 + 2506 09dd 01 .byte 0x1 + 2507 09de 2C05 .2byte 0x52c + 2508 09e0 480A0000 .4byte 0xa48 + 2509 09e4 03 .byte 0x3 + 2510 09e5 91 .byte 0x91 + 2511 09e6 F07D .sleb128 -272 + 2512 09e8 22 .uleb128 0x22 + 2513 09e9 7C040000 .4byte .LASF74 + 2514 09ed 01 .byte 0x1 + 2515 09ee 2F05 .2byte 0x52f + 2516 09f0 7A000000 .4byte 0x7a + 2517 09f4 01 .byte 0x1 + 2518 09f5 54 .byte 0x54 + 2519 09f6 23 .uleb128 0x23 + 2520 09f7 53030000 .4byte .LASF62 + 2521 09fb 01 .byte 0x1 + 2522 09fc 3205 .2byte 0x532 + 2523 09fe 85000000 .4byte 0x85 + 2524 0a02 6E0F0000 .4byte .LLST51 + 2525 0a06 22 .uleb128 0x22 + 2526 0a07 2C000000 .4byte .LASF75 + 2527 0a0b 01 .byte 0x1 + 2528 0a0c 3305 .2byte 0x533 + 2529 0a0e 90000000 .4byte 0x90 + 2530 0a12 01 .byte 0x1 + 2531 0a13 56 .byte 0x56 + 2532 0a14 2E .uleb128 0x2e + 2533 0a15 69647800 .ascii "idx\000" + 2534 0a19 01 .byte 0x1 + 2535 0a1a 3405 .2byte 0x534 + 2536 0a1c 85000000 .4byte 0x85 + 2537 0a20 A00F0000 .4byte .LLST52 + 2538 0a24 1E .uleb128 0x1e + 2539 0a25 30000000 .4byte .LVL176 + 2540 0a29 D30B0000 .4byte 0xbd3 + 2541 0a2d 1D .uleb128 0x1d + 2542 0a2e 01 .byte 0x1 + 2543 0a2f 52 .byte 0x52 + 2544 0a30 02 .byte 0x2 + 2545 0a31 7D .byte 0x7d + 2546 0a32 00 .sleb128 0 + 2547 0a33 1D .uleb128 0x1d + 2548 0a34 01 .byte 0x1 + 2549 0a35 51 .byte 0x51 + 2550 0a36 09 .byte 0x9 + 2551 0a37 F3 .byte 0xf3 + 2552 0a38 01 .uleb128 0x1 + 2553 0a39 50 .byte 0x50 + 2554 0a3a 09 .byte 0x9 + 2555 0a3b F4 .byte 0xf4 + 2556 0a3c 24 .byte 0x24 + 2557 0a3d 09 .byte 0x9 + 2558 0a3e FC .byte 0xfc + 2559 0a3f 25 .byte 0x25 + 2560 0a40 1D .uleb128 0x1d + 2561 0a41 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 76 + + + 2562 0a42 50 .byte 0x50 + 2563 0a43 02 .byte 0x2 + 2564 0a44 74 .byte 0x74 + 2565 0a45 00 .sleb128 0 + 2566 0a46 00 .byte 0 + 2567 0a47 00 .byte 0 + 2568 0a48 08 .uleb128 0x8 + 2569 0a49 7A000000 .4byte 0x7a + 2570 0a4d 580A0000 .4byte 0xa58 + 2571 0a51 09 .uleb128 0x9 + 2572 0a52 0E010000 .4byte 0x10e + 2573 0a56 FF .byte 0xff + 2574 0a57 00 .byte 0 + 2575 0a58 2F .uleb128 0x2f + 2576 0a59 37040000 .4byte .LASF76 + 2577 0a5d 01 .byte 0x1 + 2578 0a5e 26 .byte 0x26 + 2579 0a5f 4A030000 .4byte 0x34a + 2580 0a63 01 .byte 0x1 + 2581 0a64 05 .byte 0x5 + 2582 0a65 03 .byte 0x3 + 2583 0a66 00000000 .4byte BL_Checksum + 2584 0a6a 2F .uleb128 0x2f + 2585 0a6b 5B040000 .4byte .LASF77 + 2586 0a6f 01 .byte 0x1 + 2587 0a70 27 .byte 0x27 + 2588 0a71 44030000 .4byte 0x344 + 2589 0a75 01 .byte 0x1 + 2590 0a76 05 .byte 0x5 + 2591 0a77 03 .byte 0x3 + 2592 0a78 00000000 .4byte BL_ChecksumAccess + 2593 0a7c 2F .uleb128 0x2f + 2594 0a7d 7B030000 .4byte .LASF78 + 2595 0a81 01 .byte 0x1 + 2596 0a82 2F .byte 0x2f + 2597 0a83 8E0A0000 .4byte 0xa8e + 2598 0a87 01 .byte 0x1 + 2599 0a88 05 .byte 0x5 + 2600 0a89 03 .byte 0x3 + 2601 0a8a 00000000 .4byte BL_SizeBytes + 2602 0a8e 1F .uleb128 0x1f + 2603 0a8f 90000000 .4byte 0x90 + 2604 0a93 2F .uleb128 0x2f + 2605 0a94 A5000000 .4byte .LASF79 + 2606 0a98 01 .byte 0x1 + 2607 0a99 30 .byte 0x30 + 2608 0a9a A50A0000 .4byte 0xaa5 + 2609 0a9e 01 .byte 0x1 + 2610 0a9f 05 .byte 0x5 + 2611 0aa0 03 .byte 0x3 + 2612 0aa1 00000000 .4byte BL_SizeBytesAccess + 2613 0aa5 0B .uleb128 0xb + 2614 0aa6 04 .byte 0x4 + 2615 0aa7 8E0A0000 .4byte 0xa8e + 2616 0aab 30 .uleb128 0x30 + 2617 0aac 9C040000 .4byte .LASF80 + 2618 0ab0 05 .byte 0x5 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 77 + + + 2619 0ab1 1606 .2byte 0x616 + 2620 0ab3 B90A0000 .4byte 0xab9 + 2621 0ab7 01 .byte 0x1 + 2622 0ab8 01 .byte 0x1 + 2623 0ab9 05 .uleb128 0x5 + 2624 0aba 45000000 .4byte 0x45 + 2625 0abe 31 .uleb128 0x31 + 2626 0abf 01 .byte 0x1 + 2627 0ac0 7E010000 .4byte .LASF81 + 2628 0ac4 01 .byte 0x1 + 2629 0ac5 20010000 .4byte 0x120 + 2630 0ac9 01 .byte 0x1 + 2631 0aca 01 .byte 0x1 + 2632 0acb DF0A0000 .4byte 0xadf + 2633 0acf 32 .uleb128 0x32 + 2634 0ad0 20010000 .4byte 0x120 + 2635 0ad4 32 .uleb128 0x32 + 2636 0ad5 6C000000 .4byte 0x6c + 2637 0ad9 32 .uleb128 0x32 + 2638 0ada 0E010000 .4byte 0x10e + 2639 0ade 00 .byte 0 + 2640 0adf 33 .uleb128 0x33 + 2641 0ae0 01 .byte 0x1 + 2642 0ae1 B6040000 .4byte .LASF85 + 2643 0ae5 06 .byte 0x6 + 2644 0ae6 42 .byte 0x42 + 2645 0ae7 01 .byte 0x1 + 2646 0ae8 B0000000 .4byte 0xb0 + 2647 0aec 01 .byte 0x1 + 2648 0aed 060B0000 .4byte 0xb06 + 2649 0af1 32 .uleb128 0x32 + 2650 0af2 7A000000 .4byte 0x7a + 2651 0af6 32 .uleb128 0x32 + 2652 0af7 85000000 .4byte 0x85 + 2653 0afb 32 .uleb128 0x32 + 2654 0afc 44030000 .4byte 0x344 + 2655 0b00 32 .uleb128 0x32 + 2656 0b01 85000000 .4byte 0x85 + 2657 0b05 00 .byte 0 + 2658 0b06 31 .uleb128 0x31 + 2659 0b07 01 .byte 0x1 + 2660 0b08 DC000000 .4byte .LASF82 + 2661 0b0c 01 .byte 0x1 + 2662 0b0d 20010000 .4byte 0x120 + 2663 0b11 01 .byte 0x1 + 2664 0b12 01 .byte 0x1 + 2665 0b13 270B0000 .4byte 0xb27 + 2666 0b17 32 .uleb128 0x32 + 2667 0b18 20010000 .4byte 0x120 + 2668 0b1c 32 .uleb128 0x32 + 2669 0b1d 22010000 .4byte 0x122 + 2670 0b21 32 .uleb128 0x32 + 2671 0b22 0E010000 .4byte 0x10e + 2672 0b26 00 .byte 0 + 2673 0b27 34 .uleb128 0x34 + 2674 0b28 01 .byte 0x1 + 2675 0b29 B8020000 .4byte .LASF83 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 78 + + + 2676 0b2d 06 .byte 0x6 + 2677 0b2e 4E .byte 0x4e + 2678 0b2f 01 .byte 0x1 + 2679 0b30 01 .byte 0x1 + 2680 0b31 34 .uleb128 0x34 + 2681 0b32 01 .byte 0x1 + 2682 0b33 35000000 .4byte .LASF84 + 2683 0b37 07 .byte 0x7 + 2684 0b38 7C .byte 0x7c + 2685 0b39 01 .byte 0x1 + 2686 0b3a 01 .byte 0x1 + 2687 0b3b 33 .uleb128 0x33 + 2688 0b3c 01 .byte 0x1 + 2689 0b3d C5000000 .4byte .LASF86 + 2690 0b41 08 .byte 0x8 + 2691 0b42 E6 .byte 0xe6 + 2692 0b43 01 .byte 0x1 + 2693 0b44 B0000000 .4byte 0xb0 + 2694 0b48 01 .byte 0x1 + 2695 0b49 620B0000 .4byte 0xb62 + 2696 0b4d 32 .uleb128 0x32 + 2697 0b4e C5030000 .4byte 0x3c5 + 2698 0b52 32 .uleb128 0x32 + 2699 0b53 85000000 .4byte 0x85 + 2700 0b57 32 .uleb128 0x32 + 2701 0b58 620B0000 .4byte 0xb62 + 2702 0b5c 32 .uleb128 0x32 + 2703 0b5d 7A000000 .4byte 0x7a + 2704 0b61 00 .byte 0 + 2705 0b62 0B .uleb128 0xb + 2706 0b63 04 .byte 0x4 + 2707 0b64 85000000 .4byte 0x85 + 2708 0b68 34 .uleb128 0x34 + 2709 0b69 01 .byte 0x1 + 2710 0b6a F3030000 .4byte .LASF87 + 2711 0b6e 08 .byte 0x8 + 2712 0b6f E3 .byte 0xe3 + 2713 0b70 01 .byte 0x1 + 2714 0b71 01 .byte 0x1 + 2715 0b72 33 .uleb128 0x33 + 2716 0b73 01 .byte 0x1 + 2717 0b74 D6030000 .4byte .LASF88 + 2718 0b78 08 .byte 0x8 + 2719 0b79 E8 .byte 0xe8 + 2720 0b7a 01 .byte 0x1 + 2721 0b7b B0000000 .4byte 0xb0 + 2722 0b7f 01 .byte 0x1 + 2723 0b80 990B0000 .4byte 0xb99 + 2724 0b84 32 .uleb128 0x32 + 2725 0b85 C5030000 .4byte 0x3c5 + 2726 0b89 32 .uleb128 0x32 + 2727 0b8a 85000000 .4byte 0x85 + 2728 0b8e 32 .uleb128 0x32 + 2729 0b8f 620B0000 .4byte 0xb62 + 2730 0b93 32 .uleb128 0x32 + 2731 0b94 7A000000 .4byte 0x7a + 2732 0b98 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 79 + + + 2733 0b99 35 .uleb128 0x35 + 2734 0b9a 01 .byte 0x1 + 2735 0b9b 39010000 .4byte .LASF96 + 2736 0b9f 06 .byte 0x6 + 2737 0ba0 40 .byte 0x40 + 2738 0ba1 01 .byte 0x1 + 2739 0ba2 B0000000 .4byte 0xb0 + 2740 0ba6 01 .byte 0x1 + 2741 0ba7 36 .uleb128 0x36 + 2742 0ba8 01 .byte 0x1 + 2743 0ba9 C7020000 .4byte .LASF89 + 2744 0bad 07 .byte 0x7 + 2745 0bae 80 .byte 0x80 + 2746 0baf 01 .byte 0x1 + 2747 0bb0 01 .byte 0x1 + 2748 0bb1 BB0B0000 .4byte 0xbbb + 2749 0bb5 32 .uleb128 0x32 + 2750 0bb6 7A000000 .4byte 0x7a + 2751 0bba 00 .byte 0 + 2752 0bbb 33 .uleb128 0x33 + 2753 0bbc 01 .byte 0x1 + 2754 0bbd 48040000 .4byte .LASF90 + 2755 0bc1 06 .byte 0x6 + 2756 0bc2 41 .byte 0x41 + 2757 0bc3 01 .byte 0x1 + 2758 0bc4 B0000000 .4byte 0xb0 + 2759 0bc8 01 .byte 0x1 + 2760 0bc9 D30B0000 .4byte 0xbd3 + 2761 0bcd 32 .uleb128 0x32 + 2762 0bce C5030000 .4byte 0x3c5 + 2763 0bd2 00 .byte 0 + 2764 0bd3 37 .uleb128 0x37 + 2765 0bd4 01 .byte 0x1 + 2766 0bd5 6D040000 .4byte .LASF91 + 2767 0bd9 06 .byte 0x6 + 2768 0bda 44 .byte 0x44 + 2769 0bdb 01 .byte 0x1 + 2770 0bdc B0000000 .4byte 0xb0 + 2771 0be0 01 .byte 0x1 + 2772 0be1 32 .uleb128 0x32 + 2773 0be2 7A000000 .4byte 0x7a + 2774 0be6 32 .uleb128 0x32 + 2775 0be7 85000000 .4byte 0x85 + 2776 0beb 32 .uleb128 0x32 + 2777 0bec 44030000 .4byte 0x344 + 2778 0bf0 00 .byte 0 + 2779 0bf1 00 .byte 0 + 2780 .section .debug_abbrev,"",%progbits + 2781 .Ldebug_abbrev0: + 2782 0000 01 .uleb128 0x1 + 2783 0001 11 .uleb128 0x11 + 2784 0002 01 .byte 0x1 + 2785 0003 25 .uleb128 0x25 + 2786 0004 0E .uleb128 0xe + 2787 0005 13 .uleb128 0x13 + 2788 0006 0B .uleb128 0xb + 2789 0007 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 80 + + + 2790 0008 0E .uleb128 0xe + 2791 0009 1B .uleb128 0x1b + 2792 000a 0E .uleb128 0xe + 2793 000b 55 .uleb128 0x55 + 2794 000c 06 .uleb128 0x6 + 2795 000d 11 .uleb128 0x11 + 2796 000e 01 .uleb128 0x1 + 2797 000f 52 .uleb128 0x52 + 2798 0010 01 .uleb128 0x1 + 2799 0011 10 .uleb128 0x10 + 2800 0012 06 .uleb128 0x6 + 2801 0013 00 .byte 0 + 2802 0014 00 .byte 0 + 2803 0015 02 .uleb128 0x2 + 2804 0016 24 .uleb128 0x24 + 2805 0017 00 .byte 0 + 2806 0018 0B .uleb128 0xb + 2807 0019 0B .uleb128 0xb + 2808 001a 3E .uleb128 0x3e + 2809 001b 0B .uleb128 0xb + 2810 001c 03 .uleb128 0x3 + 2811 001d 0E .uleb128 0xe + 2812 001e 00 .byte 0 + 2813 001f 00 .byte 0 + 2814 0020 03 .uleb128 0x3 + 2815 0021 16 .uleb128 0x16 + 2816 0022 00 .byte 0 + 2817 0023 03 .uleb128 0x3 + 2818 0024 0E .uleb128 0xe + 2819 0025 3A .uleb128 0x3a + 2820 0026 0B .uleb128 0xb + 2821 0027 3B .uleb128 0x3b + 2822 0028 0B .uleb128 0xb + 2823 0029 49 .uleb128 0x49 + 2824 002a 13 .uleb128 0x13 + 2825 002b 00 .byte 0 + 2826 002c 00 .byte 0 + 2827 002d 04 .uleb128 0x4 + 2828 002e 24 .uleb128 0x24 + 2829 002f 00 .byte 0 + 2830 0030 0B .uleb128 0xb + 2831 0031 0B .uleb128 0xb + 2832 0032 3E .uleb128 0x3e + 2833 0033 0B .uleb128 0xb + 2834 0034 03 .uleb128 0x3 + 2835 0035 08 .uleb128 0x8 + 2836 0036 00 .byte 0 + 2837 0037 00 .byte 0 + 2838 0038 05 .uleb128 0x5 + 2839 0039 35 .uleb128 0x35 + 2840 003a 00 .byte 0 + 2841 003b 49 .uleb128 0x49 + 2842 003c 13 .uleb128 0x13 + 2843 003d 00 .byte 0 + 2844 003e 00 .byte 0 + 2845 003f 06 .uleb128 0x6 + 2846 0040 13 .uleb128 0x13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 81 + + + 2847 0041 01 .byte 0x1 + 2848 0042 0B .uleb128 0xb + 2849 0043 0B .uleb128 0xb + 2850 0044 3A .uleb128 0x3a + 2851 0045 0B .uleb128 0xb + 2852 0046 3B .uleb128 0x3b + 2853 0047 0B .uleb128 0xb + 2854 0048 01 .uleb128 0x1 + 2855 0049 13 .uleb128 0x13 + 2856 004a 00 .byte 0 + 2857 004b 00 .byte 0 + 2858 004c 07 .uleb128 0x7 + 2859 004d 0D .uleb128 0xd + 2860 004e 00 .byte 0 + 2861 004f 03 .uleb128 0x3 + 2862 0050 0E .uleb128 0xe + 2863 0051 3A .uleb128 0x3a + 2864 0052 0B .uleb128 0xb + 2865 0053 3B .uleb128 0x3b + 2866 0054 0B .uleb128 0xb + 2867 0055 49 .uleb128 0x49 + 2868 0056 13 .uleb128 0x13 + 2869 0057 38 .uleb128 0x38 + 2870 0058 0A .uleb128 0xa + 2871 0059 00 .byte 0 + 2872 005a 00 .byte 0 + 2873 005b 08 .uleb128 0x8 + 2874 005c 01 .uleb128 0x1 + 2875 005d 01 .byte 0x1 + 2876 005e 49 .uleb128 0x49 + 2877 005f 13 .uleb128 0x13 + 2878 0060 01 .uleb128 0x1 + 2879 0061 13 .uleb128 0x13 + 2880 0062 00 .byte 0 + 2881 0063 00 .byte 0 + 2882 0064 09 .uleb128 0x9 + 2883 0065 21 .uleb128 0x21 + 2884 0066 00 .byte 0 + 2885 0067 49 .uleb128 0x49 + 2886 0068 13 .uleb128 0x13 + 2887 0069 2F .uleb128 0x2f + 2888 006a 0B .uleb128 0xb + 2889 006b 00 .byte 0 + 2890 006c 00 .byte 0 + 2891 006d 0A .uleb128 0xa + 2892 006e 0F .uleb128 0xf + 2893 006f 00 .byte 0 + 2894 0070 0B .uleb128 0xb + 2895 0071 0B .uleb128 0xb + 2896 0072 00 .byte 0 + 2897 0073 00 .byte 0 + 2898 0074 0B .uleb128 0xb + 2899 0075 0F .uleb128 0xf + 2900 0076 00 .byte 0 + 2901 0077 0B .uleb128 0xb + 2902 0078 0B .uleb128 0xb + 2903 0079 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 82 + + + 2904 007a 13 .uleb128 0x13 + 2905 007b 00 .byte 0 + 2906 007c 00 .byte 0 + 2907 007d 0C .uleb128 0xc + 2908 007e 26 .uleb128 0x26 + 2909 007f 00 .byte 0 + 2910 0080 00 .byte 0 + 2911 0081 00 .byte 0 + 2912 0082 0D .uleb128 0xd + 2913 0083 2E .uleb128 0x2e + 2914 0084 01 .byte 0x1 + 2915 0085 03 .uleb128 0x3 + 2916 0086 0E .uleb128 0xe + 2917 0087 3A .uleb128 0x3a + 2918 0088 0B .uleb128 0xb + 2919 0089 3B .uleb128 0x3b + 2920 008a 0B .uleb128 0xb + 2921 008b 27 .uleb128 0x27 + 2922 008c 0C .uleb128 0xc + 2923 008d 49 .uleb128 0x49 + 2924 008e 13 .uleb128 0x13 + 2925 008f 20 .uleb128 0x20 + 2926 0090 0B .uleb128 0xb + 2927 0091 01 .uleb128 0x1 + 2928 0092 13 .uleb128 0x13 + 2929 0093 00 .byte 0 + 2930 0094 00 .byte 0 + 2931 0095 0E .uleb128 0xe + 2932 0096 05 .uleb128 0x5 + 2933 0097 00 .byte 0 + 2934 0098 03 .uleb128 0x3 + 2935 0099 0E .uleb128 0xe + 2936 009a 3A .uleb128 0x3a + 2937 009b 0B .uleb128 0xb + 2938 009c 3B .uleb128 0x3b + 2939 009d 0B .uleb128 0xb + 2940 009e 49 .uleb128 0x49 + 2941 009f 13 .uleb128 0x13 + 2942 00a0 00 .byte 0 + 2943 00a1 00 .byte 0 + 2944 00a2 0F .uleb128 0xf + 2945 00a3 34 .uleb128 0x34 + 2946 00a4 00 .byte 0 + 2947 00a5 03 .uleb128 0x3 + 2948 00a6 08 .uleb128 0x8 + 2949 00a7 3A .uleb128 0x3a + 2950 00a8 0B .uleb128 0xb + 2951 00a9 3B .uleb128 0x3b + 2952 00aa 0B .uleb128 0xb + 2953 00ab 49 .uleb128 0x49 + 2954 00ac 13 .uleb128 0x13 + 2955 00ad 00 .byte 0 + 2956 00ae 00 .byte 0 + 2957 00af 10 .uleb128 0x10 + 2958 00b0 2E .uleb128 0x2e + 2959 00b1 01 .byte 0x1 + 2960 00b2 03 .uleb128 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 83 + + + 2961 00b3 0E .uleb128 0xe + 2962 00b4 3A .uleb128 0x3a + 2963 00b5 0B .uleb128 0xb + 2964 00b6 3B .uleb128 0x3b + 2965 00b7 05 .uleb128 0x5 + 2966 00b8 27 .uleb128 0x27 + 2967 00b9 0C .uleb128 0xc + 2968 00ba 49 .uleb128 0x49 + 2969 00bb 13 .uleb128 0x13 + 2970 00bc 20 .uleb128 0x20 + 2971 00bd 0B .uleb128 0xb + 2972 00be 01 .uleb128 0x1 + 2973 00bf 13 .uleb128 0x13 + 2974 00c0 00 .byte 0 + 2975 00c1 00 .byte 0 + 2976 00c2 11 .uleb128 0x11 + 2977 00c3 05 .uleb128 0x5 + 2978 00c4 00 .byte 0 + 2979 00c5 03 .uleb128 0x3 + 2980 00c6 0E .uleb128 0xe + 2981 00c7 3A .uleb128 0x3a + 2982 00c8 0B .uleb128 0xb + 2983 00c9 3B .uleb128 0x3b + 2984 00ca 05 .uleb128 0x5 + 2985 00cb 49 .uleb128 0x49 + 2986 00cc 13 .uleb128 0x13 + 2987 00cd 00 .byte 0 + 2988 00ce 00 .byte 0 + 2989 00cf 12 .uleb128 0x12 + 2990 00d0 34 .uleb128 0x34 + 2991 00d1 00 .byte 0 + 2992 00d2 03 .uleb128 0x3 + 2993 00d3 0E .uleb128 0xe + 2994 00d4 3A .uleb128 0x3a + 2995 00d5 0B .uleb128 0xb + 2996 00d6 3B .uleb128 0x3b + 2997 00d7 05 .uleb128 0x5 + 2998 00d8 49 .uleb128 0x49 + 2999 00d9 13 .uleb128 0x13 + 3000 00da 00 .byte 0 + 3001 00db 00 .byte 0 + 3002 00dc 13 .uleb128 0x13 + 3003 00dd 34 .uleb128 0x34 + 3004 00de 00 .byte 0 + 3005 00df 03 .uleb128 0x3 + 3006 00e0 08 .uleb128 0x8 + 3007 00e1 3A .uleb128 0x3a + 3008 00e2 0B .uleb128 0xb + 3009 00e3 3B .uleb128 0x3b + 3010 00e4 05 .uleb128 0x5 + 3011 00e5 49 .uleb128 0x49 + 3012 00e6 13 .uleb128 0x13 + 3013 00e7 00 .byte 0 + 3014 00e8 00 .byte 0 + 3015 00e9 14 .uleb128 0x14 + 3016 00ea 0B .uleb128 0xb + 3017 00eb 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 84 + + + 3018 00ec 00 .byte 0 + 3019 00ed 00 .byte 0 + 3020 00ee 15 .uleb128 0x15 + 3021 00ef 2E .uleb128 0x2e + 3022 00f0 01 .byte 0x1 + 3023 00f1 03 .uleb128 0x3 + 3024 00f2 0E .uleb128 0xe + 3025 00f3 3A .uleb128 0x3a + 3026 00f4 0B .uleb128 0xb + 3027 00f5 3B .uleb128 0x3b + 3028 00f6 05 .uleb128 0x5 + 3029 00f7 27 .uleb128 0x27 + 3030 00f8 0C .uleb128 0xc + 3031 00f9 11 .uleb128 0x11 + 3032 00fa 01 .uleb128 0x1 + 3033 00fb 12 .uleb128 0x12 + 3034 00fc 01 .uleb128 0x1 + 3035 00fd 40 .uleb128 0x40 + 3036 00fe 0A .uleb128 0xa + 3037 00ff 9742 .uleb128 0x2117 + 3038 0101 0C .uleb128 0xc + 3039 0102 01 .uleb128 0x1 + 3040 0103 13 .uleb128 0x13 + 3041 0104 00 .byte 0 + 3042 0105 00 .byte 0 + 3043 0106 16 .uleb128 0x16 + 3044 0107 05 .uleb128 0x5 + 3045 0108 00 .byte 0 + 3046 0109 03 .uleb128 0x3 + 3047 010a 0E .uleb128 0xe + 3048 010b 3A .uleb128 0x3a + 3049 010c 0B .uleb128 0xb + 3050 010d 3B .uleb128 0x3b + 3051 010e 05 .uleb128 0x5 + 3052 010f 49 .uleb128 0x49 + 3053 0110 13 .uleb128 0x13 + 3054 0111 02 .uleb128 0x2 + 3055 0112 0A .uleb128 0xa + 3056 0113 00 .byte 0 + 3057 0114 00 .byte 0 + 3058 0115 17 .uleb128 0x17 + 3059 0116 2E .uleb128 0x2e + 3060 0117 01 .byte 0x1 + 3061 0118 31 .uleb128 0x31 + 3062 0119 13 .uleb128 0x13 + 3063 011a 11 .uleb128 0x11 + 3064 011b 01 .uleb128 0x1 + 3065 011c 12 .uleb128 0x12 + 3066 011d 01 .uleb128 0x1 + 3067 011e 40 .uleb128 0x40 + 3068 011f 06 .uleb128 0x6 + 3069 0120 9742 .uleb128 0x2117 + 3070 0122 0C .uleb128 0xc + 3071 0123 01 .uleb128 0x1 + 3072 0124 13 .uleb128 0x13 + 3073 0125 00 .byte 0 + 3074 0126 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 85 + + + 3075 0127 18 .uleb128 0x18 + 3076 0128 05 .uleb128 0x5 + 3077 0129 00 .byte 0 + 3078 012a 31 .uleb128 0x31 + 3079 012b 13 .uleb128 0x13 + 3080 012c 02 .uleb128 0x2 + 3081 012d 06 .uleb128 0x6 + 3082 012e 00 .byte 0 + 3083 012f 00 .byte 0 + 3084 0130 19 .uleb128 0x19 + 3085 0131 34 .uleb128 0x34 + 3086 0132 00 .byte 0 + 3087 0133 31 .uleb128 0x31 + 3088 0134 13 .uleb128 0x13 + 3089 0135 02 .uleb128 0x2 + 3090 0136 06 .uleb128 0x6 + 3091 0137 00 .byte 0 + 3092 0138 00 .byte 0 + 3093 0139 1A .uleb128 0x1a + 3094 013a 05 .uleb128 0x5 + 3095 013b 00 .byte 0 + 3096 013c 31 .uleb128 0x31 + 3097 013d 13 .uleb128 0x13 + 3098 013e 1C .uleb128 0x1c + 3099 013f 0B .uleb128 0xb + 3100 0140 00 .byte 0 + 3101 0141 00 .byte 0 + 3102 0142 1B .uleb128 0x1b + 3103 0143 0B .uleb128 0xb + 3104 0144 01 .byte 0x1 + 3105 0145 11 .uleb128 0x11 + 3106 0146 01 .uleb128 0x1 + 3107 0147 12 .uleb128 0x12 + 3108 0148 01 .uleb128 0x1 + 3109 0149 01 .uleb128 0x1 + 3110 014a 13 .uleb128 0x13 + 3111 014b 00 .byte 0 + 3112 014c 00 .byte 0 + 3113 014d 1C .uleb128 0x1c + 3114 014e 898201 .uleb128 0x4109 + 3115 0151 01 .byte 0x1 + 3116 0152 11 .uleb128 0x11 + 3117 0153 01 .uleb128 0x1 + 3118 0154 31 .uleb128 0x31 + 3119 0155 13 .uleb128 0x13 + 3120 0156 01 .uleb128 0x1 + 3121 0157 13 .uleb128 0x13 + 3122 0158 00 .byte 0 + 3123 0159 00 .byte 0 + 3124 015a 1D .uleb128 0x1d + 3125 015b 8A8201 .uleb128 0x410a + 3126 015e 00 .byte 0 + 3127 015f 02 .uleb128 0x2 + 3128 0160 0A .uleb128 0xa + 3129 0161 9142 .uleb128 0x2111 + 3130 0163 0A .uleb128 0xa + 3131 0164 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 86 + + + 3132 0165 00 .byte 0 + 3133 0166 1E .uleb128 0x1e + 3134 0167 898201 .uleb128 0x4109 + 3135 016a 01 .byte 0x1 + 3136 016b 11 .uleb128 0x11 + 3137 016c 01 .uleb128 0x1 + 3138 016d 31 .uleb128 0x31 + 3139 016e 13 .uleb128 0x13 + 3140 016f 00 .byte 0 + 3141 0170 00 .byte 0 + 3142 0171 1F .uleb128 0x1f + 3143 0172 26 .uleb128 0x26 + 3144 0173 00 .byte 0 + 3145 0174 49 .uleb128 0x49 + 3146 0175 13 .uleb128 0x13 + 3147 0176 00 .byte 0 + 3148 0177 00 .byte 0 + 3149 0178 20 .uleb128 0x20 + 3150 0179 2E .uleb128 0x2e + 3151 017a 01 .byte 0x1 + 3152 017b 03 .uleb128 0x3 + 3153 017c 0E .uleb128 0xe + 3154 017d 3A .uleb128 0x3a + 3155 017e 0B .uleb128 0xb + 3156 017f 3B .uleb128 0x3b + 3157 0180 05 .uleb128 0x5 + 3158 0181 27 .uleb128 0x27 + 3159 0182 0C .uleb128 0xc + 3160 0183 11 .uleb128 0x11 + 3161 0184 01 .uleb128 0x1 + 3162 0185 12 .uleb128 0x12 + 3163 0186 01 .uleb128 0x1 + 3164 0187 40 .uleb128 0x40 + 3165 0188 06 .uleb128 0x6 + 3166 0189 9742 .uleb128 0x2117 + 3167 018b 0C .uleb128 0xc + 3168 018c 01 .uleb128 0x1 + 3169 018d 13 .uleb128 0x13 + 3170 018e 00 .byte 0 + 3171 018f 00 .byte 0 + 3172 0190 21 .uleb128 0x21 + 3173 0191 05 .uleb128 0x5 + 3174 0192 00 .byte 0 + 3175 0193 03 .uleb128 0x3 + 3176 0194 0E .uleb128 0xe + 3177 0195 3A .uleb128 0x3a + 3178 0196 0B .uleb128 0xb + 3179 0197 3B .uleb128 0x3b + 3180 0198 05 .uleb128 0x5 + 3181 0199 49 .uleb128 0x49 + 3182 019a 13 .uleb128 0x13 + 3183 019b 02 .uleb128 0x2 + 3184 019c 06 .uleb128 0x6 + 3185 019d 00 .byte 0 + 3186 019e 00 .byte 0 + 3187 019f 22 .uleb128 0x22 + 3188 01a0 34 .uleb128 0x34 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 87 + + + 3189 01a1 00 .byte 0 + 3190 01a2 03 .uleb128 0x3 + 3191 01a3 0E .uleb128 0xe + 3192 01a4 3A .uleb128 0x3a + 3193 01a5 0B .uleb128 0xb + 3194 01a6 3B .uleb128 0x3b + 3195 01a7 05 .uleb128 0x5 + 3196 01a8 49 .uleb128 0x49 + 3197 01a9 13 .uleb128 0x13 + 3198 01aa 02 .uleb128 0x2 + 3199 01ab 0A .uleb128 0xa + 3200 01ac 00 .byte 0 + 3201 01ad 00 .byte 0 + 3202 01ae 23 .uleb128 0x23 + 3203 01af 34 .uleb128 0x34 + 3204 01b0 00 .byte 0 + 3205 01b1 03 .uleb128 0x3 + 3206 01b2 0E .uleb128 0xe + 3207 01b3 3A .uleb128 0x3a + 3208 01b4 0B .uleb128 0xb + 3209 01b5 3B .uleb128 0x3b + 3210 01b6 05 .uleb128 0x5 + 3211 01b7 49 .uleb128 0x49 + 3212 01b8 13 .uleb128 0x13 + 3213 01b9 02 .uleb128 0x2 + 3214 01ba 06 .uleb128 0x6 + 3215 01bb 00 .byte 0 + 3216 01bc 00 .byte 0 + 3217 01bd 24 .uleb128 0x24 + 3218 01be 1D .uleb128 0x1d + 3219 01bf 01 .byte 0x1 + 3220 01c0 31 .uleb128 0x31 + 3221 01c1 13 .uleb128 0x13 + 3222 01c2 11 .uleb128 0x11 + 3223 01c3 01 .uleb128 0x1 + 3224 01c4 12 .uleb128 0x12 + 3225 01c5 01 .uleb128 0x1 + 3226 01c6 58 .uleb128 0x58 + 3227 01c7 0B .uleb128 0xb + 3228 01c8 59 .uleb128 0x59 + 3229 01c9 05 .uleb128 0x5 + 3230 01ca 01 .uleb128 0x1 + 3231 01cb 13 .uleb128 0x13 + 3232 01cc 00 .byte 0 + 3233 01cd 00 .byte 0 + 3234 01ce 25 .uleb128 0x25 + 3235 01cf 0B .uleb128 0xb + 3236 01d0 01 .byte 0x1 + 3237 01d1 11 .uleb128 0x11 + 3238 01d2 01 .uleb128 0x1 + 3239 01d3 12 .uleb128 0x12 + 3240 01d4 01 .uleb128 0x1 + 3241 01d5 00 .byte 0 + 3242 01d6 00 .byte 0 + 3243 01d7 26 .uleb128 0x26 + 3244 01d8 0B .uleb128 0xb + 3245 01d9 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 88 + + + 3246 01da 55 .uleb128 0x55 + 3247 01db 06 .uleb128 0x6 + 3248 01dc 01 .uleb128 0x1 + 3249 01dd 13 .uleb128 0x13 + 3250 01de 00 .byte 0 + 3251 01df 00 .byte 0 + 3252 01e0 27 .uleb128 0x27 + 3253 01e1 898201 .uleb128 0x4109 + 3254 01e4 00 .byte 0 + 3255 01e5 11 .uleb128 0x11 + 3256 01e6 01 .uleb128 0x1 + 3257 01e7 31 .uleb128 0x31 + 3258 01e8 13 .uleb128 0x13 + 3259 01e9 00 .byte 0 + 3260 01ea 00 .byte 0 + 3261 01eb 28 .uleb128 0x28 + 3262 01ec 05 .uleb128 0x5 + 3263 01ed 00 .byte 0 + 3264 01ee 31 .uleb128 0x31 + 3265 01ef 13 .uleb128 0x13 + 3266 01f0 02 .uleb128 0x2 + 3267 01f1 0A .uleb128 0xa + 3268 01f2 00 .byte 0 + 3269 01f3 00 .byte 0 + 3270 01f4 29 .uleb128 0x29 + 3271 01f5 34 .uleb128 0x34 + 3272 01f6 00 .byte 0 + 3273 01f7 31 .uleb128 0x31 + 3274 01f8 13 .uleb128 0x13 + 3275 01f9 02 .uleb128 0x2 + 3276 01fa 0A .uleb128 0xa + 3277 01fb 00 .byte 0 + 3278 01fc 00 .byte 0 + 3279 01fd 2A .uleb128 0x2a + 3280 01fe 21 .uleb128 0x21 + 3281 01ff 00 .byte 0 + 3282 0200 49 .uleb128 0x49 + 3283 0201 13 .uleb128 0x13 + 3284 0202 2F .uleb128 0x2f + 3285 0203 05 .uleb128 0x5 + 3286 0204 00 .byte 0 + 3287 0205 00 .byte 0 + 3288 0206 2B .uleb128 0x2b + 3289 0207 2E .uleb128 0x2e + 3290 0208 00 .byte 0 + 3291 0209 03 .uleb128 0x3 + 3292 020a 0E .uleb128 0xe + 3293 020b 3A .uleb128 0x3a + 3294 020c 0B .uleb128 0xb + 3295 020d 3B .uleb128 0x3b + 3296 020e 05 .uleb128 0x5 + 3297 020f 27 .uleb128 0x27 + 3298 0210 0C .uleb128 0xc + 3299 0211 20 .uleb128 0x20 + 3300 0212 0B .uleb128 0xb + 3301 0213 00 .byte 0 + 3302 0214 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 89 + + + 3303 0215 2C .uleb128 0x2c + 3304 0216 2E .uleb128 0x2e + 3305 0217 01 .byte 0x1 + 3306 0218 3F .uleb128 0x3f + 3307 0219 0C .uleb128 0xc + 3308 021a 03 .uleb128 0x3 + 3309 021b 0E .uleb128 0xe + 3310 021c 3A .uleb128 0x3a + 3311 021d 0B .uleb128 0xb + 3312 021e 3B .uleb128 0x3b + 3313 021f 05 .uleb128 0x5 + 3314 0220 27 .uleb128 0x27 + 3315 0221 0C .uleb128 0xc + 3316 0222 11 .uleb128 0x11 + 3317 0223 01 .uleb128 0x1 + 3318 0224 12 .uleb128 0x12 + 3319 0225 01 .uleb128 0x1 + 3320 0226 40 .uleb128 0x40 + 3321 0227 06 .uleb128 0x6 + 3322 0228 9742 .uleb128 0x2117 + 3323 022a 0C .uleb128 0xc + 3324 022b 01 .uleb128 0x1 + 3325 022c 13 .uleb128 0x13 + 3326 022d 00 .byte 0 + 3327 022e 00 .byte 0 + 3328 022f 2D .uleb128 0x2d + 3329 0230 898201 .uleb128 0x4109 + 3330 0233 00 .byte 0 + 3331 0234 11 .uleb128 0x11 + 3332 0235 01 .uleb128 0x1 + 3333 0236 9542 .uleb128 0x2115 + 3334 0238 0C .uleb128 0xc + 3335 0239 31 .uleb128 0x31 + 3336 023a 13 .uleb128 0x13 + 3337 023b 00 .byte 0 + 3338 023c 00 .byte 0 + 3339 023d 2E .uleb128 0x2e + 3340 023e 34 .uleb128 0x34 + 3341 023f 00 .byte 0 + 3342 0240 03 .uleb128 0x3 + 3343 0241 08 .uleb128 0x8 + 3344 0242 3A .uleb128 0x3a + 3345 0243 0B .uleb128 0xb + 3346 0244 3B .uleb128 0x3b + 3347 0245 05 .uleb128 0x5 + 3348 0246 49 .uleb128 0x49 + 3349 0247 13 .uleb128 0x13 + 3350 0248 02 .uleb128 0x2 + 3351 0249 06 .uleb128 0x6 + 3352 024a 00 .byte 0 + 3353 024b 00 .byte 0 + 3354 024c 2F .uleb128 0x2f + 3355 024d 34 .uleb128 0x34 + 3356 024e 00 .byte 0 + 3357 024f 03 .uleb128 0x3 + 3358 0250 0E .uleb128 0xe + 3359 0251 3A .uleb128 0x3a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 90 + + + 3360 0252 0B .uleb128 0xb + 3361 0253 3B .uleb128 0x3b + 3362 0254 0B .uleb128 0xb + 3363 0255 49 .uleb128 0x49 + 3364 0256 13 .uleb128 0x13 + 3365 0257 3F .uleb128 0x3f + 3366 0258 0C .uleb128 0xc + 3367 0259 02 .uleb128 0x2 + 3368 025a 0A .uleb128 0xa + 3369 025b 00 .byte 0 + 3370 025c 00 .byte 0 + 3371 025d 30 .uleb128 0x30 + 3372 025e 34 .uleb128 0x34 + 3373 025f 00 .byte 0 + 3374 0260 03 .uleb128 0x3 + 3375 0261 0E .uleb128 0xe + 3376 0262 3A .uleb128 0x3a + 3377 0263 0B .uleb128 0xb + 3378 0264 3B .uleb128 0x3b + 3379 0265 05 .uleb128 0x5 + 3380 0266 49 .uleb128 0x49 + 3381 0267 13 .uleb128 0x13 + 3382 0268 3F .uleb128 0x3f + 3383 0269 0C .uleb128 0xc + 3384 026a 3C .uleb128 0x3c + 3385 026b 0C .uleb128 0xc + 3386 026c 00 .byte 0 + 3387 026d 00 .byte 0 + 3388 026e 31 .uleb128 0x31 + 3389 026f 2E .uleb128 0x2e + 3390 0270 01 .byte 0x1 + 3391 0271 3F .uleb128 0x3f + 3392 0272 0C .uleb128 0xc + 3393 0273 03 .uleb128 0x3 + 3394 0274 0E .uleb128 0xe + 3395 0275 27 .uleb128 0x27 + 3396 0276 0C .uleb128 0xc + 3397 0277 49 .uleb128 0x49 + 3398 0278 13 .uleb128 0x13 + 3399 0279 34 .uleb128 0x34 + 3400 027a 0C .uleb128 0xc + 3401 027b 3C .uleb128 0x3c + 3402 027c 0C .uleb128 0xc + 3403 027d 01 .uleb128 0x1 + 3404 027e 13 .uleb128 0x13 + 3405 027f 00 .byte 0 + 3406 0280 00 .byte 0 + 3407 0281 32 .uleb128 0x32 + 3408 0282 05 .uleb128 0x5 + 3409 0283 00 .byte 0 + 3410 0284 49 .uleb128 0x49 + 3411 0285 13 .uleb128 0x13 + 3412 0286 00 .byte 0 + 3413 0287 00 .byte 0 + 3414 0288 33 .uleb128 0x33 + 3415 0289 2E .uleb128 0x2e + 3416 028a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 91 + + + 3417 028b 3F .uleb128 0x3f + 3418 028c 0C .uleb128 0xc + 3419 028d 03 .uleb128 0x3 + 3420 028e 0E .uleb128 0xe + 3421 028f 3A .uleb128 0x3a + 3422 0290 0B .uleb128 0xb + 3423 0291 3B .uleb128 0x3b + 3424 0292 0B .uleb128 0xb + 3425 0293 27 .uleb128 0x27 + 3426 0294 0C .uleb128 0xc + 3427 0295 49 .uleb128 0x49 + 3428 0296 13 .uleb128 0x13 + 3429 0297 3C .uleb128 0x3c + 3430 0298 0C .uleb128 0xc + 3431 0299 01 .uleb128 0x1 + 3432 029a 13 .uleb128 0x13 + 3433 029b 00 .byte 0 + 3434 029c 00 .byte 0 + 3435 029d 34 .uleb128 0x34 + 3436 029e 2E .uleb128 0x2e + 3437 029f 00 .byte 0 + 3438 02a0 3F .uleb128 0x3f + 3439 02a1 0C .uleb128 0xc + 3440 02a2 03 .uleb128 0x3 + 3441 02a3 0E .uleb128 0xe + 3442 02a4 3A .uleb128 0x3a + 3443 02a5 0B .uleb128 0xb + 3444 02a6 3B .uleb128 0x3b + 3445 02a7 0B .uleb128 0xb + 3446 02a8 27 .uleb128 0x27 + 3447 02a9 0C .uleb128 0xc + 3448 02aa 3C .uleb128 0x3c + 3449 02ab 0C .uleb128 0xc + 3450 02ac 00 .byte 0 + 3451 02ad 00 .byte 0 + 3452 02ae 35 .uleb128 0x35 + 3453 02af 2E .uleb128 0x2e + 3454 02b0 00 .byte 0 + 3455 02b1 3F .uleb128 0x3f + 3456 02b2 0C .uleb128 0xc + 3457 02b3 03 .uleb128 0x3 + 3458 02b4 0E .uleb128 0xe + 3459 02b5 3A .uleb128 0x3a + 3460 02b6 0B .uleb128 0xb + 3461 02b7 3B .uleb128 0x3b + 3462 02b8 0B .uleb128 0xb + 3463 02b9 27 .uleb128 0x27 + 3464 02ba 0C .uleb128 0xc + 3465 02bb 49 .uleb128 0x49 + 3466 02bc 13 .uleb128 0x13 + 3467 02bd 3C .uleb128 0x3c + 3468 02be 0C .uleb128 0xc + 3469 02bf 00 .byte 0 + 3470 02c0 00 .byte 0 + 3471 02c1 36 .uleb128 0x36 + 3472 02c2 2E .uleb128 0x2e + 3473 02c3 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 92 + + + 3474 02c4 3F .uleb128 0x3f + 3475 02c5 0C .uleb128 0xc + 3476 02c6 03 .uleb128 0x3 + 3477 02c7 0E .uleb128 0xe + 3478 02c8 3A .uleb128 0x3a + 3479 02c9 0B .uleb128 0xb + 3480 02ca 3B .uleb128 0x3b + 3481 02cb 0B .uleb128 0xb + 3482 02cc 27 .uleb128 0x27 + 3483 02cd 0C .uleb128 0xc + 3484 02ce 3C .uleb128 0x3c + 3485 02cf 0C .uleb128 0xc + 3486 02d0 01 .uleb128 0x1 + 3487 02d1 13 .uleb128 0x13 + 3488 02d2 00 .byte 0 + 3489 02d3 00 .byte 0 + 3490 02d4 37 .uleb128 0x37 + 3491 02d5 2E .uleb128 0x2e + 3492 02d6 01 .byte 0x1 + 3493 02d7 3F .uleb128 0x3f + 3494 02d8 0C .uleb128 0xc + 3495 02d9 03 .uleb128 0x3 + 3496 02da 0E .uleb128 0xe + 3497 02db 3A .uleb128 0x3a + 3498 02dc 0B .uleb128 0xb + 3499 02dd 3B .uleb128 0x3b + 3500 02de 0B .uleb128 0xb + 3501 02df 27 .uleb128 0x27 + 3502 02e0 0C .uleb128 0xc + 3503 02e1 49 .uleb128 0x49 + 3504 02e2 13 .uleb128 0x13 + 3505 02e3 3C .uleb128 0x3c + 3506 02e4 0C .uleb128 0xc + 3507 02e5 00 .byte 0 + 3508 02e6 00 .byte 0 + 3509 02e7 00 .byte 0 + 3510 .section .debug_loc,"",%progbits + 3511 .Ldebug_loc0: + 3512 .LLST0: + 3513 0000 00000000 .4byte .LFB69 + 3514 0004 04000000 .4byte .LCFI0 + 3515 0008 0200 .2byte 0x2 + 3516 000a 7D .byte 0x7d + 3517 000b 00 .sleb128 0 + 3518 000c 04000000 .4byte .LCFI0 + 3519 0010 8C000000 .4byte .LFE69 + 3520 0014 0200 .2byte 0x2 + 3521 0016 7D .byte 0x7d + 3522 0017 08 .sleb128 8 + 3523 0018 00000000 .4byte 0 + 3524 001c 00000000 .4byte 0 + 3525 .LLST1: + 3526 0020 00000000 .4byte .LVL1 + 3527 0024 18000000 .4byte .LVL2 + 3528 0028 0100 .2byte 0x1 + 3529 002a 50 .byte 0x50 + 3530 002b 18000000 .4byte .LVL2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 93 + + + 3531 002f 1A000000 .4byte .LVL3 + 3532 0033 0400 .2byte 0x4 + 3533 0035 F3 .byte 0xf3 + 3534 0036 01 .uleb128 0x1 + 3535 0037 50 .byte 0x50 + 3536 0038 9F .byte 0x9f + 3537 0039 1A000000 .4byte .LVL3 + 3538 003d 20000000 .4byte .LVL5 + 3539 0041 0100 .2byte 0x1 + 3540 0043 50 .byte 0x50 + 3541 0044 20000000 .4byte .LVL5 + 3542 0048 22000000 .4byte .LVL6 + 3543 004c 0400 .2byte 0x4 + 3544 004e F3 .byte 0xf3 + 3545 004f 01 .uleb128 0x1 + 3546 0050 50 .byte 0x50 + 3547 0051 9F .byte 0x9f + 3548 0052 22000000 .4byte .LVL6 + 3549 0056 24000000 .4byte .LVL7 + 3550 005a 0100 .2byte 0x1 + 3551 005c 50 .byte 0x50 + 3552 005d 24000000 .4byte .LVL7 + 3553 0061 26000000 .4byte .LVL8 + 3554 0065 0400 .2byte 0x4 + 3555 0067 F3 .byte 0xf3 + 3556 0068 01 .uleb128 0x1 + 3557 0069 50 .byte 0x50 + 3558 006a 9F .byte 0x9f + 3559 006b 26000000 .4byte .LVL8 + 3560 006f 28000000 .4byte .LVL9 + 3561 0073 0100 .2byte 0x1 + 3562 0075 50 .byte 0x50 + 3563 0076 28000000 .4byte .LVL9 + 3564 007a 2A000000 .4byte .LVL10 + 3565 007e 0400 .2byte 0x4 + 3566 0080 F3 .byte 0xf3 + 3567 0081 01 .uleb128 0x1 + 3568 0082 50 .byte 0x50 + 3569 0083 9F .byte 0x9f + 3570 0084 2A000000 .4byte .LVL10 + 3571 0088 2C000000 .4byte .LVL11 + 3572 008c 0100 .2byte 0x1 + 3573 008e 50 .byte 0x50 + 3574 008f 2C000000 .4byte .LVL11 + 3575 0093 50000000 .4byte .LVL17 + 3576 0097 0400 .2byte 0x4 + 3577 0099 F3 .byte 0xf3 + 3578 009a 01 .uleb128 0x1 + 3579 009b 50 .byte 0x50 + 3580 009c 9F .byte 0x9f + 3581 009d 50000000 .4byte .LVL17 + 3582 00a1 58000000 .4byte .LVL19 + 3583 00a5 0100 .2byte 0x1 + 3584 00a7 50 .byte 0x50 + 3585 00a8 58000000 .4byte .LVL19 + 3586 00ac 8C000000 .4byte .LFE69 + 3587 00b0 0400 .2byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 94 + + + 3588 00b2 F3 .byte 0xf3 + 3589 00b3 01 .uleb128 0x1 + 3590 00b4 50 .byte 0x50 + 3591 00b5 9F .byte 0x9f + 3592 00b6 00000000 .4byte 0 + 3593 00ba 00000000 .4byte 0 + 3594 .LLST2: + 3595 00be 1E000000 .4byte .LVL4 + 3596 00c2 22000000 .4byte .LVL6 + 3597 00c6 0600 .2byte 0x6 + 3598 00c8 0C .byte 0xc + 3599 00c9 D6FF0100 .4byte 0x1ffd6 + 3600 00cd 9F .byte 0x9f + 3601 00ce 22000000 .4byte .LVL6 + 3602 00d2 26000000 .4byte .LVL8 + 3603 00d6 0600 .2byte 0x6 + 3604 00d8 0C .byte 0xc + 3605 00d9 D4FF0100 .4byte 0x1ffd4 + 3606 00dd 9F .byte 0x9f + 3607 00de 2C000000 .4byte .LVL11 + 3608 00e2 30000000 .4byte .LVL13 + 3609 00e6 0100 .2byte 0x1 + 3610 00e8 50 .byte 0x50 + 3611 00e9 56000000 .4byte .LVL18 + 3612 00ed 5E000000 .4byte .LVL22 + 3613 00f1 0100 .2byte 0x1 + 3614 00f3 53 .byte 0x53 + 3615 00f4 00000000 .4byte 0 + 3616 00f8 00000000 .4byte 0 + 3617 .LLST3: + 3618 00fc 00000000 .4byte .LVL1 + 3619 0100 34000000 .4byte .LVL14 + 3620 0104 0200 .2byte 0x2 + 3621 0106 32 .byte 0x32 + 3622 0107 9F .byte 0x9f + 3623 0108 50000000 .4byte .LVL17 + 3624 010c 56000000 .4byte .LVL18 + 3625 0110 0200 .2byte 0x2 + 3626 0112 32 .byte 0x32 + 3627 0113 9F .byte 0x9f + 3628 0114 56000000 .4byte .LVL18 + 3629 0118 6C000000 .4byte .LVL25 + 3630 011c 0200 .2byte 0x2 + 3631 011e 34 .byte 0x34 + 3632 011f 9F .byte 0x9f + 3633 0120 00000000 .4byte 0 + 3634 0124 00000000 .4byte 0 + 3635 .LLST4: + 3636 0128 2E000000 .4byte .LVL12 + 3637 012c 34000000 .4byte .LVL14 + 3638 0130 0100 .2byte 0x1 + 3639 0132 51 .byte 0x51 + 3640 0133 34000000 .4byte .LVL14 + 3641 0137 50000000 .4byte .LVL17 + 3642 013b 0100 .2byte 0x1 + 3643 013d 50 .byte 0x50 + 3644 013e 58000000 .4byte .LVL19 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 95 + + + 3645 0142 5A000000 .4byte .LVL20 + 3646 0146 0100 .2byte 0x1 + 3647 0148 50 .byte 0x50 + 3648 0149 5A000000 .4byte .LVL20 + 3649 014d 5C000000 .4byte .LVL21 + 3650 0151 0B00 .2byte 0xb + 3651 0153 74 .byte 0x74 + 3652 0154 00 .sleb128 0 + 3653 0155 08 .byte 0x8 + 3654 0156 FF .byte 0xff + 3655 0157 1A .byte 0x1a + 3656 0158 38 .byte 0x38 + 3657 0159 24 .byte 0x24 + 3658 015a 70 .byte 0x70 + 3659 015b 00 .sleb128 0 + 3660 015c 21 .byte 0x21 + 3661 015d 9F .byte 0x9f + 3662 015e 5C000000 .4byte .LVL21 + 3663 0162 62000000 .4byte .LVL23 + 3664 0166 1300 .2byte 0x13 + 3665 0168 74 .byte 0x74 + 3666 0169 00 .sleb128 0 + 3667 016a 08 .byte 0x8 + 3668 016b FF .byte 0xff + 3669 016c 1A .byte 0x1a + 3670 016d 38 .byte 0x38 + 3671 016e 24 .byte 0x24 + 3672 016f 71 .byte 0x71 + 3673 0170 00 .sleb128 0 + 3674 0171 08 .byte 0x8 + 3675 0172 FF .byte 0xff + 3676 0173 1A .byte 0x1a + 3677 0174 40 .byte 0x40 + 3678 0175 24 .byte 0x24 + 3679 0176 21 .byte 0x21 + 3680 0177 70 .byte 0x70 + 3681 0178 00 .sleb128 0 + 3682 0179 21 .byte 0x21 + 3683 017a 9F .byte 0x9f + 3684 017b 6A000000 .4byte .LVL24 + 3685 017f 8C000000 .4byte .LFE69 + 3686 0183 0100 .2byte 0x1 + 3687 0185 50 .byte 0x50 + 3688 0186 00000000 .4byte 0 + 3689 018a 00000000 .4byte 0 + 3690 .LLST5: + 3691 018e 00000000 .4byte .LFB70 + 3692 0192 02000000 .4byte .LCFI1 + 3693 0196 0200 .2byte 0x2 + 3694 0198 7D .byte 0x7d + 3695 0199 00 .sleb128 0 + 3696 019a 02000000 .4byte .LCFI1 + 3697 019e 84000000 .4byte .LFE70 + 3698 01a2 0200 .2byte 0x2 + 3699 01a4 7D .byte 0x7d + 3700 01a5 10 .sleb128 16 + 3701 01a6 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 96 + + + 3702 01aa 00000000 .4byte 0 + 3703 .LLST6: + 3704 01ae 22000000 .4byte .LVL31 + 3705 01b2 24000000 .4byte .LVL32 + 3706 01b6 0100 .2byte 0x1 + 3707 01b8 51 .byte 0x51 + 3708 01b9 4A000000 .4byte .LVL39 + 3709 01bd 62000000 .4byte .LVL43 + 3710 01c1 0100 .2byte 0x1 + 3711 01c3 53 .byte 0x53 + 3712 01c4 62000000 .4byte .LVL43 + 3713 01c8 68000000 .4byte .LVL45 + 3714 01cc 0300 .2byte 0x3 + 3715 01ce 73 .byte 0x73 + 3716 01cf 7F .sleb128 -1 + 3717 01d0 9F .byte 0x9f + 3718 01d1 68000000 .4byte .LVL45 + 3719 01d5 84000000 .4byte .LFE70 + 3720 01d9 0100 .2byte 0x1 + 3721 01db 53 .byte 0x53 + 3722 01dc 00000000 .4byte 0 + 3723 01e0 00000000 .4byte 0 + 3724 .LLST7: + 3725 01e4 16000000 .4byte .LVL29 + 3726 01e8 50000000 .4byte .LVL40 + 3727 01ec 0100 .2byte 0x1 + 3728 01ee 56 .byte 0x56 + 3729 01ef 52000000 .4byte .LVL41 + 3730 01f3 56000000 .4byte .LVL42 + 3731 01f7 0100 .2byte 0x1 + 3732 01f9 56 .byte 0x56 + 3733 01fa 00000000 .4byte 0 + 3734 01fe 00000000 .4byte 0 + 3735 .LLST8: + 3736 0202 16000000 .4byte .LVL29 + 3737 0206 24000000 .4byte .LVL32 + 3738 020a 0200 .2byte 0x2 + 3739 020c 30 .byte 0x30 + 3740 020d 9F .byte 0x9f + 3741 020e 24000000 .4byte .LVL32 + 3742 0212 84000000 .4byte .LFE70 + 3743 0216 0100 .2byte 0x1 + 3744 0218 55 .byte 0x55 + 3745 0219 00000000 .4byte 0 + 3746 021d 00000000 .4byte 0 + 3747 .LLST9: + 3748 0221 16000000 .4byte .LVL29 + 3749 0225 24000000 .4byte .LVL32 + 3750 0229 0200 .2byte 0x2 + 3751 022b 30 .byte 0x30 + 3752 022c 9F .byte 0x9f + 3753 022d 24000000 .4byte .LVL32 + 3754 0231 32000000 .4byte .LVL34 + 3755 0235 0100 .2byte 0x1 + 3756 0237 54 .byte 0x54 + 3757 0238 3A000000 .4byte .LVL36 + 3758 023c 66000000 .4byte .LVL44 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 97 + + + 3759 0240 0100 .2byte 0x1 + 3760 0242 54 .byte 0x54 + 3761 0243 66000000 .4byte .LVL44 + 3762 0247 68000000 .4byte .LVL45 + 3763 024b 0100 .2byte 0x1 + 3764 024d 52 .byte 0x52 + 3765 024e 68000000 .4byte .LVL45 + 3766 0252 6C000000 .4byte .LVL46 + 3767 0256 0400 .2byte 0x4 + 3768 0258 74 .byte 0x74 + 3769 0259 00 .sleb128 0 + 3770 025a 1F .byte 0x1f + 3771 025b 9F .byte 0x9f + 3772 025c 6C000000 .4byte .LVL46 + 3773 0260 70000000 .4byte .LVL47 + 3774 0264 0500 .2byte 0x5 + 3775 0266 74 .byte 0x74 + 3776 0267 00 .sleb128 0 + 3777 0268 1F .byte 0x1f + 3778 0269 1F .byte 0x1f + 3779 026a 9F .byte 0x9f + 3780 026b 00000000 .4byte 0 + 3781 026f 00000000 .4byte 0 + 3782 .LLST10: + 3783 0273 2C000000 .4byte .LVL33 + 3784 0277 3C000000 .4byte .LVL37 + 3785 027b 0200 .2byte 0x2 + 3786 027d 71 .byte 0x71 + 3787 027e 7F .sleb128 -1 + 3788 027f 00000000 .4byte 0 + 3789 0283 00000000 .4byte 0 + 3790 .LLST11: + 3791 0287 00000000 .4byte .LFB64 + 3792 028b 04000000 .4byte .LCFI2 + 3793 028f 0200 .2byte 0x2 + 3794 0291 7D .byte 0x7d + 3795 0292 00 .sleb128 0 + 3796 0293 04000000 .4byte .LCFI2 + 3797 0297 08000000 .4byte .LCFI3 + 3798 029b 0200 .2byte 0x2 + 3799 029d 7D .byte 0x7d + 3800 029e 24 .sleb128 36 + 3801 029f 08000000 .4byte .LCFI3 + 3802 02a3 84030000 .4byte .LFE64 + 3803 02a7 0300 .2byte 0x3 + 3804 02a9 7D .byte 0x7d + 3805 02aa A807 .sleb128 936 + 3806 02ac 00000000 .4byte 0 + 3807 02b0 00000000 .4byte 0 + 3808 .LLST12: + 3809 02b4 00000000 .4byte .LVL48 + 3810 02b8 0D000000 .4byte .LVL49-1 + 3811 02bc 0100 .2byte 0x1 + 3812 02be 50 .byte 0x50 + 3813 02bf 0D000000 .4byte .LVL49-1 + 3814 02c3 84030000 .4byte .LFE64 + 3815 02c7 0400 .2byte 0x4 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 98 + + + 3816 02c9 F3 .byte 0xf3 + 3817 02ca 01 .uleb128 0x1 + 3818 02cb 50 .byte 0x50 + 3819 02cc 9F .byte 0x9f + 3820 02cd 00000000 .4byte 0 + 3821 02d1 00000000 .4byte 0 + 3822 .LLST13: + 3823 02d5 B0000000 .4byte .LVL68 + 3824 02d9 DC000000 .4byte .LVL71 + 3825 02dd 0200 .2byte 0x2 + 3826 02df 30 .byte 0x30 + 3827 02e0 9F .byte 0x9f + 3828 02e1 DC000000 .4byte .LVL71 + 3829 02e5 DE000000 .4byte .LVL72 + 3830 02e9 0200 .2byte 0x2 + 3831 02eb 34 .byte 0x34 + 3832 02ec 9F .byte 0x9f + 3833 02ed DE000000 .4byte .LVL72 + 3834 02f1 34010000 .4byte .LVL78 + 3835 02f5 0100 .2byte 0x1 + 3836 02f7 54 .byte 0x54 + 3837 02f8 46010000 .4byte .LVL80 + 3838 02fc A8010000 .4byte .LVL85 + 3839 0300 0200 .2byte 0x2 + 3840 0302 30 .byte 0x30 + 3841 0303 9F .byte 0x9f + 3842 0304 A8010000 .4byte .LVL85 + 3843 0308 AA010000 .4byte .LVL86 + 3844 030c 0200 .2byte 0x2 + 3845 030e 31 .byte 0x31 + 3846 030f 9F .byte 0x9f + 3847 0310 AA010000 .4byte .LVL86 + 3848 0314 90020000 .4byte .LVL110 + 3849 0318 0200 .2byte 0x2 + 3850 031a 30 .byte 0x30 + 3851 031b 9F .byte 0x9f + 3852 031c 90020000 .4byte .LVL110 + 3853 0320 9A020000 .4byte .LVL113 + 3854 0324 0200 .2byte 0x2 + 3855 0326 38 .byte 0x38 + 3856 0327 9F .byte 0x9f + 3857 0328 9A020000 .4byte .LVL113 + 3858 032c 20030000 .4byte .LVL131 + 3859 0330 0200 .2byte 0x2 + 3860 0332 30 .byte 0x30 + 3861 0333 9F .byte 0x9f + 3862 0334 20030000 .4byte .LVL131 + 3863 0338 26030000 .4byte .LVL133 + 3864 033c 0200 .2byte 0x2 + 3865 033e 31 .byte 0x31 + 3866 033f 9F .byte 0x9f + 3867 0340 26030000 .4byte .LVL133 + 3868 0344 48030000 .4byte .LVL144 + 3869 0348 0200 .2byte 0x2 + 3870 034a 30 .byte 0x30 + 3871 034b 9F .byte 0x9f + 3872 034c 56030000 .4byte .LVL146 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 99 + + + 3873 0350 66030000 .4byte .LVL148 + 3874 0354 0200 .2byte 0x2 + 3875 0356 30 .byte 0x30 + 3876 0357 9F .byte 0x9f + 3877 0358 00000000 .4byte 0 + 3878 035c 00000000 .4byte 0 + 3879 .LLST14: + 3880 0360 B0000000 .4byte .LVL68 + 3881 0364 DC000000 .4byte .LVL71 + 3882 0368 0200 .2byte 0x2 + 3883 036a 34 .byte 0x34 + 3884 036b 9F .byte 0x9f + 3885 036c DC000000 .4byte .LVL71 + 3886 0370 DE000000 .4byte .LVL72 + 3887 0374 0200 .2byte 0x2 + 3888 0376 30 .byte 0x30 + 3889 0377 9F .byte 0x9f + 3890 0378 DE000000 .4byte .LVL72 + 3891 037c 34010000 .4byte .LVL78 + 3892 0380 0100 .2byte 0x1 + 3893 0382 55 .byte 0x55 + 3894 0383 46010000 .4byte .LVL80 + 3895 0387 4E010000 .4byte .LVL82 + 3896 038b 0200 .2byte 0x2 + 3897 038d 30 .byte 0x30 + 3898 038e 9F .byte 0x9f + 3899 038f 4E010000 .4byte .LVL82 + 3900 0393 A8010000 .4byte .LVL85 + 3901 0397 0200 .2byte 0x2 + 3902 0399 34 .byte 0x34 + 3903 039a 9F .byte 0x9f + 3904 039b A8010000 .4byte .LVL85 + 3905 039f AA010000 .4byte .LVL86 + 3906 03a3 0200 .2byte 0x2 + 3907 03a5 30 .byte 0x30 + 3908 03a6 9F .byte 0x9f + 3909 03a7 AA010000 .4byte .LVL86 + 3910 03ab 6E020000 .4byte .LVL104 + 3911 03af 0200 .2byte 0x2 + 3912 03b1 34 .byte 0x34 + 3913 03b2 9F .byte 0x9f + 3914 03b3 6E020000 .4byte .LVL104 + 3915 03b7 7C020000 .4byte .LVL107 + 3916 03bb 0200 .2byte 0x2 + 3917 03bd 30 .byte 0x30 + 3918 03be 9F .byte 0x9f + 3919 03bf 80020000 .4byte .LVL108 + 3920 03c3 94020000 .4byte .LVL111 + 3921 03c7 0200 .2byte 0x2 + 3922 03c9 34 .byte 0x34 + 3923 03ca 9F .byte 0x9f + 3924 03cb 94020000 .4byte .LVL111 + 3925 03cf 9A020000 .4byte .LVL113 + 3926 03d3 0200 .2byte 0x2 + 3927 03d5 30 .byte 0x30 + 3928 03d6 9F .byte 0x9f + 3929 03d7 9A020000 .4byte .LVL113 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 100 + + + 3930 03db 20030000 .4byte .LVL131 + 3931 03df 0200 .2byte 0x2 + 3932 03e1 34 .byte 0x34 + 3933 03e2 9F .byte 0x9f + 3934 03e3 20030000 .4byte .LVL131 + 3935 03e7 26030000 .4byte .LVL133 + 3936 03eb 0200 .2byte 0x2 + 3937 03ed 30 .byte 0x30 + 3938 03ee 9F .byte 0x9f + 3939 03ef 26030000 .4byte .LVL133 + 3940 03f3 48030000 .4byte .LVL144 + 3941 03f7 0200 .2byte 0x2 + 3942 03f9 34 .byte 0x34 + 3943 03fa 9F .byte 0x9f + 3944 03fb 56030000 .4byte .LVL146 + 3945 03ff 58030000 .4byte .LVL147 + 3946 0403 0100 .2byte 0x1 + 3947 0405 55 .byte 0x55 + 3948 0406 58030000 .4byte .LVL147 + 3949 040a 66030000 .4byte .LVL148 + 3950 040e 0200 .2byte 0x2 + 3951 0410 34 .byte 0x34 + 3952 0411 9F .byte 0x9f + 3953 0412 00000000 .4byte 0 + 3954 0416 00000000 .4byte 0 + 3955 .LLST15: + 3956 041a 7C000000 .4byte .LVL58 + 3957 041e A6000000 .4byte .LVL66 + 3958 0422 0E00 .2byte 0xe + 3959 0424 70 .byte 0x70 + 3960 0425 00 .sleb128 0 + 3961 0426 08 .byte 0x8 + 3962 0427 FF .byte 0xff + 3963 0428 1A .byte 0x1a + 3964 0429 38 .byte 0x38 + 3965 042a 24 .byte 0x24 + 3966 042b 71 .byte 0x71 + 3967 042c 00 .sleb128 0 + 3968 042d 08 .byte 0x8 + 3969 042e FF .byte 0xff + 3970 042f 1A .byte 0x1a + 3971 0430 21 .byte 0x21 + 3972 0431 9F .byte 0x9f + 3973 0432 A6000000 .4byte .LVL66 + 3974 0436 A8000000 .4byte .LVL67 + 3975 043a 1700 .2byte 0x17 + 3976 043c 91 .byte 0x91 + 3977 043d 00 .sleb128 0 + 3978 043e 75 .byte 0x75 + 3979 043f 00 .sleb128 0 + 3980 0440 22 .byte 0x22 + 3981 0441 0A .byte 0xa + 3982 0442 7B02 .2byte 0x27b + 3983 0444 1C .byte 0x1c + 3984 0445 94 .byte 0x94 + 3985 0446 01 .byte 0x1 + 3986 0447 08 .byte 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 101 + + + 3987 0448 FF .byte 0xff + 3988 0449 1A .byte 0x1a + 3989 044a 38 .byte 0x38 + 3990 044b 24 .byte 0x24 + 3991 044c 71 .byte 0x71 + 3992 044d 00 .sleb128 0 + 3993 044e 08 .byte 0x8 + 3994 044f FF .byte 0xff + 3995 0450 1A .byte 0x1a + 3996 0451 21 .byte 0x21 + 3997 0452 9F .byte 0x9f + 3998 0453 A8000000 .4byte .LVL67 + 3999 0457 D4000000 .4byte .LVL70 + 4000 045b 2000 .2byte 0x20 + 4001 045d 91 .byte 0x91 + 4002 045e 00 .sleb128 0 + 4003 045f 75 .byte 0x75 + 4004 0460 00 .sleb128 0 + 4005 0461 22 .byte 0x22 + 4006 0462 0A .byte 0xa + 4007 0463 7B02 .2byte 0x27b + 4008 0465 1C .byte 0x1c + 4009 0466 94 .byte 0x94 + 4010 0467 01 .byte 0x1 + 4011 0468 08 .byte 0x8 + 4012 0469 FF .byte 0xff + 4013 046a 1A .byte 0x1a + 4014 046b 38 .byte 0x38 + 4015 046c 24 .byte 0x24 + 4016 046d 91 .byte 0x91 + 4017 046e 00 .sleb128 0 + 4018 046f 75 .byte 0x75 + 4019 0470 00 .sleb128 0 + 4020 0471 22 .byte 0x22 + 4021 0472 0A .byte 0xa + 4022 0473 7C02 .2byte 0x27c + 4023 0475 1C .byte 0x1c + 4024 0476 94 .byte 0x94 + 4025 0477 01 .byte 0x1 + 4026 0478 08 .byte 0x8 + 4027 0479 FF .byte 0xff + 4028 047a 1A .byte 0x1a + 4029 047b 21 .byte 0x21 + 4030 047c 9F .byte 0x9f + 4031 047d D4000000 .4byte .LVL70 + 4032 0481 DE000000 .4byte .LVL72 + 4033 0485 4200 .2byte 0x42 + 4034 0487 91 .byte 0x91 + 4035 0488 837B .sleb128 -637 + 4036 048a 94 .byte 0x94 + 4037 048b 01 .byte 0x1 + 4038 048c 08 .byte 0x8 + 4039 048d FF .byte 0xff + 4040 048e 1A .byte 0x1a + 4041 048f 38 .byte 0x38 + 4042 0490 24 .byte 0x24 + 4043 0491 91 .byte 0x91 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 102 + + + 4044 0492 827B .sleb128 -638 + 4045 0494 94 .byte 0x94 + 4046 0495 01 .byte 0x1 + 4047 0496 08 .byte 0x8 + 4048 0497 FF .byte 0xff + 4049 0498 1A .byte 0x1a + 4050 0499 21 .byte 0x21 + 4051 049a 91 .byte 0x91 + 4052 049b 00 .sleb128 0 + 4053 049c 22 .byte 0x22 + 4054 049d 0A .byte 0xa + 4055 049e 7B02 .2byte 0x27b + 4056 04a0 1C .byte 0x1c + 4057 04a1 94 .byte 0x94 + 4058 04a2 01 .byte 0x1 + 4059 04a3 08 .byte 0x8 + 4060 04a4 FF .byte 0xff + 4061 04a5 1A .byte 0x1a + 4062 04a6 38 .byte 0x38 + 4063 04a7 24 .byte 0x24 + 4064 04a8 91 .byte 0x91 + 4065 04a9 837B .sleb128 -637 + 4066 04ab 94 .byte 0x94 + 4067 04ac 01 .byte 0x1 + 4068 04ad 08 .byte 0x8 + 4069 04ae FF .byte 0xff + 4070 04af 1A .byte 0x1a + 4071 04b0 38 .byte 0x38 + 4072 04b1 24 .byte 0x24 + 4073 04b2 91 .byte 0x91 + 4074 04b3 827B .sleb128 -638 + 4075 04b5 94 .byte 0x94 + 4076 04b6 01 .byte 0x1 + 4077 04b7 08 .byte 0x8 + 4078 04b8 FF .byte 0xff + 4079 04b9 1A .byte 0x1a + 4080 04ba 21 .byte 0x21 + 4081 04bb 91 .byte 0x91 + 4082 04bc 00 .sleb128 0 + 4083 04bd 22 .byte 0x22 + 4084 04be 0A .byte 0xa + 4085 04bf 7C02 .2byte 0x27c + 4086 04c1 1C .byte 0x1c + 4087 04c2 94 .byte 0x94 + 4088 04c3 01 .byte 0x1 + 4089 04c4 08 .byte 0x8 + 4090 04c5 FF .byte 0xff + 4091 04c6 1A .byte 0x1a + 4092 04c7 21 .byte 0x21 + 4093 04c8 9F .byte 0x9f + 4094 04c9 46010000 .4byte .LVL80 + 4095 04cd 9B010000 .4byte .LVL84-1 + 4096 04d1 2000 .2byte 0x20 + 4097 04d3 91 .byte 0x91 + 4098 04d4 00 .sleb128 0 + 4099 04d5 75 .byte 0x75 + 4100 04d6 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 103 + + + 4101 04d7 22 .byte 0x22 + 4102 04d8 0A .byte 0xa + 4103 04d9 7B02 .2byte 0x27b + 4104 04db 1C .byte 0x1c + 4105 04dc 94 .byte 0x94 + 4106 04dd 01 .byte 0x1 + 4107 04de 08 .byte 0x8 + 4108 04df FF .byte 0xff + 4109 04e0 1A .byte 0x1a + 4110 04e1 38 .byte 0x38 + 4111 04e2 24 .byte 0x24 + 4112 04e3 91 .byte 0x91 + 4113 04e4 00 .sleb128 0 + 4114 04e5 75 .byte 0x75 + 4115 04e6 00 .sleb128 0 + 4116 04e7 22 .byte 0x22 + 4117 04e8 0A .byte 0xa + 4118 04e9 7C02 .2byte 0x27c + 4119 04eb 1C .byte 0x1c + 4120 04ec 94 .byte 0x94 + 4121 04ed 01 .byte 0x1 + 4122 04ee 08 .byte 0x8 + 4123 04ef FF .byte 0xff + 4124 04f0 1A .byte 0x1a + 4125 04f1 21 .byte 0x21 + 4126 04f2 9F .byte 0x9f + 4127 04f3 AA010000 .4byte .LVL86 + 4128 04f7 D1010000 .4byte .LVL88-1 + 4129 04fb 2000 .2byte 0x20 + 4130 04fd 91 .byte 0x91 + 4131 04fe 00 .sleb128 0 + 4132 04ff 75 .byte 0x75 + 4133 0500 00 .sleb128 0 + 4134 0501 22 .byte 0x22 + 4135 0502 0A .byte 0xa + 4136 0503 7B02 .2byte 0x27b + 4137 0505 1C .byte 0x1c + 4138 0506 94 .byte 0x94 + 4139 0507 01 .byte 0x1 + 4140 0508 08 .byte 0x8 + 4141 0509 FF .byte 0xff + 4142 050a 1A .byte 0x1a + 4143 050b 38 .byte 0x38 + 4144 050c 24 .byte 0x24 + 4145 050d 91 .byte 0x91 + 4146 050e 00 .sleb128 0 + 4147 050f 75 .byte 0x75 + 4148 0510 00 .sleb128 0 + 4149 0511 22 .byte 0x22 + 4150 0512 0A .byte 0xa + 4151 0513 7C02 .2byte 0x27c + 4152 0515 1C .byte 0x1c + 4153 0516 94 .byte 0x94 + 4154 0517 01 .byte 0x1 + 4155 0518 08 .byte 0x8 + 4156 0519 FF .byte 0xff + 4157 051a 1A .byte 0x1a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 104 + + + 4158 051b 21 .byte 0x21 + 4159 051c 9F .byte 0x9f + 4160 051d D4010000 .4byte .LVL89 + 4161 0521 E0010000 .4byte .LVL90 + 4162 0525 2000 .2byte 0x20 + 4163 0527 91 .byte 0x91 + 4164 0528 00 .sleb128 0 + 4165 0529 75 .byte 0x75 + 4166 052a 00 .sleb128 0 + 4167 052b 22 .byte 0x22 + 4168 052c 0A .byte 0xa + 4169 052d 7B02 .2byte 0x27b + 4170 052f 1C .byte 0x1c + 4171 0530 94 .byte 0x94 + 4172 0531 01 .byte 0x1 + 4173 0532 08 .byte 0x8 + 4174 0533 FF .byte 0xff + 4175 0534 1A .byte 0x1a + 4176 0535 38 .byte 0x38 + 4177 0536 24 .byte 0x24 + 4178 0537 91 .byte 0x91 + 4179 0538 00 .sleb128 0 + 4180 0539 75 .byte 0x75 + 4181 053a 00 .sleb128 0 + 4182 053b 22 .byte 0x22 + 4183 053c 0A .byte 0xa + 4184 053d 7C02 .2byte 0x27c + 4185 053f 1C .byte 0x1c + 4186 0540 94 .byte 0x94 + 4187 0541 01 .byte 0x1 + 4188 0542 08 .byte 0x8 + 4189 0543 FF .byte 0xff + 4190 0544 1A .byte 0x1a + 4191 0545 21 .byte 0x21 + 4192 0546 9F .byte 0x9f + 4193 0547 5C020000 .4byte .LVL103 + 4194 054b 79020000 .4byte .LVL106-1 + 4195 054f 2000 .2byte 0x20 + 4196 0551 91 .byte 0x91 + 4197 0552 00 .sleb128 0 + 4198 0553 75 .byte 0x75 + 4199 0554 00 .sleb128 0 + 4200 0555 22 .byte 0x22 + 4201 0556 0A .byte 0xa + 4202 0557 7B02 .2byte 0x27b + 4203 0559 1C .byte 0x1c + 4204 055a 94 .byte 0x94 + 4205 055b 01 .byte 0x1 + 4206 055c 08 .byte 0x8 + 4207 055d FF .byte 0xff + 4208 055e 1A .byte 0x1a + 4209 055f 38 .byte 0x38 + 4210 0560 24 .byte 0x24 + 4211 0561 91 .byte 0x91 + 4212 0562 00 .sleb128 0 + 4213 0563 75 .byte 0x75 + 4214 0564 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 105 + + + 4215 0565 22 .byte 0x22 + 4216 0566 0A .byte 0xa + 4217 0567 7C02 .2byte 0x27c + 4218 0569 1C .byte 0x1c + 4219 056a 94 .byte 0x94 + 4220 056b 01 .byte 0x1 + 4221 056c 08 .byte 0x8 + 4222 056d FF .byte 0xff + 4223 056e 1A .byte 0x1a + 4224 056f 21 .byte 0x21 + 4225 0570 9F .byte 0x9f + 4226 0571 80020000 .4byte .LVL108 + 4227 0575 B4020000 .4byte .LVL114 + 4228 0579 2000 .2byte 0x20 + 4229 057b 91 .byte 0x91 + 4230 057c 00 .sleb128 0 + 4231 057d 75 .byte 0x75 + 4232 057e 00 .sleb128 0 + 4233 057f 22 .byte 0x22 + 4234 0580 0A .byte 0xa + 4235 0581 7B02 .2byte 0x27b + 4236 0583 1C .byte 0x1c + 4237 0584 94 .byte 0x94 + 4238 0585 01 .byte 0x1 + 4239 0586 08 .byte 0x8 + 4240 0587 FF .byte 0xff + 4241 0588 1A .byte 0x1a + 4242 0589 38 .byte 0x38 + 4243 058a 24 .byte 0x24 + 4244 058b 91 .byte 0x91 + 4245 058c 00 .sleb128 0 + 4246 058d 75 .byte 0x75 + 4247 058e 00 .sleb128 0 + 4248 058f 22 .byte 0x22 + 4249 0590 0A .byte 0xa + 4250 0591 7C02 .2byte 0x27c + 4251 0593 1C .byte 0x1c + 4252 0594 94 .byte 0x94 + 4253 0595 01 .byte 0x1 + 4254 0596 08 .byte 0x8 + 4255 0597 FF .byte 0xff + 4256 0598 1A .byte 0x1a + 4257 0599 21 .byte 0x21 + 4258 059a 9F .byte 0x9f + 4259 059b B4020000 .4byte .LVL114 + 4260 059f 22030000 .4byte .LVL132 + 4261 05a3 4200 .2byte 0x42 + 4262 05a5 91 .byte 0x91 + 4263 05a6 837B .sleb128 -637 + 4264 05a8 94 .byte 0x94 + 4265 05a9 01 .byte 0x1 + 4266 05aa 08 .byte 0x8 + 4267 05ab FF .byte 0xff + 4268 05ac 1A .byte 0x1a + 4269 05ad 38 .byte 0x38 + 4270 05ae 24 .byte 0x24 + 4271 05af 91 .byte 0x91 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 106 + + + 4272 05b0 827B .sleb128 -638 + 4273 05b2 94 .byte 0x94 + 4274 05b3 01 .byte 0x1 + 4275 05b4 08 .byte 0x8 + 4276 05b5 FF .byte 0xff + 4277 05b6 1A .byte 0x1a + 4278 05b7 21 .byte 0x21 + 4279 05b8 91 .byte 0x91 + 4280 05b9 00 .sleb128 0 + 4281 05ba 22 .byte 0x22 + 4282 05bb 0A .byte 0xa + 4283 05bc 7B02 .2byte 0x27b + 4284 05be 1C .byte 0x1c + 4285 05bf 94 .byte 0x94 + 4286 05c0 01 .byte 0x1 + 4287 05c1 08 .byte 0x8 + 4288 05c2 FF .byte 0xff + 4289 05c3 1A .byte 0x1a + 4290 05c4 38 .byte 0x38 + 4291 05c5 24 .byte 0x24 + 4292 05c6 91 .byte 0x91 + 4293 05c7 837B .sleb128 -637 + 4294 05c9 94 .byte 0x94 + 4295 05ca 01 .byte 0x1 + 4296 05cb 08 .byte 0x8 + 4297 05cc FF .byte 0xff + 4298 05cd 1A .byte 0x1a + 4299 05ce 38 .byte 0x38 + 4300 05cf 24 .byte 0x24 + 4301 05d0 91 .byte 0x91 + 4302 05d1 827B .sleb128 -638 + 4303 05d3 94 .byte 0x94 + 4304 05d4 01 .byte 0x1 + 4305 05d5 08 .byte 0x8 + 4306 05d6 FF .byte 0xff + 4307 05d7 1A .byte 0x1a + 4308 05d8 21 .byte 0x21 + 4309 05d9 91 .byte 0x91 + 4310 05da 00 .sleb128 0 + 4311 05db 22 .byte 0x22 + 4312 05dc 0A .byte 0xa + 4313 05dd 7C02 .2byte 0x27c + 4314 05df 1C .byte 0x1c + 4315 05e0 94 .byte 0x94 + 4316 05e1 01 .byte 0x1 + 4317 05e2 08 .byte 0x8 + 4318 05e3 FF .byte 0xff + 4319 05e4 1A .byte 0x1a + 4320 05e5 21 .byte 0x21 + 4321 05e6 9F .byte 0x9f + 4322 05e7 26030000 .4byte .LVL133 + 4323 05eb 29030000 .4byte .LVL134-1 + 4324 05ef 2000 .2byte 0x20 + 4325 05f1 91 .byte 0x91 + 4326 05f2 00 .sleb128 0 + 4327 05f3 75 .byte 0x75 + 4328 05f4 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 107 + + + 4329 05f5 22 .byte 0x22 + 4330 05f6 0A .byte 0xa + 4331 05f7 7B02 .2byte 0x27b + 4332 05f9 1C .byte 0x1c + 4333 05fa 94 .byte 0x94 + 4334 05fb 01 .byte 0x1 + 4335 05fc 08 .byte 0x8 + 4336 05fd FF .byte 0xff + 4337 05fe 1A .byte 0x1a + 4338 05ff 38 .byte 0x38 + 4339 0600 24 .byte 0x24 + 4340 0601 91 .byte 0x91 + 4341 0602 00 .sleb128 0 + 4342 0603 75 .byte 0x75 + 4343 0604 00 .sleb128 0 + 4344 0605 22 .byte 0x22 + 4345 0606 0A .byte 0xa + 4346 0607 7C02 .2byte 0x27c + 4347 0609 1C .byte 0x1c + 4348 060a 94 .byte 0x94 + 4349 060b 01 .byte 0x1 + 4350 060c 08 .byte 0x8 + 4351 060d FF .byte 0xff + 4352 060e 1A .byte 0x1a + 4353 060f 21 .byte 0x21 + 4354 0610 9F .byte 0x9f + 4355 0611 38030000 .4byte .LVL137 + 4356 0615 3A030000 .4byte .LVL138 + 4357 0619 2000 .2byte 0x20 + 4358 061b 91 .byte 0x91 + 4359 061c 00 .sleb128 0 + 4360 061d 75 .byte 0x75 + 4361 061e 00 .sleb128 0 + 4362 061f 22 .byte 0x22 + 4363 0620 0A .byte 0xa + 4364 0621 7B02 .2byte 0x27b + 4365 0623 1C .byte 0x1c + 4366 0624 94 .byte 0x94 + 4367 0625 01 .byte 0x1 + 4368 0626 08 .byte 0x8 + 4369 0627 FF .byte 0xff + 4370 0628 1A .byte 0x1a + 4371 0629 38 .byte 0x38 + 4372 062a 24 .byte 0x24 + 4373 062b 91 .byte 0x91 + 4374 062c 00 .sleb128 0 + 4375 062d 75 .byte 0x75 + 4376 062e 00 .sleb128 0 + 4377 062f 22 .byte 0x22 + 4378 0630 0A .byte 0xa + 4379 0631 7C02 .2byte 0x27c + 4380 0633 1C .byte 0x1c + 4381 0634 94 .byte 0x94 + 4382 0635 01 .byte 0x1 + 4383 0636 08 .byte 0x8 + 4384 0637 FF .byte 0xff + 4385 0638 1A .byte 0x1a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 108 + + + 4386 0639 21 .byte 0x21 + 4387 063a 9F .byte 0x9f + 4388 063b 3A030000 .4byte .LVL138 + 4389 063f 3C030000 .4byte .LVL139 + 4390 0643 4200 .2byte 0x42 + 4391 0645 91 .byte 0x91 + 4392 0646 837B .sleb128 -637 + 4393 0648 94 .byte 0x94 + 4394 0649 01 .byte 0x1 + 4395 064a 08 .byte 0x8 + 4396 064b FF .byte 0xff + 4397 064c 1A .byte 0x1a + 4398 064d 38 .byte 0x38 + 4399 064e 24 .byte 0x24 + 4400 064f 91 .byte 0x91 + 4401 0650 827B .sleb128 -638 + 4402 0652 94 .byte 0x94 + 4403 0653 01 .byte 0x1 + 4404 0654 08 .byte 0x8 + 4405 0655 FF .byte 0xff + 4406 0656 1A .byte 0x1a + 4407 0657 21 .byte 0x21 + 4408 0658 91 .byte 0x91 + 4409 0659 00 .sleb128 0 + 4410 065a 22 .byte 0x22 + 4411 065b 0A .byte 0xa + 4412 065c 7B02 .2byte 0x27b + 4413 065e 1C .byte 0x1c + 4414 065f 94 .byte 0x94 + 4415 0660 01 .byte 0x1 + 4416 0661 08 .byte 0x8 + 4417 0662 FF .byte 0xff + 4418 0663 1A .byte 0x1a + 4419 0664 38 .byte 0x38 + 4420 0665 24 .byte 0x24 + 4421 0666 91 .byte 0x91 + 4422 0667 837B .sleb128 -637 + 4423 0669 94 .byte 0x94 + 4424 066a 01 .byte 0x1 + 4425 066b 08 .byte 0x8 + 4426 066c FF .byte 0xff + 4427 066d 1A .byte 0x1a + 4428 066e 38 .byte 0x38 + 4429 066f 24 .byte 0x24 + 4430 0670 91 .byte 0x91 + 4431 0671 827B .sleb128 -638 + 4432 0673 94 .byte 0x94 + 4433 0674 01 .byte 0x1 + 4434 0675 08 .byte 0x8 + 4435 0676 FF .byte 0xff + 4436 0677 1A .byte 0x1a + 4437 0678 21 .byte 0x21 + 4438 0679 91 .byte 0x91 + 4439 067a 00 .sleb128 0 + 4440 067b 22 .byte 0x22 + 4441 067c 0A .byte 0xa + 4442 067d 7C02 .2byte 0x27c + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 109 + + + 4443 067f 1C .byte 0x1c + 4444 0680 94 .byte 0x94 + 4445 0681 01 .byte 0x1 + 4446 0682 08 .byte 0x8 + 4447 0683 FF .byte 0xff + 4448 0684 1A .byte 0x1a + 4449 0685 21 .byte 0x21 + 4450 0686 9F .byte 0x9f + 4451 0687 46030000 .4byte .LVL143 + 4452 068b 48030000 .4byte .LVL144 + 4453 068f 2000 .2byte 0x20 + 4454 0691 91 .byte 0x91 + 4455 0692 00 .sleb128 0 + 4456 0693 75 .byte 0x75 + 4457 0694 00 .sleb128 0 + 4458 0695 22 .byte 0x22 + 4459 0696 0A .byte 0xa + 4460 0697 7B02 .2byte 0x27b + 4461 0699 1C .byte 0x1c + 4462 069a 94 .byte 0x94 + 4463 069b 01 .byte 0x1 + 4464 069c 08 .byte 0x8 + 4465 069d FF .byte 0xff + 4466 069e 1A .byte 0x1a + 4467 069f 38 .byte 0x38 + 4468 06a0 24 .byte 0x24 + 4469 06a1 91 .byte 0x91 + 4470 06a2 00 .sleb128 0 + 4471 06a3 75 .byte 0x75 + 4472 06a4 00 .sleb128 0 + 4473 06a5 22 .byte 0x22 + 4474 06a6 0A .byte 0xa + 4475 06a7 7C02 .2byte 0x27c + 4476 06a9 1C .byte 0x1c + 4477 06aa 94 .byte 0x94 + 4478 06ab 01 .byte 0x1 + 4479 06ac 08 .byte 0x8 + 4480 06ad FF .byte 0xff + 4481 06ae 1A .byte 0x1a + 4482 06af 21 .byte 0x21 + 4483 06b0 9F .byte 0x9f + 4484 06b1 54030000 .4byte .LVL145 + 4485 06b5 56030000 .4byte .LVL146 + 4486 06b9 2000 .2byte 0x20 + 4487 06bb 91 .byte 0x91 + 4488 06bc 00 .sleb128 0 + 4489 06bd 75 .byte 0x75 + 4490 06be 00 .sleb128 0 + 4491 06bf 22 .byte 0x22 + 4492 06c0 0A .byte 0xa + 4493 06c1 7B02 .2byte 0x27b + 4494 06c3 1C .byte 0x1c + 4495 06c4 94 .byte 0x94 + 4496 06c5 01 .byte 0x1 + 4497 06c6 08 .byte 0x8 + 4498 06c7 FF .byte 0xff + 4499 06c8 1A .byte 0x1a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 110 + + + 4500 06c9 38 .byte 0x38 + 4501 06ca 24 .byte 0x24 + 4502 06cb 91 .byte 0x91 + 4503 06cc 00 .sleb128 0 + 4504 06cd 75 .byte 0x75 + 4505 06ce 00 .sleb128 0 + 4506 06cf 22 .byte 0x22 + 4507 06d0 0A .byte 0xa + 4508 06d1 7C02 .2byte 0x27c + 4509 06d3 1C .byte 0x1c + 4510 06d4 94 .byte 0x94 + 4511 06d5 01 .byte 0x1 + 4512 06d6 08 .byte 0x8 + 4513 06d7 FF .byte 0xff + 4514 06d8 1A .byte 0x1a + 4515 06d9 21 .byte 0x21 + 4516 06da 9F .byte 0x9f + 4517 06db 56030000 .4byte .LVL146 + 4518 06df 58030000 .4byte .LVL147 + 4519 06e3 4200 .2byte 0x42 + 4520 06e5 91 .byte 0x91 + 4521 06e6 837B .sleb128 -637 + 4522 06e8 94 .byte 0x94 + 4523 06e9 01 .byte 0x1 + 4524 06ea 08 .byte 0x8 + 4525 06eb FF .byte 0xff + 4526 06ec 1A .byte 0x1a + 4527 06ed 38 .byte 0x38 + 4528 06ee 24 .byte 0x24 + 4529 06ef 91 .byte 0x91 + 4530 06f0 827B .sleb128 -638 + 4531 06f2 94 .byte 0x94 + 4532 06f3 01 .byte 0x1 + 4533 06f4 08 .byte 0x8 + 4534 06f5 FF .byte 0xff + 4535 06f6 1A .byte 0x1a + 4536 06f7 21 .byte 0x21 + 4537 06f8 91 .byte 0x91 + 4538 06f9 00 .sleb128 0 + 4539 06fa 22 .byte 0x22 + 4540 06fb 0A .byte 0xa + 4541 06fc 7B02 .2byte 0x27b + 4542 06fe 1C .byte 0x1c + 4543 06ff 94 .byte 0x94 + 4544 0700 01 .byte 0x1 + 4545 0701 08 .byte 0x8 + 4546 0702 FF .byte 0xff + 4547 0703 1A .byte 0x1a + 4548 0704 38 .byte 0x38 + 4549 0705 24 .byte 0x24 + 4550 0706 91 .byte 0x91 + 4551 0707 837B .sleb128 -637 + 4552 0709 94 .byte 0x94 + 4553 070a 01 .byte 0x1 + 4554 070b 08 .byte 0x8 + 4555 070c FF .byte 0xff + 4556 070d 1A .byte 0x1a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 111 + + + 4557 070e 38 .byte 0x38 + 4558 070f 24 .byte 0x24 + 4559 0710 91 .byte 0x91 + 4560 0711 827B .sleb128 -638 + 4561 0713 94 .byte 0x94 + 4562 0714 01 .byte 0x1 + 4563 0715 08 .byte 0x8 + 4564 0716 FF .byte 0xff + 4565 0717 1A .byte 0x1a + 4566 0718 21 .byte 0x21 + 4567 0719 91 .byte 0x91 + 4568 071a 00 .sleb128 0 + 4569 071b 22 .byte 0x22 + 4570 071c 0A .byte 0xa + 4571 071d 7C02 .2byte 0x27c + 4572 071f 1C .byte 0x1c + 4573 0720 94 .byte 0x94 + 4574 0721 01 .byte 0x1 + 4575 0722 08 .byte 0x8 + 4576 0723 FF .byte 0xff + 4577 0724 1A .byte 0x1a + 4578 0725 21 .byte 0x21 + 4579 0726 9F .byte 0x9f + 4580 0727 58030000 .4byte .LVL147 + 4581 072b 66030000 .4byte .LVL148 + 4582 072f 2000 .2byte 0x20 + 4583 0731 91 .byte 0x91 + 4584 0732 00 .sleb128 0 + 4585 0733 75 .byte 0x75 + 4586 0734 00 .sleb128 0 + 4587 0735 22 .byte 0x22 + 4588 0736 0A .byte 0xa + 4589 0737 7B02 .2byte 0x27b + 4590 0739 1C .byte 0x1c + 4591 073a 94 .byte 0x94 + 4592 073b 01 .byte 0x1 + 4593 073c 08 .byte 0x8 + 4594 073d FF .byte 0xff + 4595 073e 1A .byte 0x1a + 4596 073f 38 .byte 0x38 + 4597 0740 24 .byte 0x24 + 4598 0741 91 .byte 0x91 + 4599 0742 00 .sleb128 0 + 4600 0743 75 .byte 0x75 + 4601 0744 00 .sleb128 0 + 4602 0745 22 .byte 0x22 + 4603 0746 0A .byte 0xa + 4604 0747 7C02 .2byte 0x27c + 4605 0749 1C .byte 0x1c + 4606 074a 94 .byte 0x94 + 4607 074b 01 .byte 0x1 + 4608 074c 08 .byte 0x8 + 4609 074d FF .byte 0xff + 4610 074e 1A .byte 0x1a + 4611 074f 21 .byte 0x21 + 4612 0750 9F .byte 0x9f + 4613 0751 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 112 + + + 4614 0755 00000000 .4byte 0 + 4615 .LLST16: + 4616 0759 32000000 .4byte .LVL54 + 4617 075d 68000000 .4byte .LVL56 + 4618 0761 0100 .2byte 0x1 + 4619 0763 50 .byte 0x50 + 4620 0764 00000000 .4byte 0 + 4621 0768 00000000 .4byte 0 + 4622 .LLST17: + 4623 076c 00000000 .4byte .LVL48 + 4624 0770 1A000000 .4byte .LVL50 + 4625 0774 0200 .2byte 0x2 + 4626 0776 30 .byte 0x30 + 4627 0777 9F .byte 0x9f + 4628 0778 72000000 .4byte .LVL57 + 4629 077c D4000000 .4byte .LVL70 + 4630 0780 0100 .2byte 0x1 + 4631 0782 55 .byte 0x55 + 4632 0783 D4000000 .4byte .LVL70 + 4633 0787 DE000000 .4byte .LVL72 + 4634 078b 1400 .2byte 0x14 + 4635 078d 91 .byte 0x91 + 4636 078e 837B .sleb128 -637 + 4637 0790 94 .byte 0x94 + 4638 0791 01 .byte 0x1 + 4639 0792 08 .byte 0x8 + 4640 0793 FF .byte 0xff + 4641 0794 1A .byte 0x1a + 4642 0795 38 .byte 0x38 + 4643 0796 24 .byte 0x24 + 4644 0797 91 .byte 0x91 + 4645 0798 827B .sleb128 -638 + 4646 079a 94 .byte 0x94 + 4647 079b 01 .byte 0x1 + 4648 079c 08 .byte 0x8 + 4649 079d FF .byte 0xff + 4650 079e 1A .byte 0x1a + 4651 079f 21 .byte 0x21 + 4652 07a0 9F .byte 0x9f + 4653 07a1 46010000 .4byte .LVL80 + 4654 07a5 E2010000 .4byte .LVL91 + 4655 07a9 0100 .2byte 0x1 + 4656 07ab 55 .byte 0x55 + 4657 07ac E2010000 .4byte .LVL91 + 4658 07b0 00020000 .4byte .LVL94 + 4659 07b4 0300 .2byte 0x3 + 4660 07b6 75 .byte 0x75 + 4661 07b7 03 .sleb128 3 + 4662 07b8 9F .byte 0x9f + 4663 07b9 00020000 .4byte .LVL94 + 4664 07bd 04020000 .4byte .LVL95 + 4665 07c1 0200 .2byte 0x2 + 4666 07c3 40 .byte 0x40 + 4667 07c4 9F .byte 0x9f + 4668 07c5 04020000 .4byte .LVL95 + 4669 07c9 08020000 .4byte .LVL96 + 4670 07cd 0300 .2byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 113 + + + 4671 07cf 75 .byte 0x75 + 4672 07d0 03 .sleb128 3 + 4673 07d1 9F .byte 0x9f + 4674 07d2 08020000 .4byte .LVL96 + 4675 07d6 5C020000 .4byte .LVL103 + 4676 07da 0100 .2byte 0x1 + 4677 07dc 54 .byte 0x54 + 4678 07dd 5C020000 .4byte .LVL103 + 4679 07e1 7C020000 .4byte .LVL107 + 4680 07e5 0100 .2byte 0x1 + 4681 07e7 55 .byte 0x55 + 4682 07e8 80020000 .4byte .LVL108 + 4683 07ec B4020000 .4byte .LVL114 + 4684 07f0 0100 .2byte 0x1 + 4685 07f2 55 .byte 0x55 + 4686 07f3 B4020000 .4byte .LVL114 + 4687 07f7 22030000 .4byte .LVL132 + 4688 07fb 1400 .2byte 0x14 + 4689 07fd 91 .byte 0x91 + 4690 07fe 837B .sleb128 -637 + 4691 0800 94 .byte 0x94 + 4692 0801 01 .byte 0x1 + 4693 0802 08 .byte 0x8 + 4694 0803 FF .byte 0xff + 4695 0804 1A .byte 0x1a + 4696 0805 38 .byte 0x38 + 4697 0806 24 .byte 0x24 + 4698 0807 91 .byte 0x91 + 4699 0808 827B .sleb128 -638 + 4700 080a 94 .byte 0x94 + 4701 080b 01 .byte 0x1 + 4702 080c 08 .byte 0x8 + 4703 080d FF .byte 0xff + 4704 080e 1A .byte 0x1a + 4705 080f 21 .byte 0x21 + 4706 0810 9F .byte 0x9f + 4707 0811 26030000 .4byte .LVL133 + 4708 0815 2E030000 .4byte .LVL135 + 4709 0819 0100 .2byte 0x1 + 4710 081b 55 .byte 0x55 + 4711 081c 38030000 .4byte .LVL137 + 4712 0820 3A030000 .4byte .LVL138 + 4713 0824 0100 .2byte 0x1 + 4714 0826 55 .byte 0x55 + 4715 0827 3A030000 .4byte .LVL138 + 4716 082b 3C030000 .4byte .LVL139 + 4717 082f 1400 .2byte 0x14 + 4718 0831 91 .byte 0x91 + 4719 0832 837B .sleb128 -637 + 4720 0834 94 .byte 0x94 + 4721 0835 01 .byte 0x1 + 4722 0836 08 .byte 0x8 + 4723 0837 FF .byte 0xff + 4724 0838 1A .byte 0x1a + 4725 0839 38 .byte 0x38 + 4726 083a 24 .byte 0x24 + 4727 083b 91 .byte 0x91 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 114 + + + 4728 083c 827B .sleb128 -638 + 4729 083e 94 .byte 0x94 + 4730 083f 01 .byte 0x1 + 4731 0840 08 .byte 0x8 + 4732 0841 FF .byte 0xff + 4733 0842 1A .byte 0x1a + 4734 0843 21 .byte 0x21 + 4735 0844 9F .byte 0x9f + 4736 0845 3C030000 .4byte .LVL139 + 4737 0849 46030000 .4byte .LVL143 + 4738 084d 0100 .2byte 0x1 + 4739 084f 54 .byte 0x54 + 4740 0850 46030000 .4byte .LVL143 + 4741 0854 48030000 .4byte .LVL144 + 4742 0858 0100 .2byte 0x1 + 4743 085a 55 .byte 0x55 + 4744 085b 54030000 .4byte .LVL145 + 4745 085f 56030000 .4byte .LVL146 + 4746 0863 0100 .2byte 0x1 + 4747 0865 55 .byte 0x55 + 4748 0866 00000000 .4byte 0 + 4749 086a 00000000 .4byte 0 + 4750 .LLST18: + 4751 086e 00000000 .4byte .LVL48 + 4752 0872 1A000000 .4byte .LVL50 + 4753 0876 0200 .2byte 0x2 + 4754 0878 30 .byte 0x30 + 4755 0879 9F .byte 0x9f + 4756 087a DE000000 .4byte .LVL72 + 4757 087e 42010000 .4byte .LVL79 + 4758 0882 0100 .2byte 0x1 + 4759 0884 57 .byte 0x57 + 4760 0885 C8010000 .4byte .LVL87 + 4761 0889 E0010000 .4byte .LVL90 + 4762 088d 0100 .2byte 0x1 + 4763 088f 57 .byte 0x57 + 4764 0890 FA010000 .4byte .LVL93 + 4765 0894 FF010000 .4byte .LVL94-1 + 4766 0898 0100 .2byte 0x1 + 4767 089a 52 .byte 0x52 + 4768 089b FF010000 .4byte .LVL94-1 + 4769 089f 04020000 .4byte .LVL95 + 4770 08a3 0100 .2byte 0x1 + 4771 08a5 56 .byte 0x56 + 4772 08a6 04020000 .4byte .LVL95 + 4773 08aa 08020000 .4byte .LVL96 + 4774 08ae 0100 .2byte 0x1 + 4775 08b0 52 .byte 0x52 + 4776 08b1 08020000 .4byte .LVL96 + 4777 08b5 1E020000 .4byte .LVL97 + 4778 08b9 0100 .2byte 0x1 + 4779 08bb 56 .byte 0x56 + 4780 08bc 1E020000 .4byte .LVL97 + 4781 08c0 5A020000 .4byte .LVL102 + 4782 08c4 0100 .2byte 0x1 + 4783 08c6 55 .byte 0x55 + 4784 08c7 7C020000 .4byte .LVL107 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 115 + + + 4785 08cb 80020000 .4byte .LVL108 + 4786 08cf 0100 .2byte 0x1 + 4787 08d1 57 .byte 0x57 + 4788 08d2 3C030000 .4byte .LVL139 + 4789 08d6 3E030000 .4byte .LVL140 + 4790 08da 0100 .2byte 0x1 + 4791 08dc 56 .byte 0x56 + 4792 08dd 3E030000 .4byte .LVL140 + 4793 08e1 40030000 .4byte .LVL141 + 4794 08e5 0600 .2byte 0x6 + 4795 08e7 77 .byte 0x77 + 4796 08e8 00 .sleb128 0 + 4797 08e9 75 .byte 0x75 + 4798 08ea 00 .sleb128 0 + 4799 08eb 22 .byte 0x22 + 4800 08ec 9F .byte 0x9f + 4801 08ed 42030000 .4byte .LVL142 + 4802 08f1 46030000 .4byte .LVL143 + 4803 08f5 0100 .2byte 0x1 + 4804 08f7 55 .byte 0x55 + 4805 08f8 58030000 .4byte .LVL147 + 4806 08fc 66030000 .4byte .LVL148 + 4807 0900 0200 .2byte 0x2 + 4808 0902 30 .byte 0x30 + 4809 0903 9F .byte 0x9f + 4810 0904 66030000 .4byte .LVL148 + 4811 0908 84030000 .4byte .LFE64 + 4812 090c 0100 .2byte 0x1 + 4813 090e 57 .byte 0x57 + 4814 090f 00000000 .4byte 0 + 4815 0913 00000000 .4byte 0 + 4816 .LLST19: + 4817 0917 00000000 .4byte .LVL48 + 4818 091b 1A000000 .4byte .LVL50 + 4819 091f 0200 .2byte 0x2 + 4820 0921 3A .byte 0x3a + 4821 0922 9F .byte 0x9f + 4822 0923 1A000000 .4byte .LVL50 + 4823 0927 20000000 .4byte .LVL51 + 4824 092b 0100 .2byte 0x1 + 4825 092d 59 .byte 0x59 + 4826 092e 24000000 .4byte .LVL52 + 4827 0932 26000000 .4byte .LVL53 + 4828 0936 0100 .2byte 0x1 + 4829 0938 59 .byte 0x59 + 4830 0939 40000000 .4byte .LVL55 + 4831 093d 84030000 .4byte .LFE64 + 4832 0941 0100 .2byte 0x1 + 4833 0943 59 .byte 0x59 + 4834 0944 00000000 .4byte 0 + 4835 0948 00000000 .4byte 0 + 4836 .LLST20: + 4837 094c 00000000 .4byte .LVL48 + 4838 0950 1A000000 .4byte .LVL50 + 4839 0954 0200 .2byte 0x2 + 4840 0956 30 .byte 0x30 + 4841 0957 9F .byte 0x9f + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 116 + + + 4842 0958 DE000000 .4byte .LVL72 + 4843 095c 42010000 .4byte .LVL79 + 4844 0960 0100 .2byte 0x1 + 4845 0962 5A .byte 0x5a + 4846 0963 40020000 .4byte .LVL99 + 4847 0967 44020000 .4byte .LVL100 + 4848 096b 0200 .2byte 0x2 + 4849 096d 31 .byte 0x31 + 4850 096e 9F .byte 0x9f + 4851 096f 44020000 .4byte .LVL100 + 4852 0973 5C020000 .4byte .LVL103 + 4853 0977 0100 .2byte 0x1 + 4854 0979 5A .byte 0x5a + 4855 097a 42030000 .4byte .LVL142 + 4856 097e 46030000 .4byte .LVL143 + 4857 0982 0100 .2byte 0x1 + 4858 0984 5A .byte 0x5a + 4859 0985 58030000 .4byte .LVL147 + 4860 0989 84030000 .4byte .LFE64 + 4861 098d 0100 .2byte 0x1 + 4862 098f 5A .byte 0x5a + 4863 0990 00000000 .4byte 0 + 4864 0994 00000000 .4byte 0 + 4865 .LLST21: + 4866 0998 00000000 .4byte .LVL48 + 4867 099c 1A000000 .4byte .LVL50 + 4868 09a0 0200 .2byte 0x2 + 4869 09a2 30 .byte 0x30 + 4870 09a3 9F .byte 0x9f + 4871 09a4 DE000000 .4byte .LVL72 + 4872 09a8 42010000 .4byte .LVL79 + 4873 09ac 0100 .2byte 0x1 + 4874 09ae 56 .byte 0x56 + 4875 09af 90020000 .4byte .LVL110 + 4876 09b3 9A020000 .4byte .LVL113 + 4877 09b7 0200 .2byte 0x2 + 4878 09b9 31 .byte 0x31 + 4879 09ba 9F .byte 0x9f + 4880 09bb 58030000 .4byte .LVL147 + 4881 09bf 66030000 .4byte .LVL148 + 4882 09c3 0200 .2byte 0x2 + 4883 09c5 31 .byte 0x31 + 4884 09c6 9F .byte 0x9f + 4885 09c7 66030000 .4byte .LVL148 + 4886 09cb 84030000 .4byte .LFE64 + 4887 09cf 0100 .2byte 0x1 + 4888 09d1 56 .byte 0x56 + 4889 09d2 00000000 .4byte 0 + 4890 09d6 00000000 .4byte 0 + 4891 .LLST22: + 4892 09da 8C000000 .4byte .LVL59 + 4893 09de 98000000 .4byte .LVL61 + 4894 09e2 0100 .2byte 0x1 + 4895 09e4 53 .byte 0x53 + 4896 09e5 98000000 .4byte .LVL61 + 4897 09e9 9E000000 .4byte .LVL64 + 4898 09ed 0300 .2byte 0x3 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 117 + + + 4899 09ef 73 .byte 0x73 + 4900 09f0 01 .sleb128 1 + 4901 09f1 9F .byte 0x9f + 4902 09f2 9E000000 .4byte .LVL64 + 4903 09f6 B0000000 .4byte .LVL68 + 4904 09fa 0100 .2byte 0x1 + 4905 09fc 53 .byte 0x53 + 4906 09fd 46010000 .4byte .LVL80 + 4907 0a01 52010000 .4byte .LVL83 + 4908 0a05 0100 .2byte 0x1 + 4909 0a07 53 .byte 0x53 + 4910 0a08 54030000 .4byte .LVL145 + 4911 0a0c 58030000 .4byte .LVL147 + 4912 0a10 0100 .2byte 0x1 + 4913 0a12 53 .byte 0x53 + 4914 0a13 00000000 .4byte 0 + 4915 0a17 00000000 .4byte 0 + 4916 .LLST23: + 4917 0a1b 8C000000 .4byte .LVL59 + 4918 0a1f DE000000 .4byte .LVL72 + 4919 0a23 0400 .2byte 0x4 + 4920 0a25 91 .byte 0x91 + 4921 0a26 807B .sleb128 -640 + 4922 0a28 9F .byte 0x9f + 4923 0a29 46010000 .4byte .LVL80 + 4924 0a2d 48030000 .4byte .LVL144 + 4925 0a31 0400 .2byte 0x4 + 4926 0a33 91 .byte 0x91 + 4927 0a34 807B .sleb128 -640 + 4928 0a36 9F .byte 0x9f + 4929 0a37 54030000 .4byte .LVL145 + 4930 0a3b 66030000 .4byte .LVL148 + 4931 0a3f 0400 .2byte 0x4 + 4932 0a41 91 .byte 0x91 + 4933 0a42 807B .sleb128 -640 + 4934 0a44 9F .byte 0x9f + 4935 0a45 00000000 .4byte 0 + 4936 0a49 00000000 .4byte 0 + 4937 .LLST24: + 4938 0a4d 8C000000 .4byte .LVL59 + 4939 0a51 8E000000 .4byte .LVL60 + 4940 0a55 0200 .2byte 0x2 + 4941 0a57 30 .byte 0x30 + 4942 0a58 9F .byte 0x9f + 4943 0a59 8E000000 .4byte .LVL60 + 4944 0a5d 9A000000 .4byte .LVL62 + 4945 0a61 0100 .2byte 0x1 + 4946 0a63 52 .byte 0x52 + 4947 0a64 9C000000 .4byte .LVL63 + 4948 0a68 A2000000 .4byte .LVL65 + 4949 0a6c 0100 .2byte 0x1 + 4950 0a6e 52 .byte 0x52 + 4951 0a6f A2000000 .4byte .LVL65 + 4952 0a73 B0000000 .4byte .LVL68 + 4953 0a77 0400 .2byte 0x4 + 4954 0a79 72 .byte 0x72 + 4955 0a7a 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 118 + + + 4956 0a7b 1F .byte 0x1f + 4957 0a7c 9F .byte 0x9f + 4958 0a7d 46010000 .4byte .LVL80 + 4959 0a81 4A010000 .4byte .LVL81 + 4960 0a85 0400 .2byte 0x4 + 4961 0a87 72 .byte 0x72 + 4962 0a88 00 .sleb128 0 + 4963 0a89 1F .byte 0x1f + 4964 0a8a 9F .byte 0x9f + 4965 0a8b 54030000 .4byte .LVL145 + 4966 0a8f 58030000 .4byte .LVL147 + 4967 0a93 0400 .2byte 0x4 + 4968 0a95 72 .byte 0x72 + 4969 0a96 00 .sleb128 0 + 4970 0a97 1F .byte 0x1f + 4971 0a98 9F .byte 0x9f + 4972 0a99 00000000 .4byte 0 + 4973 0a9d 00000000 .4byte 0 + 4974 .LLST25: + 4975 0aa1 B0000000 .4byte .LVL68 + 4976 0aa5 DE000000 .4byte .LVL72 + 4977 0aa9 0300 .2byte 0x3 + 4978 0aab 91 .byte 0x91 + 4979 0aac 847B .sleb128 -636 + 4980 0aae 4E010000 .4byte .LVL82 + 4981 0ab2 9B010000 .4byte .LVL84-1 + 4982 0ab6 0300 .2byte 0x3 + 4983 0ab8 91 .byte 0x91 + 4984 0ab9 847B .sleb128 -636 + 4985 0abb 9B010000 .4byte .LVL84-1 + 4986 0abf AA010000 .4byte .LVL86 + 4987 0ac3 0100 .2byte 0x1 + 4988 0ac5 5B .byte 0x5b + 4989 0ac6 AA010000 .4byte .LVL86 + 4990 0aca D1010000 .4byte .LVL88-1 + 4991 0ace 0300 .2byte 0x3 + 4992 0ad0 91 .byte 0x91 + 4993 0ad1 847B .sleb128 -636 + 4994 0ad3 D1010000 .4byte .LVL88-1 + 4995 0ad7 D4010000 .4byte .LVL89 + 4996 0adb 0100 .2byte 0x1 + 4997 0add 5B .byte 0x5b + 4998 0ade D4010000 .4byte .LVL89 + 4999 0ae2 E0010000 .4byte .LVL90 + 5000 0ae6 0300 .2byte 0x3 + 5001 0ae8 91 .byte 0x91 + 5002 0ae9 847B .sleb128 -636 + 5003 0aeb E0010000 .4byte .LVL90 + 5004 0aef 5C020000 .4byte .LVL103 + 5005 0af3 0100 .2byte 0x1 + 5006 0af5 5B .byte 0x5b + 5007 0af6 5C020000 .4byte .LVL103 + 5008 0afa 76020000 .4byte .LVL105 + 5009 0afe 0300 .2byte 0x3 + 5010 0b00 91 .byte 0x91 + 5011 0b01 847B .sleb128 -636 + 5012 0b03 76020000 .4byte .LVL105 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 119 + + + 5013 0b07 79020000 .4byte .LVL106-1 + 5014 0b0b 0200 .2byte 0x2 + 5015 0b0d 71 .byte 0x71 + 5016 0b0e 00 .sleb128 0 + 5017 0b0f 79020000 .4byte .LVL106-1 + 5018 0b13 80020000 .4byte .LVL108 + 5019 0b17 0100 .2byte 0x1 + 5020 0b19 5B .byte 0x5b + 5021 0b1a 80020000 .4byte .LVL108 + 5022 0b1e 8A020000 .4byte .LVL109 + 5023 0b22 0300 .2byte 0x3 + 5024 0b24 91 .byte 0x91 + 5025 0b25 847B .sleb128 -636 + 5026 0b27 8A020000 .4byte .LVL109 + 5027 0b2b 98020000 .4byte .LVL112 + 5028 0b2f 0200 .2byte 0x2 + 5029 0b31 74 .byte 0x74 + 5030 0b32 00 .sleb128 0 + 5031 0b33 98020000 .4byte .LVL112 + 5032 0b37 22030000 .4byte .LVL132 + 5033 0b3b 0300 .2byte 0x3 + 5034 0b3d 91 .byte 0x91 + 5035 0b3e 847B .sleb128 -636 + 5036 0b40 22030000 .4byte .LVL132 + 5037 0b44 26030000 .4byte .LVL133 + 5038 0b48 0100 .2byte 0x1 + 5039 0b4a 5B .byte 0x5b + 5040 0b4b 26030000 .4byte .LVL133 + 5041 0b4f 29030000 .4byte .LVL134-1 + 5042 0b53 0300 .2byte 0x3 + 5043 0b55 91 .byte 0x91 + 5044 0b56 847B .sleb128 -636 + 5045 0b58 29030000 .4byte .LVL134-1 + 5046 0b5c 38030000 .4byte .LVL137 + 5047 0b60 0100 .2byte 0x1 + 5048 0b62 5B .byte 0x5b + 5049 0b63 38030000 .4byte .LVL137 + 5050 0b67 3C030000 .4byte .LVL139 + 5051 0b6b 0300 .2byte 0x3 + 5052 0b6d 91 .byte 0x91 + 5053 0b6e 847B .sleb128 -636 + 5054 0b70 3C030000 .4byte .LVL139 + 5055 0b74 46030000 .4byte .LVL143 + 5056 0b78 0100 .2byte 0x1 + 5057 0b7a 5B .byte 0x5b + 5058 0b7b 46030000 .4byte .LVL143 + 5059 0b7f 48030000 .4byte .LVL144 + 5060 0b83 0300 .2byte 0x3 + 5061 0b85 91 .byte 0x91 + 5062 0b86 847B .sleb128 -636 + 5063 0b88 58030000 .4byte .LVL147 + 5064 0b8c 66030000 .4byte .LVL148 + 5065 0b90 0300 .2byte 0x3 + 5066 0b92 91 .byte 0x91 + 5067 0b93 847B .sleb128 -636 + 5068 0b95 00000000 .4byte 0 + 5069 0b99 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 120 + + + 5070 .LLST26: + 5071 0b9d C8000000 .4byte .LVL69 + 5072 0ba1 DE000000 .4byte .LVL72 + 5073 0ba5 0200 .2byte 0x2 + 5074 0ba7 30 .byte 0x30 + 5075 0ba8 9F .byte 0x9f + 5076 0ba9 00000000 .4byte 0 + 5077 0bad 00000000 .4byte 0 + 5078 .LLST27: + 5079 0bb1 B4020000 .4byte .LVL114 + 5080 0bb5 B8020000 .4byte .LVL115 + 5081 0bb9 0100 .2byte 0x1 + 5082 0bbb 55 .byte 0x55 + 5083 0bbc B8020000 .4byte .LVL115 + 5084 0bc0 BC020000 .4byte .LVL116 + 5085 0bc4 0800 .2byte 0x8 + 5086 0bc6 70 .byte 0x70 + 5087 0bc7 00 .sleb128 0 + 5088 0bc8 38 .byte 0x38 + 5089 0bc9 24 .byte 0x24 + 5090 0bca 71 .byte 0x71 + 5091 0bcb 00 .sleb128 0 + 5092 0bcc 21 .byte 0x21 + 5093 0bcd 9F .byte 0x9f + 5094 0bce BC020000 .4byte .LVL116 + 5095 0bd2 CC020000 .4byte .LVL119 + 5096 0bd6 1400 .2byte 0x14 + 5097 0bd8 91 .byte 0x91 + 5098 0bd9 867B .sleb128 -634 + 5099 0bdb 94 .byte 0x94 + 5100 0bdc 01 .byte 0x1 + 5101 0bdd 08 .byte 0x8 + 5102 0bde FF .byte 0xff + 5103 0bdf 1A .byte 0x1a + 5104 0be0 38 .byte 0x38 + 5105 0be1 24 .byte 0x24 + 5106 0be2 91 .byte 0x91 + 5107 0be3 857B .sleb128 -635 + 5108 0be5 94 .byte 0x94 + 5109 0be6 01 .byte 0x1 + 5110 0be7 08 .byte 0x8 + 5111 0be8 FF .byte 0xff + 5112 0be9 1A .byte 0x1a + 5113 0bea 21 .byte 0x21 + 5114 0beb 9F .byte 0x9f + 5115 0bec CC020000 .4byte .LVL119 + 5116 0bf0 0C030000 .4byte .LVL128 + 5117 0bf4 0100 .2byte 0x1 + 5118 0bf6 55 .byte 0x55 + 5119 0bf7 0C030000 .4byte .LVL128 + 5120 0bfb 22030000 .4byte .LVL132 + 5121 0bff 1400 .2byte 0x14 + 5122 0c01 91 .byte 0x91 + 5123 0c02 867B .sleb128 -634 + 5124 0c04 94 .byte 0x94 + 5125 0c05 01 .byte 0x1 + 5126 0c06 08 .byte 0x8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 121 + + + 5127 0c07 FF .byte 0xff + 5128 0c08 1A .byte 0x1a + 5129 0c09 38 .byte 0x38 + 5130 0c0a 24 .byte 0x24 + 5131 0c0b 91 .byte 0x91 + 5132 0c0c 857B .sleb128 -635 + 5133 0c0e 94 .byte 0x94 + 5134 0c0f 01 .byte 0x1 + 5135 0c10 08 .byte 0x8 + 5136 0c11 FF .byte 0xff + 5137 0c12 1A .byte 0x1a + 5138 0c13 21 .byte 0x21 + 5139 0c14 9F .byte 0x9f + 5140 0c15 00000000 .4byte 0 + 5141 0c19 00000000 .4byte 0 + 5142 .LLST28: + 5143 0c1d B8020000 .4byte .LVL115 + 5144 0c21 CC020000 .4byte .LVL119 + 5145 0c25 0100 .2byte 0x1 + 5146 0c27 55 .byte 0x55 + 5147 0c28 D2020000 .4byte .LVL120 + 5148 0c2c EE020000 .4byte .LVL125 + 5149 0c30 0100 .2byte 0x1 + 5150 0c32 54 .byte 0x54 + 5151 0c33 EE020000 .4byte .LVL125 + 5152 0c37 F4020000 .4byte .LVL126 + 5153 0c3b 0A00 .2byte 0xa + 5154 0c3d 7B .byte 0x7b + 5155 0c3e 00 .sleb128 0 + 5156 0c3f 38 .byte 0x38 + 5157 0c40 24 .byte 0x24 + 5158 0c41 75 .byte 0x75 + 5159 0c42 00 .sleb128 0 + 5160 0c43 22 .byte 0x22 + 5161 0c44 38 .byte 0x38 + 5162 0c45 24 .byte 0x24 + 5163 0c46 9F .byte 0x9f + 5164 0c47 F4020000 .4byte .LVL126 + 5165 0c4b 12030000 .4byte .LVL129 + 5166 0c4f 0100 .2byte 0x1 + 5167 0c51 51 .byte 0x51 + 5168 0c52 12030000 .4byte .LVL129 + 5169 0c56 1A030000 .4byte .LVL130 + 5170 0c5a 1D00 .2byte 0x1d + 5171 0c5c 91 .byte 0x91 + 5172 0c5d 867B .sleb128 -634 + 5173 0c5f 94 .byte 0x94 + 5174 0c60 01 .byte 0x1 + 5175 0c61 08 .byte 0x8 + 5176 0c62 FF .byte 0xff + 5177 0c63 1A .byte 0x1a + 5178 0c64 38 .byte 0x38 + 5179 0c65 24 .byte 0x24 + 5180 0c66 91 .byte 0x91 + 5181 0c67 857B .sleb128 -635 + 5182 0c69 94 .byte 0x94 + 5183 0c6a 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 122 + + + 5184 0c6b 08 .byte 0x8 + 5185 0c6c FF .byte 0xff + 5186 0c6d 1A .byte 0x1a + 5187 0c6e 21 .byte 0x21 + 5188 0c6f 7B .byte 0x7b + 5189 0c70 808009 .sleb128 147456 + 5190 0c73 38 .byte 0x38 + 5191 0c74 24 .byte 0x24 + 5192 0c75 22 .byte 0x22 + 5193 0c76 35 .byte 0x35 + 5194 0c77 24 .byte 0x24 + 5195 0c78 9F .byte 0x9f + 5196 0c79 00000000 .4byte 0 + 5197 0c7d 00000000 .4byte 0 + 5198 .LLST29: + 5199 0c81 E4020000 .4byte .LVL124 + 5200 0c85 F4020000 .4byte .LVL126 + 5201 0c89 0100 .2byte 0x1 + 5202 0c8b 51 .byte 0x51 + 5203 0c8c FE020000 .4byte .LVL127 + 5204 0c90 12030000 .4byte .LVL129 + 5205 0c94 0100 .2byte 0x1 + 5206 0c96 53 .byte 0x53 + 5207 0c97 12030000 .4byte .LVL129 + 5208 0c9b 1A030000 .4byte .LVL130 + 5209 0c9f 0100 .2byte 0x1 + 5210 0ca1 51 .byte 0x51 + 5211 0ca2 1A030000 .4byte .LVL130 + 5212 0ca6 22030000 .4byte .LVL132 + 5213 0caa 0100 .2byte 0x1 + 5214 0cac 53 .byte 0x53 + 5215 0cad 00000000 .4byte 0 + 5216 0cb1 00000000 .4byte 0 + 5217 .LLST30: + 5218 0cb5 B8020000 .4byte .LVL115 + 5219 0cb9 CC020000 .4byte .LVL119 + 5220 0cbd 0100 .2byte 0x1 + 5221 0cbf 55 .byte 0x55 + 5222 0cc0 00000000 .4byte 0 + 5223 0cc4 00000000 .4byte 0 + 5224 .LLST31: + 5225 0cc8 B8020000 .4byte .LVL115 + 5226 0ccc BC020000 .4byte .LVL116 + 5227 0cd0 0200 .2byte 0x2 + 5228 0cd2 30 .byte 0x30 + 5229 0cd3 9F .byte 0x9f + 5230 0cd4 C8020000 .4byte .LVL118 + 5231 0cd8 CC020000 .4byte .LVL119 + 5232 0cdc 0100 .2byte 0x1 + 5233 0cde 53 .byte 0x53 + 5234 0cdf 00000000 .4byte 0 + 5235 0ce3 00000000 .4byte 0 + 5236 .LLST32: + 5237 0ce7 B8020000 .4byte .LVL115 + 5238 0ceb BC020000 .4byte .LVL116 + 5239 0cef 0200 .2byte 0x2 + 5240 0cf1 40 .byte 0x40 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 123 + + + 5241 0cf2 9F .byte 0x9f + 5242 0cf3 BC020000 .4byte .LVL116 + 5243 0cf7 C4020000 .4byte .LVL117 + 5244 0cfb 0300 .2byte 0x3 + 5245 0cfd 72 .byte 0x72 + 5246 0cfe 7F .sleb128 -1 + 5247 0cff 9F .byte 0x9f + 5248 0d00 C4020000 .4byte .LVL117 + 5249 0d04 C8020000 .4byte .LVL118 + 5250 0d08 0100 .2byte 0x1 + 5251 0d0a 52 .byte 0x52 + 5252 0d0b 00000000 .4byte 0 + 5253 0d0f 00000000 .4byte 0 + 5254 .LLST33: + 5255 0d13 D2020000 .4byte .LVL120 + 5256 0d17 D8020000 .4byte .LVL121 + 5257 0d1b 0400 .2byte 0x4 + 5258 0d1d 0A .byte 0xa + 5259 0d1e 0001 .2byte 0x100 + 5260 0d20 9F .byte 0x9f + 5261 0d21 DA020000 .4byte .LVL122 + 5262 0d25 F4020000 .4byte .LVL126 + 5263 0d29 0100 .2byte 0x1 + 5264 0d2b 52 .byte 0x52 + 5265 0d2c 00000000 .4byte 0 + 5266 0d30 00000000 .4byte 0 + 5267 .LLST34: + 5268 0d34 D2020000 .4byte .LVL120 + 5269 0d38 EE020000 .4byte .LVL125 + 5270 0d3c 0100 .2byte 0x1 + 5271 0d3e 54 .byte 0x54 + 5272 0d3f EE020000 .4byte .LVL125 + 5273 0d43 0C030000 .4byte .LVL128 + 5274 0d47 0A00 .2byte 0xa + 5275 0d49 7B .byte 0x7b + 5276 0d4a 00 .sleb128 0 + 5277 0d4b 38 .byte 0x38 + 5278 0d4c 24 .byte 0x24 + 5279 0d4d 75 .byte 0x75 + 5280 0d4e 00 .sleb128 0 + 5281 0d4f 22 .byte 0x22 + 5282 0d50 38 .byte 0x38 + 5283 0d51 24 .byte 0x24 + 5284 0d52 9F .byte 0x9f + 5285 0d53 0C030000 .4byte .LVL128 + 5286 0d57 1A030000 .4byte .LVL130 + 5287 0d5b 1B00 .2byte 0x1b + 5288 0d5d 91 .byte 0x91 + 5289 0d5e 867B .sleb128 -634 + 5290 0d60 94 .byte 0x94 + 5291 0d61 01 .byte 0x1 + 5292 0d62 08 .byte 0x8 + 5293 0d63 FF .byte 0xff + 5294 0d64 1A .byte 0x1a + 5295 0d65 38 .byte 0x38 + 5296 0d66 24 .byte 0x24 + 5297 0d67 91 .byte 0x91 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 124 + + + 5298 0d68 857B .sleb128 -635 + 5299 0d6a 94 .byte 0x94 + 5300 0d6b 01 .byte 0x1 + 5301 0d6c 08 .byte 0x8 + 5302 0d6d FF .byte 0xff + 5303 0d6e 1A .byte 0x1a + 5304 0d6f 21 .byte 0x21 + 5305 0d70 7B .byte 0x7b + 5306 0d71 00 .sleb128 0 + 5307 0d72 38 .byte 0x38 + 5308 0d73 24 .byte 0x24 + 5309 0d74 22 .byte 0x22 + 5310 0d75 38 .byte 0x38 + 5311 0d76 24 .byte 0x24 + 5312 0d77 9F .byte 0x9f + 5313 0d78 00000000 .4byte 0 + 5314 0d7c 00000000 .4byte 0 + 5315 .LLST35: + 5316 0d80 D2020000 .4byte .LVL120 + 5317 0d84 D8020000 .4byte .LVL121 + 5318 0d88 0200 .2byte 0x2 + 5319 0d8a 30 .byte 0x30 + 5320 0d8b 9F .byte 0x9f + 5321 0d8c E0020000 .4byte .LVL123 + 5322 0d90 F4020000 .4byte .LVL126 + 5323 0d94 0100 .2byte 0x1 + 5324 0d96 51 .byte 0x51 + 5325 0d97 00000000 .4byte 0 + 5326 0d9b 00000000 .4byte 0 + 5327 .LLST36: + 5328 0d9f DE000000 .4byte .LVL72 + 5329 0da3 28010000 .4byte .LVL77 + 5330 0da7 0400 .2byte 0x4 + 5331 0da9 91 .byte 0x91 + 5332 0daa 807B .sleb128 -640 + 5333 0dac 9F .byte 0x9f + 5334 0dad 28010000 .4byte .LVL77 + 5335 0db1 33010000 .4byte .LVL78-1 + 5336 0db5 0100 .2byte 0x1 + 5337 0db7 50 .byte 0x50 + 5338 0db8 33010000 .4byte .LVL78-1 + 5339 0dbc 34010000 .4byte .LVL78 + 5340 0dc0 0400 .2byte 0x4 + 5341 0dc2 91 .byte 0x91 + 5342 0dc3 807B .sleb128 -640 + 5343 0dc5 9F .byte 0x9f + 5344 0dc6 00000000 .4byte 0 + 5345 0dca 00000000 .4byte 0 + 5346 .LLST37: + 5347 0dce DE000000 .4byte .LVL72 + 5348 0dd2 34010000 .4byte .LVL78 + 5349 0dd6 0100 .2byte 0x1 + 5350 0dd8 55 .byte 0x55 + 5351 0dd9 00000000 .4byte 0 + 5352 0ddd 00000000 .4byte 0 + 5353 .LLST38: + 5354 0de1 08010000 .4byte .LVL74 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 125 + + + 5355 0de5 18010000 .4byte .LVL76 + 5356 0de9 0100 .2byte 0x1 + 5357 0deb 53 .byte 0x53 + 5358 0dec 00000000 .4byte 0 + 5359 0df0 00000000 .4byte 0 + 5360 .LLST39: + 5361 0df4 FA000000 .4byte .LVL73 + 5362 0df8 28010000 .4byte .LVL77 + 5363 0dfc 0400 .2byte 0x4 + 5364 0dfe 91 .byte 0x91 + 5365 0dff 807B .sleb128 -640 + 5366 0e01 9F .byte 0x9f + 5367 0e02 28010000 .4byte .LVL77 + 5368 0e06 33010000 .4byte .LVL78-1 + 5369 0e0a 0100 .2byte 0x1 + 5370 0e0c 50 .byte 0x50 + 5371 0e0d 33010000 .4byte .LVL78-1 + 5372 0e11 34010000 .4byte .LVL78 + 5373 0e15 0400 .2byte 0x4 + 5374 0e17 91 .byte 0x91 + 5375 0e18 807B .sleb128 -640 + 5376 0e1a 9F .byte 0x9f + 5377 0e1b 00000000 .4byte 0 + 5378 0e1f 00000000 .4byte 0 + 5379 .LLST40: + 5380 0e23 08010000 .4byte .LVL74 + 5381 0e27 14010000 .4byte .LVL75 + 5382 0e2b 0100 .2byte 0x1 + 5383 0e2d 52 .byte 0x52 + 5384 0e2e 00000000 .4byte 0 + 5385 0e32 00000000 .4byte 0 + 5386 .LLST41: + 5387 0e36 00000000 .4byte .LFB59 + 5388 0e3a 02000000 .4byte .LCFI4 + 5389 0e3e 0200 .2byte 0x2 + 5390 0e40 7D .byte 0x7d + 5391 0e41 00 .sleb128 0 + 5392 0e42 02000000 .4byte .LCFI4 + 5393 0e46 04000000 .4byte .LCFI5 + 5394 0e4a 0200 .2byte 0x2 + 5395 0e4c 7D .byte 0x7d + 5396 0e4d 08 .sleb128 8 + 5397 0e4e 04000000 .4byte .LCFI5 + 5398 0e52 80000000 .4byte .LFE59 + 5399 0e56 0300 .2byte 0x3 + 5400 0e58 7D .byte 0x7d + 5401 0e59 A802 .sleb128 296 + 5402 0e5b 00000000 .4byte 0 + 5403 0e5f 00000000 .4byte 0 + 5404 .LLST42: + 5405 0e63 38000000 .4byte .LVL157 + 5406 0e67 3E000000 .4byte .LVL158 + 5407 0e6b 0700 .2byte 0x7 + 5408 0e6d 73 .byte 0x73 + 5409 0e6e 00 .sleb128 0 + 5410 0e6f 70 .byte 0x70 + 5411 0e70 00 .sleb128 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 126 + + + 5412 0e71 1C .byte 0x1c + 5413 0e72 1F .byte 0x1f + 5414 0e73 9F .byte 0x9f + 5415 0e74 00000000 .4byte 0 + 5416 0e78 00000000 .4byte 0 + 5417 .LLST43: + 5418 0e7c 50000000 .4byte .LVL160 + 5419 0e80 5E000000 .4byte .LVL161 + 5420 0e84 0100 .2byte 0x1 + 5421 0e86 50 .byte 0x50 + 5422 0e87 00000000 .4byte 0 + 5423 0e8b 00000000 .4byte 0 + 5424 .LLST44: + 5425 0e8f 24000000 .4byte .LVL153 + 5426 0e93 28000000 .4byte .LVL154 + 5427 0e97 0100 .2byte 0x1 + 5428 0e99 51 .byte 0x51 + 5429 0e9a 00000000 .4byte 0 + 5430 0e9e 00000000 .4byte 0 + 5431 .LLST45: + 5432 0ea2 24000000 .4byte .LVL153 + 5433 0ea6 28000000 .4byte .LVL154 + 5434 0eaa 0200 .2byte 0x2 + 5435 0eac 30 .byte 0x30 + 5436 0ead 9F .byte 0x9f + 5437 0eae 28000000 .4byte .LVL154 + 5438 0eb2 30000000 .4byte .LVL155 + 5439 0eb6 0100 .2byte 0x1 + 5440 0eb8 53 .byte 0x53 + 5441 0eb9 32000000 .4byte .LVL156 + 5442 0ebd 3E000000 .4byte .LVL158 + 5443 0ec1 0100 .2byte 0x1 + 5444 0ec3 53 .byte 0x53 + 5445 0ec4 00000000 .4byte 0 + 5446 0ec8 00000000 .4byte 0 + 5447 .LLST46: + 5448 0ecc 00000000 .4byte .LFB61 + 5449 0ed0 02000000 .4byte .LCFI6 + 5450 0ed4 0200 .2byte 0x2 + 5451 0ed6 7D .byte 0x7d + 5452 0ed7 00 .sleb128 0 + 5453 0ed8 02000000 .4byte .LCFI6 + 5454 0edc 30000000 .4byte .LFE61 + 5455 0ee0 0200 .2byte 0x2 + 5456 0ee2 7D .byte 0x7d + 5457 0ee3 08 .sleb128 8 + 5458 0ee4 00000000 .4byte 0 + 5459 0ee8 00000000 .4byte 0 + 5460 .LLST47: + 5461 0eec 00000000 .4byte .LFB66 + 5462 0ef0 02000000 .4byte .LCFI7 + 5463 0ef4 0200 .2byte 0x2 + 5464 0ef6 7D .byte 0x7d + 5465 0ef7 00 .sleb128 0 + 5466 0ef8 02000000 .4byte .LCFI7 + 5467 0efc 08000000 .4byte .LCFI8 + 5468 0f00 0200 .2byte 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 127 + + + 5469 0f02 7D .byte 0x7d + 5470 0f03 10 .sleb128 16 + 5471 0f04 08000000 .4byte .LCFI8 + 5472 0f08 34000000 .4byte .LFE66 + 5473 0f0c 0300 .2byte 0x3 + 5474 0f0e 7D .byte 0x7d + 5475 0f0f 9002 .sleb128 272 + 5476 0f11 00000000 .4byte 0 + 5477 0f15 00000000 .4byte 0 + 5478 .LLST48: + 5479 0f19 00000000 .4byte .LVL168 + 5480 0f1d 22000000 .4byte .LVL172 + 5481 0f21 0100 .2byte 0x1 + 5482 0f23 50 .byte 0x50 + 5483 0f24 22000000 .4byte .LVL172 + 5484 0f28 34000000 .4byte .LFE66 + 5485 0f2c 0400 .2byte 0x4 + 5486 0f2e F3 .byte 0xf3 + 5487 0f2f 01 .uleb128 0x1 + 5488 0f30 50 .byte 0x50 + 5489 0f31 9F .byte 0x9f + 5490 0f32 00000000 .4byte 0 + 5491 0f36 00000000 .4byte 0 + 5492 .LLST49: + 5493 0f3a 00000000 .4byte .LVL168 + 5494 0f3e 28000000 .4byte .LVL173 + 5495 0f42 0100 .2byte 0x1 + 5496 0f44 51 .byte 0x51 + 5497 0f45 28000000 .4byte .LVL173 + 5498 0f49 2A000000 .4byte .LVL174 + 5499 0f4d 0900 .2byte 0x9 + 5500 0f4f 91 .byte 0x91 + 5501 0f50 00 .sleb128 0 + 5502 0f51 70 .byte 0x70 + 5503 0f52 00 .sleb128 0 + 5504 0f53 22 .byte 0x22 + 5505 0f54 0A .byte 0xa + 5506 0f55 1001 .2byte 0x110 + 5507 0f57 1C .byte 0x1c + 5508 0f58 2A000000 .4byte .LVL174 + 5509 0f5c 34000000 .4byte .LFE66 + 5510 0f60 0400 .2byte 0x4 + 5511 0f62 F3 .byte 0xf3 + 5512 0f63 01 .uleb128 0x1 + 5513 0f64 51 .byte 0x51 + 5514 0f65 9F .byte 0x9f + 5515 0f66 00000000 .4byte 0 + 5516 0f6a 00000000 .4byte 0 + 5517 .LLST51: + 5518 0f6e 0C000000 .4byte .LVL169 + 5519 0f72 2C000000 .4byte .LVL175 + 5520 0f76 0100 .2byte 0x1 + 5521 0f78 52 .byte 0x52 + 5522 0f79 2C000000 .4byte .LVL175 + 5523 0f7d 2F000000 .4byte .LVL176-1 + 5524 0f81 0100 .2byte 0x1 + 5525 0f83 51 .byte 0x51 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 128 + + + 5526 0f84 2F000000 .4byte .LVL176-1 + 5527 0f88 34000000 .4byte .LFE66 + 5528 0f8c 0A00 .2byte 0xa + 5529 0f8e F3 .byte 0xf3 + 5530 0f8f 01 .uleb128 0x1 + 5531 0f90 50 .byte 0x50 + 5532 0f91 09 .byte 0x9 + 5533 0f92 F4 .byte 0xf4 + 5534 0f93 24 .byte 0x24 + 5535 0f94 09 .byte 0x9 + 5536 0f95 FC .byte 0xfc + 5537 0f96 25 .byte 0x25 + 5538 0f97 9F .byte 0x9f + 5539 0f98 00000000 .4byte 0 + 5540 0f9c 00000000 .4byte 0 + 5541 .LLST52: + 5542 0fa0 10000000 .4byte .LVL170 + 5543 0fa4 12000000 .4byte .LVL171 + 5544 0fa8 0200 .2byte 0x2 + 5545 0faa 30 .byte 0x30 + 5546 0fab 9F .byte 0x9f + 5547 0fac 00000000 .4byte 0 + 5548 0fb0 00000000 .4byte 0 + 5549 .section .debug_aranges,"",%progbits + 5550 0000 4C000000 .4byte 0x4c + 5551 0004 0200 .2byte 0x2 + 5552 0006 00000000 .4byte .Ldebug_info0 + 5553 000a 04 .byte 0x4 + 5554 000b 00 .byte 0 + 5555 000c 0000 .2byte 0 + 5556 000e 0000 .2byte 0 + 5557 0010 00000000 .4byte .LFB62 + 5558 0014 02000000 .4byte .LFE62-.LFB62 + 5559 0018 00000000 .4byte .LFB69 + 5560 001c 8C000000 .4byte .LFE69-.LFB69 + 5561 0020 00000000 .4byte .LFB70 + 5562 0024 84000000 .4byte .LFE70-.LFB70 + 5563 0028 00000000 .4byte .LFB64 + 5564 002c 84030000 .4byte .LFE64-.LFB64 + 5565 0030 00000000 .4byte .LFB59 + 5566 0034 80000000 .4byte .LFE59-.LFB59 + 5567 0038 00000000 .4byte .LFB61 + 5568 003c 30000000 .4byte .LFE61-.LFB61 + 5569 0040 00000000 .4byte .LFB66 + 5570 0044 34000000 .4byte .LFE66-.LFB66 + 5571 0048 00000000 .4byte 0 + 5572 004c 00000000 .4byte 0 + 5573 .section .debug_ranges,"",%progbits + 5574 .Ldebug_ranges0: + 5575 0000 B0000000 .4byte .LBB22 + 5576 0004 DE000000 .4byte .LBE22 + 5577 0008 46010000 .4byte .LBB36 + 5578 000c 4C030000 .4byte .LBE36 + 5579 0010 00000000 .4byte 0 + 5580 0014 00000000 .4byte 0 + 5581 0018 00000000 .4byte .LFB62 + 5582 001c 02000000 .4byte .LFE62 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 129 + + + 5583 0020 00000000 .4byte .LFB69 + 5584 0024 8C000000 .4byte .LFE69 + 5585 0028 00000000 .4byte .LFB70 + 5586 002c 84000000 .4byte .LFE70 + 5587 0030 00000000 .4byte .LFB64 + 5588 0034 84030000 .4byte .LFE64 + 5589 0038 00000000 .4byte .LFB59 + 5590 003c 80000000 .4byte .LFE59 + 5591 0040 00000000 .4byte .LFB61 + 5592 0044 30000000 .4byte .LFE61 + 5593 0048 00000000 .4byte .LFB66 + 5594 004c 34000000 .4byte .LFE66 + 5595 0050 00000000 .4byte 0 + 5596 0054 00000000 .4byte 0 + 5597 .section .debug_line,"",%progbits + 5598 .Ldebug_line0: + 5599 0000 D2030000 .section .debug_str,"MS",%progbits,1 + 5599 02002401 + 5599 00000201 + 5599 FB0E0D00 + 5599 01010101 + 5600 .LASF33: + 5601 0000 76616C69 .ascii "valid\000" + 5601 6400 + 5602 .LASF39: + 5603 0006 424C5F57 .ascii "BL_WritePacket\000" + 5603 72697465 + 5603 5061636B + 5603 657400 + 5604 .LASF28: + 5605 0015 61707049 .ascii "appId\000" + 5605 6400 + 5606 .LASF31: + 5607 001b 72657375 .ascii "result\000" + 5607 6C7400 + 5608 .LASF66: + 5609 0022 746D7053 .ascii "tmpStatus\000" + 5609 74617475 + 5609 7300 + 5610 .LASF75: + 5611 002c 62617365 .ascii "baseAddr\000" + 5611 41646472 + 5611 00 + 5612 .LASF84: + 5613 0035 4379536F .ascii "CySoftwareReset\000" + 5613 66747761 + 5613 72655265 + 5613 73657400 + 5614 .LASF51: + 5615 0045 706B7453 .ascii "pktSize\000" + 5615 697A6500 + 5616 .LASF44: + 5617 004d 61707041 .ascii "appAddr\000" + 5617 64647200 + 5618 .LASF73: + 5619 0055 726F7744 .ascii "rowData\000" + 5619 61746100 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 130 + + + 5620 .LASF2: + 5621 005d 73686F72 .ascii "short int\000" + 5621 7420696E + 5621 7400 + 5622 .LASF65: + 5623 0067 424C5F66 .ascii "BL_flashBuffer\000" + 5623 6C617368 + 5623 42756666 + 5623 657200 + 5624 .LASF48: + 5625 0076 61636B43 .ascii "ackCode\000" + 5625 6F646500 + 5626 .LASF21: + 5627 007e 73697A65 .ascii "sizetype\000" + 5627 74797065 + 5627 00 + 5628 .LASF59: + 5629 0087 73746172 .ascii "startRow\000" + 5629 74526F77 + 5629 00 + 5630 .LASF58: + 5631 0090 62746C64 .ascii "btldrData\000" + 5631 72446174 + 5631 6100 + 5632 .LASF52: + 5633 009a 64617461 .ascii "dataOffset\000" + 5633 4F666673 + 5633 657400 + 5634 .LASF79: + 5635 00a5 424C5F53 .ascii "BL_SizeBytesAccess\000" + 5635 697A6542 + 5635 79746573 + 5635 41636365 + 5635 737300 + 5636 .LASF61: + 5637 00b8 42746C64 .ascii "BtldrVersion\000" + 5637 72566572 + 5637 73696F6E + 5637 00 + 5638 .LASF86: + 5639 00c5 55534246 .ascii "USBFS_CyBtldrCommWrite\000" + 5639 535F4379 + 5639 42746C64 + 5639 72436F6D + 5639 6D577269 + 5640 .LASF82: + 5641 00dc 6D656D63 .ascii "memcpy\000" + 5641 707900 + 5642 .LASF94: + 5643 00e3 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 5643 43534932 + 5643 53445C55 + 5643 53425F42 + 5643 6F6F746C + 5644 .LASF71: + 5645 0103 72756E54 .ascii "runType\000" + 5645 79706500 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 131 + + + 5646 .LASF11: + 5647 010b 75696E74 .ascii "uint16\000" + 5647 313600 + 5648 .LASF41: + 5649 0112 63686563 .ascii "checksum\000" + 5649 6B73756D + 5649 00 + 5650 .LASF32: + 5651 011b 424C5F56 .ascii "BL_ValidateBootloadable\000" + 5651 616C6964 + 5651 61746542 + 5651 6F6F746C + 5651 6F616461 + 5652 .LASF10: + 5653 0133 75696E74 .ascii "uint8\000" + 5653 3800 + 5654 .LASF96: + 5655 0139 43795365 .ascii "CySetTemp\000" + 5655 7454656D + 5655 7000 + 5656 .LASF67: + 5657 0143 424C5F53 .ascii "BL_Start\000" + 5657 74617274 + 5657 00 + 5658 .LASF20: + 5659 014c 426F6F74 .ascii "BootLoaderVersion\000" + 5659 4C6F6164 + 5659 65725665 + 5659 7273696F + 5659 6E00 + 5660 .LASF0: + 5661 015e 7369676E .ascii "signed char\000" + 5661 65642063 + 5661 68617200 + 5662 .LASF13: + 5663 016a 666C6F61 .ascii "float\000" + 5663 7400 + 5664 .LASF6: + 5665 0170 6C6F6E67 .ascii "long long int\000" + 5665 206C6F6E + 5665 6720696E + 5665 7400 + 5666 .LASF81: + 5667 017e 6D656D73 .ascii "memset\000" + 5667 657400 + 5668 .LASF15: + 5669 0185 63686172 .ascii "char\000" + 5669 00 + 5670 .LASF25: + 5671 018a 424C5F43 .ascii "BL_Calc8BitEepromSum\000" + 5671 616C6338 + 5671 42697445 + 5671 6570726F + 5671 6D53756D + 5672 .LASF72: + 5673 019f 666C7341 .ascii "flsAddr\000" + 5673 64647200 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 132 + + + 5674 .LASF70: + 5675 01a7 61646472 .ascii "address\000" + 5675 65737300 + 5676 .LASF35: + 5677 01af 63757242 .ascii "curByte\000" + 5677 79746500 + 5678 .LASF64: + 5679 01b7 746D7049 .ascii "tmpIndex\000" + 5679 6E646578 + 5679 00 + 5680 .LASF46: + 5681 01c0 6E756D62 .ascii "numberRead\000" + 5681 65725265 + 5681 616400 + 5682 .LASF34: + 5683 01cb 63616C63 .ascii "calcedChecksum\000" + 5683 65644368 + 5683 65636B73 + 5683 756D00 + 5684 .LASF43: + 5685 01da 424C5F48 .ascii "BL_HostLink\000" + 5685 6F73744C + 5685 696E6B00 + 5686 .LASF55: + 5687 01e6 636F6D6D .ascii "communicationState\000" + 5687 756E6963 + 5687 6174696F + 5687 6E537461 + 5687 746500 + 5688 .LASF92: + 5689 01f9 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 5689 4320342E + 5689 372E3320 + 5689 32303133 + 5689 30333132 + 5690 022c 616E6368 .ascii "anch revision 196615]\000" + 5690 20726576 + 5690 6973696F + 5690 6E203139 + 5690 36363135 + 5691 .LASF93: + 5692 0242 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\BL.c\000" + 5692 6E657261 + 5692 7465645F + 5692 536F7572 + 5692 63655C50 + 5693 .LASF54: + 5694 0260 636C6561 .ascii "clearedMetaData\000" + 5694 7265644D + 5694 65746144 + 5694 61746100 + 5695 .LASF29: + 5696 0270 6669656C .ascii "fieldPtr\000" + 5696 64507472 + 5696 00 + 5697 .LASF53: + 5698 0279 74696D65 .ascii "timeOutCnt\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 133 + + + 5698 4F757443 + 5698 6E7400 + 5699 .LASF95: + 5700 0284 424C5F4C .ascii "BL_LaunchApplication\000" + 5700 61756E63 + 5700 68417070 + 5700 6C696361 + 5700 74696F6E + 5701 .LASF23: + 5702 0299 73746172 .ascii "start\000" + 5702 7400 + 5703 .LASF1: + 5704 029f 756E7369 .ascii "unsigned char\000" + 5704 676E6564 + 5704 20636861 + 5704 7200 + 5705 .LASF57: + 5706 02ad 64617461 .ascii "dataBuffer\000" + 5706 42756666 + 5706 657200 + 5707 .LASF83: + 5708 02b8 43794545 .ascii "CyEEPROM_Start\000" + 5708 50524F4D + 5708 5F537461 + 5708 727400 + 5709 .LASF89: + 5710 02c7 43794861 .ascii "CyHalt\000" + 5710 6C7400 + 5711 .LASF9: + 5712 02ce 696E7433 .ascii "int32_t\000" + 5712 325F7400 + 5713 .LASF7: + 5714 02d6 6C6F6E67 .ascii "long long unsigned int\000" + 5714 206C6F6E + 5714 6720756E + 5714 7369676E + 5714 65642069 + 5715 .LASF49: + 5716 02ed 706B7443 .ascii "pktChecksum\000" + 5716 6865636B + 5716 73756D00 + 5717 .LASF68: + 5718 02f9 43794274 .ascii "CyBtldr_CheckLaunch\000" + 5718 6C64725F + 5718 43686563 + 5718 6B4C6175 + 5718 6E636800 + 5719 .LASF16: + 5720 030d 63797374 .ascii "cystatus\000" + 5720 61747573 + 5720 00 + 5721 .LASF42: + 5722 0316 424C5F4C .ascii "BL_LaunchBootloadable\000" + 5722 61756E63 + 5722 68426F6F + 5722 746C6F61 + 5722 6461626C + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 134 + + + 5723 .LASF36: + 5724 032c 424C5F43 .ascii "BL_CalcPacketChecksum\000" + 5724 616C6350 + 5724 61636B65 + 5724 74436865 + 5724 636B7375 + 5725 .LASF30: + 5726 0342 6669656C .ascii "fieldSize\000" + 5726 6453697A + 5726 6500 + 5727 .LASF40: + 5728 034c 73746174 .ascii "status\000" + 5728 757300 + 5729 .LASF62: + 5730 0353 726F774E .ascii "rowNum\000" + 5730 756D00 + 5731 .LASF56: + 5732 035a 7061636B .ascii "packetBuffer\000" + 5732 65744275 + 5732 66666572 + 5732 00 + 5733 .LASF38: + 5734 0367 424C5F43 .ascii "BL_Calc8BitFlashSum\000" + 5734 616C6338 + 5734 42697446 + 5734 6C617368 + 5734 53756D00 + 5735 .LASF78: + 5736 037b 424C5F53 .ascii "BL_SizeBytes\000" + 5736 697A6542 + 5736 79746573 + 5736 00 + 5737 .LASF4: + 5738 0388 6C6F6E67 .ascii "long int\000" + 5738 20696E74 + 5738 00 + 5739 .LASF50: + 5740 0391 72656164 .ascii "readStat\000" + 5740 53746174 + 5740 00 + 5741 .LASF26: + 5742 039a 424C5F47 .ascii "BL_GetMetadata\000" + 5742 65744D65 + 5742 74616461 + 5742 746100 + 5743 .LASF3: + 5744 03a9 73686F72 .ascii "short unsigned int\000" + 5744 7420756E + 5744 7369676E + 5744 65642069 + 5744 6E7400 + 5745 .LASF45: + 5746 03bc 74696D65 .ascii "timeOut\000" + 5746 4F757400 + 5747 .LASF47: + 5748 03c4 72737053 .ascii "rspSize\000" + 5748 697A6500 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 135 + + + 5749 .LASF18: + 5750 03cc 53696C69 .ascii "SiliconId\000" + 5750 636F6E49 + 5750 6400 + 5751 .LASF88: + 5752 03d6 55534246 .ascii "USBFS_CyBtldrCommRead\000" + 5752 535F4379 + 5752 42746C64 + 5752 72436F6D + 5752 6D526561 + 5753 .LASF37: + 5754 03ec 62756666 .ascii "buffer\000" + 5754 657200 + 5755 .LASF87: + 5756 03f3 55534246 .ascii "USBFS_CyBtldrCommStart\000" + 5756 535F4379 + 5756 42746C64 + 5756 72436F6D + 5756 6D537461 + 5757 .LASF12: + 5758 040a 75696E74 .ascii "uint32\000" + 5758 333200 + 5759 .LASF17: + 5760 0411 72656738 .ascii "reg8\000" + 5760 00 + 5761 .LASF5: + 5762 0416 6C6F6E67 .ascii "long unsigned int\000" + 5762 20756E73 + 5762 69676E65 + 5762 6420696E + 5762 7400 + 5763 .LASF63: + 5764 0428 726F7741 .ascii "rowAddr\000" + 5764 64647200 + 5765 .LASF14: + 5766 0430 646F7562 .ascii "double\000" + 5766 6C6500 + 5767 .LASF76: + 5768 0437 424C5F43 .ascii "BL_Checksum\000" + 5768 6865636B + 5768 73756D00 + 5769 .LASF24: + 5770 0443 73697A65 .ascii "size\000" + 5770 00 + 5771 .LASF90: + 5772 0448 43795365 .ascii "CySetFlashEEBuffer\000" + 5772 74466C61 + 5772 73684545 + 5772 42756666 + 5772 657200 + 5773 .LASF77: + 5774 045b 424C5F43 .ascii "BL_ChecksumAccess\000" + 5774 6865636B + 5774 73756D41 + 5774 63636573 + 5774 7300 + 5775 .LASF91: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccttgPOR.s page 136 + + + 5776 046d 43795772 .ascii "CyWriteRowData\000" + 5776 69746552 + 5776 6F774461 + 5776 746100 + 5777 .LASF74: + 5778 047c 61727261 .ascii "arrayId\000" + 5778 79496400 + 5779 .LASF60: + 5780 0484 65726173 .ascii "erase\000" + 5780 6500 + 5781 .LASF22: + 5782 048a 424C5F45 .ascii "BL_ENTER\000" + 5782 4E544552 + 5782 00 + 5783 .LASF19: + 5784 0493 52657669 .ascii "Revision\000" + 5784 73696F6E + 5784 00 + 5785 .LASF80: + 5786 049c 49544D5F .ascii "ITM_RxBuffer\000" + 5786 52784275 + 5786 66666572 + 5786 00 + 5787 .LASF8: + 5788 04a9 756E7369 .ascii "unsigned int\000" + 5788 676E6564 + 5788 20696E74 + 5788 00 + 5789 .LASF85: + 5790 04b6 43795772 .ascii "CyWriteRowFull\000" + 5790 69746552 + 5790 6F774675 + 5790 6C6C00 + 5791 .LASF27: + 5792 04c5 6669656C .ascii "fieldName\000" + 5792 644E616D + 5792 6500 + 5793 .LASF69: + 5794 04cf 424C5F53 .ascii "BL_SetFlashByte\000" + 5794 6574466C + 5794 61736842 + 5794 79746500 + 5795 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/BL.o new file mode 100755 index 0000000000000000000000000000000000000000..5e6551dbb11c9da0a05a71bd1e0e7154c7c0a046 GIT binary patch literal 21988 zcmb`P34B!5+5gX-JJ}bKuqBX$NhTp7kjW|_MwuiKb_od}Y7nzbAR3Y|nMl|)RNObH zYo(f3+qzOKv9wyH(D&81SbZ%}w7iwtxKvzgaE(jL|MxrhxsxH%kNtn%-cRm)&-0w; zJm)#*o_p@OcP96W*$b--!%+5Ss9ZH9DK${2bHYkBa@9oTwoR3qqf}*j!X8Ie_~f{w ze|g6o2#A2Zj9-O;aHs(Qik3yyaGu>1V(@!j6{%!|G6Io*}-8QwRK!(!jzlZN^Y z_E)eU!Tucfqu8Iv-m%BwI{C#vPKH;Rn(I>4`^H2|r?QMf!;xLJyCUjv;-C>zk^YB1 zXUg9v-?h)keyi`3x@TeL%n%0>cq)2SABKemrp@*98|f-4;lR`cio}AgHBg? zp7-NTTkjdnEixv12QEV@&$wrB@{;)vd*2Jo{vd5Z%54=ZHl%D&A2)Bkd&Af~??BVm z$!R-+I!0)8Lfyn+EgCv#V|z^c3ARSWxfGGF&P%BkiYy;oh4DbB&t@CoQ>Y zFb`IfGj8ZZO$on2O*P3o`@Y|&CVzgYx?*czWb}eZ;y%tFnYb)@+1QM@&+hcUnU=V0 z{zE_Li#rkSxWjGtz|2qmKVvLOTaAe=$L|@OlyT4Cl->8AC^DlbtB=3vG45M3=pIn} zZo=T)jS)DsMZA_V&dqc@#1e+r5jT!D)ul5Zdn%E!qevCWSfpfsG z+{TQAl_|+D^r^fr53x04kH&nKP#X5W(^YOPtuudBhY!E{W&GyCA%XlstMKcedH<6+q< z>%iM)_SeUq*LrIb@t4uy6A0{^WocZIK%e7GjNOA$I0mA70P=M=iVXgUsWk@ z!kz<<>l$_|JrAtW$1y>qF>}o|DQlEFx+-&b(x9>E&1A%Vykbp4_p{@ii1W${btv&E zt7Pm#`pM`v2KGC=dFo&?e7JPPqqOH9k>)VMi3&wRj2KK9-+}J}ZBc%h! z7=8+OTip9jq!;qONR**{$DaM4L}c!N9G|mX11H0k`<|6?gJ!i+^2BF7R}3E7-xoKi z5)!LiRhQ_NcpvZB+fq2vqedCVNo=h5Z!tZHF<<B@+_idJ!~?;Ylwi8LMfZzRJu;v+oLIr<-9 z#EUR-j@^!=!>uB2g_Sdz1`f9~Vj+AuQ)uRJhp9-arxs6#sb2WXPf&uZc;VQ(czbat&(#+$TK33nq97W*CoC8Ho~>i_dgSBU_rfl`*L6 z8tH3mQpQ(0odjcL{6pI$y+98qb$V3N&nTogbf{|d7}olVbw!73<=CQ(LL|m4V)<9B zHdL#zY&y3aEhu9hjFQ`+bwQJIH4?vO;`>N^!^FKvum{I&#D`L2*$>>dVZRw^BM~*H zJdf04*i&A{$6HLijt>WXr+kP+1`^};BB93T;-d`v0p@)LRVNZEH4-0;_f_m^Mzv9_ zQWHe=H&KjzDjyyw!S%>vGlu2AjC)S`&)U~THt=HA7 zFjktBgq9noP9p$%a{Y;_1_ygdZG($z&~D?C2=v}Fbl9K|_0OTq+)v9U2OXI^j&-}A zWxh|#FC*W588VD!ZGSPc+#k}@YMnn0BiOxz_G=s*i08wHfolvY z8mM$HEdBzEG^5tat)ZSqktaFsicrNi*xZf%L00i31E_b7M!#qei^lu!_pehF{J zBRW_tuYL%LGe+uRB-m+p!6iD)u^yjGj6&UIySAv*0py3Har-5|i}~h`Yc|0mYKK~{ zGNYFosVWDvw#tEBYBdt<;{jcu)^Qo#nmcxFVK=jMwPO>^Ho}a4lQ9TbulU_I+;1LY zXP`M#ktjj|mBsU!L!^`~`m6JI@Q}L;I&;Ts-O2xfL@#^gHY8qRuh^!Oo`lAz(S!CY z6i;CB*svd?xE{2xvlIvIrz~Y#=t1j_hrLQ?g=ZlI3?E0-9lBYs>CqF2(EZhehN&Fd zu7Op0F%o`lj`km;p&2~|LlT3LminT{!JEg6-ekj4jJ6dM3^kc!(YOxxcTx*vKJV_=sc;m2;QH}*lyVOk%P97yU1Xse#=A4K9+XwX!nP^FGR z-qTQhWT|jPQ>p3D(aeDsh}nEmjSi}Es-e=OvJruWf~x#nsPyF=zJAX$c~Thq-0G(f=xZ)Ub;g4{ z4wLjsAY}w((~>#y&w%Xir93&9pSg@)r-ZSrxnp2U=4N^>(5nh#sWpQX>#Ii_N@q@? zb%`!*EcfW8M5)gG59Fq^RewOI@qF2W&m~cx;3WJsE9#qU^nxRRY(1z}pfbIZI;je# zvA&7CFdAVzwyNMlYI1lTwKbcVF1Q(`L^FX?Siw*5VHEykNFC#(P2msWAZ$$dQ_L{J zX2Yt;xSY*)@x$QBU(952E3%3?xK)Yq3ls{kur97V?~B=E#hf~e8H!H9&oL;(4`&n0 zPbQsdKc&W#IF8}x8b+yc223M18%Bxo98!^QTfQ4uidSjNw-#9yF3zm1)c6E>k#Dlt zD@?s(730`FZLu?qGf{iE*D{-G{1){`^cV&Qv~C01HkWNHw=8&kv?DdmSWEpZOFyi= zXl0_29X~S0j2|DrEIiSuF%mFgIT zVq%zWK!}J7+D=FBM;gYwk<>?Jz_tJpMUQ}A^Z~)ru@li3aUR2}At!d;NSAgL9~N|k zwNP3ofWZ+aLkYo2O=Nq zF^WcNgK#lO4pT)V9q5{rQb~-XCKat2AA>$jGUz7ty6+hfigc^fuK%8)9ML-};4t0J zz`}5%?l{-5NbHI-LLxzRnM3ViVD1nRxmjrv=Zcu~!x%ue6$=&zF~+iRYI0DsVo10; z={CU2B;5ui^7MfT!?f>_Zp(>vp-EFb#>`l&A9G?)>4$vF-R%{z^ynGtVD?RcM2BNL zGnU~N4uv~2whU{CBFixkUMUm|usBTjM@g{C80K1er5+`5K*{oFgequ-D^O@btJt(q zm1V_KPvJbk`e2LZjaC{Xd1&JVZK!Hu9CoL|yw=N<3p+B1Ozc($Q(4%NK}-y0Fr{a4 zRZZjtGnkr!9VG}}RxDRct*|l(#%5(8p*LQqSYNn`G1@z{@r@kbsB?Qgklu=z^C5bxhF)E-UPmY_O)uA$a zsc67H(pIixo;NFlnU^4gO@beM1~&&%JR0OSE1h6xvVB(HE}4%cT^p*FScBbEomccS z!D7(kQ*XS&TLCYxL6Xfg^k*=aJ{_vCm$VA=!l*YE4$bDW$xusY0L@mCV6BF#A=q! zsF$28`sHA=q*0UJhC(R~38gS3lw}O%TwQ%(FKLBU8WL8a4y#RvQrb&y*6G6D9`H8N zlUBHeA>o#Wgj*OAZfP&WU4h+D%Lps-p%K3s@jrk)9>-7*Xgzi_dZ~K|`&hL@b>aSE zlOy#uBh-MIN3grTWndN9ECn)pX~knwp>|xkNu2EXo|Vejaf3y|@$mch|~8 zeCS`YJOZo!f61~Aeb3wX@2;Ikj87N7+fqM+z=8R9^bUvVB<$Z^E1v?)_?IkcRfhfF z5nmPj@HG1F_?E(oBlo*4?eYEZh|fMjzPnZoXT5QrT!Ves?9R(3=MdhTaroopv(JK2 zr#lOJIj-Np4nqQkF&TE5y-YZGEWu4)Lj4A&~V zfzYyf>6VtbKqr^6m29G+&SNm==wOx;UhLs`5Q3?yw*qM^&X5_eu(ug%UPMqh7$p)` zpff^NI_L93I1#&{&i4XVq4SXnZr^U*1zyB83p+0tq;+sE7z*YBjxNjB1wltheB0OZ zp-WOxuRSK|cc=-xy6cTgi@UI6Awb-V9Sa1bmkC~#py$}C(Vbn(gGGlS%LY2&L2@cQ z5Ygk1XQ(C7oON0fjP%A5H)H1jC-26NL-s@9ek)0^awi^COwc~(^C)Y{4#AROq&HeK z3RCUawuzTVPV!n{f^)f{F0`j~t>@g%nHze00@wO}=&ZiKkhl!H)-%Dm8|x9x9;8v0 zxE{NBk#~#&s`(SFpYB`=W zO553=wvJ~n@3L`L5zk_oPSdM{7u2idg4)ZRSX79j1VcZ)pl-#HZM!)h1Mn^J;olFXbfddI>QOd)nz@*woV#H9afyjI3aL-V$$y zTJn#elUmyewDiSLLq=vuT%n<|_3SEs=V65%Tmsu&#o3Z(&tf-~|B7BFXpdoPyJAz1 zVt1m2M(>}HV(W$(g!BdbGPEx!GwAWB?ErKcJ8XDmjJrQr)e<|KBR?18);iCa;`f2L zrVw1po_Ye(oZ65nHxgp9Rs}obtN%Zz^e{?$2zC*}L$KJb`xIHaN0L3*xm;(y-lpU8 z9PAOQ69YAM=tiI#%8RgvTp~Ha=4w^23v3m8h*^a?yO!5idJP#CkWPt;mWVKtdV33v znie}|o zGgRY@x(q=y2cG1eqU#2T}NkoqpQ*Hs}HpM>qg4ULXg4KPX8n1|Ig3CeyexcXiB7BtU$rQ$G|iosky(7M`ROK4X6D%w3X05aPM3KQ zmrl$0LNj`PdO=BQL819~)8%%X8_Nm`V0HU?*Vz*?CZv~|??rkhWaMXL%uI8eORZw_ z!_9jyFEjUS@k}l<^CMreD>i?xwint$u{mOe8Oz`plo?fa{bYBVJFBR~jPlGpH?Mdi zRIQuLXR*SK-EIb4X0pdDDJwFg=3h!F-2*#xi|Kcn@nzqn&xei)zg7vZ>kT z%iS*X(Fm7$WRuIhdW$E`J=xqH;mJ22?Jmp8IMaMP!c#sEMI71un8A-sex#f6>^X*2 zlrf=T(b*YhwC9|e=3AS}%-co#kWGTgR%%z(!n?J=KrdUT`TH0C=-gdCIY z4#Uhq>4j!OnfV2J56c7;Z$>cS)qA}#}N_Y z=|>n_iLNzM7kTsWq;lw}KOAl3n%$o4V#Z$1kGbXL7dRX+xvajmrHNzxJbz2TS6$zD zsV|`FJ38hyDV(BRtpOES+ff^+4|H{^hWbulc~g^LRc@$lZw{=l_xoymov`n?G*H{J z(Z_N+-`~D|R(+seb*{k)?BefVP(d@#nToFFW}jc7n#y*Zg`FtHQx0cgy+2UXzFsv1 zTATd3a1%T%Zf>T3l&1fR4FO+gd1E7{4pl+Bc4O&^*zILr{j9Tkk z+t{%|oj0Sdwz76!aqX-+7_O>lZx6J#*Ejk6p2iJLoo&kB)wYx|bRp29sj6{}uklj; zq6M`sXYXKIv&+;sv|4u4*$2MBQs3GR9Ua40v|6Y=+kthqtZwr)xf<8h`&Bb44XD=k zw$(2E!EQl>Y@g0Yb(Qt4jngYy0<(RXHP_Z6hUV5z-J|vBb~d}Q%glWIh1UsGjB_;cGk0}ET)E>(@_ldiUw#`*xdi$~L^=A66CRq4{xrK`BG zsHCu@sMwY3_qF8fe@4gUJJ#x*X#@3Izlx~u5SsZ)#2 zSgHQmZ+Hn;d%o2mnT6=+%OTig|>vXZX7N60!!f{t{H^zfI$82#ts&~@l@Nx}^|xcb_`V+&nyR9oYMG2&|2 z#3RJ)Ilcfpj?I>H>6GS37b<^ehpxZ21-;kahEw-jCxjj#4c5u`txT&6Is8kCQUB`c zRy3#7g+}Rt*wo&|bAau!2U;gjeSJFUrp=xm(q^%dleTh$J~45g>#u3QfG zrS0vlov!9~zY9a#HCu+ZtJ>er38mfNIqBbVHk5NEThFD4GPsZotHc!O>&{v0(~w7xdQSr;Jr9*047t;slfk@@bH#&`pfi9ew8K+m`LZ3HZaxpOE{ufItJ`=O zax8{RfzZ1Vs_eUtY@}&t-;~gfcWd_Mltc!IpNa&xU1j(I5u_~a^hGc1+B-)4UT4wev!@@4%1@-k+^QI@In($UOJ#&2Gn9C_>xu3@Ua($9C}R zFS{MQJq-27AEohJwKv<}PAEeC9fQ9E(9s|Dw!b|{hx$8$j2`Ihjdt92V-NNB*eNzQK;Sie`04n9s^8oBVW{1c|AKdt( zw$S)SA-)4Ru#9gccDubUsh4HA{VGwZckEyx+y07&+1)=1Px;wU*=#!x5~1}Tn~iTd z@hcYA%P)BCddr9TTMmP2+Zky4JA0VF)}=~$kxzd-ezw1*!~8t}gB8fPH`^b_Z)kkG z;BPyO=#NjqZGX+f{QUz4uY`mm__MQCz;3`6Ks$sxU>Dji0knh8(QK6= zb{w}D9>F;hNi`Rbl=xFPd$W^d$S_j!(KK^4j!ywrA^GZr}~Rfn4Yx1ZJ-1B^w)#k+D`d_0@@QbtbCNJhauzPTO4s9l8%v0MR zGO@tS#HeF@LmNlo&}QPf<*E6@<>AMlGvLr>s?kFNQl_4%hE(RTf4(vGOc9#TGks_? zRl1Icc*I>Ho~dT(Ti4Sp)la>l4Ow;N{8G@DN;+|C77eZ>ja2@3*wU2Sj}QBF~Aw^+>_KS=INL!=HI^VK0v!u)x<3g5)8@ zX>Ns2caJdq&S2=CR^J>md-yZ25LM_Mxcx{h z;ffb*&Yr!dE6G$tzDPF&~sZA&!P z$>T`KRlkI_QiY7p;p{_Zf$6w3aGs5xY2EYS>9DTPk!M}I zNzOxL3W;?HugQ){RypdqjvzUwlI23iN4Zvbfv{evd&jS=Pv&l2+6CFZXbwhK22uMyrV>=o`3{#y8k@NdGeg;6-p^q)v# zX)s!tDf%-=ESAm`o=r|vYJqU6kpJ^ceY23`$AL@ZM&ae6zfpL*@Lu7A!afq^ej)rX z(LXQpG2ur-jvdYLRz{ ze22&n2!BPQJ--&dL?X^V3Xh4tU-+)*2S}V}Uy^8#6Jv(uqDc6Q6XG}UIv>A4*ZDc3 zpDZku{8=J53$GX6EWDFMJr9YzOSnh$ytici&xw3c{BBb+9BuW+{L=Lu^>f4;~og$*Ry(IN5%;SYqr5&lkiLYRU%knv>-3x%b^ zMdU>MxKDVY=vN8bg`L7HN!Z^g+#&kgh4+g7CnCQfJR%%}xq$7+5Kbb;DwQuRBH{lm z$*&T5fyfsMn?>JFqI{?DO3~je^7n;1MgM@vj|hJu+%J4ycuY7TG;tfidd3JR2#bZ4 zB-*ur#6@heu$Dx7R*Kvqyi#}ziE?+6Y51L$u$M%+hb8~#B0nYavm!q)@)42$SLAnv zUkLdR5cC&8qCS_%*(B;cU-ZpnrBauQ+)Y;CUR>ns$#VSsS!Diys>7?)E|K>OUl&H= zc?|2DBrFl0Exbe+5bhK{EZi%6S%}M?zMf$E(B%4o*B7pfNtZB7I9XUAJX6SfO4`p7 z&K1@OmkU=4>xGvJ+lBi24nG@3-X^?Oc#H5>;XT6pgbxYzbsv6yE%JW~dGEnE4+~!t zz9D>9$a@gleIrzcCG%%Q)W-_>%#1RBoJ8gdrwI8AC+epOdGA5FLO4&zdl2d`67ty( zWxcKddCx)lW)j!e?+f`1hVs3_$Ao>tr-b}}dfGiJJRs!H45{b8;*)O)-w}Q!{6vT^ z&$V5+FkYA>g+I^o4az5syb)(iQ6@0^cs5Z)@h zQ>b5Hf&3qd{Bt3HO2=~hgnC^Ang0?`{r?JI7yebq|Bh$=3E`md8=;fy4dh1(6NICL zsX~`9S2#sjD&)^C>BlRaC0r=f>nP-3EOL`jucx5b_XS|L=r;@XIt%)1M7~9Mt8k~V zSNNdtQQ;H9UkdjL|4YdKmSx<(7yeQBs_;$Wap6Zo{>LQCeJwmG49CPoeYB9TB%quw zEEekZ8uYaypC{ZR)ax+hKQHqC2tN^iAxx9?h+ZebevZfsgzJP|!kxli;c?;n!o+YZ z-qFIdgfoTL2yYPX7VZ(gD*THuN3Q#PuL1jkuVf%s3i(O~${oUP;bx&;Zy^5~k?#}Q z>x*3?KQ4SqxL5d`@Oj~3;VZ&F3ttz$Bm6+f*E}%3FNBGh($`&Aks&i6!H}tl*bF*!W^MTsMl4nJ5%Jd zg?uFk%U26m2{6IJ${6hG(kndcfzYJlnaEh=* zI88W5xIkDVTrS)yyh?bZaJ%qM;ZEWG!Uu$WtqbFMO30VGP<~N(M0ixlcfBzGec>m< zFNFNUn)yy4U;aXQtT02EE#y04m_J=OQ&=gi6`m*T5pEOSDBLdOYgOp)N5Y>9cMG2s zJ|pDYS7?7&$QP_o{;QDhS)n{Abi`Y7xG+wbDCApMXwNsSkbDIS?^9<8D}+_TbA>fR zz8!`3tA%_U3gsRlUw}gSRv}+}LiqvVW5Pb+Q^LJMzHo&1!v}>&g~x<^i3smM2ZV#d zZ-h=f`(=Klknb0voF>c?<_h_~5$2yGoGqLuTp_Fz@(m-j_X{@&w+OchuNCs0Beegq z5X&6Cpu)QU#2Sjv>v`YfB5@3JNgNk`x5IwJBWv9sUJ`z|F(1o0O%&C{@YdA+;Fr&} z`Fzzy!U$DrYX7Kb3T05&1AllVugmNDP;VJ!&`aWY%o5He5ywJd5$~H&kA1(_Bll7J zN%-Zzi_y=$B>en>M0q}ccX*`zD6iv#tm8wxUdh+>BF>9NZX)6LHj(cl;s56%KS^@@ Kh + 16:.\Generated_Source\PSoC5/Cm3Start.c **** #include "cydevice_trm.h" + 17:.\Generated_Source\PSoC5/Cm3Start.c **** #include "cytypes.h" + 18:.\Generated_Source\PSoC5/Cm3Start.c **** #include "cyfitter_cfg.h" + 19:.\Generated_Source\PSoC5/Cm3Start.c **** #include "CyLib.h" + 20:.\Generated_Source\PSoC5/Cm3Start.c **** #include "CyDmac.h" + 21:.\Generated_Source\PSoC5/Cm3Start.c **** #include "cyfitter.h" + 22:.\Generated_Source\PSoC5/Cm3Start.c **** + 23:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NUM_INTERRUPTS (32u) + 24:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NUM_VECTORS (CYINT_IRQ_BASE + CY_NUM_INTERRUPTS) + 25:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NUM_ROM_VECTORS (4u) + 26:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NVIC_APINT_PTR ((reg32 *) CYREG_NVIC_APPLN_INTR) + 27:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NVIC_CFG_CTRL_PTR ((reg32 *) CYREG_NVIC_CFG_CONTROL) + 28:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NVIC_APINT_PRIGROUP_3_5 (0x00000400u) /* Priority group 3.5 split */ + 29:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NVIC_APINT_VECTKEY (0x05FA0000u) /* This key is required in order to write the NV + 30:.\Generated_Source\PSoC5/Cm3Start.c **** #define CY_NVIC_CFG_STACKALIGN (0x00000200u) /* This specifies that the exception stack must + 31:.\Generated_Source\PSoC5/Cm3Start.c **** + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 2 + + + 32:.\Generated_Source\PSoC5/Cm3Start.c **** + 33:.\Generated_Source\PSoC5/Cm3Start.c **** /* Extern functions */ + 34:.\Generated_Source\PSoC5/Cm3Start.c **** extern void CyBtldr_CheckLaunch(void); + 35:.\Generated_Source\PSoC5/Cm3Start.c **** + 36:.\Generated_Source\PSoC5/Cm3Start.c **** /* Function prototypes */ + 37:.\Generated_Source\PSoC5/Cm3Start.c **** void initialize_psoc(void); + 38:.\Generated_Source\PSoC5/Cm3Start.c **** CY_ISR(IntDefaultHandler); + 39:.\Generated_Source\PSoC5/Cm3Start.c **** void Reset(void); + 40:.\Generated_Source\PSoC5/Cm3Start.c **** CY_ISR(IntDefaultHandler); + 41:.\Generated_Source\PSoC5/Cm3Start.c **** + 42:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined(__ARMCC_VERSION) + 43:.\Generated_Source\PSoC5/Cm3Start.c **** #define INITIAL_STACK_POINTER ((cyisraddress)(uint32)&Image$$ARM_LIB_STACK$$ZI$$Limit) + 44:.\Generated_Source\PSoC5/Cm3Start.c **** #elif defined (__GNUC__) + 45:.\Generated_Source\PSoC5/Cm3Start.c **** #define INITIAL_STACK_POINTER (&__cy_stack) + 46:.\Generated_Source\PSoC5/Cm3Start.c **** #elif defined (__ICCARM__) + 47:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma language=extended + 48:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma segment="CSTACK" + 49:.\Generated_Source\PSoC5/Cm3Start.c **** #define INITIAL_STACK_POINTER { .__ptr = __sfe( "CSTACK" ) } + 50:.\Generated_Source\PSoC5/Cm3Start.c **** + 51:.\Generated_Source\PSoC5/Cm3Start.c **** extern void __iar_program_start( void ); + 52:.\Generated_Source\PSoC5/Cm3Start.c **** extern void __iar_data_init3 (void); + 53:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (__ARMCC_VERSION) */ + 54:.\Generated_Source\PSoC5/Cm3Start.c **** + 55:.\Generated_Source\PSoC5/Cm3Start.c **** /* Global variables */ + 56:.\Generated_Source\PSoC5/Cm3Start.c **** #if !defined (__ICCARM__) + 57:.\Generated_Source\PSoC5/Cm3Start.c **** CY_NOINIT static uint32 cySysNoInitDataValid; + 58:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* !defined (__ICCARM__) */ + 59:.\Generated_Source\PSoC5/Cm3Start.c **** + 60:.\Generated_Source\PSoC5/Cm3Start.c **** + 61:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 62:.\Generated_Source\PSoC5/Cm3Start.c **** * Default Ram Interrupt Vector table storage area. Must be 256-byte aligned. + 63:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 64:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined (__ICCARM__) + 65:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma location=".ramvectors" + 66:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma data_alignment=256 + 67:.\Generated_Source\PSoC5/Cm3Start.c **** #else + 68:.\Generated_Source\PSoC5/Cm3Start.c **** CY_SECTION(".ramvectors") + 69:.\Generated_Source\PSoC5/Cm3Start.c **** CY_ALIGN(256) + 70:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* defined (__ICCARM__) */ + 71:.\Generated_Source\PSoC5/Cm3Start.c **** cyisraddress CyRamVectors[CY_NUM_VECTORS]; + 72:.\Generated_Source\PSoC5/Cm3Start.c **** + 73:.\Generated_Source\PSoC5/Cm3Start.c **** + 74:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 75:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: IntDefaultHandler + 76:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 77:.\Generated_Source\PSoC5/Cm3Start.c **** * + 78:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 79:.\Generated_Source\PSoC5/Cm3Start.c **** * This function is called for all interrupts, other than reset, that get + 80:.\Generated_Source\PSoC5/Cm3Start.c **** * called before the system is setup. + 81:.\Generated_Source\PSoC5/Cm3Start.c **** * + 82:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 83:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 84:.\Generated_Source\PSoC5/Cm3Start.c **** * + 85:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 86:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 87:.\Generated_Source\PSoC5/Cm3Start.c **** * + 88:.\Generated_Source\PSoC5/Cm3Start.c **** * Theory: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 3 + + + 89:.\Generated_Source\PSoC5/Cm3Start.c **** * Any value other than zero is acceptable. + 90:.\Generated_Source\PSoC5/Cm3Start.c **** * + 91:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 92:.\Generated_Source\PSoC5/Cm3Start.c **** CY_ISR(IntDefaultHandler) + 93:.\Generated_Source\PSoC5/Cm3Start.c **** { + 27 .loc 1 93 0 + 28 .cfi_startproc + 29 @ Volatile: function does not return. + 30 @ args = 0, pretend = 0, frame = 0 + 31 @ frame_needed = 0, uses_anonymous_args = 0 + 32 @ link register save eliminated. + 33 .L2: + 34 0000 FEE7 b .L2 + 35 .cfi_endproc + 36 .LFE0: + 37 .size IntDefaultHandler, .-IntDefaultHandler + 38 .section .text._exit,"ax",%progbits + 39 .align 1 + 40 .weak _exit + 41 .thumb + 42 .thumb_func + 43 .type _exit, %function + 44 _exit: + 45 .LFB2: + 94:.\Generated_Source\PSoC5/Cm3Start.c **** + 95:.\Generated_Source\PSoC5/Cm3Start.c **** while(1) + 96:.\Generated_Source\PSoC5/Cm3Start.c **** { + 97:.\Generated_Source\PSoC5/Cm3Start.c **** /*********************************************************************** + 98:.\Generated_Source\PSoC5/Cm3Start.c **** * We should never get here. If we do, a serious problem occured, so go + 99:.\Generated_Source\PSoC5/Cm3Start.c **** * into an infinite loop. + 100:.\Generated_Source\PSoC5/Cm3Start.c **** ***********************************************************************/ + 101:.\Generated_Source\PSoC5/Cm3Start.c **** } + 102:.\Generated_Source\PSoC5/Cm3Start.c **** } + 103:.\Generated_Source\PSoC5/Cm3Start.c **** + 104:.\Generated_Source\PSoC5/Cm3Start.c **** + 105:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined(__ARMCC_VERSION) + 106:.\Generated_Source\PSoC5/Cm3Start.c **** + 107:.\Generated_Source\PSoC5/Cm3Start.c **** /* Local function for the device reset. */ + 108:.\Generated_Source\PSoC5/Cm3Start.c **** extern void Reset(void); + 109:.\Generated_Source\PSoC5/Cm3Start.c **** + 110:.\Generated_Source\PSoC5/Cm3Start.c **** /* Application entry point. */ + 111:.\Generated_Source\PSoC5/Cm3Start.c **** extern void $Super$$main(void); + 112:.\Generated_Source\PSoC5/Cm3Start.c **** + 113:.\Generated_Source\PSoC5/Cm3Start.c **** /* Linker-generated Stack Base addresses, Two Region and One Region */ + 114:.\Generated_Source\PSoC5/Cm3Start.c **** extern uint32 Image$$ARM_LIB_STACK$$ZI$$Limit; + 115:.\Generated_Source\PSoC5/Cm3Start.c **** + 116:.\Generated_Source\PSoC5/Cm3Start.c **** /* RealView C Library initialization. */ + 117:.\Generated_Source\PSoC5/Cm3Start.c **** extern int __main(void); + 118:.\Generated_Source\PSoC5/Cm3Start.c **** + 119:.\Generated_Source\PSoC5/Cm3Start.c **** + 120:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 121:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: Reset + 122:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 123:.\Generated_Source\PSoC5/Cm3Start.c **** * + 124:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 125:.\Generated_Source\PSoC5/Cm3Start.c **** * This function handles the reset interrupt for the RVDS/MDK toolchains. + 126:.\Generated_Source\PSoC5/Cm3Start.c **** * This is the first bit of code that is executed at startup. + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 4 + + + 127:.\Generated_Source\PSoC5/Cm3Start.c **** * + 128:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 129:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 130:.\Generated_Source\PSoC5/Cm3Start.c **** * + 131:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 132:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 133:.\Generated_Source\PSoC5/Cm3Start.c **** * + 134:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 135:.\Generated_Source\PSoC5/Cm3Start.c **** void Reset(void) + 136:.\Generated_Source\PSoC5/Cm3Start.c **** { + 137:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + 138:.\Generated_Source\PSoC5/Cm3Start.c **** + 139:.\Generated_Source\PSoC5/Cm3Start.c **** /* For PSoC 5LP, debugging is enabled by default */ + 140:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_DEBUGGING_ENABLE == 0) + 141:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + 142:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_DEBUGGING_ENABLE) */ + 143:.\Generated_Source\PSoC5/Cm3Start.c **** + 144:.\Generated_Source\PSoC5/Cm3Start.c **** /* Reset Status Register has Read-to-clear SW access mode. + 145:.\Generated_Source\PSoC5/Cm3Start.c **** * Preserve current RESET_SR0 state to make it available for next reading. + 146:.\Generated_Source\PSoC5/Cm3Start.c **** */ + 147:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + 148:.\Generated_Source\PSoC5/Cm3Start.c **** + 149:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + 150:.\Generated_Source\PSoC5/Cm3Start.c **** + 151:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_BOOTLOADER_ENABLE) + 152:.\Generated_Source\PSoC5/Cm3Start.c **** CyBtldr_CheckLaunch(); + 153:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_BOOTLOADER_ENABLE) */ + 154:.\Generated_Source\PSoC5/Cm3Start.c **** + 155:.\Generated_Source\PSoC5/Cm3Start.c **** __main(); + 156:.\Generated_Source\PSoC5/Cm3Start.c **** } + 157:.\Generated_Source\PSoC5/Cm3Start.c **** + 158:.\Generated_Source\PSoC5/Cm3Start.c **** + 159:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 160:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: $Sub$$main + 161:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 162:.\Generated_Source\PSoC5/Cm3Start.c **** * + 163:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 164:.\Generated_Source\PSoC5/Cm3Start.c **** * This function is called imediatly before the users main + 165:.\Generated_Source\PSoC5/Cm3Start.c **** * + 166:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 167:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 168:.\Generated_Source\PSoC5/Cm3Start.c **** * + 169:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 170:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 171:.\Generated_Source\PSoC5/Cm3Start.c **** * + 172:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 173:.\Generated_Source\PSoC5/Cm3Start.c **** void $Sub$$main(void) + 174:.\Generated_Source\PSoC5/Cm3Start.c **** { + 175:.\Generated_Source\PSoC5/Cm3Start.c **** initialize_psoc(); + 176:.\Generated_Source\PSoC5/Cm3Start.c **** + 177:.\Generated_Source\PSoC5/Cm3Start.c **** /* Call original main */ + 178:.\Generated_Source\PSoC5/Cm3Start.c **** $Super$$main(); + 179:.\Generated_Source\PSoC5/Cm3Start.c **** + 180:.\Generated_Source\PSoC5/Cm3Start.c **** while (1) + 181:.\Generated_Source\PSoC5/Cm3Start.c **** { + 182:.\Generated_Source\PSoC5/Cm3Start.c **** /* If main returns it is undefined what we should do. */ + 183:.\Generated_Source\PSoC5/Cm3Start.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 5 + + + 184:.\Generated_Source\PSoC5/Cm3Start.c **** } + 185:.\Generated_Source\PSoC5/Cm3Start.c **** + 186:.\Generated_Source\PSoC5/Cm3Start.c **** #elif defined(__GNUC__) + 187:.\Generated_Source\PSoC5/Cm3Start.c **** + 188:.\Generated_Source\PSoC5/Cm3Start.c **** void Start_c(void); + 189:.\Generated_Source\PSoC5/Cm3Start.c **** + 190:.\Generated_Source\PSoC5/Cm3Start.c **** /* Stack Base address */ + 191:.\Generated_Source\PSoC5/Cm3Start.c **** extern void __cy_stack(void); + 192:.\Generated_Source\PSoC5/Cm3Start.c **** + 193:.\Generated_Source\PSoC5/Cm3Start.c **** /* Application entry point. */ + 194:.\Generated_Source\PSoC5/Cm3Start.c **** extern int main(void); + 195:.\Generated_Source\PSoC5/Cm3Start.c **** + 196:.\Generated_Source\PSoC5/Cm3Start.c **** /* The static objects constructors initializer */ + 197:.\Generated_Source\PSoC5/Cm3Start.c **** extern void __libc_init_array(void); + 198:.\Generated_Source\PSoC5/Cm3Start.c **** + 199:.\Generated_Source\PSoC5/Cm3Start.c **** typedef unsigned char __cy_byte_align8 __attribute ((aligned (8))); + 200:.\Generated_Source\PSoC5/Cm3Start.c **** + 201:.\Generated_Source\PSoC5/Cm3Start.c **** struct __cy_region + 202:.\Generated_Source\PSoC5/Cm3Start.c **** { + 203:.\Generated_Source\PSoC5/Cm3Start.c **** __cy_byte_align8 *init; /* Initial contents of this region. */ + 204:.\Generated_Source\PSoC5/Cm3Start.c **** __cy_byte_align8 *data; /* Start address of region. */ + 205:.\Generated_Source\PSoC5/Cm3Start.c **** size_t init_size; /* Size of initial data. */ + 206:.\Generated_Source\PSoC5/Cm3Start.c **** size_t zero_size; /* Additional size to be zeroed. */ + 207:.\Generated_Source\PSoC5/Cm3Start.c **** }; + 208:.\Generated_Source\PSoC5/Cm3Start.c **** + 209:.\Generated_Source\PSoC5/Cm3Start.c **** extern const struct __cy_region __cy_regions[]; + 210:.\Generated_Source\PSoC5/Cm3Start.c **** extern const char __cy_region_num __attribute__((weak)); + 211:.\Generated_Source\PSoC5/Cm3Start.c **** #define __cy_region_num ((size_t)&__cy_region_num) + 212:.\Generated_Source\PSoC5/Cm3Start.c **** + 213:.\Generated_Source\PSoC5/Cm3Start.c **** + 214:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 215:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: Reset + 216:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 217:.\Generated_Source\PSoC5/Cm3Start.c **** * + 218:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 219:.\Generated_Source\PSoC5/Cm3Start.c **** * This function handles the reset interrupt for the GCC toolchain. This is the + 220:.\Generated_Source\PSoC5/Cm3Start.c **** * first bit of code that is executed at startup. + 221:.\Generated_Source\PSoC5/Cm3Start.c **** * + 222:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 223:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 224:.\Generated_Source\PSoC5/Cm3Start.c **** * + 225:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 226:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 227:.\Generated_Source\PSoC5/Cm3Start.c **** * + 228:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 229:.\Generated_Source\PSoC5/Cm3Start.c **** void Reset(void) + 230:.\Generated_Source\PSoC5/Cm3Start.c **** { + 231:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + 232:.\Generated_Source\PSoC5/Cm3Start.c **** + 233:.\Generated_Source\PSoC5/Cm3Start.c **** /* For PSoC 5LP, debugging is enabled by default */ + 234:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_DEBUGGING_ENABLE == 0) + 235:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + 236:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_DEBUGGING_ENABLE) */ + 237:.\Generated_Source\PSoC5/Cm3Start.c **** + 238:.\Generated_Source\PSoC5/Cm3Start.c **** /* Reset Status Register has Read-to-clear SW access mode. + 239:.\Generated_Source\PSoC5/Cm3Start.c **** * Preserve current RESET_SR0 state to make it available for next reading. + 240:.\Generated_Source\PSoC5/Cm3Start.c **** */ + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 6 + + + 241:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + 242:.\Generated_Source\PSoC5/Cm3Start.c **** + 243:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + 244:.\Generated_Source\PSoC5/Cm3Start.c **** + 245:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_BOOTLOADER_ENABLE) + 246:.\Generated_Source\PSoC5/Cm3Start.c **** CyBtldr_CheckLaunch(); + 247:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_BOOTLOADER_ENABLE) */ + 248:.\Generated_Source\PSoC5/Cm3Start.c **** + 249:.\Generated_Source\PSoC5/Cm3Start.c **** Start_c(); + 250:.\Generated_Source\PSoC5/Cm3Start.c **** } + 251:.\Generated_Source\PSoC5/Cm3Start.c **** + 252:.\Generated_Source\PSoC5/Cm3Start.c **** __attribute__((weak)) + 253:.\Generated_Source\PSoC5/Cm3Start.c **** void _exit(int status) + 254:.\Generated_Source\PSoC5/Cm3Start.c **** { + 46 .loc 1 254 0 + 47 .cfi_startproc + 48 @ Volatile: function does not return. + 49 @ args = 0, pretend = 0, frame = 0 + 50 @ frame_needed = 0, uses_anonymous_args = 0 + 51 @ link register save eliminated. + 52 .LVL0: + 53 .L4: + 54 0000 FEE7 b .L4 + 55 .cfi_endproc + 56 .LFE2: + 57 .size _exit, .-_exit + 58 .section .text.Start_c,"ax",%progbits + 59 .align 1 + 60 .global Start_c + 61 .thumb + 62 .thumb_func + 63 .type Start_c, %function + 64 Start_c: + 65 .LFB3: + 255:.\Generated_Source\PSoC5/Cm3Start.c **** /* Cause a divide by 0 exception */ + 256:.\Generated_Source\PSoC5/Cm3Start.c **** int x = status / INT_MAX; + 257:.\Generated_Source\PSoC5/Cm3Start.c **** x = 4 / x; + 258:.\Generated_Source\PSoC5/Cm3Start.c **** + 259:.\Generated_Source\PSoC5/Cm3Start.c **** while(1) + 260:.\Generated_Source\PSoC5/Cm3Start.c **** { + 261:.\Generated_Source\PSoC5/Cm3Start.c **** } + 262:.\Generated_Source\PSoC5/Cm3Start.c **** } + 263:.\Generated_Source\PSoC5/Cm3Start.c **** + 264:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 265:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: Start_c + 266:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 267:.\Generated_Source\PSoC5/Cm3Start.c **** * + 268:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 269:.\Generated_Source\PSoC5/Cm3Start.c **** * This function handles initializing the .data and .bss sections in + 270:.\Generated_Source\PSoC5/Cm3Start.c **** * preperation for running standard C code. Once initialization is complete + 271:.\Generated_Source\PSoC5/Cm3Start.c **** * it will call main(). This function will never return. + 272:.\Generated_Source\PSoC5/Cm3Start.c **** * + 273:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 274:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 275:.\Generated_Source\PSoC5/Cm3Start.c **** * + 276:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 277:.\Generated_Source\PSoC5/Cm3Start.c **** * None + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 7 + + + 278:.\Generated_Source\PSoC5/Cm3Start.c **** * + 279:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 280:.\Generated_Source\PSoC5/Cm3Start.c **** void Start_c(void) __attribute__ ((noreturn)); + 281:.\Generated_Source\PSoC5/Cm3Start.c **** void Start_c(void) + 282:.\Generated_Source\PSoC5/Cm3Start.c **** { + 66 .loc 1 282 0 + 67 .cfi_startproc + 68 @ Volatile: function does not return. + 69 @ args = 0, pretend = 0, frame = 0 + 70 @ frame_needed = 0, uses_anonymous_args = 0 + 71 .LVL1: + 72 .loc 1 282 0 + 73 0000 08B5 push {r3, lr} + 74 .LCFI0: + 75 .cfi_def_cfa_offset 8 + 76 .cfi_offset 3, -8 + 77 .cfi_offset 14, -4 + 78 0002 1249 ldr r1, .L17 + 79 0004 124B ldr r3, .L17+4 + 80 .LVL2: + 81 .L6: + 283:.\Generated_Source\PSoC5/Cm3Start.c **** unsigned regions = __cy_region_num; + 284:.\Generated_Source\PSoC5/Cm3Start.c **** const struct __cy_region *rptr = __cy_regions; + 285:.\Generated_Source\PSoC5/Cm3Start.c **** + 286:.\Generated_Source\PSoC5/Cm3Start.c **** /* Initialize memory */ + 287:.\Generated_Source\PSoC5/Cm3Start.c **** for (regions = __cy_region_num, rptr = __cy_regions; regions--; rptr++) + 82 .loc 1 287 0 discriminator 1 + 83 0006 4A1C adds r2, r1, #1 + 84 0008 1AD0 beq .L14 + 85 .L11: + 86 .LBB2: + 288:.\Generated_Source\PSoC5/Cm3Start.c **** { + 289:.\Generated_Source\PSoC5/Cm3Start.c **** uint32 *src = (uint32 *)rptr->init; + 87 .loc 1 289 0 + 88 000a 53F8106C ldr r6, [r3, #-16] + 89 .LVL3: + 290:.\Generated_Source\PSoC5/Cm3Start.c **** uint32 *dst = (uint32 *)rptr->data; + 90 .loc 1 290 0 + 91 000e 53F80C0C ldr r0, [r3, #-12] + 92 .LVL4: + 291:.\Generated_Source\PSoC5/Cm3Start.c **** unsigned limit = rptr->init_size; + 93 .loc 1 291 0 + 94 0012 53F8085C ldr r5, [r3, #-8] + 95 .LVL5: + 292:.\Generated_Source\PSoC5/Cm3Start.c **** unsigned count; + 293:.\Generated_Source\PSoC5/Cm3Start.c **** + 294:.\Generated_Source\PSoC5/Cm3Start.c **** for (count = 0u; count != limit; count += sizeof (uint32)) + 96 .loc 1 294 0 + 97 0016 0022 movs r2, #0 + 98 .LVL6: + 99 .L7: + 100 .loc 1 294 0 is_stmt 0 discriminator 1 + 101 0018 AA42 cmp r2, r5 + 281:.\Generated_Source\PSoC5/Cm3Start.c **** void Start_c(void) + 102 .loc 1 281 0 is_stmt 1 discriminator 1 + 103 001a 00EB0204 add r4, r0, r2 + 104 .LVL7: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 8 + + + 105 .loc 1 294 0 discriminator 1 + 106 001e 03D0 beq .L15 + 107 .L8: + 295:.\Generated_Source\PSoC5/Cm3Start.c **** { + 296:.\Generated_Source\PSoC5/Cm3Start.c **** *dst++ = *src++; + 108 .loc 1 296 0 discriminator 2 + 109 0020 B458 ldr r4, [r6, r2] + 110 0022 8450 str r4, [r0, r2] + 294:.\Generated_Source\PSoC5/Cm3Start.c **** for (count = 0u; count != limit; count += sizeof (uint32)) + 111 .loc 1 294 0 discriminator 2 + 112 0024 0432 adds r2, r2, #4 + 113 .LVL8: + 114 0026 F7E7 b .L7 + 115 .L15: + 297:.\Generated_Source\PSoC5/Cm3Start.c **** } + 298:.\Generated_Source\PSoC5/Cm3Start.c **** limit = rptr->zero_size; + 116 .loc 1 298 0 + 117 0028 53F8040C ldr r0, [r3, #-4] + 118 .LVL9: + 299:.\Generated_Source\PSoC5/Cm3Start.c **** for (count = 0u; count != limit; count += sizeof (uint32)) + 119 .loc 1 299 0 + 120 002c 0022 movs r2, #0 + 121 .LVL10: + 122 .L9: + 123 .loc 1 299 0 is_stmt 0 discriminator 1 + 124 002e 8242 cmp r2, r0 + 125 0030 03D0 beq .L16 + 126 .L10: + 300:.\Generated_Source\PSoC5/Cm3Start.c **** { + 301:.\Generated_Source\PSoC5/Cm3Start.c **** *dst++ = 0u; + 127 .loc 1 301 0 is_stmt 1 discriminator 2 + 128 0032 0025 movs r5, #0 + 129 0034 A550 str r5, [r4, r2] + 299:.\Generated_Source\PSoC5/Cm3Start.c **** for (count = 0u; count != limit; count += sizeof (uint32)) + 130 .loc 1 299 0 discriminator 2 + 131 0036 0432 adds r2, r2, #4 + 132 .LVL11: + 133 0038 F9E7 b .L9 + 134 .L16: + 135 003a 0139 subs r1, r1, #1 + 136 003c 1033 adds r3, r3, #16 + 137 003e E2E7 b .L6 + 138 .LVL12: + 139 .L14: + 140 .LBE2: + 302:.\Generated_Source\PSoC5/Cm3Start.c **** } + 303:.\Generated_Source\PSoC5/Cm3Start.c **** } + 304:.\Generated_Source\PSoC5/Cm3Start.c **** + 305:.\Generated_Source\PSoC5/Cm3Start.c **** /* Invoke static objects constructors */ + 306:.\Generated_Source\PSoC5/Cm3Start.c **** __libc_init_array(); + 141 .loc 1 306 0 + 142 0040 FFF7FEFF bl __libc_init_array + 143 .LVL13: + 307:.\Generated_Source\PSoC5/Cm3Start.c **** (void) main(); + 144 .loc 1 307 0 + 145 0044 FFF7FEFF bl main + 146 .LVL14: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 9 + + + 147 .L12: + 148 0048 FEE7 b .L12 + 149 .L18: + 150 004a 00BF .align 2 + 151 .L17: + 152 004c FFFFFFFF .word __cy_region_num-1 + 153 0050 10000000 .word __cy_regions+16 + 154 .cfi_endproc + 155 .LFE3: + 156 .size Start_c, .-Start_c + 157 .section .text.Reset,"ax",%progbits + 158 .align 1 + 159 .global Reset + 160 .thumb + 161 .thumb_func + 162 .type Reset, %function + 163 Reset: + 164 .LFB1: + 230:.\Generated_Source\PSoC5/Cm3Start.c **** { + 165 .loc 1 230 0 + 166 .cfi_startproc + 167 @ args = 0, pretend = 0, frame = 0 + 168 @ frame_needed = 0, uses_anonymous_args = 0 + 169 0000 08B5 push {r3, lr} + 170 .LCFI1: + 171 .cfi_def_cfa_offset 8 + 172 .cfi_offset 3, -8 + 173 .cfi_offset 14, -4 + 241:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + 174 .loc 1 241 0 + 175 0002 044B ldr r3, .L20 + 176 0004 0448 ldr r0, .L20+4 + 177 0006 1A68 ldr r2, [r3, #0] + 178 0008 0260 str r2, [r0, #0] + 246:.\Generated_Source\PSoC5/Cm3Start.c **** CyBtldr_CheckLaunch(); + 179 .loc 1 246 0 + 180 000a FFF7FEFF bl CyBtldr_CheckLaunch + 181 .LVL15: + 249:.\Generated_Source\PSoC5/Cm3Start.c **** Start_c(); + 182 .loc 1 249 0 + 183 000e FFF7FEFF bl Start_c + 184 .LVL16: + 185 .L21: + 186 0012 00BF .align 2 + 187 .L20: + 188 0014 FA460040 .word 1073759994 + 189 0018 BC760040 .word 1073772220 + 190 .cfi_endproc + 191 .LFE1: + 192 .size Reset, .-Reset + 193 .section .text.startup.initialize_psoc,"ax",%progbits + 194 .align 1 + 195 .global initialize_psoc + 196 .thumb + 197 .thumb_func + 198 .type initialize_psoc, %function + 199 initialize_psoc: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 10 + + + 200 .LFB4: + 308:.\Generated_Source\PSoC5/Cm3Start.c **** + 309:.\Generated_Source\PSoC5/Cm3Start.c **** while (1) + 310:.\Generated_Source\PSoC5/Cm3Start.c **** { + 311:.\Generated_Source\PSoC5/Cm3Start.c **** /* If main returns, make sure we don't return. */ + 312:.\Generated_Source\PSoC5/Cm3Start.c **** } + 313:.\Generated_Source\PSoC5/Cm3Start.c **** } + 314:.\Generated_Source\PSoC5/Cm3Start.c **** + 315:.\Generated_Source\PSoC5/Cm3Start.c **** + 316:.\Generated_Source\PSoC5/Cm3Start.c **** #elif defined (__ICCARM__) + 317:.\Generated_Source\PSoC5/Cm3Start.c **** + 318:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 319:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: __low_level_init + 320:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 321:.\Generated_Source\PSoC5/Cm3Start.c **** * + 322:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 323:.\Generated_Source\PSoC5/Cm3Start.c **** * This function perform early initializations for the IAR Embedded + 324:.\Generated_Source\PSoC5/Cm3Start.c **** * Workbench IDE. It is executed in the context of reset interrupt handler + 325:.\Generated_Source\PSoC5/Cm3Start.c **** * before the data sections are initialized. + 326:.\Generated_Source\PSoC5/Cm3Start.c **** * + 327:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 328:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 329:.\Generated_Source\PSoC5/Cm3Start.c **** * + 330:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 331:.\Generated_Source\PSoC5/Cm3Start.c **** * The value that determines whether or not data sections should be initialized + 332:.\Generated_Source\PSoC5/Cm3Start.c **** * by the system startup code: + 333:.\Generated_Source\PSoC5/Cm3Start.c **** * 0 - skip data sections initialization; + 334:.\Generated_Source\PSoC5/Cm3Start.c **** * 1 - initialize data sections; + 335:.\Generated_Source\PSoC5/Cm3Start.c **** * + 336:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 337:.\Generated_Source\PSoC5/Cm3Start.c **** int __low_level_init(void) + 338:.\Generated_Source\PSoC5/Cm3Start.c **** { + 339:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) + 340:.\Generated_Source\PSoC5/Cm3Start.c **** + 341:.\Generated_Source\PSoC5/Cm3Start.c **** /* For PSoC 5LP, debugging is enabled by default */ + 342:.\Generated_Source\PSoC5/Cm3Start.c **** #if(CYDEV_DEBUGGING_ENABLE == 0) + 343:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYDEV_DEBUG_ENABLE_REGISTER) |= CYDEV_DEBUG_ENABLE_MASK; + 344:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_DEBUGGING_ENABLE) */ + 345:.\Generated_Source\PSoC5/Cm3Start.c **** + 346:.\Generated_Source\PSoC5/Cm3Start.c **** /* Reset Status Register has Read-to-clear SW access mode. + 347:.\Generated_Source\PSoC5/Cm3Start.c **** * Preserve current RESET_SR0 state to make it available for next reading. + 348:.\Generated_Source\PSoC5/Cm3Start.c **** */ + 349:.\Generated_Source\PSoC5/Cm3Start.c **** *(reg32 *)(CYREG_PHUB_CFGMEM23_CFG1) = *(reg32 *)(CYREG_RESET_SR0); + 350:.\Generated_Source\PSoC5/Cm3Start.c **** + 351:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (CYDEV_PROJ_TYPE != CYDEV_PROJ_TYPE_LOADABLE) */ + 352:.\Generated_Source\PSoC5/Cm3Start.c **** + 353:.\Generated_Source\PSoC5/Cm3Start.c **** #if (CYDEV_BOOTLOADER_ENABLE) + 354:.\Generated_Source\PSoC5/Cm3Start.c **** CyBtldr_CheckLaunch(); + 355:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* CYDEV_BOOTLOADER_ENABLE */ + 356:.\Generated_Source\PSoC5/Cm3Start.c **** + 357:.\Generated_Source\PSoC5/Cm3Start.c **** /* Initialize data sections */ + 358:.\Generated_Source\PSoC5/Cm3Start.c **** __iar_data_init3(); + 359:.\Generated_Source\PSoC5/Cm3Start.c **** + 360:.\Generated_Source\PSoC5/Cm3Start.c **** initialize_psoc(); + 361:.\Generated_Source\PSoC5/Cm3Start.c **** + 362:.\Generated_Source\PSoC5/Cm3Start.c **** return 0; + 363:.\Generated_Source\PSoC5/Cm3Start.c **** } + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 11 + + + 364:.\Generated_Source\PSoC5/Cm3Start.c **** + 365:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* __GNUC__ */ + 366:.\Generated_Source\PSoC5/Cm3Start.c **** + 367:.\Generated_Source\PSoC5/Cm3Start.c **** + 368:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 369:.\Generated_Source\PSoC5/Cm3Start.c **** * + 370:.\Generated_Source\PSoC5/Cm3Start.c **** * Default Rom Interrupt Vector table. + 371:.\Generated_Source\PSoC5/Cm3Start.c **** * + 372:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 373:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined(__ARMCC_VERSION) + 374:.\Generated_Source\PSoC5/Cm3Start.c **** /* Suppress diagnostic message 1296-D: extended constant initialiser used */ + 375:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma diag_suppress 1296 + 376:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* defined(__ARMCC_VERSION) */ + 377:.\Generated_Source\PSoC5/Cm3Start.c **** + 378:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined (__ICCARM__) + 379:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma location=".romvectors" + 380:.\Generated_Source\PSoC5/Cm3Start.c **** const intvec_elem __vector_table[CY_NUM_ROM_VECTORS] = + 381:.\Generated_Source\PSoC5/Cm3Start.c **** #else + 382:.\Generated_Source\PSoC5/Cm3Start.c **** CY_SECTION(".romvectors") + 383:.\Generated_Source\PSoC5/Cm3Start.c **** const cyisraddress RomVectors[CY_NUM_ROM_VECTORS] = + 384:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* defined (__ICCARM__) */ + 385:.\Generated_Source\PSoC5/Cm3Start.c **** { + 386:.\Generated_Source\PSoC5/Cm3Start.c **** INITIAL_STACK_POINTER, /* The initial stack pointer 0 */ + 387:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined (__ICCARM__) /* The reset handler 1 */ + 388:.\Generated_Source\PSoC5/Cm3Start.c **** __iar_program_start, + 389:.\Generated_Source\PSoC5/Cm3Start.c **** #else + 390:.\Generated_Source\PSoC5/Cm3Start.c **** (cyisraddress)&Reset, + 391:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* defined (__ICCARM__) */ + 392:.\Generated_Source\PSoC5/Cm3Start.c **** &IntDefaultHandler, /* The NMI handler 2 */ + 393:.\Generated_Source\PSoC5/Cm3Start.c **** &IntDefaultHandler, /* The hard fault handler 3 */ + 394:.\Generated_Source\PSoC5/Cm3Start.c **** }; + 395:.\Generated_Source\PSoC5/Cm3Start.c **** + 396:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined(__ARMCC_VERSION) + 397:.\Generated_Source\PSoC5/Cm3Start.c **** #pragma diag_default 1296 + 398:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* defined(__ARMCC_VERSION) */ + 399:.\Generated_Source\PSoC5/Cm3Start.c **** + 400:.\Generated_Source\PSoC5/Cm3Start.c **** + 401:.\Generated_Source\PSoC5/Cm3Start.c **** /******************************************************************************* + 402:.\Generated_Source\PSoC5/Cm3Start.c **** * Function Name: initialize_psoc + 403:.\Generated_Source\PSoC5/Cm3Start.c **** ******************************************************************************** + 404:.\Generated_Source\PSoC5/Cm3Start.c **** * + 405:.\Generated_Source\PSoC5/Cm3Start.c **** * Summary: + 406:.\Generated_Source\PSoC5/Cm3Start.c **** * This function used to initialize the PSoC chip before calling main. + 407:.\Generated_Source\PSoC5/Cm3Start.c **** * + 408:.\Generated_Source\PSoC5/Cm3Start.c **** * Parameters: + 409:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 410:.\Generated_Source\PSoC5/Cm3Start.c **** * + 411:.\Generated_Source\PSoC5/Cm3Start.c **** * Return: + 412:.\Generated_Source\PSoC5/Cm3Start.c **** * None + 413:.\Generated_Source\PSoC5/Cm3Start.c **** * + 414:.\Generated_Source\PSoC5/Cm3Start.c **** *******************************************************************************/ + 415:.\Generated_Source\PSoC5/Cm3Start.c **** #if (defined(__GNUC__) && !defined(__ARMCC_VERSION)) + 416:.\Generated_Source\PSoC5/Cm3Start.c **** __attribute__ ((constructor(101))) + 417:.\Generated_Source\PSoC5/Cm3Start.c **** #endif + 418:.\Generated_Source\PSoC5/Cm3Start.c **** void initialize_psoc(void) + 419:.\Generated_Source\PSoC5/Cm3Start.c **** { + 201 .loc 1 419 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 12 + + + 202 .cfi_startproc + 203 @ args = 0, pretend = 0, frame = 0 + 204 @ frame_needed = 0, uses_anonymous_args = 0 + 205 0000 08B5 push {r3, lr} + 206 .LCFI2: + 207 .cfi_def_cfa_offset 8 + 208 .cfi_offset 3, -8 + 209 .cfi_offset 14, -4 + 420:.\Generated_Source\PSoC5/Cm3Start.c **** uint32 i; + 421:.\Generated_Source\PSoC5/Cm3Start.c **** + 422:.\Generated_Source\PSoC5/Cm3Start.c **** /* Set Priority group 5. */ + 423:.\Generated_Source\PSoC5/Cm3Start.c **** + 424:.\Generated_Source\PSoC5/Cm3Start.c **** /* Writes to NVIC_APINT register require the VECTKEY in the upper half */ + 425:.\Generated_Source\PSoC5/Cm3Start.c **** *CY_NVIC_APINT_PTR = CY_NVIC_APINT_VECTKEY | CY_NVIC_APINT_PRIGROUP_3_5; + 210 .loc 1 425 0 + 211 0002 104A ldr r2, .L27 + 212 0004 104B ldr r3, .L27+4 + 213 0006 1A60 str r2, [r3, #0] + 426:.\Generated_Source\PSoC5/Cm3Start.c **** *CY_NVIC_CFG_CTRL_PTR |= CY_NVIC_CFG_STACKALIGN; + 214 .loc 1 426 0 + 215 0008 9868 ldr r0, [r3, #8] + 216 000a 40F40072 orr r2, r0, #512 + 217 000e 9A60 str r2, [r3, #8] + 218 .LVL17: + 427:.\Generated_Source\PSoC5/Cm3Start.c **** + 428:.\Generated_Source\PSoC5/Cm3Start.c **** /* Set Ram interrupt vectors to default functions. */ + 429:.\Generated_Source\PSoC5/Cm3Start.c **** for (i = 0u; i < CY_NUM_VECTORS; i++) + 219 .loc 1 429 0 + 220 0010 0023 movs r3, #0 + 221 .LVL18: + 222 .L24: + 430:.\Generated_Source\PSoC5/Cm3Start.c **** { + 431:.\Generated_Source\PSoC5/Cm3Start.c **** #if defined (__ICCARM__) + 432:.\Generated_Source\PSoC5/Cm3Start.c **** CyRamVectors[i] = (i < CY_NUM_ROM_VECTORS) ? __vector_table[i].__fun : &IntDefaultHandl + 433:.\Generated_Source\PSoC5/Cm3Start.c **** #else + 434:.\Generated_Source\PSoC5/Cm3Start.c **** CyRamVectors[i] = (i < CY_NUM_ROM_VECTORS) ? RomVectors[i] : &IntDefaultHandler; + 223 .loc 1 434 0 + 224 0012 032B cmp r3, #3 + 225 0014 96BF itet ls + 226 0016 0D4A ldrls r2, .L27+8 + 227 0018 0D49 ldrhi r1, .L27+12 + 228 001a 52F82310 ldrls r1, [r2, r3, lsl #2] + 229 001e 0D4A ldr r2, .L27+16 + 230 0020 42F82310 str r1, [r2, r3, lsl #2] + 429:.\Generated_Source\PSoC5/Cm3Start.c **** for (i = 0u; i < CY_NUM_VECTORS; i++) + 231 .loc 1 429 0 + 232 0024 0133 adds r3, r3, #1 + 233 .LVL19: + 234 0026 302B cmp r3, #48 + 235 0028 F3D1 bne .L24 + 435:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* defined (__ICCARM__) */ + 436:.\Generated_Source\PSoC5/Cm3Start.c **** } + 437:.\Generated_Source\PSoC5/Cm3Start.c **** + 438:.\Generated_Source\PSoC5/Cm3Start.c **** /* Was stored in CFGMEM to avoid being cleared while SRAM gets cleared */ + 439:.\Generated_Source\PSoC5/Cm3Start.c **** CyResetStatus = CY_GET_REG8(CYREG_PHUB_CFGMEM23_CFG1); + 236 .loc 1 439 0 + 237 002a 0B49 ldr r1, .L27+20 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 13 + + + 238 002c 0B4B ldr r3, .L27+24 + 239 .LVL20: + 240 002e 0878 ldrb r0, [r1, #0] @ zero_extendqisi2 + 440:.\Generated_Source\PSoC5/Cm3Start.c **** + 441:.\Generated_Source\PSoC5/Cm3Start.c **** /* Point NVIC at the RAM vector table. */ + 442:.\Generated_Source\PSoC5/Cm3Start.c **** *CYINT_VECT_TABLE = CyRamVectors; + 241 .loc 1 442 0 + 242 0030 0B49 ldr r1, .L27+28 + 439:.\Generated_Source\PSoC5/Cm3Start.c **** CyResetStatus = CY_GET_REG8(CYREG_PHUB_CFGMEM23_CFG1); + 243 .loc 1 439 0 + 244 0032 1870 strb r0, [r3, #0] + 245 .loc 1 442 0 + 246 0034 0A60 str r2, [r1, #0] + 443:.\Generated_Source\PSoC5/Cm3Start.c **** + 444:.\Generated_Source\PSoC5/Cm3Start.c **** /* Initialize the configuration registers. */ + 445:.\Generated_Source\PSoC5/Cm3Start.c **** cyfitter_cfg(); + 247 .loc 1 445 0 + 248 0036 FFF7FEFF bl cyfitter_cfg + 249 .LVL21: + 446:.\Generated_Source\PSoC5/Cm3Start.c **** + 447:.\Generated_Source\PSoC5/Cm3Start.c **** #if(0u != DMA_CHANNELS_USED__MASK0) + 448:.\Generated_Source\PSoC5/Cm3Start.c **** + 449:.\Generated_Source\PSoC5/Cm3Start.c **** /* Setup DMA - only necessary if the design contains a DMA component. */ + 450:.\Generated_Source\PSoC5/Cm3Start.c **** CyDmacConfigure(); + 451:.\Generated_Source\PSoC5/Cm3Start.c **** + 452:.\Generated_Source\PSoC5/Cm3Start.c **** #endif /* (0u != DMA_CHANNELS_USED__MASK0) */ + 453:.\Generated_Source\PSoC5/Cm3Start.c **** + 454:.\Generated_Source\PSoC5/Cm3Start.c **** #if !defined (__ICCARM__) + 455:.\Generated_Source\PSoC5/Cm3Start.c **** /* Actually, no need to clean this variable, just to make compiler happy. */ + 456:.\Generated_Source\PSoC5/Cm3Start.c **** cySysNoInitDataValid = 0u; + 250 .loc 1 456 0 + 251 003a 0A48 ldr r0, .L27+32 + 252 003c 0022 movs r2, #0 + 253 003e 0260 str r2, [r0, #0] + 254 0040 08BD pop {r3, pc} + 255 .L28: + 256 0042 00BF .align 2 + 257 .L27: + 258 0044 0004FA05 .word 100271104 + 259 0048 0CED00E0 .word -536810228 + 260 004c 00000000 .word .LANCHOR0 + 261 0050 00000000 .word IntDefaultHandler + 262 0054 00000000 .word .LANCHOR1 + 263 0058 BC760040 .word 1073772220 + 264 005c 00000000 .word CyResetStatus + 265 0060 08ED00E0 .word -536810232 + 266 0064 00000000 .word .LANCHOR2 + 267 .cfi_endproc + 268 .LFE4: + 269 .size initialize_psoc, .-initialize_psoc + 270 .section .init_array,"aw",%init_array + 271 .align 2 + 272 0000 00000000 .word initialize_psoc(target1) + 273 .global RomVectors + 274 .global CyRamVectors + 275 .section .romvectors,"a",%progbits + 276 .align 2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 14 + + + 277 .set .LANCHOR0,. + 0 + 278 .type RomVectors, %object + 279 .size RomVectors, 16 + 280 RomVectors: + 281 0000 00000000 .word __cy_stack + 282 0004 00000000 .word Reset + 283 0008 00000000 .word IntDefaultHandler + 284 000c 00000000 .word IntDefaultHandler + 285 .section .noinit,"aw",%progbits + 286 .align 2 + 287 .set .LANCHOR2,. + 0 + 288 .type cySysNoInitDataValid, %object + 289 .size cySysNoInitDataValid, 4 + 290 cySysNoInitDataValid: + 291 0000 00000000 .space 4 + 292 .section .ramvectors,"aw",%progbits + 293 .align 8 + 294 .set .LANCHOR1,. + 0 + 295 .type CyRamVectors, %object + 296 .size CyRamVectors, 192 + 297 CyRamVectors: + 298 0000 00000000 .space 192 + 298 00000000 + 298 00000000 + 298 00000000 + 298 00000000 + 299 .weak __cy_region_num + 300 00c0 00000000 .text + 300 00000000 + 300 00000000 + 300 00000000 + 300 00000000 + 301 .Letext0: + 302 .file 2 ".\\Generated_Source\\PSoC5\\cytypes.h" + 303 .file 3 "c:\\program files (x86)\\cypress\\psoc creator\\3.0\\psoc creator\\import\\gnu_cs\\arm\\4 + 304 .file 4 ".\\Generated_Source\\PSoC5\\CyLib.h" + 305 .file 5 ".\\Generated_Source\\PSoC5\\cyfitter_cfg.h" + 306 .section .debug_info,"",%progbits + 307 .Ldebug_info0: + 308 0000 41030000 .4byte 0x341 + 309 0004 0200 .2byte 0x2 + 310 0006 00000000 .4byte .Ldebug_abbrev0 + 311 000a 04 .byte 0x4 + 312 000b 01 .uleb128 0x1 + 313 000c BA010000 .4byte .LASF41 + 314 0010 01 .byte 0x1 + 315 0011 00000000 .4byte .LASF42 + 316 0015 03020000 .4byte .LASF43 + 317 0019 00000000 .4byte .Ldebug_ranges0+0 + 318 001d 00000000 .4byte 0 + 319 0021 00000000 .4byte 0 + 320 0025 00000000 .4byte .Ldebug_line0 + 321 0029 02 .uleb128 0x2 + 322 002a 01 .byte 0x1 + 323 002b 06 .byte 0x6 + 324 002c 4C020000 .4byte .LASF0 + 325 0030 02 .uleb128 0x2 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 15 + + + 326 0031 01 .byte 0x1 + 327 0032 08 .byte 0x8 + 328 0033 95000000 .4byte .LASF1 + 329 0037 02 .uleb128 0x2 + 330 0038 02 .byte 0x2 + 331 0039 05 .byte 0x5 + 332 003a B0010000 .4byte .LASF2 + 333 003e 02 .uleb128 0x2 + 334 003f 02 .byte 0x2 + 335 0040 07 .byte 0x7 + 336 0041 4C000000 .4byte .LASF3 + 337 0045 02 .uleb128 0x2 + 338 0046 04 .byte 0x4 + 339 0047 05 .byte 0x5 + 340 0048 35020000 .4byte .LASF4 + 341 004c 02 .uleb128 0x2 + 342 004d 04 .byte 0x4 + 343 004e 07 .byte 0x7 + 344 004f AE000000 .4byte .LASF5 + 345 0053 02 .uleb128 0x2 + 346 0054 08 .byte 0x8 + 347 0055 05 .byte 0x5 + 348 0056 89010000 .4byte .LASF6 + 349 005a 02 .uleb128 0x2 + 350 005b 08 .byte 0x8 + 351 005c 07 .byte 0x7 + 352 005d 36010000 .4byte .LASF7 + 353 0061 03 .uleb128 0x3 + 354 0062 04 .byte 0x4 + 355 0063 05 .byte 0x5 + 356 0064 696E7400 .ascii "int\000" + 357 0068 02 .uleb128 0x2 + 358 0069 04 .byte 0x4 + 359 006a 07 .byte 0x7 + 360 006b 1C010000 .4byte .LASF8 + 361 006f 04 .uleb128 0x4 + 362 0070 D5000000 .4byte .LASF9 + 363 0074 02 .byte 0x2 + 364 0075 5B .byte 0x5b + 365 0076 30000000 .4byte 0x30 + 366 007a 04 .uleb128 0x4 + 367 007b 15010000 .4byte .LASF10 + 368 007f 02 .byte 0x2 + 369 0080 5D .byte 0x5d + 370 0081 4C000000 .4byte 0x4c + 371 0085 02 .uleb128 0x2 + 372 0086 04 .byte 0x4 + 373 0087 04 .byte 0x4 + 374 0088 8A000000 .4byte .LASF11 + 375 008c 02 .uleb128 0x2 + 376 008d 08 .byte 0x8 + 377 008e 04 .byte 0x4 + 378 008f F9000000 .4byte .LASF12 + 379 0093 02 .uleb128 0x2 + 380 0094 01 .byte 0x1 + 381 0095 08 .byte 0x8 + 382 0096 97010000 .4byte .LASF13 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 16 + + + 383 009a 04 .uleb128 0x4 + 384 009b 7B010000 .4byte .LASF14 + 385 009f 02 .byte 0x2 + 386 00a0 F0 .byte 0xf0 + 387 00a1 A5000000 .4byte 0xa5 + 388 00a5 05 .uleb128 0x5 + 389 00a6 6F000000 .4byte 0x6f + 390 00aa 04 .uleb128 0x4 + 391 00ab 24000000 .4byte .LASF15 + 392 00af 02 .byte 0x2 + 393 00b0 F2 .byte 0xf2 + 394 00b1 B5000000 .4byte 0xb5 + 395 00b5 05 .uleb128 0x5 + 396 00b6 7A000000 .4byte 0x7a + 397 00ba 06 .uleb128 0x6 + 398 00bb 53010000 .4byte .LASF16 + 399 00bf 02 .byte 0x2 + 400 00c0 0201 .2byte 0x102 + 401 00c2 C6000000 .4byte 0xc6 + 402 00c6 07 .uleb128 0x7 + 403 00c7 04 .byte 0x4 + 404 00c8 CC000000 .4byte 0xcc + 405 00cc 08 .uleb128 0x8 + 406 00cd 01 .byte 0x1 + 407 00ce 02 .uleb128 0x2 + 408 00cf 04 .byte 0x4 + 409 00d0 07 .byte 0x7 + 410 00d1 80010000 .4byte .LASF17 + 411 00d5 09 .uleb128 0x9 + 412 00d6 93000000 .4byte 0x93 + 413 00da 04 .uleb128 0x4 + 414 00db 2A000000 .4byte .LASF18 + 415 00df 03 .byte 0x3 + 416 00e0 D5 .byte 0xd5 + 417 00e1 68000000 .4byte 0x68 + 418 00e5 04 .uleb128 0x4 + 419 00e6 6A010000 .4byte .LASF19 + 420 00ea 01 .byte 0x1 + 421 00eb C7 .byte 0xc7 + 422 00ec 30000000 .4byte 0x30 + 423 00f0 0A .uleb128 0xa + 424 00f1 6D020000 .4byte .LASF44 + 425 00f5 10 .byte 0x10 + 426 00f6 01 .byte 0x1 + 427 00f7 C9 .byte 0xc9 + 428 00f8 35010000 .4byte 0x135 + 429 00fc 0B .uleb128 0xb + 430 00fd 6F000000 .4byte .LASF20 + 431 0101 01 .byte 0x1 + 432 0102 CB .byte 0xcb + 433 0103 35010000 .4byte 0x135 + 434 0107 02 .byte 0x2 + 435 0108 23 .byte 0x23 + 436 0109 00 .uleb128 0 + 437 010a 0B .uleb128 0xb + 438 010b 90000000 .4byte .LASF21 + 439 010f 01 .byte 0x1 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 17 + + + 440 0110 CC .byte 0xcc + 441 0111 35010000 .4byte 0x135 + 442 0115 02 .byte 0x2 + 443 0116 23 .byte 0x23 + 444 0117 04 .uleb128 0x4 + 445 0118 0B .uleb128 0xb + 446 0119 60010000 .4byte .LASF22 + 447 011d 01 .byte 0x1 + 448 011e CD .byte 0xcd + 449 011f DA000000 .4byte 0xda + 450 0123 02 .byte 0x2 + 451 0124 23 .byte 0x23 + 452 0125 08 .uleb128 0x8 + 453 0126 0B .uleb128 0xb + 454 0127 7B000000 .4byte .LASF23 + 455 012b 01 .byte 0x1 + 456 012c CE .byte 0xce + 457 012d DA000000 .4byte 0xda + 458 0131 02 .byte 0x2 + 459 0132 23 .byte 0x23 + 460 0133 0C .uleb128 0xc + 461 0134 00 .byte 0 + 462 0135 07 .uleb128 0x7 + 463 0136 04 .byte 0x4 + 464 0137 E5000000 .4byte 0xe5 + 465 013b 0C .uleb128 0xc + 466 013c 01 .byte 0x1 + 467 013d E7000000 .4byte .LASF45 + 468 0141 01 .byte 0x1 + 469 0142 5C .byte 0x5c + 470 0143 01 .byte 0x1 + 471 0144 00000000 .4byte .LFB0 + 472 0148 02000000 .4byte .LFE0 + 473 014c 02 .byte 0x2 + 474 014d 7D .byte 0x7d + 475 014e 00 .sleb128 0 + 476 014f 01 .byte 0x1 + 477 0150 0D .uleb128 0xd + 478 0151 01 .byte 0x1 + 479 0152 E1000000 .4byte .LASF24 + 480 0156 01 .byte 0x1 + 481 0157 FD .byte 0xfd + 482 0158 01 .byte 0x1 + 483 0159 00000000 .4byte .LFB2 + 484 015d 02000000 .4byte .LFE2 + 485 0161 02 .byte 0x2 + 486 0162 7D .byte 0x7d + 487 0163 00 .sleb128 0 + 488 0164 01 .byte 0x1 + 489 0165 81010000 .4byte 0x181 + 490 0169 0E .uleb128 0xe + 491 016a 74000000 .4byte .LASF46 + 492 016e 01 .byte 0x1 + 493 016f FD .byte 0xfd + 494 0170 61000000 .4byte 0x61 + 495 0174 01 .byte 0x1 + 496 0175 50 .byte 0x50 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 18 + + + 497 0176 0F .uleb128 0xf + 498 0177 7800 .ascii "x\000" + 499 0179 01 .byte 0x1 + 500 017a 0001 .2byte 0x100 + 501 017c 61000000 .4byte 0x61 + 502 0180 00 .byte 0 + 503 0181 10 .uleb128 0x10 + 504 0182 01 .byte 0x1 + 505 0183 3E000000 .4byte .LASF25 + 506 0187 01 .byte 0x1 + 507 0188 1901 .2byte 0x119 + 508 018a 01 .byte 0x1 + 509 018b 00000000 .4byte .LFB3 + 510 018f 54000000 .4byte .LFE3 + 511 0193 00000000 .4byte .LLST0 + 512 0197 01 .byte 0x1 + 513 0198 15020000 .4byte 0x215 + 514 019c 11 .uleb128 0x11 + 515 019d 65020000 .4byte .LASF26 + 516 01a1 01 .byte 0x1 + 517 01a2 1B01 .2byte 0x11b + 518 01a4 68000000 .4byte 0x68 + 519 01a8 11 .uleb128 0x11 + 520 01a9 85000000 .4byte .LASF27 + 521 01ad 01 .byte 0x1 + 522 01ae 1C01 .2byte 0x11c + 523 01b0 15020000 .4byte 0x215 + 524 01b4 12 .uleb128 0x12 + 525 01b5 0A000000 .4byte .LBB2 + 526 01b9 40000000 .4byte .LBE2 + 527 01bd 02020000 .4byte 0x202 + 528 01c1 13 .uleb128 0x13 + 529 01c2 73726300 .ascii "src\000" + 530 01c6 01 .byte 0x1 + 531 01c7 2101 .2byte 0x121 + 532 01c9 20020000 .4byte 0x220 + 533 01cd 20000000 .4byte .LLST1 + 534 01d1 13 .uleb128 0x13 + 535 01d2 64737400 .ascii "dst\000" + 536 01d6 01 .byte 0x1 + 537 01d7 2201 .2byte 0x122 + 538 01d9 20020000 .4byte 0x220 + 539 01dd 33000000 .4byte .LLST2 + 540 01e1 14 .uleb128 0x14 + 541 01e2 4D010000 .4byte .LASF28 + 542 01e6 01 .byte 0x1 + 543 01e7 2301 .2byte 0x123 + 544 01e9 68000000 .4byte 0x68 + 545 01ed 46000000 .4byte .LLST3 + 546 01f1 14 .uleb128 0x14 + 547 01f2 46000000 .4byte .LASF29 + 548 01f6 01 .byte 0x1 + 549 01f7 2401 .2byte 0x124 + 550 01f9 68000000 .4byte 0x68 + 551 01fd 64000000 .4byte .LLST4 + 552 0201 00 .byte 0 + 553 0202 15 .uleb128 0x15 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 19 + + + 554 0203 44000000 .4byte .LVL13 + 555 0207 18030000 .4byte 0x318 + 556 020b 15 .uleb128 0x15 + 557 020c 48000000 .4byte .LVL14 + 558 0210 22030000 .4byte 0x322 + 559 0214 00 .byte 0 + 560 0215 07 .uleb128 0x7 + 561 0216 04 .byte 0x4 + 562 0217 1B020000 .4byte 0x21b + 563 021b 09 .uleb128 0x9 + 564 021c F0000000 .4byte 0xf0 + 565 0220 07 .uleb128 0x7 + 566 0221 04 .byte 0x4 + 567 0222 7A000000 .4byte 0x7a + 568 0226 16 .uleb128 0x16 + 569 0227 01 .byte 0x1 + 570 0228 DB000000 .4byte .LASF30 + 571 022c 01 .byte 0x1 + 572 022d E5 .byte 0xe5 + 573 022e 01 .byte 0x1 + 574 022f 00000000 .4byte .LFB1 + 575 0233 1C000000 .4byte .LFE1 + 576 0237 9A000000 .4byte .LLST5 + 577 023b 01 .byte 0x1 + 578 023c 53020000 .4byte 0x253 + 579 0240 15 .uleb128 0x15 + 580 0241 0E000000 .4byte .LVL15 + 581 0245 30030000 .4byte 0x330 + 582 0249 15 .uleb128 0x15 + 583 024a 12000000 .4byte .LVL16 + 584 024e 81010000 .4byte 0x181 + 585 0252 00 .byte 0 + 586 0253 10 .uleb128 0x10 + 587 0254 01 .byte 0x1 + 588 0255 05010000 .4byte .LASF31 + 589 0259 01 .byte 0x1 + 590 025a A201 .2byte 0x1a2 + 591 025c 01 .byte 0x1 + 592 025d 00000000 .4byte .LFB4 + 593 0261 68000000 .4byte .LFE4 + 594 0265 BA000000 .4byte .LLST6 + 595 0269 01 .byte 0x1 + 596 026a 86020000 .4byte 0x286 + 597 026e 13 .uleb128 0x13 + 598 026f 6900 .ascii "i\000" + 599 0271 01 .byte 0x1 + 600 0272 A401 .2byte 0x1a4 + 601 0274 7A000000 .4byte 0x7a + 602 0278 DA000000 .4byte .LLST7 + 603 027c 15 .uleb128 0x15 + 604 027d 3A000000 .4byte .LVL21 + 605 0281 3A030000 .4byte 0x33a + 606 0285 00 .byte 0 + 607 0286 17 .uleb128 0x17 + 608 0287 C0000000 .4byte .LASF32 + 609 028b 01 .byte 0x1 + 610 028c 39 .byte 0x39 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 20 + + + 611 028d 7A000000 .4byte 0x7a + 612 0291 05 .byte 0x5 + 613 0292 03 .byte 0x3 + 614 0293 00000000 .4byte cySysNoInitDataValid + 615 0297 18 .uleb128 0x18 + 616 0298 3E020000 .4byte .LASF33 + 617 029c 04 .byte 0x4 + 618 029d 2D .byte 0x2d + 619 029e 6F000000 .4byte 0x6f + 620 02a2 01 .byte 0x1 + 621 02a3 01 .byte 0x1 + 622 02a4 19 .uleb128 0x19 + 623 02a5 BA000000 .4byte 0xba + 624 02a9 B4020000 .4byte 0x2b4 + 625 02ad 1A .uleb128 0x1a + 626 02ae CE000000 .4byte 0xce + 627 02b2 2F .byte 0x2f + 628 02b3 00 .byte 0 + 629 02b4 1B .uleb128 0x1b + 630 02b5 31000000 .4byte .LASF34 + 631 02b9 01 .byte 0x1 + 632 02ba 47 .byte 0x47 + 633 02bb A4020000 .4byte 0x2a4 + 634 02bf 01 .byte 0x1 + 635 02c0 05 .byte 0x5 + 636 02c1 03 .byte 0x3 + 637 02c2 00000000 .4byte CyRamVectors + 638 02c6 19 .uleb128 0x19 + 639 02c7 F0000000 .4byte 0xf0 + 640 02cb D1020000 .4byte 0x2d1 + 641 02cf 1C .uleb128 0x1c + 642 02d0 00 .byte 0 + 643 02d1 18 .uleb128 0x18 + 644 02d2 58020000 .4byte .LASF35 + 645 02d6 01 .byte 0x1 + 646 02d7 D1 .byte 0xd1 + 647 02d8 DE020000 .4byte 0x2de + 648 02dc 01 .byte 0x1 + 649 02dd 01 .byte 0x1 + 650 02de 09 .uleb128 0x9 + 651 02df C6020000 .4byte 0x2c6 + 652 02e3 18 .uleb128 0x18 + 653 02e4 5F000000 .4byte .LASF36 + 654 02e8 01 .byte 0x1 + 655 02e9 D2 .byte 0xd2 + 656 02ea D5000000 .4byte 0xd5 + 657 02ee 01 .byte 0x1 + 658 02ef 01 .byte 0x1 + 659 02f0 19 .uleb128 0x19 + 660 02f1 BA000000 .4byte 0xba + 661 02f5 00030000 .4byte 0x300 + 662 02f9 1A .uleb128 0x1a + 663 02fa CE000000 .4byte 0xce + 664 02fe 03 .byte 0x3 + 665 02ff 00 .byte 0 + 666 0300 1D .uleb128 0x1d + 667 0301 A3000000 .4byte .LASF37 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 21 + + + 668 0305 01 .byte 0x1 + 669 0306 7F01 .2byte 0x17f + 670 0308 13030000 .4byte 0x313 + 671 030c 01 .byte 0x1 + 672 030d 05 .byte 0x5 + 673 030e 03 .byte 0x3 + 674 030f 00000000 .4byte RomVectors + 675 0313 09 .uleb128 0x9 + 676 0314 F0020000 .4byte 0x2f0 + 677 0318 1E .uleb128 0x1e + 678 0319 01 .byte 0x1 + 679 031a 23020000 .4byte .LASF38 + 680 031e 01 .byte 0x1 + 681 031f C5 .byte 0xc5 + 682 0320 01 .byte 0x1 + 683 0321 01 .byte 0x1 + 684 0322 1F .uleb128 0x1f + 685 0323 01 .byte 0x1 + 686 0324 00010000 .4byte .LASF47 + 687 0328 01 .byte 0x1 + 688 0329 C2 .byte 0xc2 + 689 032a 01 .byte 0x1 + 690 032b 61000000 .4byte 0x61 + 691 032f 01 .byte 0x1 + 692 0330 1E .uleb128 0x1e + 693 0331 01 .byte 0x1 + 694 0332 9C010000 .4byte .LASF39 + 695 0336 01 .byte 0x1 + 696 0337 22 .byte 0x22 + 697 0338 01 .byte 0x1 + 698 0339 01 .byte 0x1 + 699 033a 1E .uleb128 0x1e + 700 033b 01 .byte 0x1 + 701 033c 29010000 .4byte .LASF40 + 702 0340 05 .byte 0x5 + 703 0341 14 .byte 0x14 + 704 0342 01 .byte 0x1 + 705 0343 01 .byte 0x1 + 706 0344 00 .byte 0 + 707 .section .debug_abbrev,"",%progbits + 708 .Ldebug_abbrev0: + 709 0000 01 .uleb128 0x1 + 710 0001 11 .uleb128 0x11 + 711 0002 01 .byte 0x1 + 712 0003 25 .uleb128 0x25 + 713 0004 0E .uleb128 0xe + 714 0005 13 .uleb128 0x13 + 715 0006 0B .uleb128 0xb + 716 0007 03 .uleb128 0x3 + 717 0008 0E .uleb128 0xe + 718 0009 1B .uleb128 0x1b + 719 000a 0E .uleb128 0xe + 720 000b 55 .uleb128 0x55 + 721 000c 06 .uleb128 0x6 + 722 000d 11 .uleb128 0x11 + 723 000e 01 .uleb128 0x1 + 724 000f 52 .uleb128 0x52 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 22 + + + 725 0010 01 .uleb128 0x1 + 726 0011 10 .uleb128 0x10 + 727 0012 06 .uleb128 0x6 + 728 0013 00 .byte 0 + 729 0014 00 .byte 0 + 730 0015 02 .uleb128 0x2 + 731 0016 24 .uleb128 0x24 + 732 0017 00 .byte 0 + 733 0018 0B .uleb128 0xb + 734 0019 0B .uleb128 0xb + 735 001a 3E .uleb128 0x3e + 736 001b 0B .uleb128 0xb + 737 001c 03 .uleb128 0x3 + 738 001d 0E .uleb128 0xe + 739 001e 00 .byte 0 + 740 001f 00 .byte 0 + 741 0020 03 .uleb128 0x3 + 742 0021 24 .uleb128 0x24 + 743 0022 00 .byte 0 + 744 0023 0B .uleb128 0xb + 745 0024 0B .uleb128 0xb + 746 0025 3E .uleb128 0x3e + 747 0026 0B .uleb128 0xb + 748 0027 03 .uleb128 0x3 + 749 0028 08 .uleb128 0x8 + 750 0029 00 .byte 0 + 751 002a 00 .byte 0 + 752 002b 04 .uleb128 0x4 + 753 002c 16 .uleb128 0x16 + 754 002d 00 .byte 0 + 755 002e 03 .uleb128 0x3 + 756 002f 0E .uleb128 0xe + 757 0030 3A .uleb128 0x3a + 758 0031 0B .uleb128 0xb + 759 0032 3B .uleb128 0x3b + 760 0033 0B .uleb128 0xb + 761 0034 49 .uleb128 0x49 + 762 0035 13 .uleb128 0x13 + 763 0036 00 .byte 0 + 764 0037 00 .byte 0 + 765 0038 05 .uleb128 0x5 + 766 0039 35 .uleb128 0x35 + 767 003a 00 .byte 0 + 768 003b 49 .uleb128 0x49 + 769 003c 13 .uleb128 0x13 + 770 003d 00 .byte 0 + 771 003e 00 .byte 0 + 772 003f 06 .uleb128 0x6 + 773 0040 16 .uleb128 0x16 + 774 0041 00 .byte 0 + 775 0042 03 .uleb128 0x3 + 776 0043 0E .uleb128 0xe + 777 0044 3A .uleb128 0x3a + 778 0045 0B .uleb128 0xb + 779 0046 3B .uleb128 0x3b + 780 0047 05 .uleb128 0x5 + 781 0048 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 23 + + + 782 0049 13 .uleb128 0x13 + 783 004a 00 .byte 0 + 784 004b 00 .byte 0 + 785 004c 07 .uleb128 0x7 + 786 004d 0F .uleb128 0xf + 787 004e 00 .byte 0 + 788 004f 0B .uleb128 0xb + 789 0050 0B .uleb128 0xb + 790 0051 49 .uleb128 0x49 + 791 0052 13 .uleb128 0x13 + 792 0053 00 .byte 0 + 793 0054 00 .byte 0 + 794 0055 08 .uleb128 0x8 + 795 0056 15 .uleb128 0x15 + 796 0057 00 .byte 0 + 797 0058 27 .uleb128 0x27 + 798 0059 0C .uleb128 0xc + 799 005a 00 .byte 0 + 800 005b 00 .byte 0 + 801 005c 09 .uleb128 0x9 + 802 005d 26 .uleb128 0x26 + 803 005e 00 .byte 0 + 804 005f 49 .uleb128 0x49 + 805 0060 13 .uleb128 0x13 + 806 0061 00 .byte 0 + 807 0062 00 .byte 0 + 808 0063 0A .uleb128 0xa + 809 0064 13 .uleb128 0x13 + 810 0065 01 .byte 0x1 + 811 0066 03 .uleb128 0x3 + 812 0067 0E .uleb128 0xe + 813 0068 0B .uleb128 0xb + 814 0069 0B .uleb128 0xb + 815 006a 3A .uleb128 0x3a + 816 006b 0B .uleb128 0xb + 817 006c 3B .uleb128 0x3b + 818 006d 0B .uleb128 0xb + 819 006e 01 .uleb128 0x1 + 820 006f 13 .uleb128 0x13 + 821 0070 00 .byte 0 + 822 0071 00 .byte 0 + 823 0072 0B .uleb128 0xb + 824 0073 0D .uleb128 0xd + 825 0074 00 .byte 0 + 826 0075 03 .uleb128 0x3 + 827 0076 0E .uleb128 0xe + 828 0077 3A .uleb128 0x3a + 829 0078 0B .uleb128 0xb + 830 0079 3B .uleb128 0x3b + 831 007a 0B .uleb128 0xb + 832 007b 49 .uleb128 0x49 + 833 007c 13 .uleb128 0x13 + 834 007d 38 .uleb128 0x38 + 835 007e 0A .uleb128 0xa + 836 007f 00 .byte 0 + 837 0080 00 .byte 0 + 838 0081 0C .uleb128 0xc + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 24 + + + 839 0082 2E .uleb128 0x2e + 840 0083 00 .byte 0 + 841 0084 3F .uleb128 0x3f + 842 0085 0C .uleb128 0xc + 843 0086 03 .uleb128 0x3 + 844 0087 0E .uleb128 0xe + 845 0088 3A .uleb128 0x3a + 846 0089 0B .uleb128 0xb + 847 008a 3B .uleb128 0x3b + 848 008b 0B .uleb128 0xb + 849 008c 27 .uleb128 0x27 + 850 008d 0C .uleb128 0xc + 851 008e 11 .uleb128 0x11 + 852 008f 01 .uleb128 0x1 + 853 0090 12 .uleb128 0x12 + 854 0091 01 .uleb128 0x1 + 855 0092 40 .uleb128 0x40 + 856 0093 0A .uleb128 0xa + 857 0094 9742 .uleb128 0x2117 + 858 0096 0C .uleb128 0xc + 859 0097 00 .byte 0 + 860 0098 00 .byte 0 + 861 0099 0D .uleb128 0xd + 862 009a 2E .uleb128 0x2e + 863 009b 01 .byte 0x1 + 864 009c 3F .uleb128 0x3f + 865 009d 0C .uleb128 0xc + 866 009e 03 .uleb128 0x3 + 867 009f 0E .uleb128 0xe + 868 00a0 3A .uleb128 0x3a + 869 00a1 0B .uleb128 0xb + 870 00a2 3B .uleb128 0x3b + 871 00a3 0B .uleb128 0xb + 872 00a4 27 .uleb128 0x27 + 873 00a5 0C .uleb128 0xc + 874 00a6 11 .uleb128 0x11 + 875 00a7 01 .uleb128 0x1 + 876 00a8 12 .uleb128 0x12 + 877 00a9 01 .uleb128 0x1 + 878 00aa 40 .uleb128 0x40 + 879 00ab 0A .uleb128 0xa + 880 00ac 9742 .uleb128 0x2117 + 881 00ae 0C .uleb128 0xc + 882 00af 01 .uleb128 0x1 + 883 00b0 13 .uleb128 0x13 + 884 00b1 00 .byte 0 + 885 00b2 00 .byte 0 + 886 00b3 0E .uleb128 0xe + 887 00b4 05 .uleb128 0x5 + 888 00b5 00 .byte 0 + 889 00b6 03 .uleb128 0x3 + 890 00b7 0E .uleb128 0xe + 891 00b8 3A .uleb128 0x3a + 892 00b9 0B .uleb128 0xb + 893 00ba 3B .uleb128 0x3b + 894 00bb 0B .uleb128 0xb + 895 00bc 49 .uleb128 0x49 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 25 + + + 896 00bd 13 .uleb128 0x13 + 897 00be 02 .uleb128 0x2 + 898 00bf 0A .uleb128 0xa + 899 00c0 00 .byte 0 + 900 00c1 00 .byte 0 + 901 00c2 0F .uleb128 0xf + 902 00c3 34 .uleb128 0x34 + 903 00c4 00 .byte 0 + 904 00c5 03 .uleb128 0x3 + 905 00c6 08 .uleb128 0x8 + 906 00c7 3A .uleb128 0x3a + 907 00c8 0B .uleb128 0xb + 908 00c9 3B .uleb128 0x3b + 909 00ca 05 .uleb128 0x5 + 910 00cb 49 .uleb128 0x49 + 911 00cc 13 .uleb128 0x13 + 912 00cd 00 .byte 0 + 913 00ce 00 .byte 0 + 914 00cf 10 .uleb128 0x10 + 915 00d0 2E .uleb128 0x2e + 916 00d1 01 .byte 0x1 + 917 00d2 3F .uleb128 0x3f + 918 00d3 0C .uleb128 0xc + 919 00d4 03 .uleb128 0x3 + 920 00d5 0E .uleb128 0xe + 921 00d6 3A .uleb128 0x3a + 922 00d7 0B .uleb128 0xb + 923 00d8 3B .uleb128 0x3b + 924 00d9 05 .uleb128 0x5 + 925 00da 27 .uleb128 0x27 + 926 00db 0C .uleb128 0xc + 927 00dc 11 .uleb128 0x11 + 928 00dd 01 .uleb128 0x1 + 929 00de 12 .uleb128 0x12 + 930 00df 01 .uleb128 0x1 + 931 00e0 40 .uleb128 0x40 + 932 00e1 06 .uleb128 0x6 + 933 00e2 9742 .uleb128 0x2117 + 934 00e4 0C .uleb128 0xc + 935 00e5 01 .uleb128 0x1 + 936 00e6 13 .uleb128 0x13 + 937 00e7 00 .byte 0 + 938 00e8 00 .byte 0 + 939 00e9 11 .uleb128 0x11 + 940 00ea 34 .uleb128 0x34 + 941 00eb 00 .byte 0 + 942 00ec 03 .uleb128 0x3 + 943 00ed 0E .uleb128 0xe + 944 00ee 3A .uleb128 0x3a + 945 00ef 0B .uleb128 0xb + 946 00f0 3B .uleb128 0x3b + 947 00f1 05 .uleb128 0x5 + 948 00f2 49 .uleb128 0x49 + 949 00f3 13 .uleb128 0x13 + 950 00f4 00 .byte 0 + 951 00f5 00 .byte 0 + 952 00f6 12 .uleb128 0x12 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 26 + + + 953 00f7 0B .uleb128 0xb + 954 00f8 01 .byte 0x1 + 955 00f9 11 .uleb128 0x11 + 956 00fa 01 .uleb128 0x1 + 957 00fb 12 .uleb128 0x12 + 958 00fc 01 .uleb128 0x1 + 959 00fd 01 .uleb128 0x1 + 960 00fe 13 .uleb128 0x13 + 961 00ff 00 .byte 0 + 962 0100 00 .byte 0 + 963 0101 13 .uleb128 0x13 + 964 0102 34 .uleb128 0x34 + 965 0103 00 .byte 0 + 966 0104 03 .uleb128 0x3 + 967 0105 08 .uleb128 0x8 + 968 0106 3A .uleb128 0x3a + 969 0107 0B .uleb128 0xb + 970 0108 3B .uleb128 0x3b + 971 0109 05 .uleb128 0x5 + 972 010a 49 .uleb128 0x49 + 973 010b 13 .uleb128 0x13 + 974 010c 02 .uleb128 0x2 + 975 010d 06 .uleb128 0x6 + 976 010e 00 .byte 0 + 977 010f 00 .byte 0 + 978 0110 14 .uleb128 0x14 + 979 0111 34 .uleb128 0x34 + 980 0112 00 .byte 0 + 981 0113 03 .uleb128 0x3 + 982 0114 0E .uleb128 0xe + 983 0115 3A .uleb128 0x3a + 984 0116 0B .uleb128 0xb + 985 0117 3B .uleb128 0x3b + 986 0118 05 .uleb128 0x5 + 987 0119 49 .uleb128 0x49 + 988 011a 13 .uleb128 0x13 + 989 011b 02 .uleb128 0x2 + 990 011c 06 .uleb128 0x6 + 991 011d 00 .byte 0 + 992 011e 00 .byte 0 + 993 011f 15 .uleb128 0x15 + 994 0120 898201 .uleb128 0x4109 + 995 0123 00 .byte 0 + 996 0124 11 .uleb128 0x11 + 997 0125 01 .uleb128 0x1 + 998 0126 31 .uleb128 0x31 + 999 0127 13 .uleb128 0x13 + 1000 0128 00 .byte 0 + 1001 0129 00 .byte 0 + 1002 012a 16 .uleb128 0x16 + 1003 012b 2E .uleb128 0x2e + 1004 012c 01 .byte 0x1 + 1005 012d 3F .uleb128 0x3f + 1006 012e 0C .uleb128 0xc + 1007 012f 03 .uleb128 0x3 + 1008 0130 0E .uleb128 0xe + 1009 0131 3A .uleb128 0x3a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 27 + + + 1010 0132 0B .uleb128 0xb + 1011 0133 3B .uleb128 0x3b + 1012 0134 0B .uleb128 0xb + 1013 0135 27 .uleb128 0x27 + 1014 0136 0C .uleb128 0xc + 1015 0137 11 .uleb128 0x11 + 1016 0138 01 .uleb128 0x1 + 1017 0139 12 .uleb128 0x12 + 1018 013a 01 .uleb128 0x1 + 1019 013b 40 .uleb128 0x40 + 1020 013c 06 .uleb128 0x6 + 1021 013d 9742 .uleb128 0x2117 + 1022 013f 0C .uleb128 0xc + 1023 0140 01 .uleb128 0x1 + 1024 0141 13 .uleb128 0x13 + 1025 0142 00 .byte 0 + 1026 0143 00 .byte 0 + 1027 0144 17 .uleb128 0x17 + 1028 0145 34 .uleb128 0x34 + 1029 0146 00 .byte 0 + 1030 0147 03 .uleb128 0x3 + 1031 0148 0E .uleb128 0xe + 1032 0149 3A .uleb128 0x3a + 1033 014a 0B .uleb128 0xb + 1034 014b 3B .uleb128 0x3b + 1035 014c 0B .uleb128 0xb + 1036 014d 49 .uleb128 0x49 + 1037 014e 13 .uleb128 0x13 + 1038 014f 02 .uleb128 0x2 + 1039 0150 0A .uleb128 0xa + 1040 0151 00 .byte 0 + 1041 0152 00 .byte 0 + 1042 0153 18 .uleb128 0x18 + 1043 0154 34 .uleb128 0x34 + 1044 0155 00 .byte 0 + 1045 0156 03 .uleb128 0x3 + 1046 0157 0E .uleb128 0xe + 1047 0158 3A .uleb128 0x3a + 1048 0159 0B .uleb128 0xb + 1049 015a 3B .uleb128 0x3b + 1050 015b 0B .uleb128 0xb + 1051 015c 49 .uleb128 0x49 + 1052 015d 13 .uleb128 0x13 + 1053 015e 3F .uleb128 0x3f + 1054 015f 0C .uleb128 0xc + 1055 0160 3C .uleb128 0x3c + 1056 0161 0C .uleb128 0xc + 1057 0162 00 .byte 0 + 1058 0163 00 .byte 0 + 1059 0164 19 .uleb128 0x19 + 1060 0165 01 .uleb128 0x1 + 1061 0166 01 .byte 0x1 + 1062 0167 49 .uleb128 0x49 + 1063 0168 13 .uleb128 0x13 + 1064 0169 01 .uleb128 0x1 + 1065 016a 13 .uleb128 0x13 + 1066 016b 00 .byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 28 + + + 1067 016c 00 .byte 0 + 1068 016d 1A .uleb128 0x1a + 1069 016e 21 .uleb128 0x21 + 1070 016f 00 .byte 0 + 1071 0170 49 .uleb128 0x49 + 1072 0171 13 .uleb128 0x13 + 1073 0172 2F .uleb128 0x2f + 1074 0173 0B .uleb128 0xb + 1075 0174 00 .byte 0 + 1076 0175 00 .byte 0 + 1077 0176 1B .uleb128 0x1b + 1078 0177 34 .uleb128 0x34 + 1079 0178 00 .byte 0 + 1080 0179 03 .uleb128 0x3 + 1081 017a 0E .uleb128 0xe + 1082 017b 3A .uleb128 0x3a + 1083 017c 0B .uleb128 0xb + 1084 017d 3B .uleb128 0x3b + 1085 017e 0B .uleb128 0xb + 1086 017f 49 .uleb128 0x49 + 1087 0180 13 .uleb128 0x13 + 1088 0181 3F .uleb128 0x3f + 1089 0182 0C .uleb128 0xc + 1090 0183 02 .uleb128 0x2 + 1091 0184 0A .uleb128 0xa + 1092 0185 00 .byte 0 + 1093 0186 00 .byte 0 + 1094 0187 1C .uleb128 0x1c + 1095 0188 21 .uleb128 0x21 + 1096 0189 00 .byte 0 + 1097 018a 00 .byte 0 + 1098 018b 00 .byte 0 + 1099 018c 1D .uleb128 0x1d + 1100 018d 34 .uleb128 0x34 + 1101 018e 00 .byte 0 + 1102 018f 03 .uleb128 0x3 + 1103 0190 0E .uleb128 0xe + 1104 0191 3A .uleb128 0x3a + 1105 0192 0B .uleb128 0xb + 1106 0193 3B .uleb128 0x3b + 1107 0194 05 .uleb128 0x5 + 1108 0195 49 .uleb128 0x49 + 1109 0196 13 .uleb128 0x13 + 1110 0197 3F .uleb128 0x3f + 1111 0198 0C .uleb128 0xc + 1112 0199 02 .uleb128 0x2 + 1113 019a 0A .uleb128 0xa + 1114 019b 00 .byte 0 + 1115 019c 00 .byte 0 + 1116 019d 1E .uleb128 0x1e + 1117 019e 2E .uleb128 0x2e + 1118 019f 00 .byte 0 + 1119 01a0 3F .uleb128 0x3f + 1120 01a1 0C .uleb128 0xc + 1121 01a2 03 .uleb128 0x3 + 1122 01a3 0E .uleb128 0xe + 1123 01a4 3A .uleb128 0x3a + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 29 + + + 1124 01a5 0B .uleb128 0xb + 1125 01a6 3B .uleb128 0x3b + 1126 01a7 0B .uleb128 0xb + 1127 01a8 27 .uleb128 0x27 + 1128 01a9 0C .uleb128 0xc + 1129 01aa 3C .uleb128 0x3c + 1130 01ab 0C .uleb128 0xc + 1131 01ac 00 .byte 0 + 1132 01ad 00 .byte 0 + 1133 01ae 1F .uleb128 0x1f + 1134 01af 2E .uleb128 0x2e + 1135 01b0 00 .byte 0 + 1136 01b1 3F .uleb128 0x3f + 1137 01b2 0C .uleb128 0xc + 1138 01b3 03 .uleb128 0x3 + 1139 01b4 0E .uleb128 0xe + 1140 01b5 3A .uleb128 0x3a + 1141 01b6 0B .uleb128 0xb + 1142 01b7 3B .uleb128 0x3b + 1143 01b8 0B .uleb128 0xb + 1144 01b9 27 .uleb128 0x27 + 1145 01ba 0C .uleb128 0xc + 1146 01bb 49 .uleb128 0x49 + 1147 01bc 13 .uleb128 0x13 + 1148 01bd 3C .uleb128 0x3c + 1149 01be 0C .uleb128 0xc + 1150 01bf 00 .byte 0 + 1151 01c0 00 .byte 0 + 1152 01c1 00 .byte 0 + 1153 .section .debug_loc,"",%progbits + 1154 .Ldebug_loc0: + 1155 .LLST0: + 1156 0000 00000000 .4byte .LFB3 + 1157 0004 02000000 .4byte .LCFI0 + 1158 0008 0200 .2byte 0x2 + 1159 000a 7D .byte 0x7d + 1160 000b 00 .sleb128 0 + 1161 000c 02000000 .4byte .LCFI0 + 1162 0010 54000000 .4byte .LFE3 + 1163 0014 0200 .2byte 0x2 + 1164 0016 7D .byte 0x7d + 1165 0017 08 .sleb128 8 + 1166 0018 00000000 .4byte 0 + 1167 001c 00000000 .4byte 0 + 1168 .LLST1: + 1169 0020 0E000000 .4byte .LVL3 + 1170 0024 18000000 .4byte .LVL6 + 1171 0028 0100 .2byte 0x1 + 1172 002a 56 .byte 0x56 + 1173 002b 00000000 .4byte 0 + 1174 002f 00000000 .4byte 0 + 1175 .LLST2: + 1176 0033 12000000 .4byte .LVL4 + 1177 0037 18000000 .4byte .LVL6 + 1178 003b 0100 .2byte 0x1 + 1179 003d 50 .byte 0x50 + 1180 003e 00000000 .4byte 0 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 30 + + + 1181 0042 00000000 .4byte 0 + 1182 .LLST3: + 1183 0046 16000000 .4byte .LVL5 + 1184 004a 2C000000 .4byte .LVL9 + 1185 004e 0100 .2byte 0x1 + 1186 0050 55 .byte 0x55 + 1187 0051 2C000000 .4byte .LVL9 + 1188 0055 40000000 .4byte .LVL12 + 1189 0059 0100 .2byte 0x1 + 1190 005b 50 .byte 0x50 + 1191 005c 00000000 .4byte 0 + 1192 0060 00000000 .4byte 0 + 1193 .LLST4: + 1194 0064 16000000 .4byte .LVL5 + 1195 0068 18000000 .4byte .LVL6 + 1196 006c 0200 .2byte 0x2 + 1197 006e 30 .byte 0x30 + 1198 006f 9F .byte 0x9f + 1199 0070 1E000000 .4byte .LVL7 + 1200 0074 2C000000 .4byte .LVL9 + 1201 0078 0100 .2byte 0x1 + 1202 007a 52 .byte 0x52 + 1203 007b 2C000000 .4byte .LVL9 + 1204 007f 2E000000 .4byte .LVL10 + 1205 0083 0200 .2byte 0x2 + 1206 0085 30 .byte 0x30 + 1207 0086 9F .byte 0x9f + 1208 0087 2E000000 .4byte .LVL10 + 1209 008b 40000000 .4byte .LVL12 + 1210 008f 0100 .2byte 0x1 + 1211 0091 52 .byte 0x52 + 1212 0092 00000000 .4byte 0 + 1213 0096 00000000 .4byte 0 + 1214 .LLST5: + 1215 009a 00000000 .4byte .LFB1 + 1216 009e 02000000 .4byte .LCFI1 + 1217 00a2 0200 .2byte 0x2 + 1218 00a4 7D .byte 0x7d + 1219 00a5 00 .sleb128 0 + 1220 00a6 02000000 .4byte .LCFI1 + 1221 00aa 1C000000 .4byte .LFE1 + 1222 00ae 0200 .2byte 0x2 + 1223 00b0 7D .byte 0x7d + 1224 00b1 08 .sleb128 8 + 1225 00b2 00000000 .4byte 0 + 1226 00b6 00000000 .4byte 0 + 1227 .LLST6: + 1228 00ba 00000000 .4byte .LFB4 + 1229 00be 02000000 .4byte .LCFI2 + 1230 00c2 0200 .2byte 0x2 + 1231 00c4 7D .byte 0x7d + 1232 00c5 00 .sleb128 0 + 1233 00c6 02000000 .4byte .LCFI2 + 1234 00ca 68000000 .4byte .LFE4 + 1235 00ce 0200 .2byte 0x2 + 1236 00d0 7D .byte 0x7d + 1237 00d1 08 .sleb128 8 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 31 + + + 1238 00d2 00000000 .4byte 0 + 1239 00d6 00000000 .4byte 0 + 1240 .LLST7: + 1241 00da 10000000 .4byte .LVL17 + 1242 00de 12000000 .4byte .LVL18 + 1243 00e2 0200 .2byte 0x2 + 1244 00e4 30 .byte 0x30 + 1245 00e5 9F .byte 0x9f + 1246 00e6 26000000 .4byte .LVL19 + 1247 00ea 2E000000 .4byte .LVL20 + 1248 00ee 0100 .2byte 0x1 + 1249 00f0 53 .byte 0x53 + 1250 00f1 00000000 .4byte 0 + 1251 00f5 00000000 .4byte 0 + 1252 .section .debug_aranges,"",%progbits + 1253 0000 3C000000 .4byte 0x3c + 1254 0004 0200 .2byte 0x2 + 1255 0006 00000000 .4byte .Ldebug_info0 + 1256 000a 04 .byte 0x4 + 1257 000b 00 .byte 0 + 1258 000c 0000 .2byte 0 + 1259 000e 0000 .2byte 0 + 1260 0010 00000000 .4byte .LFB0 + 1261 0014 02000000 .4byte .LFE0-.LFB0 + 1262 0018 00000000 .4byte .LFB2 + 1263 001c 02000000 .4byte .LFE2-.LFB2 + 1264 0020 00000000 .4byte .LFB3 + 1265 0024 54000000 .4byte .LFE3-.LFB3 + 1266 0028 00000000 .4byte .LFB1 + 1267 002c 1C000000 .4byte .LFE1-.LFB1 + 1268 0030 00000000 .4byte .LFB4 + 1269 0034 68000000 .4byte .LFE4-.LFB4 + 1270 0038 00000000 .4byte 0 + 1271 003c 00000000 .4byte 0 + 1272 .section .debug_ranges,"",%progbits + 1273 .Ldebug_ranges0: + 1274 0000 00000000 .4byte .LFB0 + 1275 0004 02000000 .4byte .LFE0 + 1276 0008 00000000 .4byte .LFB2 + 1277 000c 02000000 .4byte .LFE2 + 1278 0010 00000000 .4byte .LFB3 + 1279 0014 54000000 .4byte .LFE3 + 1280 0018 00000000 .4byte .LFB1 + 1281 001c 1C000000 .4byte .LFE1 + 1282 0020 00000000 .4byte .LFB4 + 1283 0024 68000000 .4byte .LFE4 + 1284 0028 00000000 .4byte 0 + 1285 002c 00000000 .4byte 0 + 1286 .section .debug_line,"",%progbits + 1287 .Ldebug_line0: + 1288 0000 98010000 .section .debug_str,"MS",%progbits,1 + 1288 0200F000 + 1288 00000201 + 1288 FB0E0D00 + 1288 01010101 + 1289 .LASF42: + 1290 0000 2E5C4765 .ascii ".\\Generated_Source\\PSoC5\\Cm3Start.c\000" + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 32 + + + 1290 6E657261 + 1290 7465645F + 1290 536F7572 + 1290 63655C50 + 1291 .LASF15: + 1292 0024 72656733 .ascii "reg32\000" + 1292 3200 + 1293 .LASF18: + 1294 002a 73697A65 .ascii "size_t\000" + 1294 5F7400 + 1295 .LASF34: + 1296 0031 43795261 .ascii "CyRamVectors\000" + 1296 6D566563 + 1296 746F7273 + 1296 00 + 1297 .LASF25: + 1298 003e 53746172 .ascii "Start_c\000" + 1298 745F6300 + 1299 .LASF29: + 1300 0046 636F756E .ascii "count\000" + 1300 7400 + 1301 .LASF3: + 1302 004c 73686F72 .ascii "short unsigned int\000" + 1302 7420756E + 1302 7369676E + 1302 65642069 + 1302 6E7400 + 1303 .LASF36: + 1304 005f 5F5F6379 .ascii "__cy_region_num\000" + 1304 5F726567 + 1304 696F6E5F + 1304 6E756D00 + 1305 .LASF20: + 1306 006f 696E6974 .ascii "init\000" + 1306 00 + 1307 .LASF46: + 1308 0074 73746174 .ascii "status\000" + 1308 757300 + 1309 .LASF23: + 1310 007b 7A65726F .ascii "zero_size\000" + 1310 5F73697A + 1310 6500 + 1311 .LASF27: + 1312 0085 72707472 .ascii "rptr\000" + 1312 00 + 1313 .LASF11: + 1314 008a 666C6F61 .ascii "float\000" + 1314 7400 + 1315 .LASF21: + 1316 0090 64617461 .ascii "data\000" + 1316 00 + 1317 .LASF1: + 1318 0095 756E7369 .ascii "unsigned char\000" + 1318 676E6564 + 1318 20636861 + 1318 7200 + 1319 .LASF37: + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 33 + + + 1320 00a3 526F6D56 .ascii "RomVectors\000" + 1320 6563746F + 1320 727300 + 1321 .LASF5: + 1322 00ae 6C6F6E67 .ascii "long unsigned int\000" + 1322 20756E73 + 1322 69676E65 + 1322 6420696E + 1322 7400 + 1323 .LASF32: + 1324 00c0 63795379 .ascii "cySysNoInitDataValid\000" + 1324 734E6F49 + 1324 6E697444 + 1324 61746156 + 1324 616C6964 + 1325 .LASF9: + 1326 00d5 75696E74 .ascii "uint8\000" + 1326 3800 + 1327 .LASF30: + 1328 00db 52657365 .ascii "Reset\000" + 1328 7400 + 1329 .LASF24: + 1330 00e1 5F657869 .ascii "_exit\000" + 1330 7400 + 1331 .LASF45: + 1332 00e7 496E7444 .ascii "IntDefaultHandler\000" + 1332 65666175 + 1332 6C744861 + 1332 6E646C65 + 1332 7200 + 1333 .LASF12: + 1334 00f9 646F7562 .ascii "double\000" + 1334 6C6500 + 1335 .LASF47: + 1336 0100 6D61696E .ascii "main\000" + 1336 00 + 1337 .LASF31: + 1338 0105 696E6974 .ascii "initialize_psoc\000" + 1338 69616C69 + 1338 7A655F70 + 1338 736F6300 + 1339 .LASF10: + 1340 0115 75696E74 .ascii "uint32\000" + 1340 333200 + 1341 .LASF8: + 1342 011c 756E7369 .ascii "unsigned int\000" + 1342 676E6564 + 1342 20696E74 + 1342 00 + 1343 .LASF40: + 1344 0129 63796669 .ascii "cyfitter_cfg\000" + 1344 74746572 + 1344 5F636667 + 1344 00 + 1345 .LASF7: + 1346 0136 6C6F6E67 .ascii "long long unsigned int\000" + 1346 206C6F6E + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 34 + + + 1346 6720756E + 1346 7369676E + 1346 65642069 + 1347 .LASF28: + 1348 014d 6C696D69 .ascii "limit\000" + 1348 7400 + 1349 .LASF16: + 1350 0153 63796973 .ascii "cyisraddress\000" + 1350 72616464 + 1350 72657373 + 1350 00 + 1351 .LASF22: + 1352 0160 696E6974 .ascii "init_size\000" + 1352 5F73697A + 1352 6500 + 1353 .LASF19: + 1354 016a 5F5F6379 .ascii "__cy_byte_align8\000" + 1354 5F627974 + 1354 655F616C + 1354 69676E38 + 1354 00 + 1355 .LASF14: + 1356 017b 72656738 .ascii "reg8\000" + 1356 00 + 1357 .LASF17: + 1358 0180 73697A65 .ascii "sizetype\000" + 1358 74797065 + 1358 00 + 1359 .LASF6: + 1360 0189 6C6F6E67 .ascii "long long int\000" + 1360 206C6F6E + 1360 6720696E + 1360 7400 + 1361 .LASF13: + 1362 0197 63686172 .ascii "char\000" + 1362 00 + 1363 .LASF39: + 1364 019c 43794274 .ascii "CyBtldr_CheckLaunch\000" + 1364 6C64725F + 1364 43686563 + 1364 6B4C6175 + 1364 6E636800 + 1365 .LASF2: + 1366 01b0 73686F72 .ascii "short int\000" + 1366 7420696E + 1366 7400 + 1367 .LASF41: + 1368 01ba 474E5520 .ascii "GNU C 4.7.3 20130312 (release) [ARM/embedded-4_7-br" + 1368 4320342E + 1368 372E3320 + 1368 32303133 + 1368 30333132 + 1369 01ed 616E6368 .ascii "anch revision 196615]\000" + 1369 20726576 + 1369 6973696F + 1369 6E203139 + 1369 36363135 + ARM GAS C:\Users\MICHA_~1\AppData\Local\Temp\ccD2Vkiv.s page 35 + + + 1370 .LASF43: + 1371 0203 573A5C53 .ascii "W:\\SCSI2SD\\USB_Bootloader.cydsn\000" + 1371 43534932 + 1371 53445C55 + 1371 53425F42 + 1371 6F6F746C + 1372 .LASF38: + 1373 0223 5F5F6C69 .ascii "__libc_init_array\000" + 1373 62635F69 + 1373 6E69745F + 1373 61727261 + 1373 7900 + 1374 .LASF4: + 1375 0235 6C6F6E67 .ascii "long int\000" + 1375 20696E74 + 1375 00 + 1376 .LASF33: + 1377 023e 43795265 .ascii "CyResetStatus\000" + 1377 73657453 + 1377 74617475 + 1377 7300 + 1378 .LASF0: + 1379 024c 7369676E .ascii "signed char\000" + 1379 65642063 + 1379 68617200 + 1380 .LASF35: + 1381 0258 5F5F6379 .ascii "__cy_regions\000" + 1381 5F726567 + 1381 696F6E73 + 1381 00 + 1382 .LASF26: + 1383 0265 72656769 .ascii "regions\000" + 1383 6F6E7300 + 1384 .LASF44: + 1385 026d 5F5F6379 .ascii "__cy_region\000" + 1385 5F726567 + 1385 696F6E00 + 1386 .ident "GCC: (GNU Tools for ARM Embedded Processors) 4.7.3 20130312 (release) [ARM/embedded-4_7-br diff --git a/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/Cm3Start.o b/software/SCSI2SD/USB_Bootloader.cydsn/CortexM3/ARM_GCC_473/Release/Cm3Start.o new file mode 100755 index 0000000000000000000000000000000000000000..b3e226b0c02d7b50d9badf6872c754605ff93b08 GIT binary patch literal 7940 zcmeHMd2C$88J~G?ZEw75$48tv&b6_f#DQdeB_X7NIB_6x4sdNkL2SBN?>^gG*1PW8 zXB0ybl%te_N+qt8UJ`|>rBa2e!=b+SPmP`qMMe89JM0(4sz)LD z;FP?uq5t($AH9F}Bi6I0#EFkkI869hXm4nD+oW~KXvK*SFBJn%Tqg#?#~SuD>}@-A zWOCrI!hPtF=&;X!;6&Y?x}lNN9SwDRcA%C0J?H=BWMn9^H+(oU)H+?O{M7Ci?bf02 z&%_B4`miecw)nl8if-teWO$BTtN#!G<14V;7Q#|<49Vk2e3kpQFR7TiC9C&AY{~Hb zkXu&O<1n?XntkL#RU4@b)%=KDxav;o!Zn)!cBm?w_o!P6F7y%&uIWJ=YN63Vzklc} zs$=K_f($=M(;KLN=TS(i3bgN}?!9B=uSZioO1&kY23-?+7HwE!l$#-)cQ3fmd1&mH zCP{t{LitOMT04b68|1GyLK2}{`3y;`Lqxtt?pdD;MGmpcbFV;Vb%Y}~Q1`sgMKRnP z;G^;sr3o0qi1kJx>*OCOJX0b3BDi`_$y02H=O4yGOVWttVu}{~chD6Sp~x0EY;@4b zC6ZHUyq(geO5RipdH~gezPae8gQu1tMr{Xgp zMaxb!tw@8G-5}aQV17&BZQeVISW~e8@FBPpwsPLnfYv0YF2W{gV0v)s1pQHdG5? zb&5#jVhE9inhax33x!?3CGx4rP%}Dj6ohImt0Ge~AKgfVTf~|uc44)$scn{aeI&x3 z63R$j$<1bDLo_b7MSZ_(qK&di4%9xl1D)&Q@?+$5P+wJYV8Oxs4Z;q?xeB47)T>H+ ztA;oBYJ?f1S7uES%)3ca-dyf(Vd@LYW@KCLyhVye*$cykCD)NTt{3S(m^%Y%EwkIA zTQL~ivsoAR7Ya+t4HYZK|&Y1b(h6Vt^)I+k{wlvi*Q{qdekL3V1o;ChLP{7f=kOr+eY#D@3<@&3eE zHlK*cyK~vG?um4|8;bS$Lf%>Lq{gz{%A`A+Pv>Sbju3-W{i9yW_2Rf`g-FkOv(rv7 zK1rtNWirk0!bnsi`ZSG#0)n)CwijB3+ol?|pF+ zs~(%l7qb(2CllinAel_hCec4z$S3nNQzDzs!p=*1GevQ|;}(+iD%@$$72~-=$`hHC zml7ox>B*EUMhd0za)tav3+9+5@9FRDi*>n9&Pf%WwXtiqkL>Surp6rPYG(b0- zT<5xM5&IGAy>Qc}-i-&vXSO6p2SDf#%k3GbJNhi5= z$&~A+X8l+h1CoJ@j5Oee>U~$67TEYAXNm!f!E6v>*WlomSQlq;MWK)@#>NY73^B(p z(J{w{-9j2mh&)^S-pJT9CZ;z<+mD+=NIaub&7{b6`pPCO(DVrLUll_v$Ck7Df2k1t~WCs z=k5oOh_VQzgyP-8)OGr1i02E`ia2iYl8obKoUxe+B-3~SVJkW{Hin#pWl5XE84R!~ zB<_TRxs@%>mE^K{$9TlzhXf55~7CpbOeDFN1cQ!Bb|6 zc@^*#GtUTt(KaDszz$Td0qq$7IBL+}-Qdl;0c7cwF$Oy5Zyo&csX~ABWBgqQI_U5F z;3rW{G4Z(&1pRG=zaGfw50Ai-zayaem^16#0O!UB#3lMZ&_RDW_+wo3$8&DtV?2z{ ztos5moQEmK_ahJl{ds})V?4&+QPA{f;_Li`_@0sgvv8%!2@#EeUWA`Qm!EvL@Ab^tNwxF7E z+1K;|sX7fP!f%Z3Z@#LeiQ=Vg@?a69#$Oa2OdlE#APCx-Vsv2;!T7eq-!P0A-(pnb zZ}}X5)9A!6MN`V9l59m@y^Lz7>JgqH2!5s;pGwRnO5^i}{f6D_P-C1&WQEhj&i7gq8h*k^qM*P;A_I zmG-sDerL&sH)6Tiu;%#XQrX+ajajMZja4o-cBWF_pzaJ>aNlIbjmY(DoMX={DHprc z+n3p*JX1<+bu$F*d9usJRwpya^JJ9^{_3N~XFmBQW(bA0n9C!%1H~3A)bF*)*(S{L zLg)?Oj{V=J`73};_=VgR=+AMlp2L4Oz{f!613c|-K&5~FmNp?Dz6dmh`ukC-pG0lK z{yz#dh58>G21x!%&694#{yw95${X>I_q-{z34Q~2dZIIfPn(bV+B)~K=|3~g z!&>jZE7*^xj#y2^Mk{_L_X9kTzlTJ)7S;-Z|BC{~{68d7&ikCWMdNmjyER^}@k)&c zHDcN8vT4(<2@Q5(D)6FKh*eBjVCp}s_{*Y?`k}wF(Q5ci#4v%c#+1PL|mD> zHS#x!@mx);5#pdm{#BpyTQ%NA#1F(h8t*0IJ@GBA|AEG1TK^M`&ktMYV;2$j-9&6!zs3uQ@Vi~}do&&(!u}u;7iUT%|J+Y|zPpGwYWW>R z*xyS;9v#vAF^x|VvH34*{!bbuezw?eJ`rEMZJO`Y*r#!;Mr@Co7w>E}KaD*9mSeyu&$<7!iKddIHaoho3qLME_+(jC(B+_BRt@r~Gy3_+YR4gIDpP bAFdYuhnCn(ME_yUUqOVQoaWW~!{0vv2GE2q|x}V4Y|M)$A_xo+kbKdXIIp>~x@A;f_XN*T0w`>v@7ZF-G118BW@qiqiy?P4X=+x8a~)y*sziH z@4dU}(EF<1dtrlf{Kme*9Pn8T&G9-hV7eIZ{Mg#$My|+N7p}OSl|8wMpV81!T$FSjWliDF}Z&Gb(!%J+g1V zNY#5sj=1pWpo^ce-$q8N_U}8gZ=`C!d+&`@t>3Oy)xIM~j<~bmeIp0p5d(%)?LVO3 zeRuR8a_7(i_MJlq4rAy)S>JyBMhv*$Y2Oijhu*;g{vU27=|=Zsu7AhW=FgOWKac*A z=ikrE@MpA_<6rmr_s@%eTzo7l@`dP_|68xhh0gGchLl%9MqTAJ$|1OT<)5s6}m$ebfTJpJ&x%uzn@_O*Om)8{}@wJP$i`h`VaPjkKHXI~JKl%4|aX$~nUT;m-o5&?f1 z7uS0!pI_X^d>Wa`@*a+U^6%~9x}twxc%EJe2C;x@uf|6T!QquIEHAqJ=sLZ;S+B=O zMx4$5%EjefSjzwR#~d;DFuFXiIqD0I>}6$SmWWwYMrP%Id~sYxX7q8*zGY;#{|DK5 zbbm$GWnOuqlaV<(`bpXaMIsrQ6aR5`{z}xT(GSsEM&_F69~%F8)XC8g(OX95spua^ zvd8C+ZhUly{d3F6OpaRPYek*oPiVNoV@;$FkC)%VUf$Lq|1W-A{quN&9G&mdYu}t<$_FHQ{@WS%ey7WyWnTkzXwl~C*tYyE7;3h6Xc)c8S0feQD%j5AJ`9{rW3`c?$e{%gC$|={TaBd{2DGNe}J!&_uxpw?EUdA zj(+LFEhF={Ait!5&u=m^D`1bWi{rGsb~ulGC-&^U3RRvb+cL68ra- zk@-!KpT%X=&%udZS@|+tPA-Sb%hmB!asymJZilPM{c-%o&EffSe~>?cuTy^(PLmhm z&KkcW$Unl5s=p79lfTE0$-m+8avn}Zr^?0gGje4-O|FNZmD}R!a$h`4z8^m?KZR$@ z^YA=*Ip+WW-ZC;b1^GVwviisIeEB?nMJ{kjB(gv*jTg$*@FKYZepT*(7s~_jYw{?( zM1BgtF3-hF<+t%0@+Q1Y{sMCsvhM$hApZ-$t$rfsdduZ<_#L?xULiNf@5(*!N_iN5 zPacO?$usbOrD%ZuuB4hecuInp5n~E>Q};L<(9adJQSCgr{b&R<+y^p7gv;j!6|am<+0mW z9Xs!UuU7wlTuGjVE6b~K75NapM*bUDm6LhH+0|{SCOfd<@r+ zbMXdaO*sW$FSo$8AC}MIv2wA}k;o%*9h@e&!AyBs z$LIb*{s{K<#q1zog&)=OJ`4J%g1i9l%#BmOBKGnd1bH9)nEDR|{h2|&0*_aJSI|EZ zOT>kJW2iSLH~G==Pnb8Oi{la_V(No}Q}?wo>oDAH=d{d zlh}{v!XV#*y}TcSyzo_ApJ;hCvHP8Z{1H4~{g;FO#vnh5Us1mhZ&rBu)v)ufc!By4 z1^pL;d^29C{_&unuVQRo120m)Blh+@5ajdlQuQ|m{qKT2F(ndtOZ^(y%kLEAX*kj( zl6v74mytOQ|9J7`$NA=hAYX%PE8mOrXnY3t`U+hgn_rFds^0{=e|wOR#rf2KA?U9P z^3QO7^-l-=f|X+P6r8Aj3+(L~668hpKxLIFRL88{2Q?IZa7K(2ZH|e zAYX=ys=p)Xp9u0?RU(mO^{>M5dORBic|Tl6{WM%wekmBgHpma*a_XPQ<>kw-iCuqf z?7S1cO8t?zg8XbSetD4Z#ue2+g{#T=tH!QB1v_tquT#Gdt}Z_ujGrCkD{&3=_u!iH zPr>*C*T&{4_<0F}V{S zFOR^F%Tw?Kc`1HE-ip)ZqxeZV6Hk;&UB~%_TpfFVGz;>c_!;#_;8}7y_UnIckT1vc z)ZdD8YX2P$^0WA5_48C`{*p`MSLB*_f!rJ~miu6D-vdED39nQCMSMhFi3@6bcj2ST zPvB#6?i#WCvpjZw3;tI99{9L?KRzKphrRu)g8UFZss69{w4A3V??-6+FUQWS;tcg0 z;xlp=d{(|2pOYWPKg&a>45(k-z0q zIMOtGzOEc~)(*|ctP|v|aGd%BalHHh&LOAcWcdYLMt&2QmEXtZnI%gJF*xj4=xSHOAX>v3MWG0rD<#0BL3xS%`|7m^>tiSi75 zsk|5$mfy#h$vbh9d>BXCWbeOIxPbQG-$7pJM)sfjrE$Dm73Yv|#tCvOoKxeQ#@826i{sF#9{T;Z1{1vV!pTsHhpZIFIKwaMN zm6LI0xhAe6H^SG*9dK3oc6_Zo0`o(VsTZD-8JTHO$6rwN=dC6O`AazMVobg)$T#CW z$`1v329DGEa^1}8+u!xK3rPuzuo$H>3nhvfo|__wr-%Wq7>$n}hzLApZqF zu6}_gvCA)uo!7+^)NdE`2L<^!JW2iMgZ{E0{{&A_pZ5}C?l0HEF?q43T#u=rg8g{j z802lR_xFGxe*{0H<;@8CuLt=@c$)fO2K|g6&) zI%@?PnOxh(okt+#4sz_u-uKQ#hCWGR`f(hx5pv;=J5`IKZ~)n?!DwIroj!lN;b$V6zk?gdALEAd z*SL}VGj1$%tcWy`d2U9U%IvF1Gx;{$T)qRhkRQgk%Cm4w`7PW^-h^ArM{yhZ58PHR z+%9(iT#cRA!)#;fgiP3=kRQf<)jx~-$$2|)elK5%`^(qk0rIVQ zpxhVpoXFb$58y%aGx$#VRXkY!0N*9=$3x_w@ZEAk$4F$TTow;xqDad{%w}pOfd}pXGP)FY*xU1Ckmu>f`K0>ga6b8FoL}yY z3&{83g7Q>cNPZnB${Vn^=RlC3!4^ z1^E$tx%z+L5^|9qoKMQv;!<)ee1$v+mzE#H$?|OM?Rh)Mx8pMEe~-(`aXmSIluP3B z@{RZ^xdW~s55p<)1nlj3G00b8=HS$<*8{F3|A;HgxqERwDPM)JkyCM1xjU{VkHFrZ zCxd(ezE1rQadr6st|6brHRVftbN(n-!L{V3xR2Z$=hEx_fgpbt_f`Kb+)v(yZG>#0m0ToKt=q=aN6ckuKTWa|pkdgRlK_%gFpO$m9EQy&IRE z7sDQ34QCDKc-}n7`{FpQ??D_dKZ6tGCD_Z`8025#oa&#&x#aw}b9|S}V=wRKAn%Ct zs(&}mCqIr0$a8Uyi@SsS`(BXm!Ufg;9v6}`ak6}Q|Jdc#z|LFZGU^Y&W#zHBygUo% z(Dp44@=x$p>L0@uw>@~*?qTi~nJza3YS$6(GmQZH;$M&?ZH?OPV)ALDD( zKZ>i$f8bQP$UvT7y1&hl2cB+(7*|g8r5u{~9+`|M#GO*&VTYWz0EO z*8XUWUAyh5TADeq)e-iEmZ^EN&?m92C3$irD!rxRv^yaclXWVEj`- z{t9lR{`*eclj}wGug2Zv#@PF>Z;(HPyQ}{k?jgSujNcXHKj5C~=N!!S zrd$Sl{Wk}BXWU!;d+{K7N-+NQAm5DdRR6ztu>2SH^Z)X@V)GjKF7?}B_wNew33!P5 zb8&*6pDTlW2fkbVZ}Cw1FFZ^xGKAj)Bv-@Uf42ttKzxt-kKz&XoM8NWLB0pytNxFe zYnrUrU+%kO*Ix!ZuY(^@zdar$-yMv9BFN|A2i0GVN6UMI@uz}3_fXD1)Gvd_$Twj> zo*jdHC{9y99VhGYoFC-x<44usi^s`7;>YA%!}$J}d?kKdu8k+ix8Wz`!8l!h3_mH) z!4u_`_$hfeo+O{ZPs<6z`TZ?&X*@-)ji<`(@H6rdJWZZ}pOxp~>GEpKHFDPTYcHND zpTf_{dG6uo8RfG0dATm0EqB5%$iwj*c_MyMUV!JyYw=6+0UYU?y}f5~ZaqH=j)=`G zVy@YX>?4j8FTFiTtS^J|Y-YECRo8$-ZW_b$UBEO2a%ImP#e;~*+ z@HX}Hj^X`&xeVSe--vh0x8Ys#op`rA4txDC1o=C7kNThB&*X3LUilBaPrmG7{(ga6 z8Sj@HVXwbukl%+7s{b_pQeKD;$sgdu^5^(#`9~b-p8a^{8XLR*E3xw%aJ>3$a1Qwn zoFG4fbIH%)-11vES>B3$|Nk50zvHs%U-}5gZ~1CmUapTT$X#(o`5v4iPsCpTD?$D~ zuB85FxU&2|Tt&{2#`_m?Nqntb1EJARmY8tN#LSAg{z8|7nn)zc4_}$*Xa1`BU6SK92dGPwItLWMpRIG&yNJ@84{l!84As(mxfuMgj$n!nU`GNZ7vA3sQkaxuC>JP*5x_?gw`78KI^*_K<<$XA( z#{U%Lxh8PEsQ#6BntTI(R&Il*%Y*Pt`BCicogL)MG2f$0y|76cncMIz`6%}Go)7ZG zC%C>;KLyX0Z^19fUGW_G9z0Ke3VVAO2Kk5hW%Uo>`SRIde8KeCydr)@{rY&Z+#UP* za9@y5#*seR`|HK1b6nVa(c{a~AYY5))ZdNc<)b)Oe3@a1x6 zTuL5_y?u`d`5b(O`tRV<^2azuK8UZDPvRGW zAb$nlto~|TPu`7N%HLxz@9!WlGKu%!)vtnE%MEZ3xhwYah6ni*xTpGaaW8oV9wL8& zy}YAA{yV-~{luqv|5vVn$H+HhFRw$8--RDme;ghw&%$Z)TiDCn9OQ@aqw4>R$I1C7 zbG<2-!9IT04)Rv`arFn{3Gzeu33)nBmtVt^hHnJ6&33!b>8-E}#$Lr*e zvG3oJApZrgSO1b{dA~s}k2lFTVc)-YL4F7RNc}XtS)PHn$Zz7U@h5>Zhvv?yej^$ z`c3gsxd%Qb-;2Hd6NCIE{H^+{@OSbK{Js1gJ}&=(Ps@d8#%}-B*!e9uL;WuJjC?mf zCy&S8{uhFL8U9)QkMS?^Vf?H7EB;L`@Eqr!eIu!S_$vf7Jc6 z$B)E)@@J19ALO&~vaBa4f1f(YKaS=XhqFCLgFF+*X?>+;vAOb%IEUN`C&>5Wobpth zOMV0Ambc+N^7lBeobWv7!*Us%U#^D>$lY;4c@!=r&%l?+%W&rcG1NlMRP@ah!$?xFC@^0Kj z{t-8o^UdSuqvVRXx!ef1ko)3W<*}HbnM;lQbIZtl0k@J@;nwo!xQ%=Ux0Nq>nfDvz zD!8589JiMT;tuj-xT8D|calHAo#lhLi~K9@Dksh7{YCjY++A*sd&qa;o^m?wB`?Ii zg_<)`r-@)A5q-h%IxkKw^`+yZ{SNiL0t z$T#7;Cmtc6#P`bi7Dgf? zi$}|c@I&%>JVq|Qi0f&&79J~iz>mlyaGE?7KPtb8$I09AWAX_+Ue5C>*UNGRJV9=R zpOE|EbU6(_DbK|dMo|AwEIi!SDRTds+x$nEe{`5yd?JQYuq-@?zzJMnb+ zM?6C=@EX_8a%KFS+yc*%@5Il`>3FvMDt z;Q8|N_!W62ULb#l7s{vcBDvt}TtCZ|@M5_seogL=m&lLe*X6l*sr){EL*9?ylz+x= z$%U6jIABFm`N)lb9}xTexDifJ-WTVT$KqV_3plsD3g?kO$9d&5IG=pU8=T+DRdABr z92b!X;-d0nn4jTJ{pap*f9B!h@(1{G`5-PK|B6e>NpEs~CtruJl3U{n@?E&1oQ_lE zh4^ZD1Fj^0jVsH4;+pd1Z*hJl*TS{r_V@;QIIb-}jc=5f;5zaae3N_(HzJQ{bC zpTphd6}Z3rDIOr7!UN^}%bDNh6g)_7jPI2D;lc7F_%3-49x1QJ_sRS5{qisP0XgX% z=2y8oeo$_UN6SO;L-Hg%US5J9m$%{x@^|FM_sMy?tc>T*Y@JzW2 zo+UqwXUp^P9C-_#E1$&c+R&*cVq zk30zPl_%qU@(R3PK8O#>k#+ohoLm7PmRsV;o!Q5ikvLwSgA?RUIG219=aG}v^ZJ+T z;sSDiTu7dT6Xg}SuzUz7$q5^H{mWHwak&F7A&% z?t`1jkK-2d65LYWg<1X?WxSPBO_mF?Zz2s6KMK}S8 zr1Fs)zmFSxe&~YpD1R8|ljq|C@)lf3K8X|MOE>fQ%C&Hk+#MH{({M9+A#NdW!!6~X zaBDef3;S2T5x0|j;STa+xRbmXr^`F=MEMM!Bp2Jt@lCFar^1VO$kF{_Q<=t@~ISu!d7vlc% zHat-N2@jH!_A>p*H{v03FFaI!3=fwV;}P->JW@V`@0W{x&h@Zd7mt?v;xY0BoF>1H z$H}|#c==~MK`yZ`_Wbx3JW+XnJV|~MPnO@rQ{~U_H2F6?UB2Rr*z@BCc$V@z@N9V! zo+H1F=gIrx#^51y5T@@l+Y{u=L;6TXZ+|GygVQQjKw zmG8y-U2wzn_oG${*wM z@@ZT_F7{vMU%4KxBoDw<z=xTDO!atf{`x52gL`*9ulMO;_jgnj+? z1NQY>p>JZZ-)dlAzjeXBetQ`E`fWb;_1hNg>$j8G*Kd~|i@koUg?;_j9sBw%4g30S zA@=p#Htg%SpRljj3Vs`VzF8UjdaW(?_1b;d*K4z}uh%}rzFs?qeZ7|VyV&css@T_S z?Qx2(A4cIy@{72Nya890zsJ?&0^jrek*~uwaIkH^#G*YI?CC!Q&v#f#t736Q7W$;FI#Z_>}x5 zJ}t+cVt$k>;&XB<{EK`q_VxPn*w^bHVqdR+i+#PG|EJjN_3N;&*E?fhuRn}^z5WVL z(e?8-TuIKrRpjEQdHu_`;A-+fTwQ(|*OXV_TJo3J?-xWeV&5;g3j6(n7TE6>48wlE zU^@2u1^>Z*zu++T`vp19aC{yfN#!HAjLgfTF7OZjak(I`j`^J}sTUddVlS^*koUzM)PDeXk)OqVSm%v_L)gW(*N2=cwkCGq6Y4X$9%X>M&q){z|-9-h-FP-(xTD&mb@QEAxl?*Wguh3%pM5 zjlH~)K|UF8Qhy=dDsRBM^i<{*Sn}obL~gfAW>s z%c~jWt#Kpu2jFJ%INVl#4tse^gM2gYp#E35i+mpUkuUi(c6sHo^Ln_y`W^8gc?2FV zKY_h{bAo&&9;yBgJW4)+)8tI-X*fdavkjTw+r&YI7$6+xVSt!7{5Hox8YLiAH~V?`CxqE z%-FmVF0XzAoFaF_-u@9mJ`q<@e?G1zuMWoV3Gx%Truy-bxJYfe1orx?2YCxzSN(oC zRURFTpBCgxa3l3M;AZl{VEmaN&leXLX{ml$+*ZB`d;2>C`Q5mK`V(*$`K4g|sv!Rq z_fY=??jz@jk6nK$?EHG%U;Wm2kbFllJ}taiY<@MKq<#ZDRql?x{Ud|?X*^y1 zMR=C{VK9DwkZ0gI>gUTD7nvuQ!(M;gAn%A5s6P}hmY)d5&kOSZ;HB#C!OP_T1>+NP z#pYMw73$Z*tK>G=+dnACAH{3bpN%7dH;e=f)`$rBeTrG6!xEZ4{0e_ew7UR+-N$v8z`7>r*N zYu^YcDRo`I2b=J$minz z>aWIw8}L;5U@-nnkQXY%@n8K)c$VA%d;fI{^84`|^{3%^ z@{(Zuh9EzT7pQ+8FP01Qk1Kfnm9Xd(eo<>kTnZ9)DW-mZT9rE!tnatZA9R}b>mc(3|{@qT$+ zFn)HBuf&Jc--D0HCxY?ug=6#5_?Y^2@Nu~v_Vy1B@(K8)`t$K=d37*;PmrI&=hV-4 zSzP2dxh(ej>jZfx{HOXOaOA=4`F~Y@o-|?Dy z@p`jcwEyqbXY~E$5kWo~C#k;#7ne5#;|~V;FSwNYg^Mu%%ayR#-yq0);qvN_#wqf& zVEmFG-;ArMe-u}f&j;fR7mdxU;+pEWz_sOm*xNrk$YMzIq4&AK%zbzPlG|2zP zjnpqujQL-#j=laCK|T<-RDT?9E6)zbFAwsaxP$s9Fu(IRYy0Di$F9Ewc3unjP`@4S zBM%P7j|=j-xWD?V@gR9mF#bf4=enHvU;VOpxLgN&|FsM9p?IYF6Y(f{elUJ@knh7| z)IWpMOWJWpN{jNcIChw%dS&*Q~%;Zm{duY{d9!AsTehnLBtgYnaX{7t+<{cU)a zd^8w;KFEt+!Thg&b-Ye)fxZ9w1^HOKN&VS)tGqlIzb(kW!`s!5FU|Zfm%v_s^&oGJ z_o_b_@0Z5~<7Wr?N_W{#Y(b>n(iNW~!LB19zsDCipm(K*_^OcFsE8qg^ zH^7NhAuf~JqJ;C@BL7uAu^S}CK@o>2g_Wo-ZLA~T$Ebe>r^)#$ z#;(6Cc3uyUSHBxhmq!HSCkFWH7AfJFwsy`o}mRASk_XPPVd`|s* z*D(LfWwF;^C&)YDKh+v|jFZ$~f{V)=g7F7~{1;qG{leEW|I3xJ*WW0}`{MHIkHsnSbHVs!LB0c5QU3(4 zCg-RYyZ%zx`Hi@y`kioXc~~$$J;)d0y6SJhsq&Y>_;W#i>2=Ki>Q}|h^@*i*q^>bBcewVMrUVrT%?}&S-KLYoWp9;n=2=eu~zxs#qAo%9mXqyZ$QJd2>8n{egIv{75i$a=6STb9IFGyx7mzpOMEL+tl277fIZ~It)}Mr(SH$JjzY(X%x8f>tPh3sD2YdOC z2l*^qQ~f2lw!8+{m3QJ)`6%}Ce+lxuH#0x0UlKQyufZ+lTX0*sJ@)eN2=dXmgZh(j z7kMu3Auq#y#>*LJji?Ck?Ie{qvSL^MxKV# z%ug8n!&+t%r!qguSK>8t4ZKcnioJc^gM297q<$LSDo?}PVJS!KabPp0*yI7%BAsC`8qsZZiHvaUGN-v2%aaWVQ=5`Ab%AvQ2#%8vHS^M zDu0ES$*1uOIY$$Ye{wOrMy`z4$+uu{U;7{*h&QP}3U8Gs;_dPryjy+?@0B;;{qjD1 zNIrp&$eGyNm((;iPr=93zX>0gTjP^*Uwm4=51*5t#J|aN@t^WLI5I!`__q~%`@RbD z44j~TLNktkaxq*$u7nfidN@gLhl|U1;8OA!oGd>Rb>!kLBXd!Zug2xo-+@!)Be;ru z7FUyVH|O{#m&CQ@YPhc47^lkJu(xkmkUxeSsXr4plV8Iv6rkKr!z@3@Ct zs0GJ2xg7TP)eiEOxWD?n@gR8w9wI-Ehs)37k@6dOl)M3tk-xxc@=5INi@P;8FM`Lb zpMulnoA4yLHJ&Q>!_(#a@htf%JV%~~BkQtXAItE&xwD^t>w^41)Vh8<6Xch+jLoY> zy&-$~&4avOkUtva^P=9AJ^nvY^ZyICjLbbjo)P4Uttfw#J^t#bHNHWR_YLy2s5fVi ze?G{U2l=N#emd$c+2ixJ=Jj-O^SQn)i=EdG@;*3Ct$@)(>hPsWqvm+@5jZ9HB6 z2+xua;5qV-c%GbzUzQ8EiHppaufhxD8}LH81zsfg#QcuIe|HJj`}j3E4KI=YTJtsU`A%uAg_;qx+FXA5affS zd32Hg+%huLg8X?r{9?@WV|kG8#0lD-6F85Y>o(>mxhzhU>)|B18!j&2k4wqZaI*X+ zE-!DxDf0KYik#4n`A05;`8#K+7w>;PTvP6jYssTim!Yxz;!Mt%XemEXm;$-8hn`3Kxy&e4(iSiS;xlyAVDV zhsyaobNrUeW52#{4)U&ey!!Xybom)PNqz%Ql|RPQnopOQhagkke z1-x5M#h=RE@E&<2{!E^X_sWa$=kf-;Pd2Y@ycr*nkKm*7d3;Px>KPaLPOgfN%gyi!xgS0$kHM$pnK%-cegBqY ze#Otfw~Wl4(L6poKNih3|DO-?%X-BgAF5!FZ-x`Jy#6?koQ4a?b8w=(3Ma{XadG)H z=I=CTJzfQRGylsexV+p5r^tPA6?rVKCcl8I%d2or`Ey)LK7(t^#riP+%e8S`xhJkC zKY~-`S-8IZHf|tq!wuzca3lFo+*mHsm-%0=ikr$!aWlCOZZ1EFTgcDiTje)!OL+@! zCI1)mcg(XMuRm}bxkx|efB9N`o7^0?lW)iE<%e+x`8nKCej9g^Kf#^lZ*dnn6L*y_ zzn%GCu7SJDt#A+d4%}0I6!(%}z`f;naUXdX?kE3%`^!1{Gylt1;6d^Yc(8mM9wOg` zhsuxRG1a{}WD^^ABMDmn-6_azi{_?u}>358*lTb9kQo4qhPd!i(jT zc&VIsAoIUm0WX&u;uUfqyi$G`uaf8E)$&HXMm~<$%K7eK{+G+)^>SUjLGFk*%0uxc z`3d}yJP&V{|AV*4d+=8IfB0iLVG#4bTpIH`9I{@owefbj9o``i!8_#%c$Yj6@0M5N zPvyOMk9-P$Cg-`6`Cl%JKbPy`eR3!Kg*+VZmnY%_@&bHNUW>n!_v1tI8T^%8U@-H) zTmgSAr{W`WH+)nciI2&X@ptlKd|cjuPsoSxN%03s`8h}S<5>lJ{%;n|6SDJO z(OmQY$RK|j`}nXZ$T#2wE$=YSBcI0w+$B=i~D7TAU(( ziL1!J;c9Y`yP5yx>bR!d2G^2@;M(#txQ@IW*OkA(_2l1is$6&|^S@jf^E*beUXP7% zL%AnzB;Su4%TsU@c`=NecVd!j$6z3;WqLV+*W=K z-zIOw?c}d;d-+$~LB4c2^S@jfcaj_9&T?m7m4k81LRsH}^mk;1s^3QmVeCfT+|ME3>f!rJ~mIvac@?&_JJP$9IKfo*G zgLtLY^aC}Ohh$A_(k5`MZ=l>1SJXdzUKbmX)KNaM;AB;UdBx8@Si}{^T zS;xmNIFCFM7m%moM0qJrlDFdG@-bXWj*MphmrLRDa&4R1y`4s;+pcu znBQ5Ib^pG@wdMQ|G5^a|a9#N}Tu;6ir^=IXeR&~nAg{#@-w<$`0G|K*CfyIddlkh|la@_o3MJO%fbU&DRmjkuru z749$piU-P6)8{3>1`Z^Vn`BY3I&7hWcpc$E2Hz5%b0JK&Y_J$RKo6R(!v!)xS2 zc&+?5UMCkD$NVo>!yDx0c%$48Z;~IvAIa14X88@gMc$0J%3tG;<=^l&x$tAm|8f<) zU2cMR$bIlmc{JW7Psh9EH}R+PR=h_(ia(S8#CzqURoKG?T%U9w~@{PE&+#d5gGPBM{?#5l^ zCvZ3UW!znUANPsknE&Mz{Hfdk z?~!}p&*b~@UU@41Twa3r$(u00qc-dHd>HSSf5Qjl!qb@lX@JYEpJ|&OEk-XXaV>b5uzcQNV%g(n) zbIt!pgZvNd`9EoT?D%RpLCb52^T>m60eL)5l;`0jc?~Wu@5iO&b2wQ}oWcArSHUTA zb6iCph^xtu;p*}{TvPr4*OCw7+HxkYBPY*f{+Ao$dh#7ORZhe8<>zq&c{y$>`A*zR zehl}PU&MXn_i#V?Q`}$vA08;@oXz|%Ux^3HH{v03dpuOW8>h(=uwUOV1^N4Uy!v0@ zbonfvBwz9Z^S@jfPnVnGS@P|8j{FFoC%=Ff$nW9B@?N}D&cMs$LUWk^<;r-4+zhXj z2jErmM7&yl9j}phoX%m+RmiatFLq9*TF#>3Fw1AAc&Z!F%L=_%r!5-Ye&OiTPhHkN3&- z@E39yyk8!H56F}7L3t7WQeKA-$p`UQ@;Q81E;Nt%UrxbCn?!u&7afNRMe zaBcZP%^OWZ>K8Q&^jvXJ>-z8bfZ8{*b-Puxa+0JoK&!MDk;WBv_+to`{BZZCh0JIKG| zj`C%TnE&N#aA&zG?jrZaUFC;xH+cr`F299)$RFdL@;A7b{1@&m7kicYU%n3alW)cS z*Lanju!Q+vz6!6A zTi~_w5WG%)9Iuz>;tle9c%!@h};wpmHXl}c{KLx``I9W3y)X-6Pzx8k0;4FRx__fyO2GpR6;cgjZ%#zdr=fcQ7M#U-}ikdBuOGFqUQgeU;mtU zPOh%&<@22Fd7k_J-rr}AIpy(AxiQ`)_rkm7QFxC$74Mao;(hWqyk9<#56FMxgL2eY z)PK1mJ}fuKN94ZvsGNk4$useBc^N(-@4_eLi};ir@-_8ej>c!?s`#v&fX~YV@dbGd zz9_$gFUc$LWqBXIB45UV9Krc3Y}J43e=H6}1oLWXd5*yU->#)LP0J&LdC#<*zxwBP zE%lju@;9;PkA?T-8*r!|?+gx;@8EDb>KoPva%CJTC*UY~Fpid!ag014$I5GPoO}|O zmH)&QXfvZ{c=w?zOBB=vzk?6TEASzC zA3iK!#z*9gTUZ~+G5DBV10R>$;S=%@d{R!vr{wqWX?YbsBOk(N<*WF-oMkKP134C7 zlTydUy!-}!SYCkZ%4={v`6#Y0|B4&PS$9(ZuSs8@Us1D?f$X$*<$~@&epJ zUW+@*$8nKdi~JqlD*uW3PSv~TTjT-izg!;gkQ?Kj zaxc6~9))+yQ}G^oDc&n@!~5j(c)$ENJ|IUOr2fkl@gcc6J}mdeN8}`YRGx{C$;o0E%Jp%S+!II3 zqi~Eo9mmScaGbmsmzA&J3Ubz?)PFe+SC$)KzN7c=^Z5E`saIHE=z-Ev_#Q#tr1LxS{+GZX_?qjpaSKiTopODyKhA{g(^k=5jUMLT-&) z%7bt#c`Qzl=it`zO58@?kK4*W<92eU6V!jX2<{-)#2w}KI8lBacaq2B&hk9mMg9hN zl@H@?@-^ID&U%viFBiu><%e)DxfAXqKZX0sujBsm0?cxhq~QKZlpf zQ}Ji==XjaC2Y)I5j919H&QSm5N_dr=h*!%a@EUnCUMnxg>*S4iy?hF9kpI9N<%sX7 z|8gn3S+0w>$X)POc^KX%zk#>Q3-Jzl9o{LQz`Nw%@oqWdEcIV5jrYp+@jkgb-Y*Zw z2jofkpu89#k~iVQ@)>+Y{u3XSBhOL)i_wB^1ra_f8Ou^ zd;f|!RFBsZhsgtRxI7L=$nW7uc{PrbkKt(fcN`<8VR#SP`C zi`0Ml0o+(_ikry2aZ~wu+)SQ^o6Dc#7V>u7QvM#dl2dVloc~Aazg!8okz3%lazETo zehIgiXW6Zo@&x>q{4ri7@58I* z8+eVJ?F#i@E`is{58?H4BHkcBfj7#p;!W~Ayjfm_x5x+aR{09vCWkTkZJU34BP7$A{(4_=r3VAC=$0$K-|h zxV#RZkWb)~^6&VR9C3~MFPFw=Y5O;Dh`bP|m)GG;@+ll9-^7{aT)(9Y zWRc6_ta3vfF89RQTu}ZK^PL=b-*4m}=>mo2@;J~sm_LN`>is6% zlRt{nY5Ng4M1B*em*?Y9c_q#u@4|d1$KBU=4rh{Y;s`n0P4>536z7tw;oNd_94SA7 z^T^L&enIKo=YKWLq5tlHYpL(tldrfZ-8;hFMC{GR+KUMkPQpUO+|XYvNTMm~Vo%HQL4@-4hY&ip6! zTrPmO$>s48xeh)mx5CHd-uR+C4F4#P!@tV2@J)F!z9p~5f6DuDAbs$7p2KByJg?uA zXS~gL(DwOoh+GnIKR9D7m&Zh_sPHGXgTX&%!hIe zE+kjMh2^F=M(%}+$Rlu3c@i!re}rS@Z*Xz>2reQ2giFeQ<2X6u?{tAuaxq+5u8R2u zN_Xd#KWEyL zDX)Oj$*pjR{3K2aHi64?5$4T<|G;4mC zhm)1B!HeZn_!IdKUMd&J9Kw1kINqw`WpW4nr92F;kSF1<C`<`OizfmipuW zob(TwA8+52=gyM0eK0TcKl2qq|GQmFt&!%t4bQdICTY$d%)8=v`5BxfzlN9Q2yXu_ zUM4Tcd*n^{fP4@ik7sF@d ziuk@$jMYvYBe^2mhsbAwz<@<4jd;d>n_$ zmvIL9FPu@%9>ID}E{wzE3OKX;FwP>k#aZP?ak%^}&L)q?+2vU{hx{>)kiW$_<-ItU zd=BT9f5VY-rkt$j#`4%oE=gG}_Pp*W^ z$_coFJOo#kU&YnsdHAZl9N(QzsaMd~@I^UW-n8{h@bwkMSLJf}hFlxp zlw06CayQHuAl*HFga7B?d_VG@d^`@-_Oo%A{3(u**WpO{0FIHb;8;0RKF)VJ2A|0p ze0`PidAR|;D0jtI<>5GxD|r7m(wsZ!1vpe*gCphL_)MYT_Gj@$`FDI(&JxA`mJ8uP z;o$wN;<<8jJYVjGm&(KOGWiX>LY|Lz$jk8_c@sV$AH+xG^Z0~(9iNdy^0U9?ocN*~ zgD=bXP$y@j2AK_4KzZ!?h`*65?0Y}KUaipB1 z0Q0+C4DUz{zMh(RkDP!H$dBS9@(6rFeiNUO=i~G8N_#)*iDv!wFUg~Dl3WbO%jIy4{2=!8*T-SXTjAjC zT5A7${37=APsG7{TuWVek5}RJcOQ`Nx5a*cXK)7Pf867&1zBGzFN`zEm2mKOEw%YQ zdCzep}?ON(doKC)iL*#TZoKJEj4wd6@2Dui_C@0`dav#i33*!Ix^t|8UIJ5j3&LY2q zv&x_12zeupl#k*h`B(gsoV7?uV6+^I$H=wuSh)ipCqIsp7&Pm&`_h6ER z@5)p0d-6g&PyPzemv`Xzh7Rr(ML%9V0NPZA6lAGhjau58m{3Ko~ zkHw$L)A48WBK*0$8ZVRg;xFX$_)GZ@yj;#wivC3|h*!!L@K$=Havoe*E{$X4hj0eb%A;|TJQcqre}qTNtMSY7K0HRgfXB*z;&F2J2Ux$$#qgVQb(|tM z!4u`qc#=E_PnJjFDe~+1E%_ZhRbGN;%WLr*`7oX<|AOC@GgV~$AQ#5-}tm6OI zQp2kA`Wgpc-+eequ7H!}`Zz`IjHk;(@RlaQ$9o=clV8J! zbK|%OasJB@I9e`@3(DnjA-Og#EH}q7au-}g9*B#|&*5TnGLDs};o|ZKxP<%# zE-7!oaq@m#N-bIi zeVih%#1rM6c#`}bo-F@{r^uP>alJq;h^NXA;MsCRJV)+^1FeJe^B|l~eh!Do$vC|{ z4Ts7f;0*E?IHSA)XOj2hF!=(`EdPeH$f5O_pXDeVF2~_)a#fsNZh>>iJ#d8l7|tn= z#JS{Gac+4Aj+7VTJo0j!SKfs4$p>+id=clD|G)+0j18EdzaS{1>TvVQbi^;Qato#u!F0aHTF^cmvAZh7A`G^HDrF4qj6ceEG{S4 zz~$xE_E04$P zz~hYI(ah=k&of@^3OO_4sF5uM~=c7<+3nnhb~uaN4`-D} z;Ba{&&L+Q)v&$=SguD~yl)uBdsus)IV;EHk_t|ZsQmE|_Lirg1hl}F&7@|*Y(c|PtXufV327W?rhM$zX;-}=t@H6r#{H**M9xl(s&&eO*5%NkrQr?P3$!Bqrd=0-O2Rd+l zNzQ>^mJ8uAaydL!u7$_R&G0L7XPhh#z~klN_*FR>za~$|6Xb>Xb$JDTL*9bll#k#P z`68Yu-^7#Tu#Q~MlB4hxIS#)iSI1N3#(0{Xh^Nc_@eFx5o+&5eS@Lu|TV9CYmRI0+ zjWV_u(vZX`EHAj>F}KI6`iR zbIHAMZh0ts7nOg(#pJ(mtemwo^;6D| zOUfm2oLmK$mh0m(avNM$?ujeNLvTfT6s{z{iYv?0aaH*PTuokvtIO+f4S6rFDWAr* z-Xgz& zx5;ng?eb#0L;f1?lDFaA@=?4;zKHk9f8hOc#%_F`UCx6K$+7sbTnQhM>*8Z_YkXYp ziBHIn<5Thr__X{w4s;35pR;j@ya=b4zrvyNR-92jf-}iK;28OLTvX1`o%uzM#IbTQ zTtcphOUe)9I5`2AmV4kb@(^5Bejb;XC*b?#SvX!^gddi_#&zZGxSo6**O!074dmOn zp`5h`*PrG4aDrSGx0Y+;HgZecR_=k@$wP4m`30OPPr#k!nYfF*5O=8acDo5e>Ex$zi2MppFVDcC@*&V~W=JEmDLjDQ2l+*QLyvtFzn|wd+E;qn~<*xWK`6)a^9*;-KbMf=?a{Pk4 z6DP^%@JsR?JX+5ADC;e`1Rg6tgvZJ4@GJ6TI9VQzC&+K%H{=iToATExh|e2cfr%;p?J1D8ow=1!|%w8@f>+Ao+}^5@5)#4dvb<;d_G++ zfZvxZ;Sc1-c!B%~UMN3<7t3St$MRIXR9=ifl{ezgd@SM{wYgKs+DT=XNc1M4I{Y&+S_3 z-`ETz(#B zli$SI<#%xo`Ewj0Z^Sv}!#J1xBhD?~#*uQi$M|`mTo~t-AHezKdN@jMkMqm@Z~^%_ ze4qRpj+WoX1?45Ukh~TbmiOZr`FmVM{sR}4GY_GElJCQ@ayeXFeh8P4TjP>)ZyYB- zjZ4X|;L`F8Tt;4m%gW#2a`J9mUj7c>FJH$MeFWf@T@dWEjxd?70SHcN$1Ke8f zh}*~ma9epKZYRHv+skus2l-RnQC^P|<%77B`~&VR-@;wwtWUCDmJ8x;^8L8G{4nkz zx5Yi>NAV-_v$&T$9`}}K;Xd-m_)+;=+*jU<`^o2UfB82&K+g0OKVOsc<3Vy6JXo%c zACp_*A@U>marr4cR33+)kZ0g0<;D0Z`CB|p-iM!-zsJwWH}SJ_)?xhoO)i9=lOMn% z38Hjc$^%EUy*C# zWVt0CFF%4`m51Ti3TRx26mVd(U$fl^6NOWJO^iyKgC()^*B;Ki1Wxl;JorJoKMdB9P3B9AkHt} zj|<2TEAgAJia?VlI zZ@CyACRfEz%T4eza##GU{5T#ikH*i*Z{ZR0LOfFb8jq28;j!{}c$|C#zanRPp86#h zz~kj|_*FR`zb3cEQ{;a5EqNrKD!+-R$@B1Zc{!dTZ^tv`(|DGA9nY6Dyukb|=ffY! zrSJl|CSEAFz#qyz@JI3!c#-@v4)hPszteGu{4oxd*WrxvVH_r3##!WaFLHfA&Wp3l zWpIQXk8{cGailyD=apZ?QSuaAK>iR%%d2rAc|VSqFXFoLU%0-UD~b6?E{PkxN%C)aikxLM<54b*r^}V`Ot}f3EqBN7$WP%l@_4*X zo`W~YU*JvhcDzOY4sVnHz&qq@FZ1~VxftFfKZy6qt?&W)QG7@qiI2z=@iBP;J|TaD zPs#gnU_fyE|Aa&2^kW$R@_jg?TnUHC&2SdEHx8Fa;_UKd93d~lx#aaYQa+CJ%GYs} zoOLYYUoM8D<(jyV+!n{n{c&CSd0bzfgd55WaASEDZYuA^&E*TYrF1vv`G%H?ngxh{^AJL1yvU|d#y3740r;tKL2Tv1+wE6WFQ zRrwOGE~nxea^&k=kC#i~c)1R)E4Rh<<^H&#{5fZNEo zaXUHUjsLFiisMA(HE?IS749nc!9C^Ya4-1{+(({=`^qbDe|aY!D4)fHqxjbGd*TWyl ziFmR682&^ajhD*P@MrR3yi8t;zmyN*74lE`D>*QU&sWHK@EW-^UMD|L8c_H2GtUMN%muKS&^5?jsybV{Dzr$7Ko4C51 zV;c2WE`e*wwXyrFHrV}DKkWW$6n1|#5xc+o0K32X8oR&RgWX?!kKJGWiQQl2nEv1X zDi*uHdJwz6YKh%n^~Ub6hGX|ruVeR9?_u{-%dz{Z9oYTUci8>Z@7VoR)*1ipr($s2 zz~K2+1(%ka;<9oNTwWfAE6C$bE>_rvbzM`8E#6S4dG53u|Bud)02JvcBl z`2J7hQ27cDlhe&*f6E1Mq+9_<$<1+${3wo|ePYPLLboc5+voC=bUy<%zhDya4x?*W;n`2|P^x6%UuQzehhNm&9Y_+BjM6gj3`v z@f0~3PnYN8x$-x7zPukVlz+iX<;?S#zvLLaLavS1$Q|(pc?jMjzlQh7@8bjVDttsf ziqFW`@p(DReC98?B)%#?jBm(^_@?|c4m=S&|KG%+@&`CfUXLT>lQ>eofurP{?{ogk zWpJ!q7stsxa0U4}Tv<-R)#Z$W8}OGIRE8JIPgsHcs0YJa&H_akHq2fWE>$c!jbZN93>ye z(eia1BWGR6@sx|?YKNB$7^m)GIJ@^L&=zJZ6y**@a@kW1iE@3*|O=u{;PbmB-*^^4oZY{3Tu`@5X`Q z!SnwT4wW-3=KPmqaJXC(N5~y;r2GVqk|*G3c>#`**Wp0x z1Xq{);9ByFI9{HK>&su@#_}HAT>c3s$eBLj{FjU2MEN1yRqld&%Fp6H@?_j!UV;b9 z+woBO2Ruwpzl8H&E{sRXHF1*M5s#6d!pZWRc!InLr^uV}6!{#UE~nzz@_kD=|K)0U zzT6fslpn{7<=601c>!J~ug5Fo(|DD98?TY`ead=6u7tP9t?&+c5Z)udf)B{^@Dcf2 zd_q2s&&Yq^^K$ObSdYl}oAMldNB$ZIo(qou!#GsFj>F^}pELgD zGB`qRgd^qNI7)sIN6WKtjJzDj%KLGg{0lBCXI;klmrLTxay?vK?tyE`BXPVu4cC`H z$BpIPxViijPLMNw!T6Vp;Y9f%+*R&^d&BAqJ}>89$@rJ= z$Cu@1_^R9w-;iI%H|06_j{G$ajL`VUq4IScCg=Ey@h_Lb5pp9ODfh-v@{2fHo`qxN zA?_16Im#g9Va$CGmejG2BU&Bk~1$ddf9ly#@12|D`iMz^!a8EfI_mSVn{pEFd zuzVU1mG9tTa{djBf4M3iCAY;%@=!cRejO*vAK?k|W}G6Q$5Z5V8yWv{Av{~IiRa3R zc)t8JUMNq(i{&MFsk{R(lYhi37zAV3pugbIW4S6NLDIdajV&2xkK z12|M}gu~=+I9z@TN66!Fq&x%1$cu5Tyc);JdvIC#EUqB`hAYdNwle=ZEN*<2a$gklI^4oZeyaex%*Wx|$0enFI0UwcX;}dd@9gKguC_XP&#uw#= z__CacugZh)4fzFpQ=WwH$RFUqyTS3j5{Js$ahQA(hs(d<2svaY<6F*yqvTRJTCR;_ z}t8yH^A=kn;<<|I)+!qJl z3y%L0I8=TehskqsxcoVekT>H<`8bY}ui|Jq!#>8poFB)^WpSMR5H2gX!4>4bxUxI~ zSC`+!wdDCYUS5Ig%iD2d`4nz0U&9G!fD|BlDVnGZ1j_<*xV>c?e!2C*h^?M7&I%hdJQ?e@L#S zeuYEjy*Nz1h{NSn93kgD!u%zd!%=d594&XjG4hi*RvwSz2r= zh#SidaTB>SZYn>Ho5^Evb9n}CA%B8f%Ik3}`3O#sf5xrlkmG#*P0okg%4Kmo`C;5% z?tnYUgK$SV2`9>L;ZE{LxU>8%?jj$+UF9EfH~DYeUCw=i-+v{?;hu7B+)HkQ`^f!p zUwIVnFHgh+G3jHk;_;MsCAo-5D6 z^W`t`LU|WnEMLG&<-hS~a^6$SS8{p$rQ8s&ko)4VRTB&TxkH zw_E`4mG8&<3Ma3CaDeaQGyFUjTbWw|cCB6q}r&|v)?f(O(r+f|fk~3bT{>t~^zH$ZJUv7X0%AN6G`Efi%9)pwQS$K^68BUhB;tBE@ zoFe~$r^wlVrT)sr@oc#ko-4P-^W}kfp*$KdmS^In@@M!nc^h6PpT%Fwf8rH#f$P*? zxhh^IcfhOVr|=s26}(oSh1bbT@OpVY-XI^w8|9zyCOH*vmUG{r{>mlsR=FnLCMV$S z@}qc%JOb~O-^9D*`FOXy67P|B;l1)XyidM~_siLSqyEZ8@j zRDKN~ljq{&@)!7oybYg}PvcYa4SZV8{5$nmE`-m@mGF7FF}@&o!x!Zz@g?~cd|952 zugIU`K*nJG-GW2q(>P529f!-={-FNKu{ct$iKFB;I9eWnW8@?pD^J65@)BHD-i#~A zr*TF34_sN!ag+Khm&DcOM!1ID8`qLw#&zVmI9^_cAC|Y^y7CEJPri!l%b~ZZzj73A zD3`^Jg4z$tQTJVox0r^_$m+4598 zSN<5!mp9;r@(H|HzJZs@;eSzo+5)@oIS|UL${p*UG=) zb#msvslReTyg_~dZ!r^S$+&}kzc}F<+t!Q`6Ikt{ub|$58$2hk9e2-H{LDh zPNn|Jad@v>8}F0b;Qewxd_W$B56TnqA^8J*SpFIxk@w)E^7r_d{3kvx=Ln<^oRDMj zN%=v1N^Xfy%f0a#c{n~RzmCt#@8Jvba(q$VfiKD5;mh*x_==o0U3xyR8mzxXaj5(t z4wDmbxZDp%$S>eX`7InJFUHaGdK@Dk!?E%;94BWENgpUH7r_A*AFXQHNy3q827II$PQZ9pA$?-TrZjW2b192PqMch`Ng4@X-;`Z`t+(F)t zJIWVvqWl-`BjTzyc_qG&*T2` zEj&=po-uu3uv`oek*nh*xfLEG_r=Nb^LT7k2joNep!^d)BnPsj4;+^B;3INrd{ll2ACueRYi zS{xxC!IAPWI7-eKPW_b&;uyIyj+L9?IJq}2E04q#)#jcdsH zvQdBKYPgQv4#&&=@x$^cTvtxP_2l`uzPtiAkaysQ@)_JnzJVLdVcDs_ax`u#KY*Lb z4RLe13vM9~#VzHrxRpE;C&){1Yk321BOk?W2f7JTW*Ty%8%gr@^HLRPQi=ig?OpF27e|W z!OP^U_)9q~7xh<;!(Yku@hZ6wUM;_b*T`?-wep8}o%{`6FYm=0t~^19Am? zP;P(^$(`|G`Eh(i9)pj{Gw?C_6MX#t7(4R-JBs3uha^CR00F`wXE+5x$!<0mB4o3> zNtR@ju)84%*CHY!ryw3b5Qz#3f(nWXh=>S^iU<)A5s~}8y9bPWH zH~g~j;qVIKCGac4-+^Bh{yF@b@E_q}qnp>?N8ypee}hK}kKB;!ukfbu7~xiUtZ+BH zvG9TLX2PF^#|eJ}-d6Y$cn9G>z&i^+0*@E|8{8_q>PB3Dg*S&M2~UKl2rqzl6+Q;u zP53N$cj3$7X~H+b?ZS`3(}iDwJB0rScM7k!G1p(=?co{1yTUVt=fbmu4}!aeKLhV6 zd^$W^_(FJ&@NeKA;k)3u!q36;gx`Ya3%}>RTz`ePfO~}}z^NzYgywyy_-ge}y-J4-no39uV$?4-{SuA0&Jfe6aAB z;X{Pafe#h_C489hP4E%IkHJR?zX~rA9H;f^QK1JA9+?h|RhF3U378EW8tZi|`)st-^iqZNeXiZx=oRzC-w%@SVaxhVK%- z2EJSPUicp27vOt^{{`PCJbDYRzrtI=4+u|!9~7PqKO}r0{3qd0!w(C84Sq!Uhw!7q zSHX`7-w8i1{4D&0@SE_H!lSn2`YXIS{IqZ@{EYBS_*voo;OB&oftLw?1%5&J`|xt% zE8v%fZ-rL~KMB7g{CD_O;gRFG{tCYr9=3M#`a2#TDLfM%C42xpTKLoO7~wPEvBE!w zHx|AY-c0yGc%1MGcw6Bicn9H)w&MCLybC;DcqZH`JOH-|e-@r3{7ra@@UP%qh3|%U z6aFi_yYQ&3x&8`o2Db~3ho=j7!X3hk;7;Kq;4a}M@C@Oz;hDmh!n1_0gS&+vfcF%B z37#$d4m?MAoo%@O3U3R~6`l&u6P^pt7yc-`m+qAmKaUgN2`g z4-tL?K2&)1?YRC5Zw4PB+yWmZJOf@Lygz)h@Nw{|!l%P$2ww!BDf~P5Y~cssbA|;oabSh4+H*6FvmKU-$(00pT;@2Zb+z9}>P6{*&Tp z_KsYCg|~qp7oGw?A>0E$DSQz8l<;Tar-jdepAr5M{H*ZR@N>dKF;`%GR6Fg3MI=rp$Vt5DPW8j^IPlLw`{|Igs{vF&V{2)9@_%HAj;rHy!^;dX1 zcsJoLcz5B0;Az62f!l?@22U5h0PYZ83U>!p!XJYd3m*^fBm7NxU*U`4{e*u9?=O5Ge1Pza@PP2!@PWc>?ZWj}cx(7z z;mPnJ!gJt5g+BrxCj1%r2;tM=ql7Pnmk9qBK3Vua_*CJS;4_5Zh0hdTe>~S;;rGMm z2)D!M3on8%6h0chSokaOrNS4$mkD1DUm<)Syj1vQ_$uN5!B-1!*uwQ!coKZAa4&qF z@Uigq!rz2%5dJZIqwsIxn}qL%Zx((IzD4*=_*UW7Teit_!;5;ZuQusgcDB<-ca{U$F5gsEv4IV4J z5Z+k$D0nmBQ{ZvJ=fm3y{~F#w_-=S-;TPfY!vBF=h1Z|N^;dW&c#?1jJVp4U@UFrq z!Mh3n5Z+z*I(VA!18}?Wa(KG%+i-{Q=*e7vg~!2N!fo&j;aTuZ;r-xQ!k>h@g-?d} z6h0T8EqpmVNBCyANBD7guJGUBdBVe|aQzkD2;NJ0XSi3m9qtp}8}1iA99|&21m0Wt zJMco`pTmoU{|GM@ei+_I_%HCj!vBN!6JCER*I(fs-~)s|3=atR!Uqa}96m_+^YFpK zXTgUE{{%i%`1kN(!Vkbl2)_g$CHxM&M0nj@x&8|80G}$n2YiO`-td{iN5W?dpA4TP z{C)U*;id3}!gs%j( zRQM+NG2zGH$Aw>opAcSUcdoy}8^TWs?*ugh1Z(K^;dX1cxT}c!{db)z^%eZ!fnE*z>|c308bJA1H7y7 z6Yy@r|Au!L-msnPukicfcH!OO>B7BmhwveAr||J`m+&{>8NwIAGlj2)X9?d0cMCrY z?%};QfS;g!dQz5`2L0_uv8H%isfrZ-fsLeiS}f z_^toeilAQ z_#g23!lS#m{t9mcUo5;Ue5r6Re3|fJ@D;*ef|m-P2VW(;6uw&cF8CVZSKw=fSDV50 zS9p8)df^WE2H}P9jlze+Hwm8v-z@xX_!i-x!nX?l9==WZe)x9b7vVdE{{`PEyyi@< zzry3-yM-sh_XzI^-zz)--zR(=e82E%@B_jZzz+)l8h%Ll4){;P&%h50zX3lYy!tGz zzrvfrj|sQHj|%S_&)f4;TPctgx`iA6ke;B>#y+E@SlVy!w(D3fgch62>ht< zXW++#Plq2Dz7T#w_&4yA!gs+>2|ouvE&LYzjPQH7 z!xss^179J$#-b6!t`y!BUMjo`e1q^F@QuRr;hTgHhVKwQ4!%?P6!@O|(K;pgDL2oJ(<2(Pwy#IU!8H-i5yyd(UM@b2)i5zTz<1+OA}5IkJ?Sa^i+ zSKyJt=fSHAUk0xxd_BCn@O|(o;b-CZ2>%0KLwNK))F0t(;L*a9;kATk!)pr;mw5) zhqn-30&gk&9eAAZFX63(Z-uuOeg@t~_#g1L!lU*ZF>E{GZQ$*NC&BL%?uK^|-WT3c z_$c`O!e4~>fs4F;d>1@gcp1F0@c-b=gg4wj^v_T5C;tYAJ{WjOO2hd3 z7Bf?h$ic%<+Gcvay~ z!>b8@2VPzHDtMIeqwsr#--g!^-gJQdB)mI3TKFUITEZv8YYYDrUPt&2c#QDh;B|%9 zJCN&-@ML&>;r-yT!e4|p5dI0gq43@CM#8Vd8w-#52>VTVJiLkUe0WpgPr{oCp9ya+ zdQgx5WY{U+QBZ!O#hZzFshyshwe;O&I3hPM}f41S;R+wcy;@BJwI zO?X%M{lfdgI|+Xt-dXqu@CSsihd(I%9K4J0u!DKNBD@vcB0L>#75*qZLHK02P52Uc zqVR3-B;h~9lZ8ipjOR1LJHk_i_k?#9J{%yOa-xB^D{I>8{;dh0<3-bqa@_1YVj}-nrJWBX}c(m{e zc#QD>;IYE%eS&%={62Uy;Sa&%gy+E93hxi^Abc!5Uiiy!oABB26ycx2(}b^uJB9Ct zX9+KZ=L-+Q{ldc!RWrJa{wV z%i(dtH^JKqKMFsvOLKfL!4C_+1wSr4;&9fd@Otnv;jQ51!sFo;!h6843eSaK7v3L! zOZW)*ZQ&E(cZI(Ok6hLq|3&Z^;oreyh5rO^Ec_b0neeJdkgw;P{cQ{{5#A~2Uuf$0 z2zueZpntKcKP2$-CVv)QMfg;BxbV5~2;rZ@BZYqtuPS^GyqfSc@an>^!J~wS;P(iR zIg;~`@Ye8}!fo(q;TiB+!i(Uwg%5+*5&k?pM)(`>y22O2>j^J~*B8D89xMDPyn*lv zcthcL;EjYwA4R@}w}9U(JRaUecsjhPa6i16@FDQ#!k>e;5dIpxrSSRiu)j6)zZ4!R zd@npocsV>;_#JqR@Yth?hwx7D#=^7V&4iDD#|eJ}-d6Z$@D9Rvz&i>rhu<$e{F9uI zgtvsp3x61H6J88Y5k3}vUHA<6E#aTSZwucHzbpJK%mbIao({nyg||3{cnNHcwP7&;ceju zgxlbUg*)NLh5O;Bg%5_82_FY97d{zYA$&Ics_@0|>%v#TZwcQ5zb*VQ{I2kFm_IO( z=jRQ0r0}Yr3g?BUeiL|%@J{ep;Sa;(gcriw3V#ybS@<+~*}BdCe*iBRz8qd5d@KCA z@bmDn^_uPf6?pw7k2#L{2=54w6`lew+oEYd8(twi0KYE$Dfn&SufoH&Y_|U)e4+4f z;ERRthOZEQ9==NWU+^`;YkivaCOi&)KzJAUVd353$Ax>~r-k=}mkA#ZFBd)@ULkxM z{HpLd@aw`qh2Ijs8h%^&R`^}vhhY|MzTW-@B_l%gdY~Z7=B#%ckt7~_rc4AUxb$nzYVVtUhA{OPk3wib>YeITf%eT zw}n3fzbpJ1SkE`#3oPfEYvASsqQRj*!5bk-{|yek4zHde_fKO!SG@j?hxI(QS77P? zSXlj^6q4j(4%Z-yuJHtk=6+l1HnJkL*rcY-Gf&wSZ=v*7MGAtK@4Mtohm-)_fffYreh?YrdAkny){>nyu)_k1?YrcL0Yrd|Bb-oY7Et0Plu;%N3u;y$1FBbDP5!QU|18crcfHhy|!jSXnYdWm?+8fq<9RX{;z6fi+z7K1@u7Gua-3n{Io`f}D ze}^?+BfnhC*T%5ss|D74&4o2zhrl}D&%@&-UuVLaub;r0uiwMEzn+0LU&Bu>=4%^R z^VJD!z7BykU#G&Fub;!ZzpjUy{|1L1fi+*Rz&%nQ{|CR0rwe}x?hyVS+$nq+JWcopc$V-J@Lb`4!t;dJ{tDOse8Pi6+rsaYd`*G1Ue1O0 zl=dHmx0mb7De$(!KZmy#z8l^~_*HnE@Y-MH^Aq9m@OHwzaJ%?F1lIG&iEx+bzXfZ) zegbR0u7fpS55k(SzrvcYVW$@JwE?X8dOxiB+5^^n^~0L4Lt)L=7h%oU1+eDp>d;>D zbq~C~Yrf{fny-Ul&Da0Iny+ueny*V?-CuuzHD3?Gny){@ny+_Z&DWUIi}~6S)_l!? zHD3q7<0N0l!P`l`PK7mJ7r>gYU&Fe;9)UGq@4%X`P0uLiYd2W)bpWjSS^{gnE`l{* zSHr8y`0s@^UoXI#uYbatuhCyG=4&fh^YtNE^EDsVe0>bod>s#KzP<@-zAlC}U)R98 zzaE4&U$4QMuhqU0o~LF128T9-x0QU2hc#a_V9nRQu;%N;&|d1-MX=`UW?1*va#-`V z+Bb{&+5y&l?FnnX4uExhpN2JGUxSa7`gkFHgzz=6o;U7?4;TGk;X{OnpIJO_yca%5 z^yA@=3eSWO7CrzzQ201l&l}%_j}ram@X^9|z-q58u%0)rhV{JhB&_F+|G|3R*!o+=^TrgodEyux+6(@e%}M9*V-2p^R*qU`D%wXUyESP z*U_-%>npJ4>j$vr>nd3Dbr-DpdI_E@;~n|KV!pP3r%Aph!FvBS2iANY3~Rnl2=;Ow z{2{FQx((KR{RP(Z;phvC`5F&vzWQO!*XLl(*Ee9@|38H_U)RH$uZLmH*Q>DZuMs~g z=4(q>^EC<9eD%PZuY+OD*9oxZ>szqq>rz9FQ&F|7GI5!QTN3~Rn_hc#cXz`DQI{&6v1t+3{6Z&>qn1g!U8r@)%8^I^@`QdskK z7p(bu9@hQ!Us&@s_Tpl`c7iou(_zimVp#L_Nm%ps6&T99aGT zI_Sm!eSyXQD}kl|)qYm=zja{I?+)vEw;$H=909BUuLiyNzX(?U*9VsVpM=jpFuQ~d z4*d;YB0TcvBZhrZcnkPT!fo)G!n5JCgg*vs-e7r-ZkIFA)9^{6pcr;8TS^4u3`XMEI-1--AyU{v~{h@a^z9!q33p6@C-`p72_~ z__xCUhOZJ{=kgK5 zej~gie24HJ@NL2i;M;|dhHnu*1-@1Ihw$UVzkweWz8ii__$ByZ;s3yo2ygH!-Zv56 z34U3)9saZMzVLG4W8jyBPlw+Y{t^67;orjl5`GYVOZd<5KZIAgg74!CZvfvTyfZvX z_(Sk}g!hCm6J8AeQuqk?I^i$Ee-J(o9xJ>Q-ck5gcn9If;9Ny7d%(^PX#9wU4)yq@sy;2#Lz59@jRIatrz zgRq{rhySK{-rgA2^Y)Ig-XHA>>v?-Ntmp0hU_Eai3F~?LL|D(;--7kL{R>#n+kb@h z`T2wJHgbRTJUmkN!<(?4w?|%GJa2Ce>v_8a*7Np(u%5S1g!R1reOS-izk~Ju=rLH& z+kb}H(T#tDL+`+P-d^*!#e8iFYrZ}JYrb}e_5P?I)_i>o)_i>i)_i>x)_k1eT`MMw0d_50qzTSW}U!$%s=Igz%=Ii~i=IcYS=4%eD`)hw#^K~Sw`T7#9 z`T9Pr`T7++PUd?fysG5uLAd#EaOg!?^Ys?2`C9Lf#e7YGHDB{#-Csw-ny=Gg&DYOh z&DZTgFZp;Z=<@*%4!sgs^#6f1Uu)h_%-80y=Iev7=BpFd{j~trd>sO7zCH_UzD|WT zU+2P_ub;u1uj^sW*JH5e>#wjr-}^5-Qu4Ldjm3Oz32VN_!m^w8HR6_HzP5rjUwZ_-?4NmXbA*FK2L*f4e+t%oeHqq#eFxTj{RGy0T?cEv z?u9j9&%&Cozr&iZVYe3ZwJxmr+6vZuO@cLF^I+XyABA;&ei|Mw`8ow|{u>x@Pc43`d`7CuRp??uLohx z*9)-b>up%`^`6^{`Pu~5eC-5lzIKCkfAzqcul-@o*RinX>vUN2^+Q;Bps)_i>&)_i>q)_k1?Yrf8fHD8y*ny=eo&DT?~ z&i8NdFv-_{1Lyw+ht|2Pn6GiL=4*FY_tyZd`T9Jp`8pTYeEk;Id_4kdzTOOa$;XJh zi{sl6)_lDW)_hHcHD5ij?ym!3&DZB(&DZI$=Ia7j^K~Vx`ML$xd_4whzWxGhzJ}dX z%-5!{=BovsCi&=s^*p~2ZvNx`3)cPhg;j`eags+6R6MhhG7ymE9TF>8xyF|a{1I7KdIjsAu1=ju53G4pa57zy4G_3pU zOR(;*@4&jhE`@b}{T|l+buX;@YdNg-a0u4@wZVhM=Nmi1`h4R{(2GC{WapD;{MtO*8SBH^pcMSus+{7EZB?wL|E(LH(<@zk3xH~ z|23@nx(n8PJq>HVUV}AX!~Rsv*Ltw#Yg<_JH4)Z)&4x8!AA>bt$HTh6z7Fg8@B+B` zZ*b^JSo3u=toeEY)_je8xR|f4Va?YxSo1Xi>-Fmku;%LrK`-&W9M=7HQ?M8P!?4!F zS75D&!yhTu!}r2k4=2J}59h#I4?hY|7k|gYS`W{HwH{syYdyRk)_V8^to871SnJ_> zkCNX!A%jEjhxPgVG+6Vw2-bRdG_3XT+withzpjO~9zFwWJskE}u^w&9UWE00_ztY+!*!l$Mq?Pyzqf~Vf9(eA{u+RFf1LtrJ^U%G z`|D0v_t&ei?yq&8EbgyXSohaVxcP5zXdu|jdjB-6`T8oX`T9Pr`MMm|eBB0XJ$wSz ze7y>5zJ_4U*LqJC^R*qU`I-!CzUIQ3uTQ|5uajVX9`$WlpGRE+H~$R|{SMZA-2-dB zUJdPKf35R$F<&2qHD5ij=IcmU^YwLD>)|hhUh?rrSoibMB^#@q<^#H8-dJ)!q{S(%Fy=Pf5Uz@_3uU1&|)dOq3J_?VM z`FEoV_?nKSwS!R=f^>x z4{&hkn!uvJ8`gY118cqpVa?ab7mE4X4Ay+@0&Bje!)aOnDy{*e;@6;^+1yh7_U!$Xjgr~#W{=i_L z{~H`yQqo^g;@`qL{(DRM<#4md!J+@a!=?Xq|Ju}s@$CTXc&5Q>zo=w?EZpp2aOgDn z6XVzaVCrIU=zLiFyAsy^ZY#Ba3U2-z9Qp^W^BetYQU5+z`=1UsE!a;bJ_%kocQ80~ zUWu=RoBw!!6xROVDDl|8743H^@vIUbP~!iCwg0o>W{-nIzbf&ArS`9u+OPgvvA-Q* zoo`2p4~Con28T{6wZEXGzq-WtmF)jk(y#XSV!pS5b-vwj^WWgmfhGMZrS?CCwVwPQ zZuUUFO6}i(oBsxf)_T1-p6y^A&u(z@AK&MP$K`^-p-;doW@KAuxP(ItovsY+#K=X&|v(Q2@pGm2 zZ^EappLrM@TIG!*uT$bJOT0^o_bBl^SkLSGl=O#|^q(r}zgW_rQPQ7R(qB^2{~B)o z8yva^*7!VG(!W{Kulc67UuD?Z@OpWNs|?!;UPCzlzxQDBDGEB<``ZV4BD+*9)_uM0J=$HuWqrrI&aO#qvkUzt zhPlFOF`?CIktnPdEt8xUiNb1O4{4yMY#W6m8NMBjAT>vlE3Dql*@hP8Y=gp#Xs!?+ zojvWEqJSlBm`%C6#4M8=_T>R*_T}+r@^VNDa-;u3YBJ+OW;*7~?(FXC*STdP=`Ry? z^fp#}CYaM%5-jYUJw6t-ltev!{k>(gAS>HV@0c;OY!_sfU2Dn50_;kn&N++Ay&)=h zMx6R)ms++Pvd%C#D{!g82w$o&=9DXi@SGn3 zLu~auzcjvJx|bo#C(<`(-ojGgGm#7^6Lrri1YX6Cbw_@tQR9yMRFg>;O(<*;6&pPV z>pZ1uOSBrZRcU`;e?vNb-n`<$E)|H2RL~rD##yv8&X#n>*-B11k8h@KD-58oH)}qB zVQ=5!K3x+Hi43VBX^truWP_StxL1O-bZ&P}&YD6QczZ|T;7*4@ zQjABC$i8aWAd$`+Hl?1i$r9acmF2c6nb?e5qn}BUWO5{#5=o{;k`{?nqwAJ<)GhI_ zTV&jQ{nHm0mK+Nvh>B54M8z;=BJr4s#A7BZd!#Q3Rc0lz%0v>ZOeE3DL=vt{B=O2b z8n9euj9){R+sO>t_ipbl)b5b&L{^MiDl4q$Oe9`2kwh&MMLs)vC2E?Fmbrx+ z%iO|^Wp3fdGPiJJnOnHA%q`ql7Vb}?XccZ!3~gO3C)CC=w{T;bTez{zE!oY2wDT zY2pU8Y2rtjyz}yg@vak9RiE+kCvO;^ zeMD8&XM7CE8^&h$zGQi50a}%-V&X=n$j8( zOzq`VF@K{tG+ic}BTZ&td+4rnku(L_Kw$~5%{b_ zBkrQ|IyAOM*2>r#qVhU4_C?mp*cYPmIy4qV*2>rvqVhU4c0|_7*b$=gI<&S!*38-t zs`L6BV8KUwENd8)?&?XD!Lp@r;o(J$_UUtYvOKRh>R5HK-yF}i}#i^OokJ0vDiW+RnFplCk+#4@%D=?L{jA}-jmWWaTagQ*g_;# z&f;||4HIYa;*BjtQspe(%hE7$7H{d;LL^nrjD0RG6K}?LH%vrS>90PNMj?>S(;-bX zHbBpenS6+#g`gpk-PDk@&u#CXVOXYe&%U$0qj2IZbe1S9TlV)h0#j~Rs0gvLqSKZE zx6{JTFLzql^s&uwFjw0K3#e9f8f zsirU3XCF@&-uQ5b(oouCncmwq!|QeWOi$D3GJED$3`;|qJ#A|!ORq?YG+sNwHcDYC zEX|_ydQ_v=AdPzs(sI~KZ_H(*D!wo$(s)#uMr%0qIl*bUO_#^EcHR z)01}cdQDN;*)@GZ_V!g#(=mU+Kwn3%aRzDhn;E!c$_yI+YtFcr@APzMUu5X*>gy^z zxJ?D(AQg1a=PteZ>PtbGE$D(U+gJr*wxLpCxx418gPFeANk{(fN~X`Ab!VJCUr4$6 zl1#?Mkv3nC$@InXs(KFD+lZ6n{6UJMEhNpd4K4MA70 z3PSe6w{pZLe4C{t%3rk$eH1$E?BS)o;Ej!vfW))uV zNd=Kb2V?7>)0jzW(-ma%@)8$Slp6Of+D=1`=I1%LHb1&*;~V`hp-EYOv_c>vw}@HRh3`ww*`rL>VxZ8MJm#62y|$dt%}f9$Gllx*+Bbyinm<}OZXC& z3}l?mJL4>5Y5V+8nBe@bh>Oj6t(K!*c92ZFOl3v8_L5Ee{DQu>ztBZ*o>+P;oT?Kp zPO|WYh(s?dEMu7@H;y`~mVx3V6PCfzeKg5jRw6Jf|Rx<`jg{oPsc# zQxHaT3c_ekK^V=cuu1dL7q+TMjXAOH;yDFjG^Zep<`jg{oPsc#QxHaT3c_ekg^y